From 5a5c9f3981a1f82ddc6d356edd7a26893f26aa3b Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 20:19:16 -0400 Subject: [PATCH 1/7] merging changes from OPAE branch making this branch --- benchmarks/new_opencl/bfs/CLHelper.h | 848 + benchmarks/new_opencl/bfs/Makefile | 44 + benchmarks/new_opencl/bfs/README | 0 benchmarks/new_opencl/bfs/graph4096.txt | 28677 ++ benchmarks/new_opencl/bfs/kernel.cl | 53 + benchmarks/new_opencl/bfs/kernel.pocl | Bin 0 -> 280860 bytes benchmarks/new_opencl/bfs/main.cc | 297 + benchmarks/new_opencl/bfs/run | 1 + benchmarks/new_opencl/bfs/timer.cc | 78 + benchmarks/new_opencl/bfs/timer.h | 101 + benchmarks/new_opencl/bfs/util.h | 72 + benchmarks/new_opencl/guassian/Makefile | 44 + .../new_opencl/guassian/OriginalParallel.c | 241 + benchmarks/new_opencl/guassian/README.txt | 60 + benchmarks/new_opencl/guassian/clutils.cpp | 1443 + benchmarks/new_opencl/guassian/clutils.h | 281 + benchmarks/new_opencl/guassian/gaussianElim.h | 40 + .../new_opencl/guassian/gettimeofday.cpp | 74 + benchmarks/new_opencl/guassian/gettimeofday.h | 17 + benchmarks/new_opencl/guassian/kernel.cl | 49 + benchmarks/new_opencl/guassian/kernel.pocl | Bin 0 -> 287484 bytes benchmarks/new_opencl/guassian/main.cc | 411 + benchmarks/new_opencl/guassian/matrix4.txt | 11 + benchmarks/new_opencl/guassian/run | 1 + benchmarks/new_opencl/guassian/utils.cpp | 204 + benchmarks/new_opencl/guassian/utils.h | 84 + benchmarks/new_opencl/include/CL/cl.h | 1804 + benchmarks/new_opencl/include/CL/cl.hpp | 12459 + benchmarks/new_opencl/include/CL/cl2.hpp | 10119 + benchmarks/new_opencl/include/CL/cl_d3d10.h | 131 + benchmarks/new_opencl/include/CL/cl_d3d11.h | 131 + .../include/CL/cl_dx9_media_sharing.h | 132 + .../include/CL/cl_dx9_media_sharing_intel.h | 182 + benchmarks/new_opencl/include/CL/cl_egl.h | 132 + benchmarks/new_opencl/include/CL/cl_ext.h | 762 + .../new_opencl/include/CL/cl_ext_intel.h | 423 + benchmarks/new_opencl/include/CL/cl_gl.h | 171 + benchmarks/new_opencl/include/CL/cl_gl_ext.h | 52 + .../new_opencl/include/CL/cl_platform.h | 1384 + .../CL/cl_va_api_media_sharing_intel.h | 172 + benchmarks/new_opencl/include/CL/cl_version.h | 86 + benchmarks/new_opencl/include/CL/opencl.h | 47 + benchmarks/new_opencl/lib/libOpenCL.so | Bin 0 -> 2038104 bytes benchmarks/new_opencl/lib/libOpenCL.so.2 | Bin 0 -> 2038104 bytes benchmarks/new_opencl/lib/libOpenCL.so.2.5.0 | Bin 0 -> 2038104 bytes benchmarks/new_opencl/nearn/Makefile | 44 + benchmarks/new_opencl/nearn/README.txt | 33 + benchmarks/new_opencl/nearn/cane4_0.db | 10691 + benchmarks/new_opencl/nearn/cane4_1.db | 10691 + benchmarks/new_opencl/nearn/cane4_2.db | 10691 + benchmarks/new_opencl/nearn/cane4_3.db | 10691 + benchmarks/new_opencl/nearn/clutils.cpp | 1443 + benchmarks/new_opencl/nearn/clutils.h | 281 + benchmarks/new_opencl/nearn/filelist.txt | 4 + benchmarks/new_opencl/nearn/ipoint.h | 29 + benchmarks/new_opencl/nearn/kernel.cl | 22 + benchmarks/new_opencl/nearn/kernel.pocl | Bin 0 -> 193922 bytes benchmarks/new_opencl/nearn/main.cc | 346 + benchmarks/new_opencl/nearn/nearestNeighbor.h | 50 + benchmarks/new_opencl/nearn/run | 1 + benchmarks/new_opencl/nearn/utils.cpp | 204 + benchmarks/new_opencl/nearn/utils.h | 84 + benchmarks/new_opencl/results.txt | 7 + benchmarks/new_opencl/saxpy/Makefile | 44 + benchmarks/new_opencl/saxpy/README | 0 benchmarks/new_opencl/saxpy/kernel.cl | 5 + benchmarks/new_opencl/saxpy/kernel.pocl | Bin 0 -> 185600 bytes benchmarks/new_opencl/saxpy/main.cc | 221 + benchmarks/new_opencl/sfilter/Makefile | 44 + benchmarks/new_opencl/sfilter/README | 0 benchmarks/new_opencl/sfilter/kernel.cl | 21 + benchmarks/new_opencl/sfilter/kernel.pocl | Bin 0 -> 196593 bytes benchmarks/new_opencl/sfilter/main.cc | 319 + benchmarks/new_opencl/sgemm/Makefile | 44 + benchmarks/new_opencl/sgemm/README | 0 benchmarks/new_opencl/sgemm/kernel.cl | 9 + benchmarks/new_opencl/sgemm/kernel.pocl | Bin 0 -> 185630 bytes benchmarks/new_opencl/sgemm/main.cc | 243 + benchmarks/new_opencl/sgemm/sgemm | Bin 0 -> 51840 bytes benchmarks/new_opencl/vecadd/Makefile | 44 + benchmarks/new_opencl/vecadd/README | 0 benchmarks/new_opencl/vecadd/kernel.cl | 8 + benchmarks/new_opencl/vecadd/kernel.pocl | Bin 0 -> 187461 bytes benchmarks/new_opencl/vecadd/main.cc | 187 + benchmarks/opencl/BlackScholes/Makefile | 2 +- benchmarks/opencl/DotProduct/Makefile | 2 +- benchmarks/opencl/VectorHypot/Makefile | 2 +- benchmarks/opencl/bfs/Makefile | 2 +- benchmarks/opencl/convolution/Makefile | 68 + benchmarks/opencl/convolution/input.bmp | Bin 0 -> 44922 bytes benchmarks/opencl/convolution/kernel.cl | 54 + benchmarks/opencl/convolution/main.cpp | 261 + benchmarks/opencl/convolution/utils.cpp | 180 + benchmarks/opencl/convolution/utils.h | 11 + benchmarks/opencl/cutcp/Makefile | 2 +- benchmarks/opencl/guassian/Makefile | 2 +- benchmarks/opencl/kmeans/Makefile | 2 +- benchmarks/opencl/lbm/Makefile | 2 +- benchmarks/opencl/mri-q/Makefile | 2 +- benchmarks/opencl/nearn/Makefile | 2 +- benchmarks/opencl/reduce0/Makefile | 2 +- benchmarks/opencl/sad/Makefile | 2 +- benchmarks/opencl/saxpy/Makefile | 2 +- benchmarks/opencl/sfilter/Makefile | 2 +- benchmarks/opencl/sgemm/Makefile | 2 +- benchmarks/opencl/spmv/Makefile | 2 +- benchmarks/opencl/stencil/Makefile | 2 +- benchmarks/opencl/transpose/Makefile | 2 +- benchmarks/opencl/vecadd/Makefile | 2 +- driver/dogfood/Memcpy/hw/rtl/_hdr | 603 + driver/dogfood/Memcpy/hw/rtl/cci_hello.json | 18 + driver/dogfood/Memcpy/hw/rtl/cci_hello_afu.sv | 653 + .../Memcpy/hw/rtl/cci_hello_afu_working.sv | 621 + driver/dogfood/Memcpy/hw/rtl/sources.txt | 2 + driver/dogfood/Memcpy/hw/sim/setup_ase | 11 + driver/dogfood/Memcpy/sw/Makefile | 41 + driver/dogfood/Memcpy/sw/cci_hello.c | 210 + driver/dogfood/Memcpy/sw/obj/afu_json_info.h | 13 + driver/dogfood/Memcpy/sw/obj/cci_hello.o | Bin 0 -> 5336 bytes driver/hw/Makefile | 24 + driver/hw/ccip_interface_reg.sv | 48 + driver/hw/ccip_std_afu.sv | 172 + driver/hw/sources.txt | 116 + driver/hw/vortex_afu.json | 37 + driver/hw/vortex_afu.sv | 638 + {opae => driver}/opae_setup.sh | 0 driver/set_env.sh | 6 + driver/sw/Makefile | 19 + driver/sw/include/vortex.h | 67 + driver/sw/opae/Makefile | 66 + driver/sw/opae/vortex.cpp | 349 + driver/sw/rtlsim/Makefile | 49 + driver/sw/rtlsim/vortex.cpp | 327 + driver/sw/simx/Makefile | 37 + driver/sw/simx/libvortex.so | Bin 0 -> 405672 bytes driver/sw/simx/vortex.cpp | 324 + driver/sw/vx_utils.cpp | 91 + driver/tests/basic/Makefile | 37 + driver/tests/basic/basic | Bin 0 -> 18968 bytes driver/tests/basic/basic.cpp | 107 + driver/tests/demo/Makefile | 70 + driver/tests/demo/demo | Bin 0 -> 105576 bytes driver/tests/demo/demo.bin | Bin 0 -> 5188 bytes driver/tests/demo/demo.cpp | 75 + driver/tests/demo/demo.elf | Bin 0 -> 36456 bytes driver/tests/demo/demo.hex | 269 + driver/tests/demo/kernel.c | 25 + driver/tests/demo/run.log | 372144 +++++++++++++++ driver/tests/results.txt | 0 old_rtl/Makefile | 52 + old_rtl/VX_alu.v | 139 + old_rtl/VX_back_end.v | 133 + old_rtl/VX_countones.v | 22 + old_rtl/VX_csr_data.v | 82 + old_rtl/VX_csr_handler.v | 84 + old_rtl/VX_csr_pipe.v | 105 + old_rtl/VX_csr_wrapper.v | 38 + old_rtl/VX_decode.v | 361 + old_rtl/VX_define.v | 269 + old_rtl/VX_define_synth.v | 2 + old_rtl/VX_dmem_controller.v | 188 + old_rtl/VX_execute_unit.v | 168 + old_rtl/VX_fetch.v | 103 + old_rtl/VX_front_end.v | 89 + old_rtl/VX_generic_priority_encoder.v | 27 + old_rtl/VX_generic_register.v | 34 + old_rtl/VX_generic_stack.v | 38 + old_rtl/VX_gpgpu_inst.v | 85 + old_rtl/VX_gpr.v | 172 + old_rtl/VX_gpr_stage.v | 223 + old_rtl/VX_gpr_wrapper.v | 70 + old_rtl/VX_inst_multiplex.v | 95 + old_rtl/VX_lsu.v | 106 + old_rtl/VX_lsu_addr_gen.v | 17 + old_rtl/VX_priority_encoder.v | 20 + old_rtl/VX_priority_encoder_w_mask.v | 32 + old_rtl/VX_scheduler.v | 69 + old_rtl/VX_warp.v | 86 + old_rtl/VX_warp_scheduler.v | 321 + old_rtl/VX_writeback.v | 111 + old_rtl/Vortex.v | 249 + old_rtl/byte_enabled_simple_dual_port_ram.v | 53 + old_rtl/cache/Makefile | 12 + old_rtl/cache/Notes | 46 + old_rtl/cache/VX_Cache_Bank.v | 253 + old_rtl/cache/VX_cache_bank_valid.v | 30 + old_rtl/cache/VX_cache_data.v | 233 + old_rtl/cache/VX_cache_data_per_index.v | 163 + old_rtl/cache/VX_d_cache.v | 387 + old_rtl/cache/VX_d_cache_encapsulate.v | 118 + old_rtl/cache/VX_d_cache_tb.v | 58 + old_rtl/cache/VX_generic_pe.v | 24 + old_rtl/cache/cache_set.v | 233 + old_rtl/cache/d_cache_test_bench.cpp | 29 + old_rtl/cache/d_cache_test_bench.h | 355 + old_rtl/cache/d_cache_test_bench_debug.h | 1 + old_rtl/interfaces/VX_branch_response_inter.v | 18 + old_rtl/interfaces/VX_csr_req_inter.v | 24 + old_rtl/interfaces/VX_csr_wb_inter.v | 21 + old_rtl/interfaces/VX_dcache_request_inter.v | 19 + old_rtl/interfaces/VX_dcache_response_inter.v | 16 + old_rtl/interfaces/VX_dram_req_rsp_inter.v | 27 + old_rtl/interfaces/VX_exec_unit_req_inter.v | 51 + old_rtl/interfaces/VX_frE_to_bckE_req_inter.v | 46 + old_rtl/interfaces/VX_gpr_clone_inter.v | 18 + old_rtl/interfaces/VX_gpr_data_inter.v | 14 + old_rtl/interfaces/VX_gpr_jal_inter.v | 14 + old_rtl/interfaces/VX_gpr_read_inter.v | 17 + old_rtl/interfaces/VX_gpr_wspawn_inter.v | 18 + old_rtl/interfaces/VX_gpu_inst_req_inter.v | 27 + old_rtl/interfaces/VX_icache_request_inter.v | 19 + old_rtl/interfaces/VX_icache_response_inter.v | 18 + old_rtl/interfaces/VX_inst_exec_wb_inter.v | 21 + old_rtl/interfaces/VX_inst_mem_wb_inter.v | 21 + old_rtl/interfaces/VX_inst_meta_inter.v | 16 + old_rtl/interfaces/VX_jal_response_inter.v | 17 + old_rtl/interfaces/VX_join_inter.v | 17 + old_rtl/interfaces/VX_lsu_req_inter.v | 24 + old_rtl/interfaces/VX_mem_req_inter.v | 28 + old_rtl/interfaces/VX_mw_wb_inter.v | 22 + old_rtl/interfaces/VX_warp_ctl_inter.v | 36 + old_rtl/interfaces/VX_wb_inter.v | 21 + old_rtl/interfaces/VX_wstall_inter.v | 15 + old_rtl/modelsim/Makefile | 124 + old_rtl/modelsim/cshrc.modelsim | 8 + old_rtl/modelsim/modelsim.mpf | 2275 + old_rtl/modelsim/vortex_dpi.cpp | 328 + old_rtl/modelsim/vortex_dpi.h | 8 + old_rtl/modelsim/vortex_tb.v | 160 + old_rtl/modelsim/work/_info | 1084 + old_rtl/modelsim/work/_lib.qdb | Bin 0 -> 49152 bytes old_rtl/modelsim/work/_lib1_0.qdb | Bin 0 -> 32768 bytes old_rtl/modelsim/work/_lib1_0.qpg | Bin 0 -> 65536 bytes old_rtl/modelsim/work/_lib1_0.qtl | Bin 0 -> 158073 bytes old_rtl/modelsim/work/_vmake | 4 + old_rtl/pipe_regs/VX_d_e_reg.v | 36 + old_rtl/pipe_regs/VX_f_d_reg.v | 28 + old_rtl/quartus/Makefile | 70 + old_rtl/quartus/VX_gpr_syn.qpf | 30 + old_rtl/quartus/VX_gpr_syn.qsf | 63 + old_rtl/quartus/asm.chg | 1 + old_rtl/quartus/fit.chg | 1 + old_rtl/quartus/map.chg | 1 + old_rtl/quartus/project.tcl | 88 + old_rtl/quartus/smart.log | 27 + old_rtl/quartus/sta.chg | 1 + old_rtl/quartus/syn.chg | 1 + old_rtl/quartus/vortex.ini | 40 + old_rtl/quartus/vortex.sdc | 1 + old_rtl/results.txt | 7 + old_rtl/shared_memory/VX_bank_valids.v | 36 + .../shared_memory/VX_priority_encoder_sm.v | 115 + old_rtl/shared_memory/VX_shared_memory.v | 178 + .../shared_memory/VX_shared_memory_block.v | 115 + old_rtl/simulate/VX_define.h | 100 + old_rtl/simulate/ram.h | 245 + {rtl => old_rtl}/simulate/tb_debug.h | 0 old_rtl/simulate/test_bench.cpp | 105 + old_rtl/simulate/test_bench.h | 433 + rtl/simulate/Vortex.cpp | 315 + rtl/simulate/Vortex_SOC.cpp | 248 + runtime/qemu/vx_api.c | 27 + simX/out | 2 - simX/reading_data.txt | 1 - simX/results.txt | 1 - simX/test.sh | 143 - simX/test_runtime.sh | 17 + 267 files changed, 498191 insertions(+), 166 deletions(-) create mode 100755 benchmarks/new_opencl/bfs/CLHelper.h create mode 100644 benchmarks/new_opencl/bfs/Makefile create mode 100644 benchmarks/new_opencl/bfs/README create mode 100755 benchmarks/new_opencl/bfs/graph4096.txt create mode 100755 benchmarks/new_opencl/bfs/kernel.cl create mode 100644 benchmarks/new_opencl/bfs/kernel.pocl create mode 100755 benchmarks/new_opencl/bfs/main.cc create mode 100755 benchmarks/new_opencl/bfs/run create mode 100755 benchmarks/new_opencl/bfs/timer.cc create mode 100755 benchmarks/new_opencl/bfs/timer.h create mode 100755 benchmarks/new_opencl/bfs/util.h create mode 100644 benchmarks/new_opencl/guassian/Makefile create mode 100755 benchmarks/new_opencl/guassian/OriginalParallel.c create mode 100755 benchmarks/new_opencl/guassian/README.txt create mode 100755 benchmarks/new_opencl/guassian/clutils.cpp create mode 100755 benchmarks/new_opencl/guassian/clutils.h create mode 100755 benchmarks/new_opencl/guassian/gaussianElim.h create mode 100755 benchmarks/new_opencl/guassian/gettimeofday.cpp create mode 100755 benchmarks/new_opencl/guassian/gettimeofday.h create mode 100755 benchmarks/new_opencl/guassian/kernel.cl create mode 100644 benchmarks/new_opencl/guassian/kernel.pocl create mode 100755 benchmarks/new_opencl/guassian/main.cc create mode 100755 benchmarks/new_opencl/guassian/matrix4.txt create mode 100755 benchmarks/new_opencl/guassian/run create mode 100755 benchmarks/new_opencl/guassian/utils.cpp create mode 100755 benchmarks/new_opencl/guassian/utils.h create mode 100644 benchmarks/new_opencl/include/CL/cl.h create mode 100644 benchmarks/new_opencl/include/CL/cl.hpp create mode 100644 benchmarks/new_opencl/include/CL/cl2.hpp create mode 100644 benchmarks/new_opencl/include/CL/cl_d3d10.h create mode 100644 benchmarks/new_opencl/include/CL/cl_d3d11.h create mode 100644 benchmarks/new_opencl/include/CL/cl_dx9_media_sharing.h create mode 100644 benchmarks/new_opencl/include/CL/cl_dx9_media_sharing_intel.h create mode 100644 benchmarks/new_opencl/include/CL/cl_egl.h create mode 100644 benchmarks/new_opencl/include/CL/cl_ext.h create mode 100644 benchmarks/new_opencl/include/CL/cl_ext_intel.h create mode 100644 benchmarks/new_opencl/include/CL/cl_gl.h create mode 100644 benchmarks/new_opencl/include/CL/cl_gl_ext.h create mode 100644 benchmarks/new_opencl/include/CL/cl_platform.h create mode 100644 benchmarks/new_opencl/include/CL/cl_va_api_media_sharing_intel.h create mode 100644 benchmarks/new_opencl/include/CL/cl_version.h create mode 100644 benchmarks/new_opencl/include/CL/opencl.h create mode 100644 benchmarks/new_opencl/lib/libOpenCL.so create mode 100644 benchmarks/new_opencl/lib/libOpenCL.so.2 create mode 100644 benchmarks/new_opencl/lib/libOpenCL.so.2.5.0 create mode 100644 benchmarks/new_opencl/nearn/Makefile create mode 100755 benchmarks/new_opencl/nearn/README.txt create mode 100755 benchmarks/new_opencl/nearn/cane4_0.db create mode 100755 benchmarks/new_opencl/nearn/cane4_1.db create mode 100755 benchmarks/new_opencl/nearn/cane4_2.db create mode 100755 benchmarks/new_opencl/nearn/cane4_3.db create mode 100755 benchmarks/new_opencl/nearn/clutils.cpp create mode 100755 benchmarks/new_opencl/nearn/clutils.h create mode 100755 benchmarks/new_opencl/nearn/filelist.txt create mode 100755 benchmarks/new_opencl/nearn/ipoint.h create mode 100755 benchmarks/new_opencl/nearn/kernel.cl create mode 100644 benchmarks/new_opencl/nearn/kernel.pocl create mode 100755 benchmarks/new_opencl/nearn/main.cc create mode 100755 benchmarks/new_opencl/nearn/nearestNeighbor.h create mode 100755 benchmarks/new_opencl/nearn/run create mode 100755 benchmarks/new_opencl/nearn/utils.cpp create mode 100755 benchmarks/new_opencl/nearn/utils.h create mode 100644 benchmarks/new_opencl/results.txt create mode 100644 benchmarks/new_opencl/saxpy/Makefile create mode 100644 benchmarks/new_opencl/saxpy/README create mode 100644 benchmarks/new_opencl/saxpy/kernel.cl create mode 100644 benchmarks/new_opencl/saxpy/kernel.pocl create mode 100644 benchmarks/new_opencl/saxpy/main.cc create mode 100644 benchmarks/new_opencl/sfilter/Makefile create mode 100644 benchmarks/new_opencl/sfilter/README create mode 100644 benchmarks/new_opencl/sfilter/kernel.cl create mode 100644 benchmarks/new_opencl/sfilter/kernel.pocl create mode 100644 benchmarks/new_opencl/sfilter/main.cc create mode 100644 benchmarks/new_opencl/sgemm/Makefile create mode 100644 benchmarks/new_opencl/sgemm/README create mode 100644 benchmarks/new_opencl/sgemm/kernel.cl create mode 100644 benchmarks/new_opencl/sgemm/kernel.pocl create mode 100644 benchmarks/new_opencl/sgemm/main.cc create mode 100755 benchmarks/new_opencl/sgemm/sgemm create mode 100644 benchmarks/new_opencl/vecadd/Makefile create mode 100644 benchmarks/new_opencl/vecadd/README create mode 100644 benchmarks/new_opencl/vecadd/kernel.cl create mode 100644 benchmarks/new_opencl/vecadd/kernel.pocl create mode 100644 benchmarks/new_opencl/vecadd/main.cc create mode 100644 benchmarks/opencl/convolution/Makefile create mode 100644 benchmarks/opencl/convolution/input.bmp create mode 100755 benchmarks/opencl/convolution/kernel.cl create mode 100755 benchmarks/opencl/convolution/main.cpp create mode 100644 benchmarks/opencl/convolution/utils.cpp create mode 100644 benchmarks/opencl/convolution/utils.h create mode 100644 driver/dogfood/Memcpy/hw/rtl/_hdr create mode 100644 driver/dogfood/Memcpy/hw/rtl/cci_hello.json create mode 100644 driver/dogfood/Memcpy/hw/rtl/cci_hello_afu.sv create mode 100644 driver/dogfood/Memcpy/hw/rtl/cci_hello_afu_working.sv create mode 100644 driver/dogfood/Memcpy/hw/rtl/sources.txt create mode 100755 driver/dogfood/Memcpy/hw/sim/setup_ase create mode 100644 driver/dogfood/Memcpy/sw/Makefile create mode 100644 driver/dogfood/Memcpy/sw/cci_hello.c create mode 100644 driver/dogfood/Memcpy/sw/obj/afu_json_info.h create mode 100644 driver/dogfood/Memcpy/sw/obj/cci_hello.o create mode 100644 driver/hw/Makefile create mode 100644 driver/hw/ccip_interface_reg.sv create mode 100644 driver/hw/ccip_std_afu.sv create mode 100644 driver/hw/sources.txt create mode 100644 driver/hw/vortex_afu.json create mode 100644 driver/hw/vortex_afu.sv rename {opae => driver}/opae_setup.sh (100%) create mode 100644 driver/set_env.sh create mode 100644 driver/sw/Makefile create mode 100644 driver/sw/include/vortex.h create mode 100644 driver/sw/opae/Makefile create mode 100755 driver/sw/opae/vortex.cpp create mode 100644 driver/sw/rtlsim/Makefile create mode 100644 driver/sw/rtlsim/vortex.cpp create mode 100644 driver/sw/simx/Makefile create mode 100755 driver/sw/simx/libvortex.so create mode 100644 driver/sw/simx/vortex.cpp create mode 100644 driver/sw/vx_utils.cpp create mode 100644 driver/tests/basic/Makefile create mode 100755 driver/tests/basic/basic create mode 100755 driver/tests/basic/basic.cpp create mode 100644 driver/tests/demo/Makefile create mode 100755 driver/tests/demo/demo create mode 100755 driver/tests/demo/demo.bin create mode 100644 driver/tests/demo/demo.cpp create mode 100755 driver/tests/demo/demo.elf create mode 100644 driver/tests/demo/demo.hex create mode 100644 driver/tests/demo/kernel.c create mode 100644 driver/tests/demo/run.log create mode 100644 driver/tests/results.txt create mode 100644 old_rtl/Makefile create mode 100644 old_rtl/VX_alu.v create mode 100644 old_rtl/VX_back_end.v create mode 100644 old_rtl/VX_countones.v create mode 100644 old_rtl/VX_csr_data.v create mode 100644 old_rtl/VX_csr_handler.v create mode 100644 old_rtl/VX_csr_pipe.v create mode 100644 old_rtl/VX_csr_wrapper.v create mode 100644 old_rtl/VX_decode.v create mode 100644 old_rtl/VX_define.v create mode 100644 old_rtl/VX_define_synth.v create mode 100644 old_rtl/VX_dmem_controller.v create mode 100644 old_rtl/VX_execute_unit.v create mode 100644 old_rtl/VX_fetch.v create mode 100644 old_rtl/VX_front_end.v create mode 100644 old_rtl/VX_generic_priority_encoder.v create mode 100644 old_rtl/VX_generic_register.v create mode 100644 old_rtl/VX_generic_stack.v create mode 100644 old_rtl/VX_gpgpu_inst.v create mode 100644 old_rtl/VX_gpr.v create mode 100644 old_rtl/VX_gpr_stage.v create mode 100644 old_rtl/VX_gpr_wrapper.v create mode 100644 old_rtl/VX_inst_multiplex.v create mode 100644 old_rtl/VX_lsu.v create mode 100644 old_rtl/VX_lsu_addr_gen.v create mode 100644 old_rtl/VX_priority_encoder.v create mode 100644 old_rtl/VX_priority_encoder_w_mask.v create mode 100644 old_rtl/VX_scheduler.v create mode 100644 old_rtl/VX_warp.v create mode 100644 old_rtl/VX_warp_scheduler.v create mode 100644 old_rtl/VX_writeback.v create mode 100644 old_rtl/Vortex.v create mode 100644 old_rtl/byte_enabled_simple_dual_port_ram.v create mode 100644 old_rtl/cache/Makefile create mode 100644 old_rtl/cache/Notes create mode 100644 old_rtl/cache/VX_Cache_Bank.v create mode 100644 old_rtl/cache/VX_cache_bank_valid.v create mode 100644 old_rtl/cache/VX_cache_data.v create mode 100644 old_rtl/cache/VX_cache_data_per_index.v create mode 100644 old_rtl/cache/VX_d_cache.v create mode 100644 old_rtl/cache/VX_d_cache_encapsulate.v create mode 100644 old_rtl/cache/VX_d_cache_tb.v create mode 100644 old_rtl/cache/VX_generic_pe.v create mode 100644 old_rtl/cache/cache_set.v create mode 100644 old_rtl/cache/d_cache_test_bench.cpp create mode 100644 old_rtl/cache/d_cache_test_bench.h create mode 100644 old_rtl/cache/d_cache_test_bench_debug.h create mode 100644 old_rtl/interfaces/VX_branch_response_inter.v create mode 100644 old_rtl/interfaces/VX_csr_req_inter.v create mode 100644 old_rtl/interfaces/VX_csr_wb_inter.v create mode 100644 old_rtl/interfaces/VX_dcache_request_inter.v create mode 100644 old_rtl/interfaces/VX_dcache_response_inter.v create mode 100644 old_rtl/interfaces/VX_dram_req_rsp_inter.v create mode 100644 old_rtl/interfaces/VX_exec_unit_req_inter.v create mode 100644 old_rtl/interfaces/VX_frE_to_bckE_req_inter.v create mode 100644 old_rtl/interfaces/VX_gpr_clone_inter.v create mode 100644 old_rtl/interfaces/VX_gpr_data_inter.v create mode 100644 old_rtl/interfaces/VX_gpr_jal_inter.v create mode 100644 old_rtl/interfaces/VX_gpr_read_inter.v create mode 100644 old_rtl/interfaces/VX_gpr_wspawn_inter.v create mode 100644 old_rtl/interfaces/VX_gpu_inst_req_inter.v create mode 100644 old_rtl/interfaces/VX_icache_request_inter.v create mode 100644 old_rtl/interfaces/VX_icache_response_inter.v create mode 100644 old_rtl/interfaces/VX_inst_exec_wb_inter.v create mode 100644 old_rtl/interfaces/VX_inst_mem_wb_inter.v create mode 100644 old_rtl/interfaces/VX_inst_meta_inter.v create mode 100644 old_rtl/interfaces/VX_jal_response_inter.v create mode 100644 old_rtl/interfaces/VX_join_inter.v create mode 100644 old_rtl/interfaces/VX_lsu_req_inter.v create mode 100644 old_rtl/interfaces/VX_mem_req_inter.v create mode 100644 old_rtl/interfaces/VX_mw_wb_inter.v create mode 100644 old_rtl/interfaces/VX_warp_ctl_inter.v create mode 100644 old_rtl/interfaces/VX_wb_inter.v create mode 100644 old_rtl/interfaces/VX_wstall_inter.v create mode 100644 old_rtl/modelsim/Makefile create mode 100644 old_rtl/modelsim/cshrc.modelsim create mode 100644 old_rtl/modelsim/modelsim.mpf create mode 100644 old_rtl/modelsim/vortex_dpi.cpp create mode 100644 old_rtl/modelsim/vortex_dpi.h create mode 100644 old_rtl/modelsim/vortex_tb.v create mode 100644 old_rtl/modelsim/work/_info create mode 100644 old_rtl/modelsim/work/_lib.qdb create mode 100644 old_rtl/modelsim/work/_lib1_0.qdb create mode 100644 old_rtl/modelsim/work/_lib1_0.qpg create mode 100644 old_rtl/modelsim/work/_lib1_0.qtl create mode 100644 old_rtl/modelsim/work/_vmake create mode 100644 old_rtl/pipe_regs/VX_d_e_reg.v create mode 100644 old_rtl/pipe_regs/VX_f_d_reg.v create mode 100644 old_rtl/quartus/Makefile create mode 100644 old_rtl/quartus/VX_gpr_syn.qpf create mode 100644 old_rtl/quartus/VX_gpr_syn.qsf create mode 100644 old_rtl/quartus/asm.chg create mode 100644 old_rtl/quartus/fit.chg create mode 100644 old_rtl/quartus/map.chg create mode 100644 old_rtl/quartus/project.tcl create mode 100644 old_rtl/quartus/smart.log create mode 100644 old_rtl/quartus/sta.chg create mode 100644 old_rtl/quartus/syn.chg create mode 100644 old_rtl/quartus/vortex.ini create mode 100644 old_rtl/quartus/vortex.sdc create mode 100644 old_rtl/results.txt create mode 100644 old_rtl/shared_memory/VX_bank_valids.v create mode 100644 old_rtl/shared_memory/VX_priority_encoder_sm.v create mode 100644 old_rtl/shared_memory/VX_shared_memory.v create mode 100644 old_rtl/shared_memory/VX_shared_memory_block.v create mode 100644 old_rtl/simulate/VX_define.h create mode 100644 old_rtl/simulate/ram.h rename {rtl => old_rtl}/simulate/tb_debug.h (100%) create mode 100644 old_rtl/simulate/test_bench.cpp create mode 100644 old_rtl/simulate/test_bench.h create mode 100644 rtl/simulate/Vortex.cpp create mode 100644 rtl/simulate/Vortex_SOC.cpp create mode 100644 runtime/qemu/vx_api.c delete mode 100644 simX/out delete mode 100644 simX/reading_data.txt delete mode 100644 simX/results.txt delete mode 100644 simX/test.sh create mode 100755 simX/test_runtime.sh diff --git a/benchmarks/new_opencl/bfs/CLHelper.h b/benchmarks/new_opencl/bfs/CLHelper.h new file mode 100755 index 000000000..4ea9b7477 --- /dev/null +++ b/benchmarks/new_opencl/bfs/CLHelper.h @@ -0,0 +1,848 @@ +//------------------------------------------ +//--cambine:helper function for OpenCL +//--programmer: Jianbin Fang +//--date: 27/12/2010 +//------------------------------------------ +#ifndef _CL_HELPER_ +#define _CL_HELPER_ + +#include +#include +#include +#include +#include + + +using std::string; +using std::ifstream; +using std::cerr; +using std::endl; +using std::cout; +//#pragma OPENCL EXTENSION cl_nv_compiler_options:enable +#define WORK_DIM 2 // work-items dimensions + +struct oclHandleStruct { + cl_context context; + cl_device_id *devices; + cl_command_queue queue; + cl_program program; + cl_int cl_status; + std::string error_str; + std::vector kernel; +}; + +struct oclHandleStruct oclHandles; + +char kernel_file[100] = "Kernels.cl"; +int total_kernels = 2; +string kernel_names[2] = {"BFS_1", "BFS_2"}; +int work_group_size = 512; +int device_id_inused = 0; // deviced id used (default : 0) + +int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + +/* + * Converts the contents of a file into a string + */ +string FileToString(const string fileName) { + ifstream f(fileName.c_str(), ifstream::in | ifstream::binary); + + try { + size_t size; + char *str; + string s; + + if (f.is_open()) { + size_t fileSize; + f.seekg(0, ifstream::end); + size = fileSize = f.tellg(); + f.seekg(0, ifstream::beg); + + str = new char[size + 1]; + if (!str) + throw(string("Could not allocate memory")); + + f.read(str, fileSize); + f.close(); + str[size] = '\0'; + + s = str; + delete[] str; + return s; + } + } catch (std::string msg) { + cerr << "Exception caught in FileToString(): " << msg << endl; + if (f.is_open()) + f.close(); + } catch (...) { + cerr << "Exception caught in FileToString()" << endl; + if (f.is_open()) + f.close(); + } + string errorMsg = "FileToString()::Error: Unable to open file " + fileName; + throw(errorMsg); +} +//--------------------------------------- +// Read command line parameters +// +void _clCmdParams(int argc, char *argv[]) { + for (int i = 0; i < argc; ++i) { + switch (argv[i][1]) { + case 'g': //--g stands for size of work group + if (++i < argc) { + sscanf(argv[i], "%u", &work_group_size); + } else { + std::cerr << "Could not read argument after option " << argv[i - 1] + << std::endl; + throw; + } + break; + case 'd': //--d stands for device id used in computaion + if (++i < argc) { + sscanf(argv[i], "%u", &device_id_inused); + } else { + std::cerr << "Could not read argument after option " << argv[i - 1] + << std::endl; + throw; + } + break; + default:; + } + } +} + +//--------------------------------------- +// Initlize CL objects +//--description: there are 5 steps to initialize all the OpenCL objects needed +//--revised on 04/01/2011: get the number of devices and +// devices have no relationship with context +void _clInit() { + printf("_clInit()\n"); + + int DEVICE_ID_INUSED = device_id_inused; + cl_int resultCL; + + oclHandles.context = NULL; + oclHandles.devices = NULL; + oclHandles.queue = NULL; + oclHandles.program = NULL; + + cl_uint deviceListSize; + + //----------------------------------------------- + //--cambine-1: find the available platforms and select one + + cl_uint numPlatforms = 1; + cl_platform_id targetPlatform = NULL; + + cl_platform_id *allPlatforms = + (cl_platform_id *)malloc(numPlatforms * sizeof(cl_platform_id)); + + resultCL = clGetPlatformIDs(numPlatforms, allPlatforms, NULL); + if (resultCL != CL_SUCCESS) + throw(string("InitCL()::Error: Getting platform ids (clGetPlatformIDs)")); + + // Select the target platform. Default: first platform + targetPlatform = allPlatforms[0]; + + /*for (int i = 0; i < numPlatforms; i++) +{ +char pbuff[128]; +resultCL = clGetPlatformInfo( allPlatforms[i], + CL_PLATFORM_VENDOR, + sizeof(pbuff), + pbuff, + NULL); +if (resultCL != CL_SUCCESS) +throw (string("InitCL()::Error: Getting platform info (clGetPlatformInfo)")); + + //printf("vedor is %s\n",pbuff); + +} +free(allPlatforms);*/ + + //----------------------------------------------- + //--cambine-2: create an OpenCL context + /*cl_context_properties cprops[3] = { CL_CONTEXT_PLATFORM, + (cl_context_properties)targetPlatform, 0 }; + oclHandles.context = clCreateContextFromType(cprops, + CL_DEVICE_TYPE_GPU, + NULL, + NULL, + &resultCL); + + if ((resultCL != CL_SUCCESS) || (oclHandles.context == NULL)) + throw (string("InitCL()::Error: Creating Context + (clCreateContextFromType)")); + + //----------------------------------------------- + //--cambine-3: detect OpenCL devices + // First, get the size of device list + oclHandles.cl_status = clGetDeviceIDs(targetPlatform, CL_DEVICE_TYPE_GPU, 0, + NULL, &deviceListSize); + if(oclHandles.cl_status!=CL_SUCCESS){ + throw(string("exception in _clInit -> clGetDeviceIDs")); + } + if (deviceListSize == 0) + throw(string("InitCL()::Error: No devices found.")); + + printf("OK1()\n"); + + //std::cout<<"device number:"< clGetDeviceIDs-2")); + } + + oclHandles.context = clCreateContext(NULL, deviceListSize, oclHandles.devices, + NULL, NULL, &resultCL); + if ((resultCL != CL_SUCCESS) || (oclHandles.context == NULL)) + throw(string("InitCL()::Error: Creating Context (clCreateContext)")); + + //----------------------------------------------- + //--cambine-4: Create an OpenCL command queue + oclHandles.queue = clCreateCommandQueue( + oclHandles.context, oclHandles.devices[DEVICE_ID_INUSED], 0, &resultCL); + printf("resultCL=%d, queue=0x%x\n", resultCL, oclHandles.queue); + + if ((resultCL != CL_SUCCESS) || (oclHandles.queue == NULL)) + throw(string("InitCL()::Creating Command Queue. (clCreateCommandQueue)")); + //----------------------------------------------- + //--cambine-5: Load CL file, build CL program object, create CL kernel object + /*std::string source_str = FileToString(kernel_file); + const char * source = source_str.c_str(); + size_t sourceSize[] = { source_str.length() };*/ + + //oclHandles.program = clCreateProgramWithBuiltInKernels( + // oclHandles.context, 1, &oclHandles.devices[DEVICE_ID_INUSED], + // "BFS_1;BFS_2", &resultCL); + /*oclHandles.program = clCreateProgramWithSource(oclHandles.context, + 1, + &source, + sourceSize, + &resultCL);*/ + // read kernel binary from file + uint8_t *kernel_bin = NULL; + size_t kernel_size; + cl_int binary_status = 0; + if (0 != read_kernel_file("kernel.pocl", &kernel_bin, &kernel_size)) + std::abort(); + + oclHandles.program = clCreateProgramWithBinary( + oclHandles.context, 1, &oclHandles.devices[DEVICE_ID_INUSED], &kernel_size, &kernel_bin, &binary_status, &resultCL); + free(kernel_bin); + + if ((resultCL != CL_SUCCESS) || (oclHandles.program == NULL)) + throw(string("InitCL()::Error: Loading Binary into cl_program. " + "(clCreateProgramWithBinary)")); + + // insert debug information + // std::string options= "-cl-nv-verbose"; //Doesn't work on AMD machines + // options += " -cl-nv-opt-level=3"; + resultCL = clBuildProgram(oclHandles.program, deviceListSize, + oclHandles.devices, NULL, NULL, NULL); + if ((resultCL != CL_SUCCESS) || (oclHandles.program == NULL)) { + cerr << "InitCL()::Error: In clBuildProgram" << endl; + + size_t length; + resultCL = clGetProgramBuildInfo(oclHandles.program, + oclHandles.devices[DEVICE_ID_INUSED], + CL_PROGRAM_BUILD_LOG, 0, NULL, &length); + if (resultCL != CL_SUCCESS) + throw(string("InitCL()::Error: Getting Program build " + "info(clGetProgramBuildInfo)")); + + char *buffer = (char *)malloc(length); + resultCL = clGetProgramBuildInfo( + oclHandles.program, oclHandles.devices[DEVICE_ID_INUSED], + CL_PROGRAM_BUILD_LOG, length, buffer, NULL); + if (resultCL != CL_SUCCESS) + throw(string("InitCL()::Error: Getting Program build " + "info(clGetProgramBuildInfo)")); + + cerr << buffer << endl; + free(buffer); + + throw(string("InitCL()::Error: Building Program (clBuildProgram)")); + } + +// get program information in intermediate representation +#ifdef PTX_MSG + size_t binary_sizes[deviceListSize]; + char *binaries[deviceListSize]; + // figure out number of devices and the sizes of the binary for each device. + oclHandles.cl_status = + clGetProgramInfo(oclHandles.program, CL_PROGRAM_BINARY_SIZES, + sizeof(size_t) * deviceListSize, &binary_sizes, NULL); + if (oclHandles.cl_status != CL_SUCCESS) { + throw(string("--cambine:exception in _InitCL -> clGetProgramInfo-2")); + } + + std::cout << "--cambine:" << binary_sizes << std::endl; + // copy over all of the generated binaries. + for (int i = 0; i < deviceListSize; i++) + binaries[i] = (char *)malloc(sizeof(char) * (binary_sizes[i] + 1)); + oclHandles.cl_status = + clGetProgramInfo(oclHandles.program, CL_PROGRAM_BINARIES, + sizeof(char *) * deviceListSize, binaries, NULL); + if (oclHandles.cl_status != CL_SUCCESS) { + throw(string("--cambine:exception in _InitCL -> clGetProgramInfo-3")); + } + for (int i = 0; i < deviceListSize; i++) + binaries[i][binary_sizes[i]] = '\0'; + std::cout << "--cambine:writing ptd information..." << std::endl; + FILE *ptx_file = fopen("cl.ptx", "w"); + if (ptx_file == NULL) { + throw(string("exceptions in allocate ptx file.")); + } + fprintf(ptx_file, "%s", binaries[DEVICE_ID_INUSED]); + fclose(ptx_file); + std::cout << "--cambine:writing ptd information done." << std::endl; + for (int i = 0; i < deviceListSize; i++) + free(binaries[i]); +#endif + + for (int nKernel = 0; nKernel < total_kernels; nKernel++) { + /* get a kernel object handle for a kernel with the given name */ + cl_kernel kernel = clCreateKernel( + oclHandles.program, (kernel_names[nKernel]).c_str(), &resultCL); + + if ((resultCL != CL_SUCCESS) || (kernel == NULL)) { + string errorMsg = "InitCL()::Error: Creating Kernel (clCreateKernel) \"" + + kernel_names[nKernel] + "\""; + throw(errorMsg); + } + + oclHandles.kernel.push_back(kernel); + } +// get resource alocation information +#ifdef RES_MSG + char *build_log; + size_t ret_val_size; + oclHandles.cl_status = clGetProgramBuildInfo( + oclHandles.program, oclHandles.devices[DEVICE_ID_INUSED], + CL_PROGRAM_BUILD_LOG, 0, NULL, &ret_val_size); + if (oclHandles.cl_status != CL_SUCCESS) { + throw(string("exceptions in _InitCL -> getting resource information")); + } + + build_log = (char *)malloc(ret_val_size + 1); + oclHandles.cl_status = clGetProgramBuildInfo( + oclHandles.program, oclHandles.devices[DEVICE_ID_INUSED], + CL_PROGRAM_BUILD_LOG, ret_val_size, build_log, NULL); + if (oclHandles.cl_status != CL_SUCCESS) { + throw(string( + "exceptions in _InitCL -> getting resources allocation information-2")); + } + build_log[ret_val_size] = '\0'; + std::cout << "--cambine:" << build_log << std::endl; + free(build_log); +#endif +} + +//--------------------------------------- +// release CL objects +void _clRelease() { + char errorFlag = false; + + for (int nKernel = 0; nKernel < oclHandles.kernel.size(); nKernel++) { + if (oclHandles.kernel[nKernel] != NULL) { + cl_int resultCL = clReleaseKernel(oclHandles.kernel[nKernel]); + if (resultCL != CL_SUCCESS) { + cerr << "ReleaseCL()::Error: In clReleaseKernel" << endl; + errorFlag = true; + } + oclHandles.kernel[nKernel] = NULL; + } + oclHandles.kernel.clear(); + } + + if (oclHandles.program != NULL) { + cl_int resultCL = clReleaseProgram(oclHandles.program); + if (resultCL != CL_SUCCESS) { + cerr << "ReleaseCL()::Error: In clReleaseProgram" << endl; + errorFlag = true; + } + oclHandles.program = NULL; + } + + if (oclHandles.queue != NULL) { + cl_int resultCL = clReleaseCommandQueue(oclHandles.queue); + if (resultCL != CL_SUCCESS) { + cerr << "ReleaseCL()::Error: In clReleaseCommandQueue" << endl; + errorFlag = true; + } + oclHandles.queue = NULL; + } + + free(oclHandles.devices); + + if (oclHandles.context != NULL) { + cl_int resultCL = clReleaseContext(oclHandles.context); + if (resultCL != CL_SUCCESS) { + cerr << "ReleaseCL()::Error: In clReleaseContext" << endl; + errorFlag = true; + } + oclHandles.context = NULL; + } + + if (errorFlag) + throw(string("ReleaseCL()::Error encountered.")); +} +//-------------------------------------------------------- +//--cambine:create buffer and then copy data from host to device +cl_mem _clCreateAndCpyMem(int size, void *h_mem_source) throw(string) { + cl_mem d_mem; + d_mem = clCreateBuffer(oclHandles.context, + CL_MEM_READ_ONLY | CL_MEM_COPY_HOST_PTR, size, + h_mem_source, &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clCreateAndCpyMem()")); +#endif + return d_mem; +} +//------------------------------------------------------- +//--cambine: create read only buffer for devices +//--date: 17/01/2011 +cl_mem _clMallocRW(int size, void *h_mem_ptr) throw(string) { + cl_mem d_mem; + d_mem = clCreateBuffer(oclHandles.context, + CL_MEM_READ_WRITE | CL_MEM_COPY_HOST_PTR, size, + h_mem_ptr, &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clMallocRW")); +#endif + return d_mem; +} +//------------------------------------------------------- +//--cambine: create read and write buffer for devices +//--date: 17/01/2011 +cl_mem _clMalloc(int size, void *h_mem_ptr) throw(string) { + cl_mem d_mem; + d_mem = clCreateBuffer(oclHandles.context, + CL_MEM_WRITE_ONLY | CL_MEM_COPY_HOST_PTR, size, + h_mem_ptr, &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clMalloc")); +#endif + return d_mem; +} + +//------------------------------------------------------- +//--cambine: transfer data from host to device +//--date: 17/01/2011 +void _clMemcpyH2D(cl_mem d_mem, int size, const void *h_mem_ptr) throw(string) { + oclHandles.cl_status = clEnqueueWriteBuffer( + oclHandles.queue, d_mem, CL_TRUE, 0, size, h_mem_ptr, 0, NULL, NULL); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clMemcpyH2D")); +#endif +} +//-------------------------------------------------------- +//--cambine:create buffer and then copy data from host to device with pinned +// memory +cl_mem _clCreateAndCpyPinnedMem(int size, float *h_mem_source) throw(string) { + cl_mem d_mem, d_mem_pinned; + float *h_mem_pinned = NULL; + d_mem_pinned = clCreateBuffer(oclHandles.context, + CL_MEM_READ_ONLY | CL_MEM_ALLOC_HOST_PTR, size, + NULL, &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clCreateAndCpyMem()->d_mem_pinned")); +#endif + //------------ + d_mem = clCreateBuffer(oclHandles.context, CL_MEM_READ_ONLY, size, NULL, + &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clCreateAndCpyMem() -> d_mem ")); +#endif + //---------- + h_mem_pinned = (cl_float *)clEnqueueMapBuffer( + oclHandles.queue, d_mem_pinned, CL_TRUE, CL_MAP_WRITE, 0, size, 0, NULL, + NULL, &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clCreateAndCpyMem() -> clEnqueueMapBuffer")); +#endif + int element_number = size / sizeof(float); +#pragma omp parallel for + for (int i = 0; i < element_number; i++) { + h_mem_pinned[i] = h_mem_source[i]; + } + //---------- + oclHandles.cl_status = clEnqueueWriteBuffer( + oclHandles.queue, d_mem, CL_TRUE, 0, size, h_mem_pinned, 0, NULL, NULL); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clCreateAndCpyMem() -> clEnqueueWriteBuffer")); +#endif + + return d_mem; +} + +//-------------------------------------------------------- +//--cambine:create write only buffer on device +cl_mem _clMallocWO(int size) throw(string) { + cl_mem d_mem; + d_mem = clCreateBuffer(oclHandles.context, CL_MEM_WRITE_ONLY, size, 0, + &oclHandles.cl_status); +#ifdef ERRMSG + if (oclHandles.cl_status != CL_SUCCESS) + throw(string("excpetion in _clCreateMem()")); +#endif + return d_mem; +} + +//-------------------------------------------------------- +// transfer data from device to host +void _clMemcpyD2H(cl_mem d_mem, int size, void *h_mem) throw(string) { + oclHandles.cl_status = clEnqueueReadBuffer(oclHandles.queue, d_mem, CL_TRUE, + 0, size, h_mem, 0, 0, 0); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clCpyMemD2H -> "; + switch (oclHandles.cl_status) { + case CL_INVALID_COMMAND_QUEUE: + oclHandles.error_str += "CL_INVALID_COMMAND_QUEUE"; + break; + case CL_INVALID_CONTEXT: + oclHandles.error_str += "CL_INVALID_CONTEXT"; + break; + case CL_INVALID_MEM_OBJECT: + oclHandles.error_str += "CL_INVALID_MEM_OBJECT"; + break; + case CL_INVALID_VALUE: + oclHandles.error_str += "CL_INVALID_VALUE"; + break; + case CL_INVALID_EVENT_WAIT_LIST: + oclHandles.error_str += "CL_INVALID_EVENT_WAIT_LIST"; + break; + case CL_MEM_OBJECT_ALLOCATION_FAILURE: + oclHandles.error_str += "CL_MEM_OBJECT_ALLOCATION_FAILURE"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unknown reason"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) + throw(oclHandles.error_str); +#endif +} + +//-------------------------------------------------------- +// set kernel arguments +void _clSetArgs(int kernel_id, int arg_idx, void *d_mem, + int size = 0) throw(string) { + if (!size) { + oclHandles.cl_status = clSetKernelArg(oclHandles.kernel[kernel_id], arg_idx, + sizeof(d_mem), &d_mem); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clSetKernelArg() "; + switch (oclHandles.cl_status) { + case CL_INVALID_KERNEL: + oclHandles.error_str += "CL_INVALID_KERNEL"; + break; + case CL_INVALID_ARG_INDEX: + oclHandles.error_str += "CL_INVALID_ARG_INDEX"; + break; + case CL_INVALID_ARG_VALUE: + oclHandles.error_str += "CL_INVALID_ARG_VALUE"; + break; + case CL_INVALID_MEM_OBJECT: + oclHandles.error_str += "CL_INVALID_MEM_OBJECT"; + break; + case CL_INVALID_SAMPLER: + oclHandles.error_str += "CL_INVALID_SAMPLER"; + break; + case CL_INVALID_ARG_SIZE: + oclHandles.error_str += "CL_INVALID_ARG_SIZE"; + break; + case CL_OUT_OF_RESOURCES: + oclHandles.error_str += "CL_OUT_OF_RESOURCES"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unknown reason"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) + throw(oclHandles.error_str); +#endif + } else { + oclHandles.cl_status = + clSetKernelArg(oclHandles.kernel[kernel_id], arg_idx, size, d_mem); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clSetKernelArg() "; + switch (oclHandles.cl_status) { + case CL_INVALID_KERNEL: + oclHandles.error_str += "CL_INVALID_KERNEL"; + break; + case CL_INVALID_ARG_INDEX: + oclHandles.error_str += "CL_INVALID_ARG_INDEX"; + break; + case CL_INVALID_ARG_VALUE: + oclHandles.error_str += "CL_INVALID_ARG_VALUE"; + break; + case CL_INVALID_MEM_OBJECT: + oclHandles.error_str += "CL_INVALID_MEM_OBJECT"; + break; + case CL_INVALID_SAMPLER: + oclHandles.error_str += "CL_INVALID_SAMPLER"; + break; + case CL_INVALID_ARG_SIZE: + oclHandles.error_str += "CL_INVALID_ARG_SIZE"; + break; + case CL_OUT_OF_RESOURCES: + oclHandles.error_str += "CL_OUT_OF_RESOURCES"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unknown reason"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) + throw(oclHandles.error_str); +#endif + } +} +void _clFinish() throw(string) { + oclHandles.cl_status = clFinish(oclHandles.queue); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clFinish"; + switch (oclHandles.cl_status) { + case CL_INVALID_COMMAND_QUEUE: + oclHandles.error_str += "CL_INVALID_COMMAND_QUEUE"; + break; + case CL_OUT_OF_RESOURCES: + oclHandles.error_str += "CL_OUT_OF_RESOURCES"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unknown reasons"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) { + throw(oclHandles.error_str); + } +#endif +} +//-------------------------------------------------------- +//--cambine:enqueue kernel +void _clInvokeKernel(int kernel_id, int work_items, + int work_group_size) throw(string) { + cl_uint work_dim = WORK_DIM; + cl_event e[1]; + if (work_items % work_group_size != 0) // process situations that work_items + // cannot be divided by work_group_size + work_items = + work_items + (work_group_size - (work_items % work_group_size)); + size_t local_work_size[] = {work_group_size, 1}; + size_t global_work_size[] = {work_items, 1}; + oclHandles.cl_status = clEnqueueNDRangeKernel( + oclHandles.queue, oclHandles.kernel[kernel_id], work_dim, 0, + global_work_size, local_work_size, 0, 0, &(e[0])); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clInvokeKernel() -> "; + switch (oclHandles.cl_status) { + case CL_INVALID_PROGRAM_EXECUTABLE: + oclHandles.error_str += "CL_INVALID_PROGRAM_EXECUTABLE"; + break; + case CL_INVALID_COMMAND_QUEUE: + oclHandles.error_str += "CL_INVALID_COMMAND_QUEUE"; + break; + case CL_INVALID_KERNEL: + oclHandles.error_str += "CL_INVALID_KERNEL"; + break; + case CL_INVALID_CONTEXT: + oclHandles.error_str += "CL_INVALID_CONTEXT"; + break; + case CL_INVALID_KERNEL_ARGS: + oclHandles.error_str += "CL_INVALID_KERNEL_ARGS"; + break; + case CL_INVALID_WORK_DIMENSION: + oclHandles.error_str += "CL_INVALID_WORK_DIMENSION"; + break; + case CL_INVALID_GLOBAL_WORK_SIZE: + oclHandles.error_str += "CL_INVALID_GLOBAL_WORK_SIZE"; + break; + case CL_INVALID_WORK_GROUP_SIZE: + oclHandles.error_str += "CL_INVALID_WORK_GROUP_SIZE"; + break; + case CL_INVALID_WORK_ITEM_SIZE: + oclHandles.error_str += "CL_INVALID_WORK_ITEM_SIZE"; + break; + case CL_INVALID_GLOBAL_OFFSET: + oclHandles.error_str += "CL_INVALID_GLOBAL_OFFSET"; + break; + case CL_OUT_OF_RESOURCES: + oclHandles.error_str += "CL_OUT_OF_RESOURCES"; + break; + case CL_MEM_OBJECT_ALLOCATION_FAILURE: + oclHandles.error_str += "CL_MEM_OBJECT_ALLOCATION_FAILURE"; + break; + case CL_INVALID_EVENT_WAIT_LIST: + oclHandles.error_str += "CL_INVALID_EVENT_WAIT_LIST"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unkown reseason"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) + throw(oclHandles.error_str); +#endif + //_clFinish(); + // oclHandles.cl_status = clWaitForEvents(1, &e[0]); + // #ifdef ERRMSG + // if (oclHandles.cl_status!= CL_SUCCESS) + // throw(string("excpetion in _clEnqueueNDRange() -> clWaitForEvents")); + // #endif +} +void _clInvokeKernel2D(int kernel_id, int range_x, int range_y, int group_x, + int group_y) throw(string) { + cl_uint work_dim = WORK_DIM; + size_t local_work_size[] = {group_x, group_y}; + size_t global_work_size[] = {range_x, range_y}; + cl_event e[1]; + /*if(work_items%work_group_size != 0) //process situations that work_items + cannot be divided by work_group_size + work_items = work_items + (work_group_size-(work_items%work_group_size));*/ + oclHandles.cl_status = clEnqueueNDRangeKernel( + oclHandles.queue, oclHandles.kernel[kernel_id], work_dim, 0, + global_work_size, local_work_size, 0, 0, &(e[0])); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clInvokeKernel() -> "; + switch (oclHandles.cl_status) { + case CL_INVALID_PROGRAM_EXECUTABLE: + oclHandles.error_str += "CL_INVALID_PROGRAM_EXECUTABLE"; + break; + case CL_INVALID_COMMAND_QUEUE: + oclHandles.error_str += "CL_INVALID_COMMAND_QUEUE"; + break; + case CL_INVALID_KERNEL: + oclHandles.error_str += "CL_INVALID_KERNEL"; + break; + case CL_INVALID_CONTEXT: + oclHandles.error_str += "CL_INVALID_CONTEXT"; + break; + case CL_INVALID_KERNEL_ARGS: + oclHandles.error_str += "CL_INVALID_KERNEL_ARGS"; + break; + case CL_INVALID_WORK_DIMENSION: + oclHandles.error_str += "CL_INVALID_WORK_DIMENSION"; + break; + case CL_INVALID_GLOBAL_WORK_SIZE: + oclHandles.error_str += "CL_INVALID_GLOBAL_WORK_SIZE"; + break; + case CL_INVALID_WORK_GROUP_SIZE: + oclHandles.error_str += "CL_INVALID_WORK_GROUP_SIZE"; + break; + case CL_INVALID_WORK_ITEM_SIZE: + oclHandles.error_str += "CL_INVALID_WORK_ITEM_SIZE"; + break; + case CL_INVALID_GLOBAL_OFFSET: + oclHandles.error_str += "CL_INVALID_GLOBAL_OFFSET"; + break; + case CL_OUT_OF_RESOURCES: + oclHandles.error_str += "CL_OUT_OF_RESOURCES"; + break; + case CL_MEM_OBJECT_ALLOCATION_FAILURE: + oclHandles.error_str += "CL_MEM_OBJECT_ALLOCATION_FAILURE"; + break; + case CL_INVALID_EVENT_WAIT_LIST: + oclHandles.error_str += "CL_INVALID_EVENT_WAIT_LIST"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unkown reseason"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) + throw(oclHandles.error_str); +#endif + //_clFinish(); + /*oclHandles.cl_status = clWaitForEvents(1, &e[0]); + + #ifdef ERRMSG + + if (oclHandles.cl_status!= CL_SUCCESS) + + throw(string("excpetion in _clEnqueueNDRange() -> clWaitForEvents")); + + #endif*/ +} + +//-------------------------------------------------------- +// release OpenCL objects +void _clFree(cl_mem ob) throw(string) { + if (ob != NULL) + oclHandles.cl_status = clReleaseMemObject(ob); +#ifdef ERRMSG + oclHandles.error_str = "excpetion in _clFree() ->"; + switch (oclHandles.cl_status) { + case CL_INVALID_MEM_OBJECT: + oclHandles.error_str += "CL_INVALID_MEM_OBJECT"; + break; + case CL_OUT_OF_RESOURCES: + oclHandles.error_str += "CL_OUT_OF_RESOURCES"; + break; + case CL_OUT_OF_HOST_MEMORY: + oclHandles.error_str += "CL_OUT_OF_HOST_MEMORY"; + break; + default: + oclHandles.error_str += "Unkown reseason"; + break; + } + if (oclHandles.cl_status != CL_SUCCESS) + throw(oclHandles.error_str); +#endif +} +#endif //_CL_HELPER_ diff --git a/benchmarks/new_opencl/bfs/Makefile b/benchmarks/new_opencl/bfs/Makefile new file mode 100644 index 000000000..6c877d515 --- /dev/null +++ b/benchmarks/new_opencl/bfs/Makefile @@ -0,0 +1,44 @@ +RISCV_TOOL_PATH ?= $(wildcard ~/dev/riscv-gnu-toolchain/drops) +POCLCC_PATH ?= $(wildcard ~/dev/pocl/drops_vortex_cc) +POCLRT_PATH ?= $(wildcard ..) +DRIVER_PATH ?= $(wildcard ../../../driver/sw) + +CXXFLAGS += -std=c++11 -O0 -g -fpermissive -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I$(POCLRT_PATH)/include + +LDFLAGS += -L$(POCLRT_PATH)/lib -L$(DRIVER_PATH)/simx -lOpenCL -lvortex + +PROJECT = bfs + +SRCS = main.cc + +all: $(PROJECT) + +kernel.pocl: kernel.cl + POCL_DEBUG=all POCL_DEBUG_LLVM_PASSES=1 LD_LIBRARY_PATH=$(RISCV_TOOL_PATH)/lib:$(POCLCC_PATH)/lib:$(DRIVER_PATH)/simx $(POCLCC_PATH)/bin/poclcc -o kernel.pocl kernel.cl + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -o $@ + +run-fpga: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-ase: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-simx: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-rtlsim: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/bfs/README b/benchmarks/new_opencl/bfs/README new file mode 100644 index 000000000..e69de29bb diff --git a/benchmarks/new_opencl/bfs/graph4096.txt b/benchmarks/new_opencl/bfs/graph4096.txt new file mode 100755 index 000000000..567432612 --- /dev/null +++ b/benchmarks/new_opencl/bfs/graph4096.txt @@ -0,0 +1,28677 @@ +4096 +0 10 +10 6 +16 2 +18 5 +23 7 +30 7 +37 4 +41 4 +45 3 +48 5 +53 7 +60 4 +64 4 +68 6 +74 7 +81 5 +86 11 +97 5 +102 5 +107 8 +115 4 +119 4 +123 6 +129 4 +133 5 +138 7 +145 4 +149 2 +151 12 +163 3 +166 6 +172 6 +178 7 +185 5 +190 11 +201 4 +205 6 +211 9 +220 3 +223 4 +227 5 +232 4 +236 5 +241 6 +247 10 +257 4 +261 5 +266 7 +273 5 +278 4 +282 8 +290 5 +295 8 +303 9 +312 4 +316 5 +321 5 +326 3 +329 8 +337 5 +342 10 +352 6 +358 4 +362 5 +367 5 +372 10 +382 6 +388 8 +396 8 +404 5 +409 5 +414 5 +419 8 +427 6 +433 8 +441 9 +450 5 +455 10 +465 5 +470 11 +481 5 +486 7 +493 8 +501 9 +510 4 +514 10 +524 9 +533 5 +538 5 +543 7 +550 3 +553 3 +556 2 +558 6 +564 8 +572 3 +575 4 +579 5 +584 11 +595 8 +603 7 +610 5 +615 7 +622 4 +626 7 +633 6 +639 5 +644 4 +648 5 +653 4 +657 8 +665 8 +673 10 +683 2 +685 5 +690 5 +695 6 +701 3 +704 5 +709 9 +718 10 +728 7 +735 7 +742 9 +751 3 +754 4 +758 9 +767 6 +773 10 +783 7 +790 4 +794 8 +802 4 +806 5 +811 5 +816 8 +824 7 +831 8 +839 10 +849 5 +854 5 +859 4 +863 4 +867 7 +874 9 +883 2 +885 10 +895 8 +903 5 +908 6 +914 5 +919 11 +930 2 +932 6 +938 2 +940 4 +944 6 +950 6 +956 5 +961 4 +965 3 +968 4 +972 1 +973 10 +983 7 +990 4 +994 6 +1000 9 +1009 6 +1015 10 +1025 7 +1032 7 +1039 5 +1044 5 +1049 9 +1058 4 +1062 5 +1067 4 +1071 6 +1077 6 +1083 7 +1090 9 +1099 2 +1101 4 +1105 3 +1108 9 +1117 7 +1124 4 +1128 9 +1137 9 +1146 4 +1150 11 +1161 6 +1167 8 +1175 6 +1181 7 +1188 8 +1196 4 +1200 7 +1207 8 +1215 10 +1225 3 +1228 6 +1234 3 +1237 4 +1241 5 +1246 3 +1249 1 +1250 4 +1254 6 +1260 4 +1264 11 +1275 7 +1282 9 +1291 8 +1299 5 +1304 6 +1310 8 +1318 9 +1327 6 +1333 7 +1340 10 +1350 7 +1357 8 +1365 10 +1375 6 +1381 2 +1383 10 +1393 5 +1398 8 +1406 9 +1415 4 +1419 5 +1424 3 +1427 4 +1431 4 +1435 9 +1444 6 +1450 9 +1459 6 +1465 4 +1469 6 +1475 9 +1484 8 +1492 7 +1499 9 +1508 2 +1510 3 +1513 8 +1521 6 +1527 5 +1532 9 +1541 6 +1547 5 +1552 9 +1561 12 +1573 2 +1575 3 +1578 7 +1585 6 +1591 5 +1596 10 +1606 9 +1615 9 +1624 1 +1625 4 +1629 6 +1635 2 +1637 14 +1651 2 +1653 4 +1657 4 +1661 5 +1666 7 +1673 6 +1679 3 +1682 13 +1695 5 +1700 7 +1707 8 +1715 3 +1718 5 +1723 6 +1729 7 +1736 6 +1742 7 +1749 2 +1751 7 +1758 5 +1763 4 +1767 4 +1771 6 +1777 2 +1779 3 +1782 9 +1791 7 +1798 4 +1802 8 +1810 7 +1817 6 +1823 6 +1829 4 +1833 7 +1840 8 +1848 2 +1850 14 +1864 9 +1873 6 +1879 6 +1885 6 +1891 2 +1893 8 +1901 5 +1906 8 +1914 4 +1918 4 +1922 3 +1925 8 +1933 4 +1937 4 +1941 6 +1947 3 +1950 8 +1958 7 +1965 6 +1971 4 +1975 5 +1980 6 +1986 4 +1990 6 +1996 10 +2006 5 +2011 5 +2016 5 +2021 7 +2028 5 +2033 6 +2039 7 +2046 4 +2050 6 +2056 16 +2072 6 +2078 11 +2089 11 +2100 3 +2103 5 +2108 8 +2116 4 +2120 7 +2127 2 +2129 10 +2139 6 +2145 7 +2152 8 +2160 6 +2166 5 +2171 5 +2176 2 +2178 4 +2182 5 +2187 5 +2192 1 +2193 7 +2200 8 +2208 7 +2215 8 +2223 8 +2231 5 +2236 9 +2245 3 +2248 5 +2253 6 +2259 2 +2261 4 +2265 4 +2269 8 +2277 5 +2282 8 +2290 6 +2296 6 +2302 4 +2306 5 +2311 7 +2318 5 +2323 4 +2327 8 +2335 12 +2347 1 +2348 5 +2353 8 +2361 3 +2364 6 +2370 4 +2374 7 +2381 4 +2385 8 +2393 4 +2397 2 +2399 5 +2404 5 +2409 6 +2415 6 +2421 5 +2426 8 +2434 5 +2439 6 +2445 6 +2451 6 +2457 2 +2459 4 +2463 3 +2466 6 +2472 5 +2477 5 +2482 10 +2492 6 +2498 4 +2502 9 +2511 4 +2515 4 +2519 6 +2525 9 +2534 7 +2541 6 +2547 4 +2551 6 +2557 5 +2562 3 +2565 6 +2571 6 +2577 7 +2584 4 +2588 10 +2598 8 +2606 6 +2612 6 +2618 4 +2622 7 +2629 7 +2636 6 +2642 2 +2644 4 +2648 12 +2660 6 +2666 13 +2679 11 +2690 9 +2699 2 +2701 5 +2706 6 +2712 6 +2718 3 +2721 7 +2728 3 +2731 6 +2737 11 +2748 2 +2750 7 +2757 4 +2761 5 +2766 4 +2770 6 +2776 4 +2780 6 +2786 5 +2791 6 +2797 4 +2801 9 +2810 7 +2817 6 +2823 8 +2831 8 +2839 13 +2852 7 +2859 6 +2865 6 +2871 4 +2875 7 +2882 9 +2891 11 +2902 5 +2907 9 +2916 4 +2920 5 +2925 3 +2928 4 +2932 4 +2936 5 +2941 2 +2943 9 +2952 3 +2955 13 +2968 4 +2972 5 +2977 4 +2981 8 +2989 10 +2999 5 +3004 8 +3012 5 +3017 4 +3021 4 +3025 11 +3036 5 +3041 6 +3047 7 +3054 5 +3059 5 +3064 6 +3070 9 +3079 2 +3081 4 +3085 5 +3090 11 +3101 5 +3106 3 +3109 5 +3114 5 +3119 6 +3125 8 +3133 6 +3139 4 +3143 5 +3148 7 +3155 6 +3161 8 +3169 5 +3174 4 +3178 6 +3184 6 +3190 6 +3196 5 +3201 4 +3205 6 +3211 8 +3219 7 +3226 6 +3232 3 +3235 5 +3240 7 +3247 6 +3253 11 +3264 6 +3270 4 +3274 3 +3277 1 +3278 2 +3280 8 +3288 7 +3295 8 +3303 8 +3311 5 +3316 9 +3325 9 +3334 7 +3341 3 +3344 7 +3351 8 +3359 5 +3364 1 +3365 4 +3369 2 +3371 5 +3376 7 +3383 8 +3391 2 +3393 5 +3398 5 +3403 12 +3415 4 +3419 6 +3425 5 +3430 4 +3434 8 +3442 6 +3448 4 +3452 3 +3455 7 +3462 4 +3466 6 +3472 5 +3477 7 +3484 8 +3492 6 +3498 6 +3504 11 +3515 6 +3521 2 +3523 10 +3533 3 +3536 7 +3543 8 +3551 4 +3555 11 +3566 5 +3571 8 +3579 6 +3585 8 +3593 6 +3599 5 +3604 7 +3611 2 +3613 5 +3618 2 +3620 4 +3624 10 +3634 4 +3638 8 +3646 5 +3651 4 +3655 6 +3661 5 +3666 11 +3677 10 +3687 4 +3691 6 +3697 2 +3699 6 +3705 6 +3711 4 +3715 7 +3722 4 +3726 6 +3732 9 +3741 4 +3745 6 +3751 7 +3758 10 +3768 3 +3771 12 +3783 2 +3785 7 +3792 4 +3796 8 +3804 8 +3812 4 +3816 5 +3821 10 +3831 6 +3837 6 +3843 5 +3848 6 +3854 7 +3861 6 +3867 3 +3870 5 +3875 5 +3880 7 +3887 12 +3899 9 +3908 4 +3912 6 +3918 3 +3921 6 +3927 4 +3931 8 +3939 6 +3945 9 +3954 11 +3965 10 +3975 7 +3982 7 +3989 8 +3997 8 +4005 6 +4011 3 +4014 6 +4020 10 +4030 5 +4035 9 +4044 6 +4050 3 +4053 7 +4060 2 +4062 4 +4066 3 +4069 4 +4073 7 +4080 11 +4091 3 +4094 6 +4100 7 +4107 4 +4111 7 +4118 7 +4125 7 +4132 9 +4141 6 +4147 5 +4152 3 +4155 9 +4164 8 +4172 5 +4177 5 +4182 7 +4189 7 +4196 7 +4203 7 +4210 4 +4214 6 +4220 3 +4223 4 +4227 3 +4230 4 +4234 4 +4238 8 +4246 5 +4251 5 +4256 3 +4259 7 +4266 5 +4271 3 +4274 4 +4278 6 +4284 5 +4289 4 +4293 9 +4302 9 +4311 5 +4316 5 +4321 6 +4327 5 +4332 9 +4341 5 +4346 5 +4351 4 +4355 5 +4360 8 +4368 6 +4374 15 +4389 7 +4396 2 +4398 7 +4405 7 +4412 9 +4421 4 +4425 8 +4433 6 +4439 6 +4445 5 +4450 6 +4456 7 +4463 5 +4468 4 +4472 9 +4481 4 +4485 7 +4492 9 +4501 3 +4504 15 +4519 8 +4527 10 +4537 5 +4542 2 +4544 6 +4550 3 +4553 5 +4558 5 +4563 8 +4571 9 +4580 8 +4588 7 +4595 10 +4605 9 +4614 10 +4624 7 +4631 3 +4634 5 +4639 6 +4645 5 +4650 5 +4655 10 +4665 10 +4675 9 +4684 3 +4687 6 +4693 7 +4700 5 +4705 9 +4714 6 +4720 7 +4727 6 +4733 8 +4741 12 +4753 7 +4760 5 +4765 9 +4774 4 +4778 5 +4783 5 +4788 9 +4797 7 +4804 6 +4810 5 +4815 9 +4824 3 +4827 5 +4832 5 +4837 3 +4840 7 +4847 3 +4850 4 +4854 7 +4861 9 +4870 7 +4877 8 +4885 6 +4891 6 +4897 5 +4902 10 +4912 4 +4916 4 +4920 4 +4924 3 +4927 5 +4932 7 +4939 4 +4943 3 +4946 5 +4951 5 +4956 3 +4959 3 +4962 1 +4963 6 +4969 4 +4973 10 +4983 4 +4987 7 +4994 4 +4998 4 +5002 4 +5006 6 +5012 7 +5019 7 +5026 8 +5034 12 +5046 7 +5053 5 +5058 7 +5065 8 +5073 3 +5076 6 +5082 5 +5087 3 +5090 6 +5096 3 +5099 4 +5103 4 +5107 6 +5113 7 +5120 5 +5125 3 +5128 2 +5130 5 +5135 6 +5141 6 +5147 2 +5149 7 +5156 9 +5165 8 +5173 6 +5179 4 +5183 6 +5189 6 +5195 8 +5203 3 +5206 9 +5215 3 +5218 6 +5224 13 +5237 9 +5246 6 +5252 7 +5259 11 +5270 5 +5275 9 +5284 6 +5290 4 +5294 6 +5300 9 +5309 7 +5316 4 +5320 5 +5325 3 +5328 1 +5329 4 +5333 4 +5337 3 +5340 3 +5343 2 +5345 4 +5349 7 +5356 5 +5361 11 +5372 6 +5378 8 +5386 7 +5393 5 +5398 2 +5400 9 +5409 8 +5417 2 +5419 5 +5424 3 +5427 9 +5436 6 +5442 7 +5449 5 +5454 7 +5461 6 +5467 4 +5471 4 +5475 8 +5483 3 +5486 4 +5490 13 +5503 7 +5510 6 +5516 2 +5518 6 +5524 8 +5532 8 +5540 7 +5547 9 +5556 4 +5560 4 +5564 7 +5571 2 +5573 10 +5583 2 +5585 8 +5593 4 +5597 7 +5604 8 +5612 8 +5620 5 +5625 3 +5628 6 +5634 5 +5639 9 +5648 6 +5654 6 +5660 3 +5663 9 +5672 9 +5681 7 +5688 8 +5696 6 +5702 7 +5709 2 +5711 8 +5719 4 +5723 6 +5729 3 +5732 9 +5741 7 +5748 6 +5754 8 +5762 6 +5768 4 +5772 6 +5778 8 +5786 3 +5789 10 +5799 10 +5809 5 +5814 9 +5823 5 +5828 10 +5838 9 +5847 7 +5854 5 +5859 4 +5863 7 +5870 4 +5874 5 +5879 6 +5885 8 +5893 8 +5901 7 +5908 4 +5912 2 +5914 6 +5920 5 +5925 7 +5932 6 +5938 3 +5941 6 +5947 7 +5954 5 +5959 8 +5967 5 +5972 7 +5979 6 +5985 4 +5989 5 +5994 5 +5999 3 +6002 2 +6004 5 +6009 7 +6016 11 +6027 7 +6034 6 +6040 3 +6043 6 +6049 11 +6060 10 +6070 2 +6072 9 +6081 5 +6086 2 +6088 4 +6092 7 +6099 6 +6105 5 +6110 5 +6115 5 +6120 3 +6123 3 +6126 5 +6131 7 +6138 5 +6143 11 +6154 4 +6158 8 +6166 8 +6174 9 +6183 4 +6187 6 +6193 5 +6198 4 +6202 6 +6208 5 +6213 6 +6219 8 +6227 6 +6233 6 +6239 5 +6244 4 +6248 4 +6252 4 +6256 6 +6262 7 +6269 4 +6273 6 +6279 11 +6290 5 +6295 9 +6304 2 +6306 8 +6314 4 +6318 3 +6321 2 +6323 9 +6332 9 +6341 2 +6343 8 +6351 9 +6360 5 +6365 4 +6369 5 +6374 3 +6377 6 +6383 12 +6395 7 +6402 3 +6405 9 +6414 7 +6421 7 +6428 5 +6433 6 +6439 5 +6444 6 +6450 2 +6452 6 +6458 3 +6461 9 +6470 6 +6476 7 +6483 11 +6494 9 +6503 5 +6508 8 +6516 4 +6520 7 +6527 5 +6532 2 +6534 4 +6538 4 +6542 7 +6549 5 +6554 6 +6560 3 +6563 4 +6567 7 +6574 5 +6579 6 +6585 5 +6590 7 +6597 11 +6608 8 +6616 5 +6621 16 +6637 5 +6642 12 +6654 7 +6661 6 +6667 10 +6677 5 +6682 7 +6689 1 +6690 6 +6696 8 +6704 5 +6709 10 +6719 5 +6724 3 +6727 6 +6733 5 +6738 2 +6740 4 +6744 5 +6749 12 +6761 5 +6766 10 +6776 8 +6784 7 +6791 6 +6797 6 +6803 3 +6806 5 +6811 6 +6817 2 +6819 11 +6830 7 +6837 7 +6844 8 +6852 6 +6858 8 +6866 6 +6872 4 +6876 3 +6879 7 +6886 8 +6894 6 +6900 6 +6906 3 +6909 8 +6917 5 +6922 7 +6929 4 +6933 6 +6939 7 +6946 5 +6951 5 +6956 5 +6961 9 +6970 8 +6978 5 +6983 8 +6991 5 +6996 6 +7002 7 +7009 3 +7012 8 +7020 10 +7030 3 +7033 6 +7039 6 +7045 8 +7053 5 +7058 7 +7065 5 +7070 4 +7074 9 +7083 10 +7093 6 +7099 5 +7104 4 +7108 12 +7120 8 +7128 2 +7130 3 +7133 2 +7135 11 +7146 12 +7158 6 +7164 9 +7173 12 +7185 8 +7193 5 +7198 4 +7202 7 +7209 3 +7212 4 +7216 8 +7224 3 +7227 4 +7231 5 +7236 7 +7243 5 +7248 7 +7255 3 +7258 10 +7268 8 +7276 3 +7279 8 +7287 11 +7298 2 +7300 8 +7308 6 +7314 6 +7320 9 +7329 4 +7333 11 +7344 6 +7350 4 +7354 5 +7359 4 +7363 9 +7372 1 +7373 10 +7383 4 +7387 8 +7395 7 +7402 8 +7410 9 +7419 4 +7423 3 +7426 6 +7432 5 +7437 7 +7444 9 +7453 8 +7461 6 +7467 10 +7477 8 +7485 13 +7498 4 +7502 6 +7508 7 +7515 10 +7525 7 +7532 4 +7536 3 +7539 3 +7542 10 +7552 5 +7557 6 +7563 6 +7569 3 +7572 7 +7579 9 +7588 5 +7593 8 +7601 7 +7608 7 +7615 7 +7622 5 +7627 5 +7632 6 +7638 7 +7645 6 +7651 6 +7657 10 +7667 6 +7673 4 +7677 5 +7682 8 +7690 6 +7696 8 +7704 9 +7713 2 +7715 3 +7718 9 +7727 4 +7731 4 +7735 6 +7741 6 +7747 9 +7756 6 +7762 3 +7765 4 +7769 12 +7781 4 +7785 4 +7789 6 +7795 7 +7802 3 +7805 1 +7806 7 +7813 2 +7815 4 +7819 3 +7822 5 +7827 9 +7836 8 +7844 9 +7853 8 +7861 6 +7867 2 +7869 4 +7873 8 +7881 5 +7886 9 +7895 3 +7898 10 +7908 2 +7910 8 +7918 6 +7924 7 +7931 4 +7935 7 +7942 3 +7945 6 +7951 8 +7959 6 +7965 11 +7976 6 +7982 9 +7991 4 +7995 2 +7997 7 +8004 5 +8009 5 +8014 7 +8021 8 +8029 7 +8036 4 +8040 4 +8044 11 +8055 11 +8066 6 +8072 6 +8078 9 +8087 3 +8090 6 +8096 9 +8105 6 +8111 4 +8115 6 +8121 4 +8125 4 +8129 5 +8134 8 +8142 10 +8152 5 +8157 4 +8161 6 +8167 7 +8174 6 +8180 3 +8183 6 +8189 5 +8194 10 +8204 4 +8208 6 +8214 5 +8219 3 +8222 5 +8227 8 +8235 8 +8243 4 +8247 4 +8251 4 +8255 11 +8266 10 +8276 6 +8282 6 +8288 8 +8296 3 +8299 4 +8303 6 +8309 5 +8314 9 +8323 3 +8326 3 +8329 9 +8338 6 +8344 7 +8351 5 +8356 4 +8360 7 +8367 11 +8378 4 +8382 6 +8388 9 +8397 8 +8405 8 +8413 4 +8417 6 +8423 9 +8432 1 +8433 3 +8436 7 +8443 5 +8448 4 +8452 6 +8458 3 +8461 4 +8465 4 +8469 5 +8474 5 +8479 4 +8483 5 +8488 5 +8493 3 +8496 7 +8503 5 +8508 9 +8517 6 +8523 3 +8526 3 +8529 6 +8535 4 +8539 7 +8546 8 +8554 7 +8561 4 +8565 5 +8570 6 +8576 6 +8582 6 +8588 6 +8594 6 +8600 6 +8606 4 +8610 3 +8613 5 +8618 4 +8622 8 +8630 2 +8632 8 +8640 5 +8645 6 +8651 4 +8655 5 +8660 4 +8664 7 +8671 3 +8674 7 +8681 3 +8684 5 +8689 7 +8696 3 +8699 5 +8704 5 +8709 5 +8714 6 +8720 9 +8729 5 +8734 6 +8740 2 +8742 4 +8746 9 +8755 5 +8760 8 +8768 4 +8772 10 +8782 5 +8787 7 +8794 7 +8801 3 +8804 4 +8808 5 +8813 10 +8823 4 +8827 8 +8835 8 +8843 5 +8848 4 +8852 4 +8856 5 +8861 7 +8868 10 +8878 5 +8883 3 +8886 2 +8888 4 +8892 8 +8900 5 +8905 3 +8908 4 +8912 7 +8919 12 +8931 9 +8940 6 +8946 5 +8951 5 +8956 7 +8963 12 +8975 10 +8985 8 +8993 9 +9002 10 +9012 6 +9018 11 +9029 5 +9034 4 +9038 9 +9047 6 +9053 12 +9065 6 +9071 6 +9077 2 +9079 1 +9080 6 +9086 3 +9089 6 +9095 8 +9103 5 +9108 6 +9114 10 +9124 2 +9126 10 +9136 5 +9141 4 +9145 4 +9149 4 +9153 4 +9157 8 +9165 7 +9172 12 +9184 2 +9186 5 +9191 6 +9197 4 +9201 4 +9205 5 +9210 5 +9215 5 +9220 14 +9234 5 +9239 4 +9243 5 +9248 3 +9251 3 +9254 7 +9261 5 +9266 6 +9272 7 +9279 6 +9285 5 +9290 6 +9296 4 +9300 7 +9307 8 +9315 5 +9320 2 +9322 4 +9326 7 +9333 9 +9342 7 +9349 4 +9353 7 +9360 3 +9363 2 +9365 3 +9368 7 +9375 5 +9380 4 +9384 4 +9388 4 +9392 3 +9395 3 +9398 5 +9403 9 +9412 7 +9419 4 +9423 5 +9428 3 +9431 6 +9437 6 +9443 2 +9445 7 +9452 4 +9456 9 +9465 4 +9469 5 +9474 6 +9480 4 +9484 12 +9496 6 +9502 7 +9509 8 +9517 6 +9523 1 +9524 5 +9529 5 +9534 5 +9539 5 +9544 4 +9548 3 +9551 11 +9562 4 +9566 6 +9572 4 +9576 6 +9582 5 +9587 4 +9591 3 +9594 3 +9597 3 +9600 9 +9609 6 +9615 4 +9619 7 +9626 5 +9631 4 +9635 4 +9639 8 +9647 6 +9653 9 +9662 5 +9667 7 +9674 6 +9680 8 +9688 2 +9690 6 +9696 4 +9700 5 +9705 8 +9713 6 +9719 4 +9723 9 +9732 9 +9741 9 +9750 2 +9752 3 +9755 6 +9761 8 +9769 4 +9773 7 +9780 3 +9783 5 +9788 4 +9792 1 +9793 8 +9801 6 +9807 11 +9818 4 +9822 8 +9830 5 +9835 8 +9843 6 +9849 6 +9855 8 +9863 9 +9872 7 +9879 2 +9881 5 +9886 6 +9892 5 +9897 4 +9901 14 +9915 5 +9920 5 +9925 8 +9933 10 +9943 5 +9948 5 +9953 5 +9958 5 +9963 5 +9968 7 +9975 3 +9978 4 +9982 6 +9988 5 +9993 6 +9999 11 +10010 7 +10017 5 +10022 4 +10026 6 +10032 7 +10039 5 +10044 6 +10050 4 +10054 7 +10061 9 +10070 7 +10077 4 +10081 6 +10087 3 +10090 5 +10095 6 +10101 4 +10105 13 +10118 5 +10123 4 +10127 10 +10137 8 +10145 6 +10151 9 +10160 3 +10163 2 +10165 12 +10177 10 +10187 9 +10196 3 +10199 11 +10210 13 +10223 5 +10228 7 +10235 6 +10241 5 +10246 2 +10248 3 +10251 6 +10257 9 +10266 6 +10272 6 +10278 8 +10286 7 +10293 2 +10295 3 +10298 9 +10307 5 +10312 5 +10317 6 +10323 5 +10328 9 +10337 6 +10343 7 +10350 9 +10359 7 +10366 5 +10371 7 +10378 9 +10387 4 +10391 7 +10398 6 +10404 2 +10406 4 +10410 10 +10420 9 +10429 10 +10439 4 +10443 4 +10447 4 +10451 3 +10454 6 +10460 5 +10465 8 +10473 6 +10479 6 +10485 6 +10491 7 +10498 7 +10505 11 +10516 6 +10522 9 +10531 4 +10535 5 +10540 7 +10547 6 +10553 3 +10556 5 +10561 4 +10565 11 +10576 6 +10582 7 +10589 3 +10592 4 +10596 5 +10601 8 +10609 3 +10612 7 +10619 9 +10628 5 +10633 3 +10636 11 +10647 5 +10652 5 +10657 8 +10665 5 +10670 8 +10678 5 +10683 2 +10685 9 +10694 7 +10701 6 +10707 5 +10712 5 +10717 7 +10724 5 +10729 3 +10732 3 +10735 7 +10742 5 +10747 4 +10751 9 +10760 7 +10767 11 +10778 9 +10787 5 +10792 6 +10798 6 +10804 5 +10809 5 +10814 6 +10820 5 +10825 5 +10830 11 +10841 6 +10847 5 +10852 5 +10857 7 +10864 5 +10869 12 +10881 7 +10888 7 +10895 4 +10899 2 +10901 5 +10906 6 +10912 9 +10921 2 +10923 7 +10930 5 +10935 4 +10939 7 +10946 10 +10956 10 +10966 4 +10970 7 +10977 6 +10983 7 +10990 6 +10996 2 +10998 3 +11001 5 +11006 4 +11010 6 +11016 5 +11021 5 +11026 6 +11032 6 +11038 3 +11041 9 +11050 7 +11057 5 +11062 2 +11064 5 +11069 5 +11074 8 +11082 9 +11091 4 +11095 6 +11101 6 +11107 9 +11116 5 +11121 5 +11126 4 +11130 2 +11132 7 +11139 4 +11143 6 +11149 7 +11156 3 +11159 5 +11164 4 +11168 1 +11169 8 +11177 7 +11184 5 +11189 6 +11195 2 +11197 7 +11204 4 +11208 8 +11216 4 +11220 5 +11225 8 +11233 9 +11242 3 +11245 5 +11250 11 +11261 6 +11267 4 +11271 9 +11280 11 +11291 4 +11295 5 +11300 6 +11306 9 +11315 1 +11316 5 +11321 7 +11328 4 +11332 3 +11335 3 +11338 5 +11343 5 +11348 7 +11355 2 +11357 5 +11362 5 +11367 9 +11376 7 +11383 6 +11389 9 +11398 8 +11406 9 +11415 5 +11420 16 +11436 1 +11437 8 +11445 7 +11452 6 +11458 11 +11469 7 +11476 5 +11481 11 +11492 3 +11495 3 +11498 5 +11503 3 +11506 7 +11513 7 +11520 5 +11525 7 +11532 5 +11537 11 +11548 3 +11551 2 +11553 6 +11559 7 +11566 6 +11572 6 +11578 8 +11586 7 +11593 7 +11600 6 +11606 7 +11613 9 +11622 10 +11632 7 +11639 10 +11649 8 +11657 6 +11663 7 +11670 5 +11675 11 +11686 10 +11696 13 +11709 6 +11715 6 +11721 12 +11733 5 +11738 3 +11741 4 +11745 6 +11751 6 +11757 13 +11770 6 +11776 6 +11782 5 +11787 2 +11789 6 +11795 5 +11800 4 +11804 7 +11811 8 +11819 3 +11822 7 +11829 7 +11836 7 +11843 9 +11852 2 +11854 2 +11856 7 +11863 5 +11868 6 +11874 4 +11878 7 +11885 2 +11887 4 +11891 4 +11895 3 +11898 5 +11903 6 +11909 3 +11912 7 +11919 6 +11925 8 +11933 2 +11935 9 +11944 5 +11949 6 +11955 5 +11960 3 +11963 13 +11976 8 +11984 6 +11990 3 +11993 4 +11997 3 +12000 7 +12007 6 +12013 9 +12022 4 +12026 11 +12037 4 +12041 6 +12047 6 +12053 9 +12062 4 +12066 3 +12069 6 +12075 7 +12082 3 +12085 5 +12090 8 +12098 6 +12104 4 +12108 8 +12116 4 +12120 11 +12131 6 +12137 7 +12144 3 +12147 8 +12155 8 +12163 3 +12166 6 +12172 5 +12177 3 +12180 5 +12185 6 +12191 3 +12194 7 +12201 8 +12209 3 +12212 2 +12214 5 +12219 4 +12223 2 +12225 8 +12233 4 +12237 5 +12242 3 +12245 5 +12250 6 +12256 5 +12261 8 +12269 4 +12273 6 +12279 4 +12283 7 +12290 5 +12295 8 +12303 5 +12308 3 +12311 6 +12317 6 +12323 4 +12327 7 +12334 7 +12341 1 +12342 8 +12350 4 +12354 4 +12358 6 +12364 5 +12369 13 +12382 3 +12385 7 +12392 4 +12396 7 +12403 10 +12413 8 +12421 9 +12430 9 +12439 6 +12445 6 +12451 10 +12461 6 +12467 8 +12475 3 +12478 9 +12487 11 +12498 4 +12502 6 +12508 4 +12512 4 +12516 4 +12520 5 +12525 8 +12533 4 +12537 5 +12542 5 +12547 6 +12553 4 +12557 8 +12565 8 +12573 6 +12579 5 +12584 5 +12589 6 +12595 4 +12599 4 +12603 2 +12605 8 +12613 4 +12617 5 +12622 4 +12626 6 +12632 5 +12637 12 +12649 5 +12654 6 +12660 9 +12669 5 +12674 5 +12679 7 +12686 6 +12692 5 +12697 4 +12701 6 +12707 5 +12712 5 +12717 6 +12723 5 +12728 8 +12736 10 +12746 6 +12752 7 +12759 6 +12765 4 +12769 6 +12775 6 +12781 13 +12794 6 +12800 11 +12811 4 +12815 8 +12823 7 +12830 7 +12837 6 +12843 6 +12849 7 +12856 5 +12861 10 +12871 10 +12881 8 +12889 9 +12898 4 +12902 6 +12908 9 +12917 8 +12925 9 +12934 4 +12938 4 +12942 7 +12949 2 +12951 7 +12958 1 +12959 9 +12968 8 +12976 8 +12984 1 +12985 4 +12989 4 +12993 4 +12997 8 +13005 4 +13009 4 +13013 8 +13021 9 +13030 6 +13036 8 +13044 3 +13047 8 +13055 5 +13060 7 +13067 8 +13075 7 +13082 2 +13084 5 +13089 9 +13098 5 +13103 7 +13110 6 +13116 6 +13122 6 +13128 6 +13134 7 +13141 5 +13146 8 +13154 12 +13166 4 +13170 6 +13176 4 +13180 6 +13186 5 +13191 3 +13194 6 +13200 9 +13209 4 +13213 5 +13218 8 +13226 6 +13232 3 +13235 8 +13243 7 +13250 8 +13258 5 +13263 5 +13268 4 +13272 7 +13279 3 +13282 11 +13293 7 +13300 6 +13306 5 +13311 5 +13316 6 +13322 9 +13331 2 +13333 6 +13339 7 +13346 7 +13353 6 +13359 6 +13365 5 +13370 4 +13374 6 +13380 9 +13389 11 +13400 5 +13405 8 +13413 4 +13417 4 +13421 9 +13430 4 +13434 9 +13443 3 +13446 7 +13453 6 +13459 6 +13465 1 +13466 7 +13473 7 +13480 6 +13486 5 +13491 7 +13498 3 +13501 6 +13507 5 +13512 4 +13516 8 +13524 2 +13526 4 +13530 5 +13535 3 +13538 5 +13543 5 +13548 5 +13553 3 +13556 4 +13560 7 +13567 4 +13571 4 +13575 8 +13583 9 +13592 6 +13598 7 +13605 1 +13606 9 +13615 9 +13624 10 +13634 4 +13638 3 +13641 9 +13650 8 +13658 5 +13663 7 +13670 4 +13674 12 +13686 2 +13688 3 +13691 5 +13696 5 +13701 10 +13711 4 +13715 4 +13719 7 +13726 5 +13731 4 +13735 9 +13744 7 +13751 5 +13756 4 +13760 8 +13768 8 +13776 9 +13785 7 +13792 7 +13799 6 +13805 6 +13811 7 +13818 11 +13829 7 +13836 6 +13842 5 +13847 6 +13853 7 +13860 10 +13870 4 +13874 3 +13877 4 +13881 4 +13885 6 +13891 6 +13897 8 +13905 10 +13915 9 +13924 6 +13930 2 +13932 4 +13936 6 +13942 10 +13952 8 +13960 4 +13964 12 +13976 6 +13982 5 +13987 6 +13993 5 +13998 3 +14001 7 +14008 7 +14015 10 +14025 3 +14028 6 +14034 6 +14040 2 +14042 3 +14045 5 +14050 6 +14056 4 +14060 7 +14067 9 +14076 1 +14077 6 +14083 5 +14088 4 +14092 7 +14099 9 +14108 2 +14110 14 +14124 7 +14131 4 +14135 7 +14142 8 +14150 3 +14153 5 +14158 7 +14165 11 +14176 6 +14182 8 +14190 5 +14195 8 +14203 6 +14209 5 +14214 5 +14219 2 +14221 4 +14225 3 +14228 4 +14232 5 +14237 5 +14242 5 +14247 3 +14250 9 +14259 7 +14266 4 +14270 6 +14276 6 +14282 3 +14285 2 +14287 8 +14295 6 +14301 6 +14307 2 +14309 7 +14316 6 +14322 5 +14327 9 +14336 3 +14339 6 +14345 7 +14352 2 +14354 7 +14361 6 +14367 8 +14375 6 +14381 6 +14387 2 +14389 3 +14392 4 +14396 5 +14401 3 +14404 6 +14410 7 +14417 4 +14421 4 +14425 5 +14430 4 +14434 11 +14445 8 +14453 5 +14458 5 +14463 8 +14471 3 +14474 5 +14479 5 +14484 15 +14499 6 +14505 7 +14512 5 +14517 5 +14522 2 +14524 3 +14527 2 +14529 9 +14538 9 +14547 6 +14553 4 +14557 11 +14568 6 +14574 7 +14581 5 +14586 2 +14588 3 +14591 8 +14599 3 +14602 5 +14607 5 +14612 6 +14618 2 +14620 4 +14624 3 +14627 9 +14636 5 +14641 2 +14643 12 +14655 7 +14662 4 +14666 8 +14674 4 +14678 9 +14687 5 +14692 6 +14698 9 +14707 3 +14710 9 +14719 4 +14723 5 +14728 5 +14733 2 +14735 5 +14740 4 +14744 11 +14755 7 +14762 7 +14769 12 +14781 4 +14785 8 +14793 8 +14801 5 +14806 4 +14810 4 +14814 6 +14820 8 +14828 9 +14837 4 +14841 4 +14845 6 +14851 3 +14854 6 +14860 9 +14869 7 +14876 8 +14884 7 +14891 6 +14897 6 +14903 5 +14908 6 +14914 8 +14922 5 +14927 3 +14930 7 +14937 4 +14941 8 +14949 8 +14957 7 +14964 7 +14971 7 +14978 7 +14985 8 +14993 6 +14999 6 +15005 10 +15015 5 +15020 4 +15024 8 +15032 7 +15039 3 +15042 6 +15048 7 +15055 5 +15060 9 +15069 13 +15082 6 +15088 5 +15093 4 +15097 5 +15102 6 +15108 6 +15114 6 +15120 10 +15130 7 +15137 9 +15146 5 +15151 8 +15159 9 +15168 10 +15178 10 +15188 4 +15192 4 +15196 4 +15200 7 +15207 6 +15213 12 +15225 3 +15228 7 +15235 3 +15238 6 +15244 8 +15252 5 +15257 10 +15267 7 +15274 6 +15280 2 +15282 5 +15287 2 +15289 4 +15293 3 +15296 5 +15301 8 +15309 9 +15318 4 +15322 3 +15325 4 +15329 5 +15334 3 +15337 5 +15342 2 +15344 4 +15348 11 +15359 3 +15362 8 +15370 7 +15377 4 +15381 7 +15388 7 +15395 5 +15400 6 +15406 9 +15415 4 +15419 10 +15429 9 +15438 4 +15442 2 +15444 6 +15450 6 +15456 12 +15468 7 +15475 5 +15480 6 +15486 3 +15489 5 +15494 6 +15500 5 +15505 3 +15508 3 +15511 1 +15512 10 +15522 8 +15530 6 +15536 4 +15540 3 +15543 8 +15551 5 +15556 4 +15560 9 +15569 10 +15579 6 +15585 11 +15596 10 +15606 9 +15615 12 +15627 9 +15636 4 +15640 4 +15644 4 +15648 11 +15659 4 +15663 5 +15668 4 +15672 5 +15677 5 +15682 1 +15683 3 +15686 4 +15690 7 +15697 7 +15704 6 +15710 6 +15716 4 +15720 4 +15724 10 +15734 7 +15741 5 +15746 8 +15754 5 +15759 5 +15764 4 +15768 6 +15774 8 +15782 2 +15784 6 +15790 5 +15795 4 +15799 5 +15804 5 +15809 9 +15818 6 +15824 6 +15830 3 +15833 10 +15843 7 +15850 4 +15854 5 +15859 6 +15865 9 +15874 4 +15878 4 +15882 5 +15887 7 +15894 5 +15899 6 +15905 8 +15913 8 +15921 4 +15925 6 +15931 10 +15941 7 +15948 4 +15952 5 +15957 7 +15964 10 +15974 1 +15975 1 +15976 6 +15982 2 +15984 11 +15995 6 +16001 3 +16004 4 +16008 5 +16013 6 +16019 6 +16025 11 +16036 4 +16040 3 +16043 4 +16047 4 +16051 4 +16055 6 +16061 3 +16064 4 +16068 3 +16071 7 +16078 10 +16088 11 +16099 5 +16104 7 +16111 8 +16119 8 +16127 6 +16133 3 +16136 3 +16139 8 +16147 4 +16151 4 +16155 11 +16166 6 +16172 4 +16176 12 +16188 5 +16193 2 +16195 2 +16197 2 +16199 7 +16206 1 +16207 8 +16215 7 +16222 4 +16226 6 +16232 6 +16238 7 +16245 8 +16253 6 +16259 6 +16265 4 +16269 5 +16274 5 +16279 7 +16286 3 +16289 9 +16298 4 +16302 5 +16307 7 +16314 6 +16320 5 +16325 4 +16329 8 +16337 6 +16343 5 +16348 7 +16355 3 +16358 3 +16361 4 +16365 6 +16371 4 +16375 9 +16384 4 +16388 5 +16393 3 +16396 7 +16403 5 +16408 9 +16417 9 +16426 7 +16433 7 +16440 9 +16449 3 +16452 5 +16457 1 +16458 10 +16468 10 +16478 9 +16487 4 +16491 6 +16497 9 +16506 11 +16517 7 +16524 9 +16533 6 +16539 9 +16548 8 +16556 8 +16564 5 +16569 8 +16577 4 +16581 4 +16585 5 +16590 5 +16595 9 +16604 7 +16611 8 +16619 3 +16622 12 +16634 13 +16647 3 +16650 2 +16652 4 +16656 7 +16663 7 +16670 4 +16674 3 +16677 6 +16683 6 +16689 6 +16695 5 +16700 10 +16710 3 +16713 7 +16720 6 +16726 6 +16732 6 +16738 12 +16750 5 +16755 3 +16758 4 +16762 4 +16766 10 +16776 6 +16782 10 +16792 5 +16797 5 +16802 4 +16806 4 +16810 7 +16817 2 +16819 6 +16825 7 +16832 8 +16840 9 +16849 5 +16854 3 +16857 10 +16867 6 +16873 8 +16881 7 +16888 8 +16896 10 +16906 9 +16915 6 +16921 3 +16924 7 +16931 5 +16936 6 +16942 7 +16949 11 +16960 3 +16963 8 +16971 6 +16977 8 +16985 4 +16989 8 +16997 8 +17005 5 +17010 3 +17013 6 +17019 5 +17024 4 +17028 7 +17035 2 +17037 7 +17044 3 +17047 5 +17052 5 +17057 3 +17060 8 +17068 6 +17074 8 +17082 4 +17086 6 +17092 9 +17101 5 +17106 4 +17110 6 +17116 6 +17122 7 +17129 8 +17137 7 +17144 7 +17151 9 +17160 6 +17166 3 +17169 3 +17172 4 +17176 5 +17181 4 +17185 4 +17189 4 +17193 7 +17200 14 +17214 6 +17220 4 +17224 5 +17229 5 +17234 8 +17242 10 +17252 8 +17260 4 +17264 6 +17270 12 +17282 7 +17289 9 +17298 10 +17308 6 +17314 5 +17319 5 +17324 4 +17328 4 +17332 7 +17339 4 +17343 8 +17351 4 +17355 7 +17362 8 +17370 5 +17375 4 +17379 5 +17384 4 +17388 8 +17396 5 +17401 5 +17406 6 +17412 4 +17416 6 +17422 6 +17428 9 +17437 7 +17444 8 +17452 4 +17456 3 +17459 4 +17463 9 +17472 5 +17477 8 +17485 5 +17490 6 +17496 6 +17502 8 +17510 6 +17516 8 +17524 5 +17529 8 +17537 5 +17542 6 +17548 7 +17555 5 +17560 4 +17564 5 +17569 6 +17575 4 +17579 4 +17583 3 +17586 4 +17590 13 +17603 4 +17607 8 +17615 2 +17617 19 +17636 7 +17643 3 +17646 6 +17652 7 +17659 6 +17665 3 +17668 5 +17673 7 +17680 5 +17685 7 +17692 8 +17700 4 +17704 5 +17709 2 +17711 4 +17715 5 +17720 11 +17731 5 +17736 11 +17747 6 +17753 3 +17756 5 +17761 5 +17766 13 +17779 4 +17783 5 +17788 8 +17796 5 +17801 10 +17811 6 +17817 9 +17826 3 +17829 7 +17836 7 +17843 4 +17847 3 +17850 6 +17856 6 +17862 8 +17870 3 +17873 5 +17878 4 +17882 7 +17889 5 +17894 11 +17905 6 +17911 4 +17915 5 +17920 7 +17927 3 +17930 9 +17939 7 +17946 9 +17955 4 +17959 7 +17966 5 +17971 5 +17976 5 +17981 18 +17999 8 +18007 3 +18010 6 +18016 7 +18023 3 +18026 8 +18034 7 +18041 8 +18049 5 +18054 4 +18058 10 +18068 2 +18070 9 +18079 5 +18084 5 +18089 6 +18095 4 +18099 3 +18102 11 +18113 5 +18118 6 +18124 4 +18128 2 +18130 9 +18139 5 +18144 11 +18155 7 +18162 3 +18165 5 +18170 4 +18174 4 +18178 5 +18183 6 +18189 6 +18195 6 +18201 6 +18207 9 +18216 4 +18220 9 +18229 3 +18232 5 +18237 6 +18243 7 +18250 8 +18258 4 +18262 11 +18273 5 +18278 7 +18285 3 +18288 3 +18291 7 +18298 6 +18304 4 +18308 2 +18310 2 +18312 10 +18322 5 +18327 6 +18333 3 +18336 9 +18345 3 +18348 5 +18353 9 +18362 6 +18368 5 +18373 6 +18379 5 +18384 10 +18394 6 +18400 3 +18403 4 +18407 7 +18414 5 +18419 6 +18425 6 +18431 5 +18436 4 +18440 5 +18445 8 +18453 11 +18464 10 +18474 10 +18484 5 +18489 3 +18492 9 +18501 9 +18510 3 +18513 8 +18521 7 +18528 4 +18532 3 +18535 5 +18540 7 +18547 6 +18553 3 +18556 7 +18563 7 +18570 8 +18578 4 +18582 3 +18585 12 +18597 6 +18603 8 +18611 7 +18618 5 +18623 4 +18627 5 +18632 10 +18642 5 +18647 5 +18652 4 +18656 7 +18663 9 +18672 8 +18680 5 +18685 5 +18690 3 +18693 7 +18700 8 +18708 6 +18714 10 +18724 6 +18730 7 +18737 2 +18739 4 +18743 9 +18752 6 +18758 5 +18763 8 +18771 3 +18774 13 +18787 8 +18795 9 +18804 5 +18809 4 +18813 5 +18818 5 +18823 5 +18828 4 +18832 8 +18840 2 +18842 7 +18849 6 +18855 8 +18863 8 +18871 6 +18877 5 +18882 9 +18891 5 +18896 11 +18907 8 +18915 3 +18918 5 +18923 8 +18931 7 +18938 6 +18944 5 +18949 2 +18951 4 +18955 7 +18962 6 +18968 5 +18973 5 +18978 5 +18983 10 +18993 6 +18999 9 +19008 9 +19017 5 +19022 6 +19028 4 +19032 7 +19039 5 +19044 4 +19048 7 +19055 7 +19062 2 +19064 12 +19076 6 +19082 5 +19087 3 +19090 8 +19098 3 +19101 5 +19106 7 +19113 3 +19116 5 +19121 4 +19125 6 +19131 4 +19135 5 +19140 8 +19148 5 +19153 7 +19160 9 +19169 4 +19173 8 +19181 2 +19183 5 +19188 6 +19194 5 +19199 7 +19206 1 +19207 3 +19210 2 +19212 6 +19218 7 +19225 3 +19228 4 +19232 8 +19240 6 +19246 10 +19256 5 +19261 8 +19269 9 +19278 9 +19287 3 +19290 6 +19296 3 +19299 2 +19301 9 +19310 3 +19313 8 +19321 4 +19325 6 +19331 7 +19338 8 +19346 5 +19351 10 +19361 2 +19363 6 +19369 4 +19373 5 +19378 8 +19386 10 +19396 4 +19400 8 +19408 7 +19415 5 +19420 7 +19427 6 +19433 5 +19438 6 +19444 5 +19449 6 +19455 7 +19462 5 +19467 4 +19471 8 +19479 2 +19481 6 +19487 4 +19491 3 +19494 4 +19498 3 +19501 2 +19503 7 +19510 6 +19516 2 +19518 8 +19526 9 +19535 8 +19543 2 +19545 3 +19548 10 +19558 4 +19562 7 +19569 5 +19574 5 +19579 5 +19584 6 +19590 3 +19593 6 +19599 6 +19605 4 +19609 6 +19615 8 +19623 5 +19628 7 +19635 6 +19641 6 +19647 4 +19651 8 +19659 6 +19665 4 +19669 3 +19672 9 +19681 6 +19687 6 +19693 4 +19697 6 +19703 8 +19711 6 +19717 6 +19723 7 +19730 3 +19733 5 +19738 4 +19742 5 +19747 5 +19752 11 +19763 8 +19771 6 +19777 9 +19786 6 +19792 11 +19803 4 +19807 8 +19815 6 +19821 8 +19829 7 +19836 9 +19845 3 +19848 5 +19853 5 +19858 10 +19868 3 +19871 4 +19875 10 +19885 5 +19890 8 +19898 5 +19903 5 +19908 5 +19913 4 +19917 6 +19923 4 +19927 5 +19932 6 +19938 3 +19941 8 +19949 7 +19956 6 +19962 7 +19969 4 +19973 6 +19979 4 +19983 10 +19993 8 +20001 16 +20017 5 +20022 6 +20028 11 +20039 6 +20045 6 +20051 8 +20059 5 +20064 4 +20068 9 +20077 9 +20086 10 +20096 9 +20105 6 +20111 4 +20115 5 +20120 3 +20123 7 +20130 9 +20139 4 +20143 2 +20145 7 +20152 7 +20159 6 +20165 7 +20172 10 +20182 6 +20188 2 +20190 5 +20195 7 +20202 6 +20208 6 +20214 5 +20219 3 +20222 2 +20224 4 +20228 7 +20235 4 +20239 5 +20244 8 +20252 3 +20255 6 +20261 9 +20270 9 +20279 2 +20281 8 +20289 2 +20291 3 +20294 3 +20297 3 +20300 4 +20304 7 +20311 7 +20318 6 +20324 4 +20328 4 +20332 4 +20336 10 +20346 7 +20353 3 +20356 5 +20361 3 +20364 6 +20370 4 +20374 4 +20378 3 +20381 5 +20386 5 +20391 4 +20395 5 +20400 4 +20404 5 +20409 1 +20410 5 +20415 2 +20417 5 +20422 7 +20429 4 +20433 2 +20435 6 +20441 5 +20446 4 +20450 7 +20457 8 +20465 2 +20467 10 +20477 5 +20482 5 +20487 8 +20495 11 +20506 5 +20511 4 +20515 3 +20518 6 +20524 12 +20536 3 +20539 12 +20551 8 +20559 4 +20563 10 +20573 8 +20581 3 +20584 7 +20591 6 +20597 4 +20601 10 +20611 7 +20618 5 +20623 4 +20627 5 +20632 8 +20640 6 +20646 3 +20649 9 +20658 2 +20660 7 +20667 4 +20671 5 +20676 7 +20683 8 +20691 4 +20695 6 +20701 4 +20705 8 +20713 8 +20721 4 +20725 5 +20730 7 +20737 4 +20741 5 +20746 4 +20750 2 +20752 8 +20760 8 +20768 10 +20778 7 +20785 7 +20792 10 +20802 3 +20805 3 +20808 10 +20818 4 +20822 6 +20828 7 +20835 4 +20839 8 +20847 5 +20852 12 +20864 2 +20866 7 +20873 3 +20876 5 +20881 3 +20884 8 +20892 4 +20896 7 +20903 5 +20908 4 +20912 10 +20922 5 +20927 10 +20937 10 +20947 6 +20953 3 +20956 6 +20962 4 +20966 5 +20971 8 +20979 4 +20983 7 +20990 5 +20995 10 +21005 7 +21012 10 +21022 3 +21025 7 +21032 5 +21037 12 +21049 8 +21057 4 +21061 5 +21066 2 +21068 10 +21078 3 +21081 4 +21085 5 +21090 4 +21094 9 +21103 4 +21107 7 +21114 7 +21121 6 +21127 1 +21128 9 +21137 7 +21144 10 +21154 4 +21158 5 +21163 6 +21169 5 +21174 4 +21178 4 +21182 5 +21187 6 +21193 11 +21204 7 +21211 7 +21218 10 +21228 5 +21233 13 +21246 10 +21256 3 +21259 5 +21264 9 +21273 6 +21279 11 +21290 8 +21298 3 +21301 3 +21304 12 +21316 7 +21323 5 +21328 6 +21334 4 +21338 7 +21345 7 +21352 6 +21358 3 +21361 8 +21369 6 +21375 5 +21380 5 +21385 6 +21391 6 +21397 6 +21403 6 +21409 9 +21418 6 +21424 12 +21436 5 +21441 3 +21444 7 +21451 9 +21460 9 +21469 10 +21479 5 +21484 5 +21489 8 +21497 6 +21503 4 +21507 2 +21509 5 +21514 4 +21518 4 +21522 6 +21528 11 +21539 6 +21545 6 +21551 6 +21557 11 +21568 3 +21571 4 +21575 3 +21578 6 +21584 7 +21591 3 +21594 8 +21602 7 +21609 9 +21618 4 +21622 7 +21629 8 +21637 8 +21645 4 +21649 4 +21653 2 +21655 6 +21661 6 +21667 5 +21672 5 +21677 8 +21685 6 +21691 6 +21697 4 +21701 4 +21705 3 +21708 12 +21720 6 +21726 9 +21735 5 +21740 6 +21746 5 +21751 6 +21757 12 +21769 5 +21774 6 +21780 4 +21784 8 +21792 3 +21795 3 +21798 6 +21804 7 +21811 5 +21816 11 +21827 7 +21834 3 +21837 6 +21843 9 +21852 8 +21860 6 +21866 4 +21870 5 +21875 6 +21881 9 +21890 3 +21893 5 +21898 4 +21902 5 +21907 8 +21915 6 +21921 3 +21924 5 +21929 6 +21935 5 +21940 5 +21945 7 +21952 7 +21959 4 +21963 8 +21971 4 +21975 6 +21981 3 +21984 9 +21993 6 +21999 5 +22004 6 +22010 9 +22019 8 +22027 2 +22029 7 +22036 3 +22039 5 +22044 3 +22047 7 +22054 10 +22064 3 +22067 2 +22069 5 +22074 6 +22080 4 +22084 5 +22089 7 +22096 4 +22100 8 +22108 4 +22112 10 +22122 4 +22126 6 +22132 3 +22135 4 +22139 9 +22148 5 +22153 4 +22157 5 +22162 5 +22167 8 +22175 5 +22180 4 +22184 5 +22189 4 +22193 4 +22197 5 +22202 10 +22212 8 +22220 5 +22225 4 +22229 8 +22237 8 +22245 8 +22253 2 +22255 4 +22259 9 +22268 10 +22278 3 +22281 9 +22290 4 +22294 4 +22298 7 +22305 6 +22311 4 +22315 7 +22322 3 +22325 6 +22331 3 +22334 5 +22339 9 +22348 4 +22352 5 +22357 6 +22363 5 +22368 4 +22372 5 +22377 7 +22384 2 +22386 7 +22393 8 +22401 7 +22408 9 +22417 8 +22425 3 +22428 4 +22432 7 +22439 6 +22445 4 +22449 9 +22458 6 +22464 5 +22469 7 +22476 10 +22486 5 +22491 5 +22496 10 +22506 8 +22514 8 +22522 8 +22530 8 +22538 5 +22543 9 +22552 2 +22554 2 +22556 6 +22562 7 +22569 9 +22578 3 +22581 7 +22588 8 +22596 3 +22599 6 +22605 3 +22608 4 +22612 6 +22618 13 +22631 7 +22638 5 +22643 8 +22651 8 +22659 9 +22668 7 +22675 2 +22677 12 +22689 14 +22703 10 +22713 4 +22717 7 +22724 3 +22727 5 +22732 9 +22741 9 +22750 11 +22761 4 +22765 5 +22770 12 +22782 4 +22786 8 +22794 4 +22798 7 +22805 6 +22811 4 +22815 8 +22823 4 +22827 7 +22834 2 +22836 7 +22843 9 +22852 2 +22854 10 +22864 6 +22870 7 +22877 8 +22885 7 +22892 2 +22894 4 +22898 9 +22907 7 +22914 8 +22922 7 +22929 5 +22934 5 +22939 4 +22943 7 +22950 8 +22958 3 +22961 7 +22968 5 +22973 4 +22977 5 +22982 7 +22989 4 +22993 4 +22997 7 +23004 4 +23008 5 +23013 7 +23020 6 +23026 3 +23029 7 +23036 9 +23045 3 +23048 3 +23051 5 +23056 5 +23061 5 +23066 6 +23072 7 +23079 4 +23083 4 +23087 9 +23096 4 +23100 6 +23106 5 +23111 6 +23117 7 +23124 5 +23129 5 +23134 3 +23137 2 +23139 8 +23147 13 +23160 6 +23166 4 +23170 5 +23175 4 +23179 3 +23182 6 +23188 6 +23194 5 +23199 4 +23203 6 +23209 4 +23213 12 +23225 4 +23229 7 +23236 10 +23246 9 +23255 6 +23261 9 +23270 9 +23279 8 +23287 3 +23290 7 +23297 5 +23302 3 +23305 2 +23307 7 +23314 7 +23321 6 +23327 10 +23337 4 +23341 9 +23350 6 +23356 6 +23362 7 +23369 12 +23381 4 +23385 5 +23390 3 +23393 10 +23403 10 +23413 4 +23417 6 +23423 3 +23426 9 +23435 6 +23441 7 +23448 5 +23453 6 +23459 5 +23464 3 +23467 8 +23475 2 +23477 10 +23487 3 +23490 4 +23494 5 +23499 8 +23507 4 +23511 7 +23518 8 +23526 4 +23530 6 +23536 8 +23544 6 +23550 7 +23557 3 +23560 7 +23567 2 +23569 6 +23575 9 +23584 12 +23596 5 +23601 8 +23609 7 +23616 6 +23622 6 +23628 4 +23632 10 +23642 8 +23650 7 +23657 1 +23658 8 +23666 8 +23674 4 +23678 8 +23686 6 +23692 9 +23701 8 +23709 7 +23716 9 +23725 8 +23733 9 +23742 5 +23747 4 +23751 5 +23756 10 +23766 6 +23772 4 +23776 6 +23782 3 +23785 6 +23791 7 +23798 9 +23807 9 +23816 13 +23829 10 +23839 6 +23845 3 +23848 6 +23854 7 +23861 6 +23867 4 +23871 11 +23882 12 +23894 3 +23897 2 +23899 8 +23907 3 +23910 8 +23918 7 +23925 6 +23931 5 +23936 6 +23942 11 +23953 6 +23959 4 +23963 4 +23967 5 +23972 7 +23979 8 +23987 5 +23992 5 +23997 6 +24003 4 +24007 8 +24015 9 +24024 5 +24029 4 +24033 3 +24036 4 +24040 2 +24042 7 +24049 2 +24051 6 +24057 1 +24058 9 +24067 8 +24075 6 +24081 3 +24084 9 +24093 6 +24099 8 +24107 9 +24116 6 +24122 5 +24127 4 +24131 8 +24139 6 +24145 7 +24152 3 +24155 8 +24163 5 +24168 6 +24174 6 +24180 4 +24184 8 +24192 5 +24197 5 +24202 6 +24208 7 +24215 5 +24220 3 +24223 11 +24234 12 +24246 12 +24258 3 +24261 9 +24270 6 +24276 5 +24281 5 +24286 8 +24294 4 +24298 8 +24306 5 +24311 7 +24318 3 +24321 8 +24329 5 +24334 3 +24337 7 +24344 7 +24351 5 +24356 7 +24363 4 +24367 6 +24373 3 +24376 8 +24384 3 +24387 7 +24394 10 +24404 3 +24407 5 +24412 6 +24418 4 +24422 4 +24426 2 +24428 3 +24431 9 +24440 8 +24448 7 +24455 5 +24460 11 +24471 7 +24478 7 +24485 5 +24490 10 +24500 4 +24504 7 +24511 6 +24517 13 +24530 10 +24540 7 +24547 8 +24555 4 +24559 2 +24561 9 +24570 2 +24572 4 + +0 + +24576 +2539 2 +1187 5 +3911 2 +585 8 +1498 10 +1681 2 +2115 7 +2424 1 +3708 7 +196 1 +1852 10 +3555 8 +2134 1 +1064 9 +1293 8 +944 9 +2413 3 +1678 2 +839 9 +297 1 +174 7 +2217 9 +51 8 +3195 6 +3215 5 +332 3 +2077 7 +1214 2 +2367 10 +1947 10 +2350 6 +3441 1 +3246 7 +1999 1 +2037 5 +2227 8 +101 7 +3340 9 +3713 7 +3013 4 +1001 3 +444 6 +3306 2 +4043 1 +1361 1 +3916 6 +365 4 +1485 8 +251 8 +234 2 +4042 2 +870 7 +3803 9 +3874 4 +1058 5 +831 3 +2331 6 +1328 1 +2525 4 +255 3 +381 1 +2521 1 +3946 5 +2449 4 +285 2 +3848 4 +2669 9 +3949 3 +1050 4 +2855 9 +1974 3 +349 7 +2874 6 +192 6 +3442 4 +265 1 +2281 4 +403 6 +2359 5 +319 8 +39 1 +3893 3 +1176 1 +3154 10 +866 9 +2670 9 +3934 6 +3799 5 +393 8 +2722 10 +2107 4 +185 3 +69 1 +1958 4 +1613 2 +1908 10 +3867 5 +2950 2 +3397 10 +3737 1 +1074 9 +234 2 +2795 8 +1452 8 +1437 2 +768 7 +3400 1 +1212 6 +2675 7 +989 4 +1338 6 +764 5 +216 3 +2186 3 +2210 9 +2194 1 +1703 3 +2668 5 +3684 3 +3636 6 +3939 5 +3718 2 +3954 10 +4009 10 +703 8 +2990 8 +2162 4 +3980 1 +1245 8 +2488 1 +2391 3 +3774 9 +3238 5 +1534 4 +3440 3 +2611 6 +2878 7 +1931 8 +3668 9 +3139 10 +3822 10 +2184 3 +82 6 +3317 1 +1702 3 +4087 10 +519 3 +1944 1 +3830 9 +3563 10 +2150 5 +3735 9 +1158 2 +3265 9 +2571 6 +2587 4 +2073 3 +405 6 +3865 3 +42 4 +2358 9 +2632 1 +1629 5 +2968 10 +3160 8 +1934 7 +1108 3 +2324 9 +1923 4 +2536 10 +3112 3 +3817 1 +4008 3 +2118 10 +1034 6 +3094 8 +3868 9 +2484 6 +3791 7 +1456 5 +2643 5 +462 9 +1481 8 +1788 10 +811 5 +1441 10 +2258 6 +3559 5 +2816 2 +3886 1 +428 9 +2442 8 +873 2 +3460 2 +989 7 +2897 9 +1464 7 +1525 4 +685 7 +3906 4 +678 7 +1824 2 +2256 8 +1016 9 +3705 1 +3368 10 +136 1 +1154 8 +2478 10 +3323 2 +104 10 +932 7 +3100 8 +2465 5 +491 9 +1735 3 +1031 3 +2790 1 +1423 5 +2939 6 +1829 9 +1241 3 +386 4 +1934 8 +2883 9 +14 1 +686 2 +992 5 +3564 8 +551 10 +2074 3 +2344 1 +3593 9 +1103 6 +2668 6 +696 5 +4019 4 +1708 1 +2519 3 +3455 8 +28 4 +3639 8 +1977 7 +2429 5 +3549 7 +468 10 +2801 10 +848 7 +959 9 +2410 6 +3898 9 +2059 3 +1938 9 +3544 1 +3513 9 +1136 1 +302 4 +1589 7 +305 1 +3199 2 +847 4 +3900 6 +2632 6 +2193 6 +442 7 +3972 1 +3426 4 +1500 3 +1723 5 +2849 1 +2498 4 +3104 4 +3131 5 +1198 2 +1492 10 +2112 6 +1202 2 +2284 10 +1672 10 +3115 3 +2934 4 +990 4 +434 8 +3372 6 +1974 6 +2729 9 +3517 3 +2286 6 +1761 1 +3637 3 +3058 4 +1178 2 +985 4 +3 8 +939 6 +445 3 +1807 9 +2728 7 +1861 5 +2716 5 +3316 3 +2836 5 +174 3 +1190 4 +1061 9 +2375 6 +3599 9 +1048 3 +3021 8 +1421 5 +2090 10 +1289 6 +971 1 +3560 4 +1817 2 +3691 1 +2572 6 +1938 9 +576 6 +3178 3 +3265 6 +3747 6 +1332 1 +2812 9 +3574 5 +2033 7 +1103 4 +2806 4 +2506 5 +686 3 +3917 3 +350 5 +2609 6 +1906 7 +3969 10 +3419 10 +3338 10 +1448 9 +1050 3 +1080 5 +3620 4 +1286 10 +2202 5 +4079 7 +3722 3 +1210 7 +3678 2 +1323 7 +2341 7 +320 2 +3506 7 +649 4 +2993 5 +1165 6 +1384 9 +335 7 +2002 2 +302 7 +1502 1 +4049 9 +2628 3 +259 2 +2500 1 +2022 9 +541 9 +2910 2 +4089 6 +3356 1 +2474 9 +1941 2 +1025 2 +3026 10 +2314 6 +2102 6 +1122 7 +1833 10 +1692 1 +1372 3 +1302 4 +3883 10 +2310 9 +3151 9 +2447 2 +1205 5 +276 2 +2431 8 +611 3 +512 8 +1134 10 +758 2 +2418 6 +276 10 +2592 1 +1655 8 +2181 1 +3243 10 +2191 3 +455 4 +1130 5 +2880 8 +740 1 +635 6 +932 9 +3178 8 +1032 9 +89 6 +414 1 +730 9 +16 1 +3631 9 +1411 6 +2356 5 +2474 5 +3025 4 +3876 8 +2897 7 +957 5 +2621 6 +1568 8 +2610 8 +3253 7 +1169 1 +3292 4 +1035 2 +1417 5 +3613 10 +1063 5 +1779 7 +360 2 +208 3 +1014 7 +894 8 +2599 7 +4076 3 +3329 6 +2497 10 +1110 5 +803 8 +3322 10 +3100 7 +1921 8 +3077 2 +1052 7 +2808 5 +3802 9 +2708 9 +3412 1 +690 9 +2266 3 +112 3 +765 4 +3276 3 +3823 5 +181 9 +457 1 +299 6 +934 5 +3422 7 +3718 4 +1793 6 +3672 8 +2858 2 +3801 3 +1693 8 +3711 4 +2917 1 +291 6 +3209 1 +334 10 +3287 6 +626 5 +915 3 +2886 6 +236 3 +1390 10 +2523 8 +1386 10 +3340 2 +4047 7 +303 8 +230 2 +2390 8 +1983 5 +2897 2 +3922 3 +954 3 +3004 4 +3912 10 +393 1 +1768 3 +2783 2 +1522 6 +4055 8 +3429 6 +3884 2 +25 6 +3606 3 +3813 7 +2176 9 +2774 10 +2829 1 +2858 7 +3722 8 +1468 6 +1208 5 +3466 7 +446 2 +1824 4 +4056 8 +1036 5 +985 4 +2979 3 +3919 6 +479 3 +3896 5 +128 3 +2928 9 +1208 1 +1356 10 +928 10 +787 5 +3418 6 +421 8 +1985 3 +2218 3 +3452 1 +2255 3 +405 7 +3265 4 +2763 4 +641 10 +3202 1 +3754 8 +1949 3 +3120 10 +2017 9 +1932 9 +2302 9 +2060 9 +773 5 +3294 1 +2044 2 +2277 10 +3755 10 +3620 6 +69 6 +2237 4 +3696 3 +2141 7 +1698 7 +2629 7 +2951 1 +1211 8 +3830 3 +1858 3 +2153 10 +2512 9 +3088 10 +3996 3 +423 8 +584 7 +383 10 +2355 1 +2140 5 +954 4 +99 4 +1575 4 +2552 2 +405 4 +1175 10 +1124 10 +3839 8 +1711 6 +3475 8 +1104 5 +2724 4 +1185 4 +1081 9 +2892 8 +1177 10 +2260 8 +1362 1 +1979 3 +2161 4 +3940 7 +694 3 +254 1 +966 6 +3083 5 +920 6 +3555 6 +1233 6 +947 6 +3804 6 +1611 2 +951 1 +3524 10 +94 4 +3332 5 +3542 10 +152 7 +289 1 +539 9 +566 10 +3745 8 +2949 10 +2114 8 +2206 1 +364 5 +3081 4 +2286 9 +3450 1 +2703 10 +5 7 +1851 3 +2618 6 +1958 1 +550 3 +2220 3 +375 7 +3322 10 +3901 10 +2296 4 +732 1 +3721 8 +3064 1 +3315 5 +2066 10 +2566 7 +593 10 +36 10 +1177 2 +2225 9 +1485 8 +392 6 +3144 7 +2170 5 +2052 5 +1235 7 +801 2 +3439 1 +2565 9 +3646 7 +893 3 +1991 3 +2220 1 +1540 5 +1493 4 +3384 5 +1115 4 +488 6 +568 8 +1240 3 +4030 2 +3376 2 +3660 1 +2790 5 +3528 8 +1131 10 +1932 7 +2690 10 +3852 7 +2833 3 +785 1 +705 10 +2183 9 +3411 6 +2966 6 +2765 1 +3756 1 +199 4 +817 3 +3221 1 +1154 9 +1610 9 +1224 6 +3511 6 +3245 5 +75 3 +1353 8 +2848 7 +2353 4 +268 10 +374 8 +2591 9 +2501 8 +953 5 +2335 3 +1304 1 +407 1 +1556 9 +2965 3 +1263 7 +2258 4 +138 3 +1237 5 +1719 6 +1272 6 +1867 6 +3052 9 +2829 10 +515 10 +1874 9 +1699 8 +3351 2 +1303 10 +2853 9 +866 6 +3533 1 +895 2 +2287 9 +1954 9 +3352 9 +3760 2 +1026 9 +2074 6 +1529 4 +868 2 +3551 9 +3603 8 +1589 3 +2230 3 +1141 7 +3914 8 +3396 3 +1997 6 +898 10 +3176 8 +3063 7 +2957 5 +194 10 +2959 2 +1616 9 +686 1 +921 9 +2578 10 +3986 4 +2293 3 +2529 6 +722 7 +1783 3 +594 1 +2188 7 +1317 6 +992 1 +2754 3 +3113 7 +205 4 +3815 5 +3076 8 +1205 9 +1703 4 +3901 4 +1627 8 +2490 6 +524 4 +4031 10 +3070 1 +4004 9 +652 8 +891 8 +765 2 +248 9 +836 4 +2567 7 +1083 8 +1743 7 +3716 7 +2978 9 +2097 6 +3205 10 +310 4 +907 4 +2378 6 +85 3 +1268 1 +1250 4 +1745 4 +3608 4 +948 6 +3799 2 +552 4 +2391 9 +758 7 +2703 6 +2951 6 +2674 5 +3839 2 +1778 4 +3064 8 +2392 7 +1312 9 +798 6 +391 5 +3602 3 +1346 7 +2819 7 +3549 2 +476 8 +1661 5 +2335 8 +963 5 +3882 4 +2778 6 +521 9 +353 4 +1534 2 +3229 1 +2011 3 +3422 8 +757 9 +2851 1 +180 10 +584 10 +3797 4 +2092 8 +237 10 +2797 7 +3207 10 +3546 9 +1225 9 +282 3 +1545 2 +2111 7 +3439 1 +2231 5 +1814 3 +36 1 +1513 4 +1803 10 +2642 3 +2749 4 +3608 7 +2702 4 +1331 8 +3867 6 +883 3 +2695 6 +3879 1 +2200 10 +1720 4 +2801 5 +1463 1 +250 2 +3074 8 +1938 8 +115 3 +1161 5 +835 10 +962 7 +2543 10 +1828 7 +1488 7 +3860 1 +1497 2 +413 1 +3003 7 +3593 9 +3711 7 +1680 2 +2586 7 +3164 4 +1227 1 +2124 9 +2302 10 +541 7 +1123 7 +1261 10 +2938 9 +3420 3 +1604 3 +3772 10 +3921 10 +3518 4 +194 3 +456 2 +3212 4 +3898 5 +1158 7 +186 3 +449 3 +620 7 +330 8 +3579 1 +1214 2 +1598 2 +160 2 +3430 4 +2579 5 +2321 6 +3585 7 +1710 5 +4037 2 +3234 6 +3245 5 +3139 2 +2571 4 +536 9 +358 3 +378 8 +383 8 +1575 5 +432 5 +2731 1 +2298 2 +2600 1 +1525 5 +2324 9 +2883 4 +473 4 +934 3 +641 7 +3351 7 +1225 4 +1535 3 +2448 7 +3853 3 +1055 1 +2545 5 +3337 2 +1247 1 +2846 4 +681 2 +1495 2 +3803 4 +1023 7 +2533 8 +338 10 +3061 5 +2127 9 +1459 6 +99 7 +3569 7 +1724 8 +2816 4 +351 7 +2074 7 +193 5 +3012 7 +2078 6 +3269 10 +2182 1 +3485 10 +685 8 +2592 5 +2970 10 +170 1 +1314 7 +1342 7 +3914 8 +761 1 +3823 3 +2388 1 +3280 10 +2773 6 +3930 3 +1338 3 +895 8 +1576 3 +1445 8 +221 8 +415 6 +2915 1 +3712 2 +2374 6 +146 2 +333 10 +1369 1 +2909 10 +1699 4 +2560 8 +982 4 +716 3 +3109 4 +2823 5 +1810 2 +2582 8 +3314 3 +1875 4 +3040 1 +3229 7 +2454 6 +2690 4 +2880 4 +203 2 +3240 9 +639 6 +3636 10 +4025 5 +3986 3 +3159 8 +2873 1 +1798 1 +3724 2 +1942 6 +3947 2 +1767 8 +2916 3 +1358 8 +3242 4 +1710 2 +3440 9 +2958 4 +427 3 +1003 1 +2351 7 +2339 10 +3991 2 +3758 1 +3229 3 +2572 5 +297 4 +1987 4 +1033 4 +2941 10 +1582 1 +1775 7 +1510 1 +1216 8 +2154 6 +2178 5 +2009 10 +1887 8 +1090 10 +1213 9 +867 5 +1604 4 +3968 3 +2542 1 +156 1 +2056 6 +2008 4 +1882 1 +3508 5 +3603 10 +195 7 +226 7 +1070 8 +1523 3 +3067 10 +2665 6 +639 3 +3369 6 +3750 7 +1326 10 +3019 2 +261 2 +3191 7 +1692 9 +1403 1 +3822 8 +3 7 +1215 5 +2335 4 +52 3 +2325 8 +1872 2 +3000 4 +399 9 +962 4 +3591 5 +3366 9 +1774 8 +2512 10 +2805 7 +1001 4 +3962 8 +318 9 +2789 7 +3299 4 +1140 10 +1234 9 +1301 10 +2402 2 +2978 4 +494 1 +2857 9 +2856 8 +1970 1 +3511 8 +2335 6 +907 5 +730 5 +2194 2 +1785 10 +134 10 +4045 9 +872 5 +2925 5 +353 10 +3690 9 +3147 9 +2525 9 +1087 6 +2143 9 +1301 8 +76 9 +412 7 +2266 6 +2772 1 +1253 5 +2786 2 +186 10 +354 2 +3073 6 +1807 6 +2720 10 +496 5 +1936 9 +4044 9 +333 4 +3080 3 +2030 5 +831 8 +1824 10 +16 3 +3371 3 +3971 6 +1671 8 +183 10 +716 9 +144 3 +824 3 +1499 2 +288 7 +379 9 +2076 3 +1418 10 +3787 1 +549 2 +1904 1 +939 4 +1841 3 +2637 1 +1448 7 +420 1 +382 6 +2592 1 +2591 2 +1298 2 +2238 9 +3599 1 +2705 9 +3938 7 +2700 10 +2881 10 +3331 7 +1130 2 +3909 10 +2516 6 +1695 2 +196 7 +3700 1 +2510 7 +1838 8 +3886 8 +4041 7 +3904 4 +3272 8 +426 3 +3851 9 +1539 1 +2457 2 +2890 10 +968 9 +13 6 +613 3 +282 7 +1110 2 +2559 7 +1913 5 +153 5 +515 2 +2026 6 +2985 8 +144 3 +3929 4 +121 10 +478 6 +713 4 +1204 3 +2721 9 +171 7 +659 5 +3872 5 +719 4 +1651 4 +2765 3 +1370 10 +191 7 +3359 4 +3869 4 +900 6 +2104 2 +0 1 +3350 1 +2890 9 +305 7 +1997 7 +2885 8 +2138 9 +3940 2 +3704 8 +1379 9 +908 3 +1249 9 +1286 1 +1632 1 +2438 4 +2000 5 +1237 3 +1797 6 +3789 8 +111 4 +668 1 +3502 2 +1338 5 +1617 7 +4067 4 +2203 1 +2924 7 +2009 2 +1903 4 +3918 6 +1857 3 +1062 8 +2212 10 +1847 4 +671 8 +3686 1 +1788 1 +1578 10 +3501 1 +2554 1 +164 2 +2886 10 +1318 10 +570 5 +2649 10 +2581 4 +1103 4 +3748 7 +2504 5 +123 4 +3156 5 +1737 10 +1594 3 +667 3 +3426 7 +2117 10 +1626 9 +73 3 +933 7 +3979 9 +2199 3 +1885 2 +2168 2 +1276 8 +2458 7 +591 3 +1502 3 +2454 1 +471 2 +2918 1 +3221 4 +1135 8 +3922 4 +239 6 +723 6 +3865 8 +1145 2 +2640 7 +812 1 +3977 6 +2318 3 +558 8 +1479 7 +1248 9 +377 1 +2986 9 +3356 2 +2964 9 +2967 6 +3212 9 +2455 6 +3254 1 +933 1 +3326 3 +464 10 +4003 4 +3246 5 +2883 6 +3568 7 +3578 7 +3045 4 +1516 4 +3131 3 +2759 2 +2942 6 +1211 3 +3201 1 +333 1 +2319 6 +2033 10 +3489 6 +704 5 +1242 7 +1327 1 +1596 6 +19 3 +552 6 +4031 7 +4060 9 +3793 7 +978 3 +3817 1 +2194 4 +3677 6 +1684 10 +227 7 +2985 8 +2105 6 +3677 2 +1486 2 +3993 5 +1698 5 +3903 9 +2048 4 +568 10 +2101 2 +1272 4 +1358 10 +3457 10 +1460 7 +3763 3 +1066 8 +2459 2 +1117 5 +712 6 +4018 1 +425 8 +3698 5 +3277 2 +2648 8 +226 7 +1201 7 +158 8 +503 2 +1517 10 +803 9 +1582 4 +637 9 +3550 9 +2803 7 +2130 1 +2199 6 +1682 10 +3393 8 +352 8 +1107 2 +1994 8 +1894 7 +3787 2 +2311 9 +2262 3 +3517 1 +3867 9 +1868 2 +856 10 +4029 8 +2769 5 +253 1 +832 4 +3702 2 +468 9 +1984 8 +2524 1 +767 4 +2701 3 +4086 4 +660 4 +171 7 +221 7 +218 7 +2965 2 +3286 8 +1200 10 +3434 4 +1969 8 +1625 4 +501 10 +1701 9 +2440 7 +3201 1 +1870 8 +3934 4 +3252 9 +2169 2 +3959 5 +2629 4 +2557 10 +557 9 +817 3 +745 9 +3575 10 +651 10 +2591 5 +2432 1 +1689 9 +1173 7 +1743 5 +1163 3 +1320 3 +79 2 +1370 2 +2077 8 +3964 9 +1021 1 +1484 9 +1551 6 +3956 3 +2222 7 +3843 5 +347 10 +841 5 +3810 1 +3443 9 +9 2 +2063 9 +17 2 +3938 3 +1839 4 +1233 2 +2308 1 +2941 10 +1598 2 +1287 1 +79 3 +1377 4 +3143 7 +3366 2 +2660 2 +2225 10 +2731 3 +1070 5 +3581 7 +135 10 +1704 7 +1314 1 +1309 5 +3273 8 +3207 5 +4037 7 +1014 2 +3825 6 +2835 7 +3363 4 +3895 6 +2554 8 +1121 9 +3166 5 +211 6 +3249 2 +1744 10 +3165 10 +1191 2 +1054 10 +3828 10 +3761 3 +2625 4 +765 8 +3157 8 +3575 2 +3196 8 +577 10 +1460 7 +1447 5 +2756 6 +1208 7 +1100 5 +857 4 +1808 7 +4018 9 +2670 6 +3445 10 +3564 10 +3854 8 +3476 10 +2565 6 +1536 3 +3436 9 +2842 7 +423 3 +2912 7 +271 7 +1992 6 +883 5 +1287 6 +3277 1 +3560 9 +2265 3 +900 8 +2009 7 +2644 3 +1288 5 +2997 2 +329 2 +2344 4 +1900 5 +532 9 +2821 2 +3858 7 +1644 7 +2114 8 +848 10 +2820 6 +126 9 +2006 6 +2471 10 +3260 2 +2660 5 +3745 6 +1105 9 +3301 3 +2592 6 +3313 1 +1388 3 +2828 10 +138 2 +3765 6 +1882 3 +1552 10 +2130 8 +2421 1 +9 8 +1084 1 +1615 8 +2619 7 +1831 6 +3940 9 +1596 9 +1127 9 +1718 1 +814 5 +2365 9 +1654 4 +279 10 +3360 9 +4025 6 +224 1 +2529 2 +2277 10 +286 3 +1781 3 +2429 3 +98 1 +1214 4 +3920 2 +2300 6 +1818 10 +2490 1 +2674 10 +2767 4 +3042 9 +3007 1 +3082 6 +1264 6 +738 3 +2078 6 +3111 6 +10 3 +2939 3 +2420 9 +3298 6 +472 10 +3383 6 +3041 1 +557 2 +2520 5 +3695 4 +1487 10 +3723 3 +317 10 +3442 10 +574 8 +2151 7 +3178 1 +1727 9 +62 2 +3282 10 +564 6 +1492 6 +948 3 +3745 3 +3866 6 +749 6 +1150 2 +691 4 +1023 4 +2960 4 +173 2 +1170 5 +3284 7 +808 6 +4088 1 +2320 6 +1876 1 +1171 9 +3202 5 +1899 9 +3775 8 +1090 6 +881 1 +2486 9 +1102 10 +3164 2 +3261 7 +1200 3 +3738 10 +1250 3 +3947 10 +1409 3 +2226 5 +2599 8 +1847 3 +14 1 +587 8 +2833 8 +3511 8 +2348 5 +3814 3 +734 6 +1469 3 +3521 6 +1980 7 +2075 3 +675 3 +2818 3 +3436 7 +1169 10 +3998 8 +3268 4 +373 6 +2090 1 +1641 5 +1220 9 +502 3 +1103 1 +1907 6 +956 4 +112 10 +1229 6 +3587 4 +3008 10 +3458 5 +1991 3 +3781 9 +1335 1 +244 7 +1054 3 +1566 1 +1325 5 +3153 3 +4069 10 +318 1 +3883 6 +2088 9 +446 1 +2397 2 +2999 5 +3668 9 +2764 8 +1962 4 +2531 3 +348 4 +2445 2 +1730 2 +4070 9 +3439 9 +1430 7 +2819 7 +2225 4 +553 2 +2429 9 +1763 2 +503 4 +2692 7 +2899 1 +67 10 +3106 5 +2896 9 +66 2 +2111 3 +4061 7 +1103 8 +3469 3 +612 4 +1922 7 +300 8 +3712 10 +1386 9 +3626 4 +2924 3 +3897 4 +1149 5 +2435 6 +480 7 +2695 6 +1772 5 +1140 8 +2930 8 +2593 3 +252 10 +574 7 +648 2 +321 10 +3347 1 +391 7 +2755 6 +2834 8 +3028 8 +1339 10 +943 2 +3139 3 +193 7 +3133 6 +932 8 +135 3 +1621 4 +1837 6 +409 1 +3328 9 +3938 4 +2062 9 +3383 5 +3584 9 +1646 9 +3074 3 +1945 1 +734 10 +2558 5 +2644 4 +2850 1 +12 2 +1033 7 +1612 8 +3555 2 +3520 4 +1176 4 +254 3 +3906 6 +2661 10 +489 8 +3828 10 +2171 6 +2611 10 +1502 7 +622 5 +1913 4 +2033 6 +187 7 +1307 8 +3612 7 +2677 3 +1813 6 +3700 2 +99 1 +3300 6 +3535 7 +931 1 +3119 5 +3010 4 +78 6 +2386 7 +3633 5 +1706 7 +647 9 +669 9 +3026 4 +2259 3 +3716 3 +1337 5 +1813 8 +580 4 +3163 10 +2789 5 +3971 2 +1466 8 +1120 2 +2384 7 +4079 8 +1253 4 +428 5 +2713 10 +3247 3 +1031 1 +1398 6 +2114 6 +3392 3 +308 4 +1008 3 +655 7 +3483 8 +3 1 +418 8 +2071 4 +1238 2 +168 4 +642 4 +1031 6 +915 5 +742 4 +2101 2 +1627 9 +1593 4 +419 5 +1763 9 +77 6 +3463 6 +2740 4 +4000 2 +1192 5 +1959 2 +3150 8 +528 8 +1751 7 +1639 2 +2363 7 +277 8 +3790 7 +688 8 +1667 8 +1870 1 +3122 5 +795 7 +2150 9 +61 7 +44 4 +1213 7 +2420 3 +1355 4 +430 7 +1115 3 +3473 3 +2992 2 +2787 9 +789 6 +79 8 +786 6 +305 4 +1054 6 +2507 3 +197 7 +1296 1 +3126 2 +3274 1 +2143 3 +45 1 +4077 8 +1909 7 +304 4 +2444 5 +2457 3 +1388 5 +4003 3 +3304 7 +1671 9 +2554 6 +3080 2 +1004 8 +1610 9 +475 9 +597 9 +1984 3 +2096 9 +3046 4 +2725 6 +1141 10 +3287 10 +2049 10 +296 4 +439 2 +1424 10 +1080 9 +2884 5 +2767 1 +1619 3 +645 3 +2259 6 +866 5 +3559 10 +2414 9 +127 4 +1380 1 +1180 5 +2342 9 +467 2 +1500 7 +616 7 +2511 7 +1714 9 +828 3 +3509 4 +1002 2 +1340 7 +1886 10 +1203 3 +2010 2 +3289 10 +3963 4 +992 2 +1669 6 +1405 1 +3342 2 +1421 7 +2761 7 +459 4 +2007 5 +2400 5 +1601 4 +3057 2 +1086 9 +3956 2 +786 7 +1401 4 +1036 2 +1095 1 +258 10 +864 10 +402 6 +3880 7 +3268 2 +3968 6 +177 9 +450 1 +3520 9 +272 1 +474 4 +2195 8 +3049 4 +14 8 +1920 10 +964 7 +2409 10 +454 5 +716 1 +60 2 +280 10 +4013 10 +1825 2 +1639 10 +2149 10 +3730 4 +1631 4 +1600 1 +707 2 +765 3 +924 6 +2011 3 +3304 3 +3267 10 +1280 10 +2467 3 +3621 7 +2970 10 +3119 8 +834 1 +504 7 +2209 5 +1593 8 +2914 1 +2123 6 +2951 8 +3075 4 +3518 6 +2102 6 +1899 9 +2574 9 +4077 3 +1850 7 +3734 4 +2330 1 +2680 3 +1216 2 +3915 4 +3361 5 +358 3 +1317 8 +794 9 +1513 2 +2065 4 +3161 2 +893 1 +4062 7 +2286 7 +245 2 +4088 9 +3214 4 +4020 1 +1723 5 +1462 2 +2652 6 +2549 3 +144 8 +2646 2 +685 4 +3242 3 +2633 5 +2625 5 +2366 5 +2019 3 +3369 5 +1350 7 +4 3 +2019 10 +663 9 +2373 1 +160 10 +185 4 +215 1 +1706 3 +2565 1 +1158 1 +78 10 +2433 5 +1543 4 +1704 8 +3098 8 +832 7 +61 7 +433 7 +705 2 +837 3 +1622 3 +1025 1 +4074 2 +1897 6 +3598 2 +2113 2 +3735 5 +1622 10 +3517 5 +3540 1 +3656 6 +1388 8 +1985 7 +2284 2 +1937 1 +2800 5 +151 10 +3823 7 +2937 10 +3100 1 +2566 4 +1157 4 +1848 6 +3122 3 +2065 10 +2890 1 +869 5 +2450 1 +634 7 +661 8 +726 3 +3599 1 +1099 3 +2725 1 +1513 1 +1176 1 +3474 9 +3643 5 +627 1 +2773 4 +2173 4 +544 10 +2950 5 +1047 1 +2535 3 +2821 10 +3929 10 +3770 6 +477 1 +765 5 +3666 9 +1929 7 +715 10 +1941 4 +1299 9 +1912 7 +375 6 +1481 9 +774 1 +1516 5 +577 3 +1373 2 +2822 6 +3694 10 +3338 2 +1915 2 +2461 2 +673 7 +3165 6 +2635 5 +1900 5 +1264 7 +1580 5 +1310 8 +2815 1 +2053 2 +2750 7 +1522 5 +1601 5 +953 10 +3764 3 +4033 4 +3763 1 +3167 6 +630 10 +232 10 +3228 7 +3190 7 +1512 8 +274 4 +1299 5 +377 5 +1327 8 +860 5 +1489 3 +13 7 +1350 10 +3046 4 +3254 3 +1946 8 +2996 1 +395 7 +3068 6 +58 5 +2429 9 +1987 9 +2124 4 +2714 3 +3312 2 +153 7 +2558 3 +3051 3 +223 8 +2167 1 +2974 7 +3793 10 +918 6 +479 6 +3151 3 +2875 1 +3343 8 +132 4 +2995 1 +3006 9 +180 10 +3996 4 +3742 3 +3899 10 +3751 6 +2976 3 +1914 9 +183 2 +3004 5 +579 3 +766 7 +3381 7 +2072 9 +1223 8 +1063 1 +3020 5 +3778 4 +4055 2 +1371 4 +3756 4 +588 3 +328 3 +147 3 +2082 10 +1860 10 +3077 8 +2936 10 +3445 9 +2795 7 +3513 5 +2763 7 +73 2 +1480 7 +1475 5 +966 7 +2178 7 +4075 8 +3541 5 +3507 3 +2097 4 +1313 2 +2648 10 +3037 3 +668 3 +3828 3 +1366 9 +899 5 +1948 10 +1540 3 +2020 1 +1136 4 +3771 3 +3581 3 +1604 9 +3648 9 +3838 9 +3980 1 +100 5 +3022 9 +2117 3 +1617 2 +1856 4 +8 4 +4057 6 +2708 6 +3392 1 +764 3 +3595 5 +2560 3 +3670 2 +456 6 +542 3 +2333 3 +1134 7 +3643 3 +2835 6 +1091 2 +1616 2 +1525 2 +2960 5 +1424 1 +762 10 +2380 1 +1932 3 +377 3 +703 2 +2384 3 +1916 7 +429 7 +1986 10 +1064 4 +3871 3 +947 10 +1510 7 +1722 5 +3972 6 +442 7 +2630 4 +3923 9 +701 4 +878 2 +2700 1 +609 10 +2911 4 +2702 4 +925 9 +2769 9 +268 6 +113 8 +923 8 +1044 2 +1163 6 +3896 8 +1770 6 +343 6 +785 8 +102 7 +3757 6 +2902 3 +2140 2 +2897 1 +1369 7 +853 4 +3715 3 +3842 10 +2289 2 +3955 8 +1795 5 +2428 6 +212 1 +348 5 +368 3 +2240 3 +956 4 +3489 8 +1081 3 +1098 7 +2015 5 +147 8 +4028 2 +1067 8 +187 9 +1350 6 +1201 1 +2986 1 +2236 10 +722 3 +1902 6 +2518 1 +11 1 +407 7 +718 3 +3125 6 +1605 9 +1577 2 +1349 5 +899 7 +3277 4 +188 6 +2315 9 +2535 8 +2148 8 +2422 9 +93 10 +3583 2 +147 8 +507 7 +1484 5 +2812 6 +1520 6 +1901 10 +475 8 +1402 4 +1454 3 +2988 10 +2328 10 +2863 5 +1956 5 +1655 8 +988 3 +421 3 +3287 9 +3223 7 +2255 3 +1825 5 +2010 6 +2240 7 +2655 1 +38 4 +968 10 +3451 10 +759 1 +1362 7 +421 5 +1943 8 +1099 3 +1756 10 +513 7 +3683 10 +2108 9 +1000 7 +1072 3 +2710 6 +3839 1 +3884 9 +2408 8 +3533 10 +2453 7 +1253 3 +130 5 +280 7 +3464 3 +1994 6 +105 6 +3473 2 +1407 1 +3019 9 +1820 7 +3278 9 +16 8 +81 1 +1135 10 +2509 7 +2685 4 +1252 3 +585 1 +526 8 +1689 4 +3582 9 +350 7 +2432 4 +683 2 +437 6 +2594 4 +1520 5 +4041 9 +612 9 +2342 1 +2657 7 +1893 3 +528 1 +657 3 +1296 9 +4046 9 +1828 4 +2444 3 +1655 7 +175 9 +648 6 +1541 5 +2987 10 +944 1 +3777 2 +691 1 +1904 6 +1786 8 +1663 6 +1423 7 +597 7 +480 3 +2398 2 +417 10 +2610 9 +3464 7 +593 6 +2428 6 +2220 10 +317 6 +1135 7 +2762 3 +1943 4 +1736 3 +975 1 +14 6 +3681 6 +633 6 +2505 4 +3971 5 +2618 10 +3902 10 +618 2 +3249 9 +495 4 +4030 3 +86 7 +3327 2 +28 6 +94 4 +1717 5 +783 8 +2521 10 +4018 8 +2156 5 +1331 10 +958 2 +3362 3 +3351 2 +381 7 +114 1 +1805 7 +1903 2 +2663 9 +2542 3 +283 1 +3931 7 +1115 3 +563 3 +2584 8 +1400 6 +3584 5 +2605 10 +3338 8 +4029 5 +1157 1 +1828 3 +1982 2 +2276 6 +1531 4 +626 6 +181 7 +3734 5 +140 1 +2835 1 +3805 7 +3094 8 +2553 10 +1948 1 +69 1 +732 5 +786 2 +2152 4 +3992 10 +2884 9 +611 8 +2053 1 +3132 1 +159 6 +3376 4 +3846 2 +2703 1 +2660 4 +583 8 +3563 3 +3421 5 +2081 8 +1372 8 +3802 7 +3927 2 +1332 1 +401 10 +3164 10 +640 6 +665 6 +3261 4 +1292 4 +2037 10 +297 8 +607 7 +2218 8 +3101 1 +298 5 +709 3 +472 3 +1995 1 +1475 7 +3289 2 +1152 10 +188 1 +2554 3 +2655 8 +388 5 +386 3 +3997 5 +933 9 +2941 1 +4047 3 +85 8 +3850 5 +1757 3 +3920 3 +1611 4 +1817 6 +2138 1 +2944 4 +244 3 +3902 4 +93 8 +1614 9 +1851 3 +621 9 +3211 9 +503 4 +3034 3 +2328 6 +4021 9 +1839 6 +221 8 +908 9 +2417 7 +819 7 +590 8 +1940 1 +1652 1 +3750 3 +191 3 +2247 8 +167 3 +1034 5 +34 9 +295 5 +1149 7 +1762 6 +1853 1 +2450 5 +1682 3 +369 7 +3726 1 +613 4 +3931 5 +2214 3 +303 7 +1091 2 +642 5 +1675 8 +743 4 +1176 5 +2579 1 +2473 5 +3862 3 +3672 1 +1129 8 +1191 6 +3790 3 +2537 10 +1950 3 +2653 6 +3653 3 +1212 4 +1082 10 +147 5 +1468 5 +730 6 +2640 1 +335 7 +2568 8 +2719 1 +689 3 +686 3 +2145 6 +50 8 +2911 8 +3260 5 +3244 5 +3703 1 +577 8 +2192 6 +1459 7 +759 10 +2185 10 +895 6 +3981 4 +1420 3 +2161 5 +2529 7 +2943 10 +778 3 +828 10 +4087 7 +2416 8 +692 2 +3985 6 +395 6 +3628 10 +3951 7 +3089 8 +2571 2 +2867 2 +982 5 +1022 1 +442 4 +2390 2 +3345 1 +308 2 +3818 7 +3433 6 +3896 1 +694 6 +3157 2 +2557 7 +2151 9 +2786 1 +751 8 +371 7 +4051 2 +1717 5 +439 4 +2833 3 +3278 8 +1070 4 +459 2 +2349 3 +46 7 +588 4 +539 3 +3371 6 +1310 8 +2531 5 +2075 1 +2766 2 +3242 8 +3066 4 +2900 10 +3021 3 +7 6 +3311 6 +2171 8 +3750 1 +1550 1 +756 1 +1849 1 +2649 6 +1134 10 +2693 2 +52 3 +2004 3 +1782 10 +3076 2 +1586 7 +3650 9 +1705 5 +3287 9 +2025 8 +1077 9 +2233 3 +1816 2 +1850 7 +273 1 +3458 9 +2606 6 +83 2 +2657 10 +2486 4 +4052 7 +2874 10 +520 4 +2485 6 +2587 7 +3806 7 +4024 4 +3391 10 +2760 6 +3009 2 +144 3 +1414 5 +3565 8 +3128 9 +3192 7 +3333 8 +318 1 +3937 7 +2027 2 +951 10 +2610 9 +1260 10 +3343 9 +3218 6 +3079 9 +1587 3 +1032 5 +658 8 +868 10 +1085 10 +749 5 +4028 6 +1029 3 +3979 10 +4001 9 +1181 8 +1281 6 +320 5 +68 4 +4085 2 +1857 3 +3240 1 +1193 2 +525 5 +3535 7 +2438 6 +1771 9 +2812 1 +3815 8 +144 2 +366 6 +1847 2 +1434 3 +3170 6 +76 1 +3522 7 +3703 1 +2016 10 +1516 1 +1804 2 +1727 8 +2682 6 +2672 5 +687 1 +442 2 +314 4 +2553 3 +2489 5 +1319 9 +2001 2 +2297 1 +935 8 +3378 6 +2472 4 +1358 4 +1640 4 +1958 10 +1719 7 +32 9 +3620 10 +2455 9 +1186 8 +3283 8 +1937 2 +2787 3 +2208 6 +1680 8 +3348 5 +886 5 +213 10 +3651 6 +2328 5 +3140 1 +783 1 +3679 2 +486 3 +3997 6 +2420 2 +3116 7 +2596 6 +651 1 +594 8 +1197 5 +1954 5 +2844 1 +2550 5 +311 2 +3818 4 +3099 7 +4072 10 +4085 9 +1618 9 +2572 6 +3031 8 +43 10 +224 9 +1515 2 +1248 2 +3187 6 +2950 8 +3835 5 +2238 4 +4030 2 +2980 10 +2152 8 +1105 5 +1238 5 +3564 10 +1892 3 +1019 10 +1351 8 +2964 9 +2191 9 +4058 7 +1366 7 +1843 10 +2136 7 +210 2 +1870 3 +2307 8 +1405 4 +2098 2 +420 3 +3166 9 +3400 1 +2946 9 +1210 6 +850 6 +906 3 +256 10 +2817 7 +2319 10 +1367 8 +717 5 +149 4 +1035 4 +964 3 +1747 2 +3494 1 +3187 1 +1071 8 +2716 1 +319 4 +3392 7 +851 2 +1355 4 +1907 2 +385 8 +958 1 +933 2 +4004 7 +306 9 +637 4 +2620 10 +131 8 +3138 7 +3146 5 +3665 10 +342 1 +2361 7 +3332 7 +1153 4 +1208 3 +3453 5 +2285 2 +1692 9 +1565 9 +3932 5 +1129 2 +1282 4 +1323 7 +194 6 +1363 1 +1288 3 +4087 2 +3244 2 +1408 4 +3278 9 +3000 8 +84 3 +3788 6 +3777 9 +352 6 +3082 2 +3815 8 +401 3 +278 7 +1410 6 +736 4 +1051 2 +2683 8 +2580 3 +2862 5 +769 5 +3626 5 +4006 7 +618 5 +1977 1 +771 7 +4026 8 +3212 4 +1323 5 +2699 8 +3683 7 +4081 10 +4042 10 +2115 5 +2221 1 +1006 6 +3965 7 +1466 5 +2782 7 +3883 10 +465 3 +3280 1 +1152 10 +557 9 +1061 10 +1181 1 +1449 3 +2154 9 +3221 10 +108 6 +1115 10 +2308 6 +287 8 +1775 5 +1918 5 +978 6 +1054 9 +848 9 +1469 8 +729 4 +2086 4 +2710 6 +3468 2 +2673 3 +676 9 +3198 4 +985 1 +3647 8 +1025 7 +1461 5 +3741 7 +3780 10 +2885 8 +37 9 +3114 1 +1704 4 +2775 3 +3515 7 +2277 9 +2176 1 +3196 7 +3231 10 +2693 4 +2855 8 +1774 1 +3426 6 +1097 9 +2088 8 +178 1 +405 4 +3199 9 +2633 8 +1241 1 +3782 8 +3637 2 +2732 9 +1295 10 +2952 8 +585 2 +1605 6 +1753 6 +3015 5 +184 5 +872 3 +4030 4 +1418 5 +2318 7 +3813 7 +2012 6 +574 7 +2574 10 +2898 2 +1492 3 +3735 7 +2882 9 +1446 10 +1671 10 +1146 3 +2947 5 +3150 8 +2796 4 +872 8 +3915 10 +2135 5 +1806 4 +3748 9 +3824 10 +3866 4 +2236 9 +3597 7 +3421 7 +608 9 +227 10 +1735 10 +674 10 +2621 8 +2742 8 +2056 7 +3717 3 +2211 10 +2546 2 +2210 6 +2756 5 +268 3 +2698 2 +276 4 +424 4 +3217 3 +221 2 +660 7 +1626 4 +2158 10 +324 7 +609 3 +3056 1 +2207 8 +1253 7 +1224 3 +2636 9 +3560 6 +3137 7 +3178 5 +3879 3 +2797 5 +2394 9 +550 8 +3610 2 +384 7 +1668 7 +3456 4 +1876 5 +1874 1 +1244 8 +3161 6 +1389 6 +1097 7 +743 3 +3599 9 +2129 2 +3620 7 +858 3 +3993 4 +1686 6 +2561 3 +3456 7 +656 6 +3245 1 +734 5 +849 1 +897 2 +2861 1 +1711 8 +1549 3 +1081 3 +1208 6 +4063 3 +66 8 +2047 7 +2031 6 +704 3 +1293 5 +2441 8 +2816 9 +3667 10 +709 10 +1702 8 +3080 9 +389 7 +1949 1 +3887 7 +1712 2 +3273 10 +3876 2 +1662 6 +117 10 +923 6 +193 2 +3301 10 +3128 6 +2148 5 +2265 6 +3990 7 +2615 3 +3310 7 +2775 5 +3110 10 +2657 9 +1001 7 +1065 1 +1793 7 +533 9 +2986 6 +2089 9 +26 3 +2384 5 +3568 8 +969 2 +3062 3 +2330 6 +661 8 +956 7 +1684 3 +448 4 +2293 1 +2192 8 +3401 1 +1961 2 +869 3 +132 9 +902 9 +3533 6 +2493 5 +2162 2 +1644 7 +2240 1 +2152 6 +1617 9 +1023 5 +1934 10 +3861 3 +2532 1 +2440 2 +3584 6 +1317 1 +1939 8 +931 7 +125 4 +2073 4 +1806 3 +2377 2 +2070 3 +532 2 +741 10 +1092 6 +2350 1 +455 5 +2687 1 +2664 4 +3497 4 +1812 6 +1456 1 +394 8 +3347 3 +937 10 +3880 2 +1317 9 +3140 4 +300 8 +397 1 +2059 5 +2476 9 +1608 1 +3288 5 +2640 2 +1757 3 +2641 6 +2603 5 +2545 2 +3159 9 +3387 7 +3987 8 +1645 5 +2049 1 +2995 1 +1532 1 +2478 3 +2599 7 +3035 2 +768 6 +525 2 +3308 10 +246 9 +1723 5 +2727 9 +518 9 +1222 5 +677 2 +1196 2 +1824 3 +3310 4 +1129 5 +2665 2 +2004 6 +862 2 +1190 2 +2075 5 +2657 9 +2618 7 +3337 7 +3113 9 +1970 4 +1988 1 +863 8 +2625 10 +147 9 +1395 6 +2187 2 +1039 5 +1843 6 +1805 10 +1913 1 +2793 9 +2420 3 +1987 7 +1233 8 +3491 4 +3761 6 +2967 2 +443 3 +1502 6 +1586 10 +99 9 +2373 7 +3045 2 +945 7 +1145 2 +658 8 +682 2 +2717 2 +3663 1 +3178 1 +1558 10 +3148 3 +1159 2 +968 8 +3862 8 +2476 6 +63 9 +142 7 +2412 3 +2505 3 +4079 7 +3113 9 +1160 8 +1234 5 +2604 6 +3123 4 +366 3 +2954 1 +2298 9 +3526 7 +3071 2 +1579 2 +3108 10 +341 10 +3385 8 +3201 2 +4024 3 +3989 1 +2840 3 +803 10 +1698 8 +1100 10 +2982 9 +1657 7 +3584 8 +3626 3 +1983 1 +1765 10 +3843 4 +3101 10 +2972 8 +1692 9 +1874 4 +188 2 +1425 10 +2366 2 +3314 1 +2063 9 +2354 1 +2565 4 +1190 10 +3072 7 +945 6 +2670 10 +102 3 +3070 7 +1750 5 +506 8 +3060 2 +3108 9 +40 10 +1995 4 +2963 2 +217 6 +1585 5 +661 5 +769 4 +3476 9 +1583 7 +128 4 +1154 1 +3485 3 +276 2 +2850 4 +4026 9 +1551 8 +3113 3 +1887 5 +1895 7 +653 4 +960 4 +4060 9 +2873 8 +1374 3 +2762 2 +2336 5 +2954 4 +3048 7 +3791 9 +2818 3 +2544 9 +2364 6 +1081 8 +1369 3 +2397 9 +3635 8 +3219 2 +1811 4 +1532 2 +2492 4 +229 9 +1725 8 +1608 8 +257 2 +486 9 +2756 5 +212 8 +3191 9 +1855 4 +3752 8 +2958 4 +1134 3 +3533 3 +1951 10 +2131 4 +2610 9 +2919 4 +3949 2 +3292 2 +1456 1 +2276 4 +3196 5 +3501 8 +1020 10 +1175 5 +3252 3 +3757 5 +2920 4 +1755 9 +410 3 +605 7 +1207 8 +2536 5 +3803 4 +972 9 +259 6 +2712 3 +1886 6 +1610 10 +3107 5 +100 10 +1551 1 +2627 8 +876 6 +979 1 +3767 7 +1682 4 +3011 10 +1346 3 +4060 2 +749 2 +985 4 +1607 7 +2158 9 +219 10 +1320 10 +989 8 +2288 9 +4002 9 +3639 3 +2251 6 +108 8 +3571 5 +1871 4 +1798 8 +3303 9 +830 10 +204 5 +2710 4 +690 1 +871 2 +3513 7 +1718 6 +1493 8 +2766 2 +2847 7 +3304 4 +1122 5 +4016 9 +3035 3 +3626 7 +1202 3 +2422 2 +2267 9 +2837 2 +1253 10 +2135 4 +2592 7 +895 1 +497 7 +258 8 +2515 9 +3309 7 +1945 10 +279 7 +807 7 +750 4 +2745 7 +3154 2 +1091 1 +55 6 +3749 2 +2469 8 +1771 1 +434 8 +935 8 +3013 3 +241 10 +343 3 +3839 7 +2967 4 +2877 9 +729 1 +2844 2 +1627 1 +1805 6 +355 3 +3715 3 +3513 3 +294 4 +3911 8 +1748 6 +3890 10 +1027 1 +3646 7 +1210 8 +3549 3 +882 4 +2439 8 +3578 7 +606 3 +3881 6 +2532 6 +1396 8 +3425 10 +778 8 +3003 10 +1838 10 +1596 5 +416 8 +2314 4 +2755 9 +2133 6 +3384 1 +3039 10 +2575 8 +93 7 +134 10 +2137 3 +1431 2 +1299 6 +1745 8 +943 5 +496 2 +394 1 +0 8 +693 5 +3931 3 +3976 10 +3829 10 +3181 7 +1338 5 +3057 10 +2894 9 +2043 1 +3121 10 +2248 10 +1188 8 +265 8 +3422 3 +3565 4 +649 9 +2980 1 +2923 4 +3570 8 +357 3 +442 4 +1470 4 +2726 10 +4003 8 +1331 5 +3786 7 +2368 3 +3113 8 +902 3 +426 8 +1570 10 +1944 2 +4049 7 +3548 1 +728 5 +1047 7 +3482 10 +2645 2 +928 9 +1986 1 +209 3 +2623 2 +3860 4 +1380 8 +4026 7 +3918 5 +1051 10 +3944 3 +3250 2 +694 10 +402 6 +1707 7 +4037 10 +1283 5 +1261 1 +104 10 +2859 1 +1262 7 +3877 8 +466 8 +122 1 +3346 9 +3570 8 +1921 8 +3987 3 +1670 10 +2598 2 +3718 10 +2091 5 +3745 9 +1009 5 +2823 3 +2506 3 +2945 4 +1941 1 +2372 4 +833 7 +2509 4 +3358 1 +401 7 +3688 8 +3441 4 +306 9 +3991 7 +1636 5 +789 9 +3662 6 +728 2 +3376 3 +2619 7 +3994 8 +3485 1 +1844 4 +2819 3 +1027 9 +1267 7 +2068 4 +1659 6 +1878 4 +3620 8 +778 3 +3801 8 +1354 1 +1967 5 +3829 7 +1123 5 +3990 9 +3199 3 +2923 3 +1366 1 +3516 10 +1228 4 +1367 4 +3435 7 +1213 4 +564 7 +3668 7 +1730 5 +2317 6 +1688 4 +1647 1 +3429 6 +1080 4 +721 1 +1795 8 +3204 9 +3529 8 +581 3 +1833 6 +2435 2 +3641 4 +3085 9 +1569 6 +2799 6 +1389 7 +418 7 +3103 6 +2438 6 +3126 5 +501 9 +2675 9 +750 1 +504 3 +372 10 +1741 4 +3746 6 +4075 10 +2654 8 +622 10 +633 5 +2107 10 +869 3 +66 3 +1724 8 +2734 7 +3801 2 +414 8 +2164 1 +2812 2 +396 9 +2526 7 +3088 1 +277 4 +3455 4 +2535 8 +3039 6 +2670 3 +762 3 +2842 4 +3746 1 +1691 4 +429 4 +3319 2 +192 3 +3180 4 +3633 10 +1232 10 +2420 2 +622 8 +1721 1 +3665 8 +2476 1 +2432 5 +2419 7 +1778 6 +2852 8 +3101 5 +948 2 +1896 6 +311 7 +3321 8 +1686 3 +3126 2 +2589 5 +3920 6 +3499 3 +404 2 +1581 6 +3045 4 +3363 4 +481 5 +3439 8 +2868 8 +2306 2 +1331 1 +1352 4 +797 3 +2136 7 +2222 5 +1796 10 +1541 3 +144 7 +3522 1 +3608 5 +3480 2 +423 9 +1621 1 +3896 3 +614 8 +610 10 +975 6 +287 5 +1651 6 +4087 7 +1979 10 +1406 3 +2786 2 +2682 8 +901 6 +2356 1 +1623 9 +1311 10 +3431 6 +873 7 +2216 4 +3918 1 +3801 4 +2766 8 +4005 10 +767 1 +1933 2 +1532 3 +79 5 +2101 3 +2366 8 +412 6 +2925 9 +3375 3 +1773 4 +4009 8 +3572 8 +1512 5 +1188 2 +3942 7 +3366 6 +1544 6 +3548 10 +340 1 +678 6 +3557 6 +922 6 +3996 5 +1672 7 +1910 5 +1099 2 +3570 9 +4029 2 +3950 6 +1599 10 +1841 9 +3785 5 +3981 6 +3063 9 +986 9 +347 10 +1832 5 +2273 1 +2509 8 +2470 5 +2067 10 +719 6 +1269 8 +2941 1 +4031 7 +3032 3 +2822 5 +916 7 +1781 4 +2107 1 +3950 2 +2227 7 +3153 4 +610 5 +913 3 +403 6 +340 7 +3573 10 +1325 9 +881 7 +3903 4 +799 9 +1249 8 +2114 3 +3648 1 +4076 4 +3782 10 +68 6 +3936 9 +2202 9 +3932 4 +1467 4 +2978 5 +476 4 +222 9 +2747 1 +1227 9 +1823 8 +2387 10 +1440 4 +2887 10 +943 4 +875 5 +1401 1 +1615 10 +3520 2 +2384 10 +2884 8 +3669 5 +2387 9 +164 6 +172 3 +2510 2 +2926 9 +3235 6 +1881 5 +1950 7 +3728 6 +1128 1 +417 6 +836 6 +149 7 +1300 6 +3946 8 +86 10 +3291 8 +1233 3 +3856 1 +3118 1 +1761 1 +430 5 +938 6 +297 4 +1548 1 +2995 4 +1048 3 +3783 5 +3499 7 +3868 2 +2272 10 +4007 10 +3906 10 +309 3 +1660 10 +2925 3 +2792 7 +773 4 +3786 1 +3468 5 +2748 1 +1680 6 +978 7 +815 5 +1632 6 +291 9 +3937 1 +1277 1 +4071 2 +3781 5 +1858 3 +399 6 +1108 8 +3145 6 +2173 9 +3652 6 +1588 4 +1241 7 +2724 5 +2344 6 +279 2 +2602 8 +588 9 +3281 5 +742 2 +3824 3 +2506 9 +60 4 +2815 4 +3679 1 +2121 7 +755 9 +3033 1 +1025 3 +1265 10 +1513 2 +1802 3 +2800 9 +1695 1 +229 10 +466 1 +126 8 +4027 5 +943 7 +4066 8 +2329 5 +3925 2 +3970 6 +553 4 +3589 3 +1504 10 +939 2 +829 8 +3608 4 +3197 9 +1613 4 +2219 3 +2744 10 +296 7 +3970 6 +3902 5 +1915 2 +3423 4 +3305 9 +3303 9 +1819 5 +3765 3 +509 6 +1146 9 +2902 6 +4035 4 +950 9 +1946 7 +3092 3 +397 3 +2952 4 +870 7 +3611 6 +2213 10 +2894 3 +540 8 +1944 3 +1879 8 +2040 4 +1552 10 +2498 2 +823 4 +452 8 +3351 1 +3025 7 +3241 5 +2244 7 +3168 4 +2072 6 +195 5 +880 6 +1257 7 +3455 2 +504 7 +1848 2 +2660 4 +2317 8 +1884 3 +225 4 +1809 10 +552 5 +1112 5 +340 8 +3021 2 +3084 3 +2140 6 +519 8 +1879 2 +2878 5 +1785 10 +1589 2 +1259 2 +3609 5 +2048 10 +2345 10 +670 8 +3944 6 +1773 9 +1612 7 +4076 4 +2856 9 +332 9 +2127 8 +1091 2 +3606 6 +751 3 +4036 9 +3866 9 +1326 3 +1120 9 +3361 8 +417 6 +1075 2 +1459 6 +1269 5 +3602 5 +2276 1 +678 3 +3846 9 +206 3 +1592 5 +1677 4 +2752 4 +2158 1 +2350 6 +2931 8 +2294 1 +1215 1 +363 3 +1423 3 +1526 10 +199 1 +3893 7 +3443 1 +2004 1 +1796 3 +292 9 +3030 5 +1002 7 +1657 4 +717 4 +1567 3 +663 8 +4037 10 +1253 9 +2510 4 +1699 4 +2198 6 +202 8 +777 10 +3846 3 +2196 5 +2910 2 +2246 9 +3640 9 +1491 5 +1503 10 +1670 4 +344 7 +3988 9 +1347 2 +502 10 +2808 3 +3885 5 +2786 2 +267 3 +3512 3 +3211 10 +491 9 +2175 7 +2833 3 +3513 6 +3403 9 +973 10 +1560 3 +734 4 +533 2 +1839 1 +1926 4 +2975 1 +2156 3 +3377 2 +2299 4 +666 3 +3981 2 +2857 6 +627 6 +34 7 +3789 7 +2067 3 +751 6 +2819 9 +1311 9 +1113 5 +2389 1 +2600 9 +1820 6 +1090 9 +3392 3 +2987 2 +2031 2 +2522 2 +4004 1 +151 2 +3816 3 +2188 6 +2184 7 +540 2 +2076 6 +3861 10 +3289 2 +1024 6 +2344 1 +1880 4 +1704 3 +395 2 +3616 3 +3136 4 +2388 5 +3016 3 +3086 8 +2745 3 +2143 7 +1009 9 +3566 9 +155 8 +330 4 +3616 3 +2777 5 +34 7 +3824 2 +58 3 +1069 9 +1959 6 +1326 10 +121 1 +39 2 +708 8 +433 3 +2002 3 +1537 9 +459 1 +2062 1 +2212 1 +1689 2 +301 8 +785 9 +1777 4 +2689 4 +2614 5 +3668 7 +3096 8 +433 3 +3618 1 +902 10 +760 3 +1181 10 +570 1 +3705 6 +2119 1 +2040 7 +75 9 +945 8 +1652 2 +261 4 +1925 5 +400 1 +1630 4 +3873 6 +3964 3 +3633 10 +2434 6 +3058 9 +437 2 +1939 4 +1577 1 +585 5 +3775 1 +3825 3 +3629 7 +98 3 +593 10 +2123 9 +2668 9 +1845 8 +440 6 +3140 4 +1397 8 +2796 6 +1974 10 +2409 7 +1383 6 +3167 9 +3146 2 +3175 1 +2007 2 +4083 2 +782 9 +2423 7 +41 5 +2687 9 +1083 5 +2213 6 +1865 10 +1077 2 +770 4 +3067 1 +2747 3 +3136 5 +2861 7 +2093 4 +3547 4 +3509 10 +3388 2 +3252 6 +2245 10 +2690 1 +915 7 +2760 2 +2304 10 +1416 3 +1226 10 +2056 7 +371 4 +1700 4 +1080 3 +722 8 +1133 4 +1915 7 +22 8 +368 2 +1223 5 +513 3 +216 5 +923 10 +4081 6 +1186 7 +2072 10 +335 2 +3573 6 +1543 8 +1825 3 +110 10 +2327 1 +1010 6 +1954 4 +3420 2 +1862 4 +3075 10 +2937 9 +3747 3 +322 2 +2944 9 +3751 6 +2462 10 +3596 9 +686 8 +2853 1 +2072 1 +2941 9 +513 10 +3508 4 +419 3 +1327 2 +1594 10 +3150 7 +3013 1 +3214 3 +2671 5 +3782 10 +3802 1 +3958 10 +3795 6 +1522 6 +1401 4 +220 6 +2269 10 +3654 3 +755 1 +1803 6 +3780 2 +194 4 +4057 1 +2433 1 +856 7 +3131 5 +3963 6 +1949 6 +1643 7 +3594 6 +342 10 +3132 8 +1849 3 +2588 5 +3774 9 +186 9 +2446 4 +162 3 +1681 8 +320 1 +473 5 +1648 8 +809 5 +1421 6 +1656 7 +2678 4 +3269 2 +2563 7 +669 4 +921 2 +3819 10 +1546 6 +2286 9 +381 3 +3492 5 +1230 3 +195 4 +3236 9 +631 6 +1848 8 +2904 2 +3668 2 +1794 8 +3286 1 +3144 4 +1830 7 +1039 8 +3926 6 +3408 5 +605 1 +3806 10 +2356 3 +2266 1 +1520 5 +702 8 +380 3 +122 7 +1726 4 +1139 4 +3062 3 +2496 7 +3760 10 +211 6 +2970 4 +1211 3 +2315 9 +2739 6 +1137 9 +1725 6 +3946 4 +3446 10 +1218 10 +3736 5 +3246 1 +3816 7 +3051 6 +340 3 +3934 8 +2177 8 +963 4 +1978 9 +1076 5 +3329 7 +2824 6 +900 7 +1077 7 +591 5 +809 5 +1175 2 +598 2 +3882 2 +1753 1 +3796 1 +2958 7 +2551 5 +2574 7 +2240 1 +578 1 +2462 10 +2082 9 +4043 2 +489 4 +2008 7 +3176 4 +2675 9 +3178 2 +1655 7 +3293 3 +433 6 +3353 2 +2230 7 +179 5 +2290 5 +69 9 +2822 4 +908 1 +1488 3 +103 1 +1803 10 +3633 5 +1447 5 +1165 2 +414 5 +3311 5 +1882 8 +3396 10 +2937 9 +1823 2 +1895 2 +3746 9 +1409 3 +677 4 +266 6 +2961 3 +3229 2 +284 10 +510 5 +1385 4 +1105 9 +1481 10 +2218 7 +3113 9 +1185 10 +481 4 +3427 4 +859 5 +3885 8 +2238 5 +1933 10 +3188 7 +3824 2 +3712 2 +3336 7 +1127 8 +3648 5 +2894 9 +1370 4 +2276 2 +2952 6 +3528 10 +3977 6 +3714 3 +255 3 +1946 4 +2867 10 +978 8 +3391 1 +3137 6 +3584 6 +3170 1 +1441 6 +3988 2 +68 1 +2842 1 +2574 5 +525 10 +2742 6 +873 7 +3436 9 +836 2 +1320 4 +298 4 +3559 1 +3008 2 +2519 5 +649 2 +3098 6 +1217 9 +430 4 +508 3 +3641 8 +2941 8 +1172 7 +3938 8 +987 10 +2640 7 +2175 7 +1589 1 +3858 6 +1799 7 +2386 5 +2921 5 +229 9 +1875 8 +3662 5 +3382 5 +1457 8 +2667 1 +1020 4 +1529 8 +2273 3 +3537 9 +2486 3 +3058 8 +3500 4 +3907 2 +4023 8 +2301 5 +875 10 +853 4 +1284 10 +1577 7 +568 2 +3351 9 +3747 8 +1624 8 +3734 1 +1924 2 +453 5 +2140 10 +2486 6 +886 4 +1088 4 +1911 8 +1722 3 +260 6 +1655 1 +1627 10 +575 4 +2477 5 +3718 5 +1236 2 +1886 10 +608 1 +2025 10 +442 8 +664 3 +3810 7 +802 6 +1433 1 +1700 8 +1823 7 +3167 3 +679 6 +2025 9 +3808 7 +1765 9 +2703 1 +2508 6 +1762 5 +1219 4 +2483 10 +3182 7 +3739 2 +1473 6 +1270 1 +3942 2 +3869 10 +650 9 +713 1 +2696 6 +2817 7 +2214 9 +3339 8 +3379 2 +444 1 +837 9 +3325 6 +3605 7 +133 9 +3903 5 +129 7 +919 9 +67 2 +1519 6 +2093 2 +863 9 +2481 10 +2267 4 +388 1 +4034 5 +2236 2 +2963 8 +2563 10 +2641 10 +1925 7 +435 10 +946 2 +1408 3 +1672 9 +1064 10 +690 3 +1566 6 +3434 1 +2659 9 +3511 9 +157 1 +1768 6 +3980 2 +3126 4 +1763 7 +1494 1 +956 9 +1267 4 +1485 1 +368 10 +3108 5 +1683 9 +2098 5 +2746 6 +612 3 +1994 5 +3867 5 +2411 9 +3485 4 +3200 6 +807 3 +2942 5 +3652 6 +3093 5 +1102 9 +3343 5 +1669 7 +366 3 +2797 6 +1969 3 +3297 4 +2688 10 +3444 6 +1576 8 +2409 4 +19 5 +76 4 +241 8 +126 2 +342 5 +2267 8 +322 3 +1458 3 +771 5 +355 7 +1012 6 +1410 2 +225 4 +625 1 +1537 5 +3643 4 +4017 7 +1681 10 +18 7 +988 9 +531 6 +3340 1 +3715 5 +552 4 +481 5 +2289 9 +2799 2 +1854 9 +3959 4 +3941 7 +697 4 +3044 5 +3879 10 +823 2 +482 7 +766 5 +1611 2 +1186 1 +1063 5 +3696 4 +3997 4 +1121 2 +1532 4 +3565 2 +3844 8 +3642 2 +2298 8 +3612 4 +3319 6 +2730 3 +1361 9 +2790 3 +2653 10 +3237 4 +2719 2 +88 5 +894 1 +4048 3 +645 4 +2641 7 +970 9 +3808 3 +3216 3 +343 1 +2582 9 +3595 5 +2230 10 +2953 10 +2343 8 +2333 5 +2659 3 +3320 10 +2310 9 +3659 1 +2166 6 +1147 7 +3420 6 +3912 1 +2932 6 +4095 5 +815 3 +671 10 +1709 10 +437 3 +2612 7 +948 10 +582 8 +600 3 +2057 10 +1943 1 +3193 6 +1005 5 +2603 2 +1975 6 +1551 7 +861 9 +805 4 +2556 8 +2980 8 +1150 9 +2859 8 +3236 10 +2504 7 +3151 2 +2432 10 +1337 8 +3581 5 +2099 6 +2249 1 +2755 9 +3959 9 +2478 4 +1950 2 +696 9 +783 8 +3474 10 +1250 4 +1640 4 +406 8 +1045 2 +2403 10 +465 1 +2555 10 +867 6 +932 5 +782 8 +991 1 +3450 4 +2163 7 +4014 5 +2548 10 +2088 9 +2206 8 +2695 2 +2360 8 +3681 2 +1849 7 +2659 4 +688 9 +375 8 +1702 10 +110 1 +2464 1 +3988 5 +1309 4 +316 7 +3777 2 +304 6 +3448 1 +3484 3 +414 2 +2171 3 +2190 5 +1234 6 +85 5 +4036 8 +2928 8 +832 9 +800 10 +799 9 +598 9 +3154 3 +3829 10 +2183 9 +303 6 +2100 3 +3751 2 +1404 2 +2872 3 +3529 10 +3178 3 +3184 5 +2229 4 +2452 1 +4064 3 +2624 4 +1858 5 +4038 9 +2116 3 +3140 5 +1762 2 +1278 7 +3472 5 +3779 9 +3487 8 +1745 1 +904 3 +1487 9 +1532 8 +1159 2 +2898 1 +1408 10 +2516 10 +2320 1 +3764 3 +2506 7 +1887 2 +1457 6 +2111 3 +1434 8 +328 9 +302 7 +3819 6 +1137 3 +2846 9 +1432 1 +3129 8 +2929 5 +1912 5 +1461 10 +3630 5 +620 3 +3217 5 +3176 10 +2691 5 +923 9 +130 6 +3075 8 +3104 2 +634 9 +1953 5 +840 10 +788 9 +2142 7 +788 10 +3641 10 +2398 10 +106 2 +2817 9 +2196 2 +1266 10 +4091 1 +2069 1 +751 6 +3077 5 +2497 6 +1919 8 +2524 6 +547 10 +3896 2 +3216 6 +2263 1 +74 8 +3736 4 +2958 7 +221 9 +2353 1 +3987 7 +3894 2 +3556 3 +1661 3 +1270 4 +3749 6 +3599 1 +2712 6 +1776 8 +1370 1 +1757 9 +3157 4 +2404 10 +779 4 +3029 2 +3154 8 +1503 2 +1166 8 +1657 9 +1727 9 +2278 2 +575 7 +3046 5 +2276 1 +763 3 +3781 5 +1355 6 +2091 4 +3323 9 +904 9 +2388 8 +261 6 +1099 2 +827 10 +1204 4 +728 5 +717 5 +1425 1 +1017 5 +3516 9 +1395 3 +1883 1 +3193 8 +1838 1 +1226 10 +1646 6 +2328 1 +2603 6 +32 5 +2660 6 +3992 7 +977 5 +3369 2 +211 1 +1526 5 +3302 10 +3332 3 +1422 3 +3467 5 +252 5 +4001 10 +3832 3 +647 5 +1311 8 +2676 7 +777 3 +1459 8 +3346 1 +3498 3 +4042 10 +2097 1 +928 8 +1523 6 +1179 8 +229 3 +111 3 +3898 5 +1932 9 +1413 1 +2283 7 +3192 3 +3533 7 +3581 1 +3549 4 +425 7 +2740 2 +2600 2 +4006 3 +1513 8 +4017 5 +3449 6 +3751 5 +3518 3 +771 2 +2254 5 +3596 4 +1826 9 +1265 4 +658 4 +1015 2 +3840 2 +186 3 +1904 4 +988 6 +2508 4 +3309 9 +1553 6 +1894 7 +4064 10 +2256 1 +1399 6 +3120 9 +3891 3 +2364 1 +3351 2 +3364 9 +3724 8 +3279 7 +809 10 +3446 1 +1057 3 +3114 9 +3952 5 +2817 3 +312 3 +437 10 +1690 10 +2620 2 +2785 7 +3914 2 +654 8 +2473 5 +570 10 +1857 8 +2927 3 +3633 8 +2586 10 +1979 9 +3221 10 +185 8 +3094 3 +10 3 +335 7 +3610 7 +3820 9 +3210 9 +788 9 +224 4 +2623 5 +2714 6 +1288 6 +597 7 +1995 9 +1699 7 +2072 6 +3344 6 +2649 5 +1779 6 +324 1 +1018 1 +2155 7 +869 7 +1636 2 +3612 5 +2360 5 +1043 10 +2716 10 +1962 7 +1923 8 +2994 10 +1160 3 +138 10 +1379 4 +942 1 +2718 5 +3565 5 +1245 5 +641 6 +1953 2 +1186 4 +126 4 +3651 1 +741 2 +4026 7 +1044 1 +3329 6 +335 3 +757 9 +1959 1 +970 10 +1374 6 +1372 3 +2080 6 +3134 5 +2353 9 +3 9 +2327 3 +3715 9 +2304 7 +3320 2 +3035 2 +954 6 +3934 10 +2073 2 +2233 2 +799 10 +1736 1 +3663 9 +985 4 +233 5 +3515 2 +993 6 +2173 6 +3041 6 +2718 7 +3604 5 +1238 3 +2604 4 +3032 8 +3675 8 +905 7 +3644 2 +1388 7 +3322 1 +3798 1 +3338 4 +1194 7 +2614 3 +1600 8 +2937 8 +1452 10 +893 4 +4077 9 +2633 10 +3024 2 +45 4 +2351 1 +44 7 +248 10 +2566 1 +2282 8 +2721 6 +489 9 +2994 10 +3121 7 +3316 1 +2512 2 +2221 7 +510 1 +3000 3 +2551 5 +3512 4 +3770 5 +472 6 +1555 1 +1540 8 +474 2 +3574 1 +3385 9 +1272 3 +3225 3 +1225 8 +748 4 +1122 1 +376 4 +1160 3 +1260 2 +2478 6 +3236 4 +1873 9 +2811 2 +2034 1 +2712 3 +3957 7 +1364 4 +1303 1 +3264 5 +224 10 +714 7 +2487 8 +2272 6 +1067 9 +1252 3 +242 4 +3523 5 +3954 5 +2360 7 +1939 8 +3576 5 +1035 2 +509 3 +1477 6 +3307 8 +3731 6 +2372 7 +736 5 +1469 1 +3459 1 +3949 7 +2502 5 +2273 7 +1007 8 +2756 7 +1486 1 +2849 4 +976 1 +2354 3 +348 5 +3211 4 +3659 9 +3949 2 +1305 10 +779 9 +1559 4 +1827 8 +3133 6 +534 2 +2501 5 +1378 6 +2656 10 +4060 10 +758 9 +2607 8 +535 8 +3398 6 +1572 6 +4092 2 +2856 2 +317 10 +1333 3 +2024 4 +2912 7 +1334 10 +2471 3 +1186 7 +1027 2 +1139 1 +3857 1 +118 6 +15 9 +309 5 +2691 10 +1855 7 +3243 9 +3972 5 +170 5 +783 6 +1648 10 +2250 1 +3008 10 +452 10 +119 2 +2175 8 +2432 2 +3369 9 +340 5 +1207 2 +521 3 +3438 10 +2126 8 +3333 3 +3293 7 +610 3 +1504 9 +3487 5 +3962 8 +834 7 +3231 1 +3834 5 +2801 7 +2515 3 +3627 4 +2839 4 +1796 10 +657 7 +9 7 +1298 1 +2113 1 +2261 4 +1215 4 +570 2 +2509 3 +499 8 +1675 2 +1159 8 +1633 6 +2181 5 +180 5 +496 3 +3674 9 +1866 10 +2576 4 +2640 10 +2986 7 +741 7 +34 2 +4050 10 +624 7 +2524 2 +3795 9 +1544 8 +3232 1 +2544 7 +3116 8 +1180 9 +3784 9 +2335 1 +2941 7 +2329 8 +637 5 +1408 5 +974 6 +747 10 +2873 9 +2754 10 +1682 2 +1962 3 +3132 7 +3578 5 +566 6 +1152 6 +2729 4 +3160 9 +1700 4 +1789 5 +2309 4 +1773 4 +371 2 +3821 6 +3587 7 +2523 3 +993 9 +2604 5 +3284 7 +3117 2 +3249 6 +1839 5 +1228 6 +1835 8 +3598 2 +1284 7 +3343 1 +659 6 +2633 4 +1227 8 +2996 9 +1224 3 +634 7 +3985 4 +262 1 +2655 9 +581 4 +3039 10 +2723 1 +1957 1 +2528 2 +244 5 +137 3 +4075 3 +3436 2 +4087 7 +3641 10 +2620 2 +3511 3 +464 5 +1857 4 +749 4 +2694 7 +3515 2 +3285 4 +2205 5 +1417 8 +1834 10 +3335 9 +2735 2 +2596 5 +4057 6 +3832 2 +3595 10 +126 8 +2982 1 +2578 1 +1442 6 +3415 5 +2849 8 +2145 9 +3870 3 +3082 1 +3210 10 +3737 1 +1449 7 +1304 3 +2853 7 +329 1 +1904 3 +3690 2 +3711 2 +2568 9 +846 4 +1446 2 +106 3 +3568 9 +1030 5 +2394 10 +773 1 +3241 8 +73 8 +2778 6 +119 2 +2873 4 +158 8 +2655 4 +2269 10 +573 1 +3776 8 +435 6 +1733 8 +2862 7 +3845 2 +3189 8 +3969 1 +3108 1 +1215 2 +511 2 +2863 5 +3713 2 +3127 4 +3081 6 +1419 10 +120 10 +2843 6 +3079 7 +382 7 +2755 8 +2196 9 +363 5 +3175 7 +3447 9 +4021 1 +2999 3 +2210 4 +245 8 +3486 6 +196 6 +4055 7 +4083 5 +727 7 +623 6 +1936 1 +590 3 +690 10 +1327 1 +2046 8 +521 9 +3709 8 +1357 8 +3306 4 +2909 9 +808 9 +2466 10 +2968 7 +792 3 +1565 10 +1199 3 +977 7 +2759 7 +2836 5 +1631 9 +844 7 +1675 9 +1770 6 +1131 5 +3687 1 +2869 7 +3020 9 +2215 10 +3912 10 +3568 5 +472 3 +3801 2 +2739 1 +179 5 +127 4 +1912 5 +198 3 +2111 5 +1162 7 +425 9 +731 1 +1410 10 +3101 9 +1103 5 +1485 7 +1555 8 +2825 9 +3312 8 +1881 1 +3349 1 +3721 2 +1049 5 +2363 8 +3727 2 +2794 10 +2658 5 +3487 1 +2979 10 +2119 10 +1466 3 +3963 2 +3181 1 +1866 8 +1646 3 +2777 2 +2483 8 +3825 9 +633 3 +3489 8 +2970 7 +1956 4 +3246 3 +298 5 +79 3 +2958 10 +1600 6 +3610 7 +1230 3 +2683 6 +2687 5 +4054 2 +699 7 +3400 10 +1956 4 +1907 4 +3961 3 +3709 4 +3893 8 +2588 8 +632 7 +3859 6 +1001 3 +1108 10 +3667 8 +1009 3 +3586 9 +3187 10 +1790 8 +2542 3 +352 6 +2829 2 +758 9 +3788 8 +1950 8 +1995 2 +3562 4 +1812 3 +3072 4 +973 7 +98 6 +2162 10 +2251 7 +1984 8 +1871 8 +2085 10 +3638 3 +2192 3 +718 2 +3932 2 +2416 7 +121 9 +1394 3 +1053 4 +3505 5 +1671 9 +3121 8 +1205 3 +2068 1 +628 6 +704 10 +515 6 +798 9 +3251 1 +374 8 +2594 8 +3858 4 +2619 5 +2191 7 +1986 10 +322 6 +2839 10 +2546 6 +1236 9 +1752 8 +3056 5 +373 9 +2983 8 +2264 6 +2325 8 +2959 3 +3631 7 +1979 3 +3088 5 +3082 2 +2863 2 +2681 8 +3473 7 +816 8 +85 10 +955 7 +591 9 +3790 6 +1168 3 +2321 9 +1923 2 +2731 3 +2146 8 +2847 1 +2206 9 +1113 2 +3631 7 +2177 7 +2281 3 +2262 5 +3129 2 +2149 4 +524 7 +2552 7 +290 1 +37 7 +1938 10 +1799 9 +4080 5 +783 5 +282 8 +68 9 +2637 2 +2539 9 +213 1 +475 2 +208 7 +421 9 +1530 6 +2418 5 +3953 6 +3985 1 +3000 10 +77 5 +149 3 +2218 4 +1826 1 +2212 2 +461 8 +4087 7 +2039 5 +1590 3 +577 8 +1191 5 +2466 4 +3361 5 +527 10 +3358 1 +2079 4 +2798 5 +3990 2 +2835 6 +2139 10 +2979 7 +2117 8 +3185 3 +642 6 +188 4 +654 2 +2128 1 +3288 4 +3134 10 +51 6 +3496 9 +2883 10 +1077 7 +3069 6 +1204 10 +1396 2 +2541 1 +2317 7 +4090 7 +3539 5 +3235 4 +1110 3 +1790 6 +1968 10 +1076 7 +2311 2 +3495 9 +835 1 +585 5 +2294 5 +2840 3 +1028 4 +652 7 +1619 6 +3608 10 +281 2 +637 4 +1123 8 +1155 6 +2604 6 +2203 3 +2420 7 +3215 7 +399 1 +1 9 +1436 6 +691 8 +550 6 +2629 1 +539 7 +1001 7 +3453 6 +2965 4 +2098 5 +1789 5 +3621 6 +3958 4 +1681 3 +759 2 +1172 3 +1126 7 +3477 3 +370 10 +1318 9 +98 6 +2313 2 +1291 6 +993 4 +3593 3 +128 6 +778 10 +1473 7 +615 2 +260 3 +3651 1 +3334 8 +4042 5 +657 9 +3542 7 +2233 7 +1956 4 +2133 8 +2782 4 +3889 5 +99 1 +2578 3 +451 10 +2484 9 +1947 4 +2212 2 +2284 6 +1371 2 +3921 5 +2002 3 +114 5 +4084 1 +346 10 +4070 2 +2330 10 +2200 10 +94 4 +3099 4 +1497 7 +1740 9 +80 3 +839 6 +2305 7 +928 7 +1369 3 +2532 8 +995 9 +1568 1 +1773 3 +378 4 +2271 5 +761 9 +519 7 +3151 2 +268 4 +3857 4 +71 5 +2831 10 +2903 3 +3173 4 +3630 6 +2258 5 +1272 7 +475 1 +407 2 +1433 2 +2624 8 +1492 10 +4013 6 +2006 5 +44 9 +3647 9 +3104 1 +3251 9 +4090 1 +4053 9 +2748 6 +553 4 +2964 8 +3234 4 +2097 4 +2762 10 +3947 7 +2941 3 +3343 9 +1872 1 +3647 2 +139 7 +175 4 +1573 1 +2708 3 +2525 4 +727 4 +1281 9 +2165 6 +3119 6 +131 5 +2162 7 +2469 3 +1384 6 +1382 6 +3262 10 +2898 2 +1168 10 +320 7 +1772 4 +473 3 +3529 8 +2740 2 +3866 10 +1730 9 +1447 8 +2700 1 +1340 1 +1161 4 +1811 4 +3582 5 +98 6 +3185 1 +1405 9 +3288 4 +1797 9 +360 7 +3764 6 +1722 4 +3924 4 +2621 9 +1187 6 +1487 10 +2761 9 +541 8 +2024 6 +192 9 +3758 6 +3311 9 +2768 8 +3336 7 +386 10 +1103 5 +2229 1 +519 2 +1819 4 +2215 8 +2053 1 +1345 4 +3518 1 +1189 7 +3789 8 +1794 9 +1995 3 +2693 9 +838 10 +1363 3 +773 9 +2361 8 +1417 3 +54 1 +2915 1 +3216 8 +3374 7 +1153 7 +564 9 +3772 6 +3009 4 +920 7 +677 10 +979 3 +2910 3 +1048 2 +3011 1 +2728 9 +2689 5 +1947 9 +3480 3 +875 6 +2501 6 +403 1 +622 6 +1937 7 +1144 1 +1928 2 +3868 5 +860 1 +2372 10 +2503 8 +1345 10 +3113 10 +3953 7 +1961 4 +812 5 +3080 1 +2311 7 +3193 7 +904 7 +3556 6 +2952 4 +739 8 +217 3 +2240 4 +489 6 +646 7 +2897 2 +4053 4 +973 3 +1981 7 +1990 4 +566 1 +3001 2 +3480 7 +2082 1 +2792 4 +3419 5 +3024 8 +1277 3 +1510 9 +2498 1 +3858 4 +1157 1 +1254 2 +161 4 +438 5 +3650 4 +3831 5 +4020 3 +1006 6 +2614 3 +1326 6 +1373 1 +3721 3 +1020 1 +3233 9 +1749 10 +3807 5 +84 4 +568 4 +491 1 +841 4 +1034 6 +51 4 +3602 10 +629 9 +3973 8 +1868 9 +1446 6 +2989 9 +744 10 +1532 2 +2925 10 +825 6 +386 3 +2393 4 +4035 6 +768 9 +2040 6 +2832 10 +2975 7 +568 8 +19 4 +3984 4 +34 7 +3284 8 +3156 3 +1019 9 +2933 10 +49 4 +4077 2 +1355 3 +2545 2 +1996 10 +2248 3 +1017 5 +4089 5 +783 1 +1172 3 +40 5 +123 1 +2792 1 +2268 9 +2753 3 +313 2 +948 4 +2304 8 +879 9 +1166 6 +841 6 +3261 10 +2327 2 +3126 7 +2692 10 +3446 6 +1215 4 +3609 8 +3941 5 +1542 1 +955 9 +2203 10 +3357 6 +1738 5 +1091 1 +3621 6 +3578 2 +4064 1 +3219 5 +1585 7 +1567 6 +1242 10 +1678 3 +2076 9 +3229 6 +2482 1 +2001 5 +1968 2 +4086 8 +1474 8 +1595 7 +3949 8 +389 7 +518 7 +3353 5 +1771 5 +176 4 +3143 7 +1062 1 +3723 6 +2526 9 +6 3 +916 3 +945 7 +2457 6 +1225 7 +1501 5 +312 2 +2929 8 +669 7 +1425 6 +2928 5 +3538 6 +1444 9 +3465 8 +3437 2 +167 1 +3190 5 +2577 8 +306 8 +4033 2 +2328 5 +779 5 +1500 7 +2871 2 +1743 4 +2576 9 +1528 9 +1617 3 +2812 3 +2018 9 +3726 10 +1503 8 +3606 9 +3525 6 +484 6 +983 6 +1851 5 +2362 9 +2500 8 +2253 10 +1238 2 +859 8 +3411 2 +2654 10 +2875 10 +3981 6 +296 3 +3343 10 +2490 7 +596 5 +1242 4 +917 3 +685 9 +3037 8 +4062 8 +3358 4 +2020 4 +3051 1 +706 6 +3352 6 +3930 2 +2514 4 +2324 2 +1957 4 +1550 9 +3652 3 +766 6 +3272 9 +2208 8 +2373 7 +1449 1 +4076 3 +3757 6 +2161 2 +1279 7 +2691 5 +3233 8 +238 2 +73 7 +3186 7 +2862 5 +2711 3 +824 2 +4048 8 +3774 6 +3607 8 +1511 8 +4085 7 +1144 6 +2260 4 +35 9 +3432 7 +991 5 +1808 9 +2489 2 +809 5 +3806 8 +1757 7 +834 1 +990 9 +1455 9 +470 10 +563 10 +2445 5 +984 1 +2935 6 +746 4 +1113 5 +3351 5 +1597 7 +231 1 +3145 9 +2295 4 +2004 6 +2916 10 +3419 2 +438 1 +3711 6 +1064 5 +2075 4 +523 5 +261 4 +2574 1 +2443 7 +2812 2 +151 7 +3046 3 +3699 5 +1677 8 +1185 7 +683 6 +3300 10 +2144 7 +2628 8 +491 7 +4084 4 +2199 1 +1684 7 +336 1 +650 3 +4048 10 +64 2 +1623 7 +2228 7 +3790 5 +1977 2 +119 9 +2063 9 +1127 5 +2145 8 +1158 4 +1100 5 +3564 7 +865 2 +580 1 +3794 10 +1621 6 +599 9 +3026 8 +3182 1 +943 4 +3462 4 +3390 1 +1672 1 +454 3 +1599 1 +3866 1 +1925 10 +3973 3 +894 5 +2404 5 +3911 2 +1974 4 +2769 2 +295 1 +2131 4 +297 6 +37 3 +1655 10 +1706 8 +1380 9 +69 9 +1261 1 +452 5 +285 7 +2702 4 +2808 6 +2288 4 +168 4 +2535 4 +1179 8 +31 6 +985 6 +427 5 +1793 1 +3950 2 +4050 6 +473 4 +3749 10 +858 2 +2783 6 +2865 5 +72 2 +317 2 +83 5 +2835 10 +2970 8 +2445 4 +1907 7 +3755 7 +3220 5 +1212 9 +1866 8 +2923 7 +3425 2 +2185 9 +2268 10 +1101 1 +2508 4 +2412 6 +2231 1 +1086 10 +721 8 +536 5 +3132 9 +1583 9 +2922 4 +1733 2 +2003 8 +2151 6 +3964 4 +2653 1 +3929 6 +3772 2 +3549 8 +1585 7 +2414 9 +1398 9 +835 10 +2111 1 +1921 6 +1625 10 +4035 9 +2153 5 +2544 8 +1419 7 +837 1 +3674 10 +374 2 +783 2 +3037 1 +2860 7 +3361 9 +2160 6 +3610 5 +3669 1 +1462 9 +2179 2 +3097 8 +2400 8 +1703 2 +2742 9 +1445 10 +3308 2 +2933 3 +3671 6 +2688 1 +591 7 +2597 7 +2615 1 +341 1 +3323 10 +3673 9 +643 3 +1500 10 +2765 9 +53 3 +973 2 +2733 7 +4044 8 +3912 4 +910 5 +2219 4 +13 4 +59 3 +3989 8 +1989 6 +2264 1 +1981 4 +3312 7 +593 10 +481 2 +3357 1 +3309 4 +75 7 +3573 3 +3416 1 +922 4 +1912 9 +305 6 +1347 2 +240 10 +1340 8 +271 3 +1489 9 +4017 9 +2196 10 +489 9 +2553 9 +3552 3 +2211 4 +1707 2 +2026 6 +150 1 +2019 1 +3302 6 +1103 3 +2928 8 +1932 8 +2849 9 +3964 3 +3316 2 +827 3 +2539 6 +3906 8 +3010 2 +2978 7 +2238 10 +3688 1 +2970 4 +10 5 +3763 1 +3845 8 +1236 8 +3027 1 +1103 3 +2121 3 +1697 1 +3316 1 +3389 6 +3338 5 +3791 5 +3895 6 +1110 1 +3670 1 +53 9 +3283 1 +487 10 +1793 10 +1809 8 +1611 2 +201 8 +1001 1 +356 1 +2754 3 +771 5 +3793 10 +72 5 +2873 9 +4020 5 +2492 5 +2004 8 +760 10 +3015 9 +3595 2 +1 9 +3636 8 +369 4 +1022 5 +2738 1 +1189 3 +1904 7 +2150 3 +518 1 +2067 6 +1944 6 +1358 4 +2897 4 +3545 2 +220 8 +1115 1 +1379 3 +1382 5 +3269 6 +3510 8 +379 8 +857 9 +3631 2 +1696 3 +2309 9 +1116 4 +3279 5 +2990 8 +3186 6 +2864 5 +4065 9 +2127 1 +1925 3 +1841 3 +686 9 +1404 1 +2371 1 +3340 4 +2080 10 +237 5 +442 4 +171 8 +1959 3 +2504 1 +474 8 +1761 7 +3057 3 +2051 3 +1657 7 +2597 3 +3463 5 +2334 2 +2562 4 +2527 4 +389 3 +1929 4 +2744 7 +2109 9 +1918 9 +3515 4 +2994 6 +17 9 +2022 7 +2678 8 +666 2 +2000 1 +4083 10 +1281 5 +2689 7 +1294 7 +941 7 +727 5 +697 2 +1586 5 +445 9 +3879 4 +727 7 +939 7 +3630 10 +3746 4 +2241 10 +2441 4 +1151 2 +3696 9 +2023 2 +3502 7 +2415 4 +3238 8 +2079 10 +2813 7 +2555 8 +2569 6 +3950 3 +3784 3 +3371 10 +3265 3 +702 3 +605 4 +1510 3 +59 5 +2396 2 +3647 6 +3203 4 +2946 9 +308 9 +2141 6 +512 3 +2231 3 +556 8 +378 3 +96 9 +3837 10 +3878 3 +1685 8 +3786 2 +2974 2 +1466 5 +1173 5 +432 10 +697 5 +1109 6 +3939 2 +2166 5 +1616 2 +1415 5 +1878 10 +126 8 +251 1 +2404 6 +3118 7 +4083 9 +453 10 +2851 4 +3353 1 +3906 9 +3452 2 +1691 2 +2531 9 +1595 5 +1039 10 +3183 9 +315 9 +3580 10 +181 6 +3034 1 +3822 10 +2217 7 +1096 1 +749 4 +2775 3 +3722 3 +4013 8 +1745 6 +3560 10 +3450 6 +2212 10 +3302 5 +262 6 +680 9 +169 10 +664 2 +367 2 +576 1 +430 2 +996 1 +525 6 +2879 9 +3893 10 +2596 2 +3926 9 +3063 10 +2092 1 +3535 2 +1753 1 +1747 4 +1647 3 +1658 9 +1391 9 +317 1 +1265 3 +2018 1 +1849 9 +2974 7 +3643 8 +1490 10 +2818 8 +1796 3 +1410 8 +3495 3 +1088 1 +1461 1 +3197 3 +3555 2 +1569 2 +508 7 +494 9 +1578 10 +1367 7 +2708 4 +378 7 +3221 2 +809 2 +2226 5 +629 2 +1460 3 +2908 6 +388 3 +340 3 +3437 3 +2596 2 +3018 1 +2073 8 +1027 5 +242 5 +1226 2 +547 10 +1672 10 +3843 7 +2941 2 +2178 4 +3964 2 +1038 1 +2925 2 +2741 9 +3659 9 +1679 9 +3098 9 +3096 4 +2846 4 +262 10 +2609 10 +763 9 +909 5 +41 6 +3771 6 +3756 1 +57 4 +2278 6 +204 4 +3135 4 +1058 3 +2430 7 +968 5 +276 8 +1055 3 +1567 8 +2034 7 +268 1 +3712 1 +3462 4 +2625 9 +95 5 +2386 1 +249 9 +3086 3 +470 5 +2357 7 +4042 9 +3577 10 +1269 3 +2582 2 +2707 3 +3259 5 +734 9 +2531 2 +2497 5 +3346 7 +1471 6 +3556 8 +3881 7 +1671 5 +3761 1 +2011 3 +2994 9 +223 2 +2469 9 +2715 2 +3925 3 +917 10 +3700 5 +30 3 +648 8 +2711 8 +3955 10 +3434 9 +1332 7 +2426 1 +3265 7 +1384 10 +2200 2 +1769 6 +1083 6 +3487 4 +193 2 +74 5 +3120 10 +941 3 +1060 1 +1519 5 +3053 6 +1646 1 +3081 8 +661 5 +2178 9 +3945 8 +3594 4 +1176 9 +1021 5 +3169 2 +1224 6 +930 2 +680 5 +2877 6 +1515 2 +2755 1 +2377 4 +1256 6 +2793 3 +2184 7 +2921 8 +108 4 +303 3 +1066 1 +409 3 +2237 7 +1752 2 +488 10 +2851 8 +3101 6 +2210 9 +1068 4 +3060 6 +2083 5 +1977 6 +3496 2 +3604 2 +3007 6 +220 5 +2910 3 +1332 9 +3795 5 +3497 1 +1609 2 +3805 7 +2249 3 +2971 2 +1280 1 +1194 8 +2004 8 +294 2 +665 9 +239 9 +1689 8 +771 2 +3960 2 +572 5 +65 7 +2085 7 +853 1 +3924 9 +3364 9 +3237 6 +944 8 +3086 5 +1720 1 +3034 6 +2514 6 +602 5 +4044 4 +3773 3 +142 7 +1902 1 +3840 6 +1561 3 +1389 5 +3355 2 +94 10 +2979 9 +3224 10 +2206 6 +1175 9 +1217 10 +1768 9 +3629 10 +1207 2 +1773 2 +2941 1 +1801 6 +2920 9 +3735 6 +2572 5 +946 7 +1615 2 +3680 9 +3007 9 +1459 1 +252 9 +737 8 +2263 3 +2456 6 +4026 5 +1026 5 +2208 8 +1939 8 +2444 5 +3747 9 +1262 10 +640 1 +534 5 +3660 3 +478 2 +1703 3 +431 8 +1659 10 +68 5 +190 2 +1733 7 +110 10 +3610 1 +2266 5 +905 5 +1865 6 +2530 5 +2071 5 +3889 2 +2860 5 +1433 3 +3908 6 +702 4 +1659 4 +67 10 +3952 5 +559 3 +3869 8 +1320 2 +3978 10 +366 7 +444 10 +1468 3 +3896 3 +2353 3 +211 8 +1387 5 +2750 6 +393 10 +2379 6 +402 7 +3495 3 +2281 7 +1455 4 +1900 1 +4067 3 +1552 1 +363 4 +44 1 +2135 5 +3643 4 +2082 4 +3434 10 +724 9 +3372 2 +795 3 +1808 3 +1346 4 +3392 9 +2935 4 +1442 7 +3227 1 +2113 3 +3294 10 +866 1 +3571 10 +2258 4 +4040 6 +2070 4 +722 4 +2599 7 +3078 4 +3663 10 +279 8 +2693 10 +177 10 +1750 3 +1413 1 +307 10 +120 7 +3970 8 +3789 2 +3036 8 +2813 10 +1443 9 +1426 1 +3281 10 +3566 1 +3280 5 +3835 1 +2545 4 +1627 4 +1230 10 +2529 1 +2831 2 +4071 7 +975 1 +2329 2 +1016 6 +1995 4 +1584 2 +3436 8 +540 2 +3267 9 +211 2 +657 9 +3683 4 +3075 5 +4041 5 +498 3 +3189 1 +1738 7 +1929 5 +776 7 +1280 1 +3997 4 +2958 2 +1564 10 +2375 4 +3536 6 +2832 5 +3732 9 +1368 5 +428 7 +2208 8 +3588 2 +278 5 +1875 5 +261 2 +3375 9 +3267 9 +1845 7 +780 9 +3185 5 +2191 2 +1078 2 +2833 9 +3954 7 +3592 4 +877 6 +486 10 +420 10 +1564 3 +3518 4 +3898 7 +3228 1 +972 7 +2566 4 +3063 3 +3849 2 +477 4 +112 9 +36 8 +3299 9 +1266 3 +552 1 +1731 10 +944 6 +1160 4 +2160 5 +1836 1 +3098 5 +1702 6 +2884 3 +1573 10 +1829 4 +2323 5 +1910 4 +982 1 +3032 2 +2733 2 +339 4 +411 1 +2426 10 +1185 8 +28 2 +334 1 +1027 4 +3008 4 +2466 6 +144 7 +3098 8 +3518 4 +541 2 +872 8 +2515 2 +2123 9 +793 2 +2938 1 +1735 10 +854 3 +542 8 +1155 4 +3691 4 +3799 10 +835 3 +1495 8 +2996 1 +965 4 +2538 7 +138 5 +2403 4 +3501 6 +2046 5 +908 7 +1509 6 +3389 6 +3451 6 +230 3 +3665 9 +374 6 +3430 3 +1955 7 +1965 1 +4067 9 +3337 10 +1903 4 +61 6 +3001 8 +3400 9 +1552 4 +2890 6 +2014 3 +3231 9 +732 2 +1638 5 +3526 5 +3355 6 +806 8 +3530 9 +2698 9 +993 6 +2242 4 +3945 8 +2827 7 +1787 3 +2816 2 +3444 10 +1199 9 +964 10 +3934 8 +2028 6 +2205 10 +928 3 +72 1 +1366 7 +2770 10 +3320 3 +3434 9 +268 10 +1259 6 +3804 4 +2391 5 +2655 9 +261 5 +2951 1 +3333 2 +2649 2 +1383 10 +3011 6 +3529 10 +262 9 +2760 3 +2393 3 +992 3 +744 7 +2178 3 +3969 8 +3762 1 +946 3 +3910 1 +1213 8 +230 7 +3888 5 +1082 5 +2835 3 +3770 7 +2887 6 +1892 1 +2151 3 +2481 9 +2803 10 +563 5 +1125 9 +728 2 +3036 5 +2200 3 +94 10 +2274 8 +15 1 +430 5 +1112 9 +285 4 +1846 6 +2473 5 +1890 4 +1992 2 +340 1 +97 10 +2422 6 +1589 6 +1530 4 +1777 5 +104 2 +3022 9 +51 2 +2948 1 +2136 9 +1652 8 +1034 8 +817 8 +3157 8 +2614 3 +3735 10 +2900 10 +4014 6 +311 5 +4075 1 +3524 9 +2788 3 +2604 5 +2365 7 +3145 9 +874 9 +3140 6 +3587 6 +454 8 +1569 10 +690 10 +487 1 +1516 2 +3034 1 +3883 5 +2120 3 +3346 4 +3525 5 +2542 7 +3544 10 +2820 6 +3519 8 +96 4 +3883 7 +3115 2 +2645 8 +735 10 +1023 7 +3211 1 +3155 9 +1157 8 +2861 6 +1951 6 +836 4 +705 7 +4090 1 +1653 9 +3096 9 +463 8 +2961 10 +771 1 +1297 6 +3135 4 +865 7 +3926 8 +2438 7 +0 5 +1622 2 +1711 7 +3380 9 +967 6 +1702 5 +3013 5 +3885 4 +3042 5 +3200 8 +627 2 +2182 6 +586 8 +2083 10 +3043 2 +1938 8 +2783 10 +1891 1 +2245 8 +4068 7 +1064 3 +1700 4 +1970 4 +1818 3 +3096 6 +969 7 +550 10 +53 4 +1766 1 +2308 9 +534 2 +3906 8 +1279 5 +3918 4 +432 6 +936 5 +240 2 +2454 5 +2711 4 +1968 5 +3954 1 +2262 9 +299 5 +3757 8 +455 2 +3607 5 +3765 8 +3919 10 +2766 2 +2870 8 +845 7 +3687 4 +1119 8 +3413 8 +3969 5 +3192 9 +2188 5 +1756 2 +2089 7 +2293 8 +2774 5 +2074 2 +533 2 +3081 2 +2759 9 +467 5 +1546 6 +3195 8 +48 2 +2498 6 +1850 4 +1870 2 +3295 6 +1997 5 +3000 9 +1168 9 +904 3 +263 3 +1497 8 +227 10 +3893 3 +2863 7 +1361 9 +2345 3 +1367 3 +3590 2 +1776 1 +379 1 +221 7 +3299 1 +573 3 +48 2 +2177 6 +1485 7 +1889 10 +1443 5 +313 3 +2093 9 +1254 4 +3912 1 +809 4 +940 10 +1804 8 +2271 3 +1416 4 +1500 5 +1392 2 +194 3 +2746 9 +2724 6 +2185 9 +66 5 +1306 4 +2646 5 +922 3 +123 9 +3413 5 +2813 9 +1778 5 +1907 8 +564 8 +2539 9 +869 2 +1251 4 +3291 8 +2513 7 +3115 9 +1125 2 +2143 5 +242 7 +83 5 +3453 8 +85 1 +2734 2 +512 6 +2486 9 +3014 1 +477 3 +2338 2 +3110 5 +1220 1 +581 8 +1492 9 +3588 10 +3617 8 +472 6 +60 7 +2922 4 +2516 5 +724 3 +215 3 +90 8 +2686 5 +3807 5 +3392 1 +2021 9 +19 6 +1037 9 +2861 4 +3148 2 +432 4 +1173 8 +3121 5 +2566 6 +1968 10 +2094 2 +604 4 +1384 1 +169 9 +302 7 +254 4 +2904 5 +145 2 +4 2 +995 4 +3739 1 +3665 5 +1737 9 +1416 6 +174 5 +871 4 +667 1 +4011 5 +896 2 +2247 8 +2252 3 +2656 2 +2104 1 +169 8 +327 2 +1791 10 +1561 8 +3759 4 +743 9 +1125 10 +3357 9 +3114 9 +2583 7 +725 10 +1940 7 +3874 8 +1521 2 +1673 9 +753 4 +3418 5 +2984 2 +1502 7 +3818 3 +2957 5 +1209 1 +2764 9 +3916 4 +268 9 +1777 2 +1827 4 +3680 9 +3945 7 +533 5 +2775 8 +2880 9 +2636 8 +2401 3 +2517 2 +3195 9 +2959 8 +355 8 +703 5 +2513 8 +1113 6 +881 3 +505 3 +3941 8 +1304 10 +2610 2 +3170 7 +112 6 +1002 7 +1582 7 +853 8 +135 9 +2418 9 +149 4 +3195 1 +3857 2 +701 10 +3513 4 +3004 6 +3643 4 +3163 5 +1100 2 +810 10 +3498 10 +1793 8 +3248 4 +3043 2 +637 9 +1930 8 +1924 9 +141 1 +880 8 +1345 8 +604 4 +2442 8 +879 6 +2970 8 +3477 4 +269 6 +719 3 +2915 2 +1144 10 +3399 7 +3813 9 +915 3 +2708 9 +1565 1 +3066 7 +2478 4 +3048 7 +1340 4 +2150 2 +1241 6 +1247 2 +3721 2 +2853 8 +613 10 +642 3 +2411 9 +1623 6 +1522 9 +3000 5 +235 2 +98 6 +538 8 +1609 10 +2392 8 +1724 10 +178 9 +1825 10 +787 6 +542 5 +3492 6 +1480 6 +1532 8 +1512 1 +2820 6 +3357 6 +105 7 +2710 5 +3553 4 +925 9 +2745 3 +3180 5 +750 2 +3860 5 +3783 2 +1058 8 +3367 2 +1284 1 +1993 7 +4040 9 +3683 6 +116 5 +1362 1 +2484 6 +199 3 +1447 10 +1710 5 +2240 1 +470 5 +2704 1 +3296 1 +297 2 +1007 2 +1796 3 +842 3 +1976 10 +3880 9 +2491 8 +1334 10 +2149 1 +1534 6 +2323 9 +1435 2 +1619 1 +3436 5 +2073 5 +2741 7 +108 3 +1309 7 +38 3 +3474 10 +495 1 +1232 6 +2524 2 +648 7 +3154 8 +1669 10 +1009 4 +999 10 +2451 10 +2534 2 +216 7 +2487 8 +3495 6 +2558 6 +3902 7 +2454 3 +2625 2 +2715 3 +3779 9 +2179 8 +3318 4 +1567 9 +508 8 +1481 9 +3080 6 +2339 7 +836 5 +22 8 +3879 7 +3326 9 +2984 2 +2428 1 +151 1 +1614 2 +1930 9 +2412 3 +1842 4 +3349 1 +1232 2 +3240 8 +4036 10 +2171 8 +3873 10 +212 9 +2231 10 +468 2 +2121 10 +2691 1 +3477 6 +3542 8 +634 8 +3735 9 +198 9 +2641 1 +128 4 +2774 1 +263 3 +3531 5 +782 4 +2886 3 +1207 4 +2718 3 +394 3 +2200 7 +857 3 +2340 9 +3493 1 +1822 1 +2077 7 +295 4 +2825 6 +505 7 +3461 7 +670 9 +1836 2 +573 10 +182 5 +391 3 +982 2 +2516 2 +2574 5 +1203 4 +3513 6 +3486 3 +2267 4 +3695 9 +2363 1 +2244 8 +3503 7 +3423 3 +3999 3 +2658 7 +3913 6 +2541 3 +3290 5 +1114 6 +3576 4 +3647 4 +1646 3 +2216 2 +2457 9 +3703 5 +2746 5 +3376 3 +659 7 +2114 10 +1343 9 +2086 4 +3319 3 +2971 6 +4005 4 +1375 6 +1170 6 +3319 2 +3937 4 +2050 4 +662 2 +854 2 +3402 4 +451 10 +3349 3 +2126 3 +143 10 +2287 2 +2887 3 +593 1 +1032 1 +1656 2 +594 7 +1989 1 +1128 10 +3319 7 +1998 5 +3071 7 +2069 10 +1554 3 +1792 4 +115 7 +2918 9 +2782 4 +3855 8 +345 7 +2797 2 +2905 4 +3841 5 +3733 2 +255 6 +3498 4 +1095 3 +3065 5 +3957 2 +2924 3 +823 4 +650 10 +2729 2 +3253 3 +1513 9 +2839 6 +1538 6 +3243 7 +1154 3 +801 10 +2688 10 +762 4 +600 7 +2105 7 +2626 6 +128 1 +1377 1 +2296 9 +2118 10 +3178 7 +3396 7 +3852 4 +666 5 +1785 7 +1105 3 +3982 7 +1368 10 +631 8 +1472 5 +1935 9 +754 1 +2291 6 +2324 9 +804 4 +3661 7 +3148 9 +1855 10 +1930 6 +3434 2 +3554 6 +3591 10 +2791 6 +2845 2 +2105 3 +2015 5 +3662 1 +219 4 +116 6 +852 3 +957 7 +2338 7 +3987 5 +2602 6 +3737 4 +3056 4 +2303 5 +3697 10 +2528 6 +2937 3 +3162 9 +1836 3 +3827 8 +1876 8 +3800 7 +1712 5 +1305 5 +3222 1 +209 8 +1320 6 +981 3 +3637 5 +1975 9 +647 1 +792 7 +1507 2 +3234 2 +1938 10 +1483 4 +3101 1 +3970 4 +1582 7 +3444 1 +2949 3 +1013 7 +1190 5 +1148 1 +1817 1 +3502 3 +323 10 +3436 8 +1119 1 +3362 2 +2291 7 +1896 8 +2170 10 +1342 6 +454 6 +2343 9 +963 9 +1075 5 +1703 2 +478 4 +4009 10 +593 5 +2653 6 +2372 7 +3176 7 +1526 3 +4082 3 +2465 6 +748 10 +880 7 +3472 7 +1581 7 +2809 10 +1236 1 +3494 3 +4079 4 +3407 2 +3818 2 +2293 5 +3369 3 +2813 2 +1801 6 +59 10 +198 1 +3992 9 +2334 6 +236 1 +244 6 +3316 5 +2990 6 +3544 9 +479 3 +833 6 +2926 6 +245 5 +2019 4 +2979 7 +2851 5 +1305 10 +53 6 +2415 9 +1931 5 +3764 2 +2032 1 +2663 2 +1748 8 +947 6 +2500 2 +2854 8 +418 4 +3297 3 +513 5 +2257 10 +4082 1 +1 8 +1076 7 +2937 7 +1751 8 +3295 1 +3346 4 +1350 4 +495 10 +2518 9 +398 9 +3429 3 +3256 4 +3573 7 +305 1 +3082 7 +1754 9 +1465 5 +2276 2 +3530 5 +2678 8 +1407 5 +2504 9 +1186 6 +3854 2 +2879 3 +1378 10 +871 1 +2331 8 +3056 3 +2363 9 +1795 5 +189 2 +3143 5 +2159 4 +2537 8 +2757 6 +348 5 +2527 9 +1724 1 +3451 8 +2327 7 +584 6 +342 9 +2580 6 +2925 4 +641 6 +4050 10 +1828 1 +3155 8 +181 8 +178 10 +1668 1 +3853 1 +2350 3 +65 4 +1608 3 +2429 8 +118 10 +1882 3 +3825 1 +855 1 +2590 2 +1762 6 +893 3 +2457 3 +1224 10 +3890 10 +114 1 +1276 5 +2060 8 +3195 4 +3085 1 +2277 7 +861 10 +1500 7 +2524 7 +1289 10 +3868 6 +1205 4 +1915 2 +2565 9 +2526 6 +288 8 +2945 3 +1622 5 +1458 5 +2813 3 +3097 4 +2671 9 +2965 6 +2969 5 +3544 4 +786 4 +2405 5 +1560 1 +1605 2 +1240 7 +3215 10 +237 5 +3595 10 +3233 5 +443 8 +2368 6 +345 8 +2747 6 +1713 3 +680 9 +815 8 +2224 9 +2214 2 +623 10 +2742 6 +1951 5 +2759 7 +130 9 +2820 4 +2879 5 +1896 8 +1725 3 +4080 8 +362 2 +2954 1 +1689 3 +2461 4 +3068 8 +156 7 +237 1 +2958 7 +2694 2 +1914 6 +2391 3 +3577 6 +2343 8 +1681 4 +2299 3 +2720 2 +2622 6 +3710 2 +2106 1 +3216 10 +3716 3 +524 1 +123 6 +328 8 +3297 10 +527 9 +2020 7 +2610 10 +3599 8 +2014 3 +1796 3 +947 9 +1644 8 +3298 1 +203 10 +1728 1 +1879 9 +2273 10 +2632 6 +2498 1 +3808 2 +3092 2 +2795 2 +460 9 +3496 8 +568 10 +2417 7 +230 3 +741 4 +2318 5 +2703 7 +1276 6 +1134 2 +2665 4 +3746 2 +1546 9 +1687 4 +2365 2 +2681 1 +3724 7 +483 5 +3656 3 +3289 8 +1582 4 +478 7 +60 7 +3636 9 +2142 3 +2531 8 +3973 5 +2122 5 +272 5 +1378 5 +634 9 +1973 5 +2293 8 +173 10 +3989 1 +3287 6 +984 6 +686 10 +664 3 +3921 9 +2940 9 +216 1 +902 1 +348 8 +1656 4 +709 2 +1518 8 +1756 1 +3267 6 +1794 7 +3961 7 +1596 5 +1725 9 +2792 5 +10 1 +2822 7 +3586 2 +3986 7 +3343 5 +2071 8 +2378 9 +2608 7 +2873 7 +589 5 +2954 2 +2562 5 +137 8 +619 1 +2262 10 +406 10 +2433 4 +3242 7 +3350 5 +1676 2 +2181 3 +2854 5 +1424 8 +1790 6 +1862 4 +56 1 +1118 9 +417 1 +2873 3 +3482 7 +1108 7 +3103 8 +2080 4 +3055 1 +864 3 +3334 6 +2351 5 +1335 9 +2175 5 +1751 1 +864 10 +1238 10 +3039 6 +3767 5 +1334 9 +3747 5 +271 1 +3364 3 +3302 8 +2454 5 +3737 1 +3664 2 +1568 2 +3853 6 +3464 1 +3464 2 +781 8 +1655 9 +293 5 +2728 6 +2496 3 +3812 2 +158 3 +200 5 +1915 7 +3365 8 +1803 10 +2644 9 +585 5 +19 6 +1802 5 +3980 8 +3278 2 +2766 1 +3032 7 +281 10 +1232 4 +965 1 +1054 8 +312 7 +2148 10 +2197 2 +3863 1 +4036 4 +1551 3 +2651 4 +1281 6 +4052 8 +2956 2 +156 7 +3504 4 +2777 3 +1258 9 +2271 8 +2162 7 +3594 2 +1735 8 +4085 7 +2516 7 +1228 8 +3534 6 +1860 9 +2620 9 +3304 2 +2466 8 +976 10 +969 4 +131 7 +1138 4 +2071 3 +3482 4 +567 3 +1497 5 +1373 10 +3594 7 +2551 9 +1982 7 +674 2 +1054 2 +1821 2 +1390 8 +2456 4 +3786 5 +2738 6 +3436 10 +2349 5 +382 5 +3676 6 +3791 4 +3447 5 +4019 7 +3866 10 +350 10 +3081 7 +3204 10 +3545 9 +332 7 +379 6 +1295 4 +1439 8 +1693 3 +3008 2 +1867 5 +2420 1 +470 8 +1832 3 +619 4 +1928 4 +3900 7 +1544 10 +1451 3 +3721 7 +1719 3 +112 8 +601 1 +3971 7 +2247 8 +1774 9 +2851 7 +3945 6 +3478 2 +2522 7 +3630 9 +303 4 +2171 1 +2024 2 +807 6 +474 4 +990 3 +85 10 +3668 4 +3823 9 +2731 9 +2748 7 +2283 10 +903 8 +1807 10 +1521 10 +3026 6 +2902 10 +219 10 +461 4 +166 8 +1065 4 +2325 3 +2922 3 +2572 7 +3034 4 +3694 10 +3552 7 +3554 4 +3189 10 +1805 2 +3953 9 +4033 7 +2154 6 +772 9 +7 1 +2616 10 +1200 9 +1237 1 +388 7 +2052 2 +2777 9 +3131 9 +97 1 +1592 2 +1940 1 +479 1 +2770 3 +970 3 +1553 7 +1531 3 +855 4 +2157 2 +3786 2 +3221 7 +2133 8 +1558 4 +2759 6 +2627 10 +603 1 +3477 5 +1714 2 +1945 5 +1936 10 +471 7 +363 9 +1169 7 +1871 5 +2078 3 +1201 3 +1098 7 +2291 4 +604 4 +3558 8 +472 8 +3770 3 +3595 5 +2432 6 +2848 2 +2941 2 +1473 2 +1149 5 +3522 8 +3365 9 +1269 10 +556 3 +2778 9 +955 3 +376 7 +160 1 +2626 2 +4069 7 +196 10 +805 1 +2185 5 +3577 8 +737 4 +230 2 +3555 4 +3601 3 +356 4 +952 2 +417 8 +838 3 +65 3 +3658 8 +3607 4 +3113 6 +984 1 +1346 10 +4080 7 +343 2 +838 6 +554 3 +2613 7 +2947 2 +3981 8 +2537 10 +2894 1 +3578 9 +3568 1 +2281 8 +3941 8 +1258 6 +1634 5 +3416 3 +2580 2 +4076 3 +3048 8 +1268 1 +236 4 +3117 9 +1713 1 +1325 5 +3635 1 +1436 8 +2985 10 +862 6 +2911 6 +1297 10 +2873 1 +2195 6 +1067 3 +2452 8 +2752 3 +198 9 +835 4 +311 1 +592 8 +3676 3 +1032 9 +1838 10 +1533 7 +2586 8 +2980 1 +2646 2 +4033 3 +4062 9 +2260 1 +964 6 +1067 5 +1824 5 +1485 9 +1171 10 +4033 3 +695 6 +2703 10 +4010 9 +3927 5 +2241 9 +1109 10 +3056 10 +3626 10 +61 9 +1710 10 +2030 7 +3077 3 +3519 7 +963 6 +2565 1 +1213 1 +1956 7 +3302 2 +2640 1 +734 4 +278 9 +1605 3 +3712 9 +79 10 +2378 4 +3653 1 +3507 6 +2289 1 +3629 6 +3080 8 +1135 5 +2556 9 +3448 3 +3102 2 +2958 1 +2878 9 +1598 4 +844 7 +3508 4 +2452 7 +305 5 +249 3 +337 8 +1641 6 +1915 9 +2099 8 +1124 5 +508 6 +3461 4 +2096 10 +607 7 +79 10 +1347 8 +2840 5 +2491 6 +2309 9 +3572 3 +3204 7 +1094 9 +2553 1 +2535 6 +2120 6 +2207 1 +1486 10 +1682 2 +2187 5 +3376 5 +1829 5 +1204 2 +4088 10 +3167 7 +2291 4 +921 3 +1800 10 +2773 4 +3553 8 +536 6 +1550 7 +1631 10 +3619 1 +809 3 +2196 6 +2749 3 +940 2 +582 8 +3589 1 +695 8 +3115 3 +2531 8 +1852 9 +2842 7 +295 6 +3658 5 +1991 1 +1042 9 +2772 1 +2378 2 +2002 9 +825 6 +2908 2 +3467 3 +410 6 +3261 7 +638 1 +4001 5 +316 4 +712 4 +3943 5 +1604 1 +2972 1 +385 4 +1485 1 +174 1 +3712 8 +2121 1 +2263 2 +3527 6 +790 2 +3648 5 +1447 4 +1069 1 +472 4 +966 9 +3321 4 +2305 8 +313 1 +3054 8 +2207 10 +623 3 +2843 1 +2223 3 +1297 5 +392 1 +2024 4 +760 3 +479 4 +2098 8 +3766 1 +3740 1 +793 10 +875 5 +734 3 +2361 9 +1495 1 +2583 9 +263 3 +3311 5 +3924 4 +767 2 +1096 8 +3657 5 +1454 5 +1506 1 +480 6 +908 10 +1903 4 +70 6 +1783 3 +3006 2 +2745 1 +2778 2 +2075 1 +2682 5 +3534 5 +1141 1 +3527 8 +818 1 +3067 9 +3208 2 +3677 4 +2850 8 +3719 7 +449 5 +3184 7 +1759 3 +3547 9 +1083 5 +3088 10 +2089 10 +1204 4 +1215 6 +700 3 +2188 3 +3500 4 +3283 2 +888 8 +971 3 +2164 10 +1459 4 +2657 10 +1880 5 +72 5 +3540 6 +2516 7 +3183 2 +3925 4 +187 10 +1757 4 +496 5 +1044 7 +1674 8 +1910 5 +898 10 +436 3 +2711 10 +3553 7 +2242 7 +4093 5 +314 7 +1779 1 +717 6 +2834 4 +53 5 +3642 9 +814 3 +2008 5 +3764 8 +1903 8 +3104 8 +2883 3 +1923 2 +668 3 +3264 7 +2084 9 +400 7 +37 5 +1332 8 +2382 1 +368 1 +2821 6 +308 10 +2080 4 +549 10 +3131 9 +3545 7 +809 1 +1002 6 +3954 2 +1143 1 +2762 10 +1695 1 +2516 6 +3886 2 +2544 4 +3984 3 +3258 10 +1750 6 +3175 7 +1876 9 +1631 9 +2125 6 +1821 10 +1693 3 +2199 8 +1857 8 +3561 9 +4041 6 +275 7 +3431 9 +1890 4 +3510 9 +1703 2 +2084 6 +1740 3 +584 2 +2044 6 +3370 8 +2047 4 +796 1 +3790 2 +2454 1 +751 1 +2693 9 +2581 9 +1504 9 +1132 3 +3271 8 +958 2 +1435 6 +3812 1 +2015 2 +457 3 +794 8 +3842 10 +3216 3 +2042 9 +1434 6 +1239 2 +2127 6 +1875 3 +944 6 +3891 4 +1378 8 +3079 4 +18 2 +3976 3 +1541 5 +3214 5 +3051 7 +3073 2 +1602 2 +3425 4 +1351 8 +1690 2 +1897 3 +1664 9 +3108 10 +3148 2 +1947 9 +1882 8 +2122 10 +637 4 +2600 9 +33 10 +740 6 +4052 2 +3853 2 +2945 10 +3184 10 +1138 7 +891 6 +4046 10 +1143 9 +2222 1 +3773 4 +1202 5 +2821 10 +2819 5 +3248 10 +1468 1 +1003 9 +2874 4 +2326 3 +3856 4 +2754 9 +1046 10 +158 8 +987 6 +498 10 +1450 9 +2469 6 +893 2 +242 5 +965 8 +1404 4 +1237 10 +732 5 +1851 10 +2109 7 +59 9 +188 7 +2796 6 +1013 1 +487 3 +2324 5 +3743 8 +892 7 +4064 7 +4045 3 +3782 10 +1446 9 +2252 3 +3909 1 +2342 5 +3848 4 +2927 4 +1566 9 +2926 10 +1353 3 +2182 6 +3307 1 +3550 9 +2691 10 +2161 5 +18 8 +846 10 +3044 2 +3781 10 +3874 5 +1806 3 +3004 7 +3706 4 +1410 5 +385 3 +2192 3 +2394 5 +1136 4 +3317 4 +2178 10 +4041 5 +2993 8 +4040 9 +1019 9 +2970 6 +562 1 +32 5 +2279 10 +526 1 +2837 1 +2567 2 +3052 6 +1494 9 +4057 7 +746 8 +794 6 +2297 8 +1915 3 +2059 2 +765 3 +1307 5 +1127 1 +152 6 +2790 6 +3288 4 +666 6 +1417 4 +4066 10 +435 7 +815 8 +3398 5 +242 7 +220 7 +1099 3 +3662 1 +4005 5 +797 10 +1097 1 +2316 1 +491 5 +3261 6 +2273 7 +2782 9 +1929 3 +1046 9 +330 2 +4046 1 +3587 4 +3946 4 +3234 6 +138 1 +3011 3 +1700 6 +2820 6 +2043 1 +3290 6 +34 7 +1907 10 +1689 5 +2015 3 +3168 9 +1296 5 +485 5 +2642 9 +912 3 +3574 5 +2187 6 +294 8 +1082 5 +2047 2 +2364 8 +3798 2 +2315 10 +636 4 +3260 7 +3611 1 +83 6 +2147 6 +1444 1 +3128 4 +2620 8 +1805 8 +432 5 +1134 3 +3839 6 +3958 6 +859 1 +3553 10 +1860 10 +266 3 +3831 9 +489 8 +3482 5 +1726 5 +2778 10 +3276 1 +588 4 +1106 6 +3010 10 +1904 10 +2911 1 +1270 5 +1933 7 +1668 3 +2371 2 +1368 2 +1935 2 +754 6 +948 7 +4086 9 +1736 6 +2621 6 +3620 5 +3147 9 +2652 7 +3169 6 +1000 8 +3131 10 +3956 10 +3640 2 +1964 8 +2045 5 +3052 7 +360 5 +420 7 +3965 4 +2531 9 +1693 4 +1793 6 +3131 4 +3668 8 +3973 2 +1992 1 +858 6 +2315 3 +2248 4 +3981 5 +212 7 +2446 6 +1943 2 +2335 3 +1932 6 +2896 9 +360 7 +4019 6 +3330 10 +1234 6 +1792 7 +3785 5 +735 10 +343 9 +1244 9 +32 8 +2145 9 +2048 3 +2638 6 +2376 3 +1678 6 +1517 1 +3968 5 +1278 4 +2850 8 +384 5 +3305 4 +1696 8 +231 9 +3208 9 +2345 9 +3380 8 +105 8 +2586 4 +909 7 +762 1 +2857 6 +3035 6 +1202 7 +8 8 +1402 1 +1382 9 +3977 4 +860 1 +1392 10 +2480 10 +1663 2 +218 2 +2324 8 +3023 2 +3539 5 +1883 10 +3576 9 +258 10 +793 9 +2534 4 +967 10 +2851 4 +732 3 +3340 10 +139 7 +1777 4 +4067 4 +1892 4 +1651 6 +1054 9 +1563 5 +349 3 +1987 6 +4087 7 +1945 5 +1990 4 +1095 10 +2507 2 +2146 6 +2975 4 +2503 9 +4011 2 +2523 1 +3597 6 +2361 6 +2883 7 +4058 5 +2580 5 +672 5 +2903 3 +3705 7 +3364 7 +498 3 +3776 6 +1210 9 +260 6 +48 10 +1825 2 +3355 6 +2966 10 +958 10 +2739 3 +571 8 +2246 5 +1647 8 +107 4 +2268 7 +3306 7 +2320 3 +3845 7 +4052 7 +3121 5 +3152 8 +1457 9 +1899 4 +2679 3 +2272 4 +761 1 +1511 9 +3331 9 +2836 3 +1161 8 +1409 1 +151 2 +4039 5 +2306 10 +3518 1 +2878 1 +3216 1 +2136 3 +3066 1 +2002 8 +1853 7 +2803 8 +3575 3 +2766 10 +140 2 +2380 7 +1638 7 +954 7 +1200 8 +2932 2 +1346 5 +1628 9 +1527 2 +2214 6 +0 10 +3101 6 +3820 1 +2960 8 +3712 2 +3644 2 +186 2 +4003 2 +1005 7 +1048 10 +47 3 +1204 5 +1305 7 +311 7 +3553 5 +2177 9 +2134 4 +2156 6 +3213 6 +1712 3 +4077 4 +1002 5 +3338 9 +3790 3 +210 3 +1744 8 +2771 6 +3089 9 +2018 6 +3079 2 +539 6 +62 1 +287 7 +1220 7 +2632 9 +806 2 +2889 10 +2385 10 +1006 8 +1598 7 +672 10 +654 10 +2968 5 +2954 3 +2647 4 +1433 9 +869 9 +2516 9 +2641 4 +1410 1 +2263 4 +1278 2 +3487 1 +4044 8 +3472 8 +3228 4 +2269 6 +4083 10 +3930 9 +1976 1 +1729 3 +2474 1 +1162 6 +3393 2 +3206 10 +3661 6 +370 7 +1080 3 +169 1 +981 9 +2977 7 +1833 2 +3547 4 +1495 9 +1016 8 +2064 7 +2971 6 +3397 8 +348 8 +627 5 +3026 5 +3692 6 +3596 3 +1235 1 +651 2 +2084 7 +2432 5 +136 4 +4040 8 +820 8 +1265 9 +3425 3 +328 2 +340 1 +3161 7 +3849 5 +3448 2 +3869 8 +2734 1 +1776 7 +1113 2 +3366 9 +2128 1 +2368 5 +1645 5 +468 2 +458 1 +214 4 +1181 2 +3903 10 +343 5 +1483 1 +2450 10 +3092 5 +221 10 +3226 7 +4064 10 +3592 8 +1327 8 +758 6 +2094 7 +1110 5 +2272 8 +722 5 +3483 9 +384 6 +395 5 +1219 2 +2729 6 +2917 7 +2913 6 +2956 10 +1940 1 +4057 2 +1357 10 +712 6 +2062 4 +1233 9 +3567 1 +81 6 +346 5 +3885 8 +3340 7 +4041 2 +2606 5 +3324 2 +171 3 +3975 1 +816 6 +1556 9 +1761 3 +1811 7 +4042 8 +3559 5 +3349 5 +2184 10 +1882 1 +2481 6 +148 5 +367 2 +34 4 +813 5 +1284 3 +668 10 +3340 2 +2051 7 +1805 2 +2500 8 +3417 4 +1497 2 +2223 8 +1964 1 +3321 3 +1006 9 +1753 4 +2029 9 +3651 1 +746 8 +2755 6 +119 4 +2076 5 +1177 4 +2112 5 +2475 9 +933 6 +2400 8 +1364 3 +1998 1 +412 4 +2651 8 +2481 7 +772 4 +557 2 +3258 9 +531 1 +3685 9 +793 8 +1235 8 +3974 10 +987 2 +3499 7 +625 3 +2313 6 +3913 2 +2427 5 +3794 2 +1380 7 +2446 5 +3385 9 +133 2 +24 4 +1239 6 +1955 2 +1911 1 +150 3 +4015 2 +3292 6 +1926 3 +243 3 +3738 6 +3500 4 +687 9 +1642 9 +767 5 +1266 6 +3112 6 +3385 10 +3271 1 +3338 1 +2876 5 +4054 10 +2204 3 +1925 8 +3738 8 +192 1 +1907 5 +851 8 +3311 6 +107 5 +3225 10 +3890 5 +363 3 +2629 9 +2460 3 +399 5 +3622 5 +3672 7 +620 3 +1437 5 +3439 8 +2697 3 +3867 4 +995 1 +2512 9 +1818 1 +2488 10 +705 8 +2226 3 +334 4 +2080 3 +3440 3 +874 8 +1353 10 +2539 9 +3699 8 +627 6 +2928 5 +2244 1 +3730 9 +135 2 +3463 4 +2835 5 +1197 6 +3428 8 +1321 9 +718 6 +3813 10 +3435 4 +2379 7 +3080 2 +3083 6 +3480 1 +1848 10 +1903 7 +2182 7 +2115 7 +643 1 +2700 6 +3730 9 +2113 3 +511 3 +2279 1 +3577 6 +1012 9 +444 1 +1395 7 +232 6 +553 8 +3936 6 +3674 10 +779 7 +566 1 +1341 3 +1673 8 +1165 4 +2998 10 +658 10 +2941 6 +3713 10 +250 10 +3088 8 +1136 1 +1677 9 +2568 4 +825 6 +1363 7 +3803 10 +2531 4 +3493 6 +1263 3 +2768 1 +3134 6 +3503 5 +2271 4 +909 8 +2723 7 +3863 10 +850 1 +3385 2 +3789 3 +115 9 +3542 4 +1523 9 +2715 5 +1936 4 +541 10 +1673 1 +1365 4 +3649 5 +862 4 +1903 1 +3088 2 +2062 8 +2391 5 +2111 5 +2398 3 +677 3 +2665 1 +2741 9 +1309 1 +1217 8 +1124 3 +2501 2 +3134 3 +2086 4 +2115 3 +2170 5 +3180 6 +1963 8 +2031 3 +1489 5 +2129 2 +3046 7 +1148 10 +1152 3 +1231 1 +478 9 +904 10 +760 6 +1973 10 +271 1 +1450 9 +1904 2 +4028 3 +3952 4 +4031 2 +998 6 +3397 6 +1798 2 +1243 9 +669 3 +1103 8 +2561 9 +1336 2 +1898 10 +3757 6 +71 8 +2191 3 +955 1 +1181 10 +1097 2 +607 6 +3789 8 +2397 3 +3731 7 +590 10 +3673 1 +3001 2 +3464 6 +2933 5 +1798 7 +864 6 +3376 7 +2628 9 +2012 8 +1778 9 +4004 10 +2607 1 +2224 8 +3822 6 +1640 6 +962 1 +1156 10 +2197 2 +2335 6 +3502 3 +3850 1 +94 4 +2836 1 +3545 2 +3568 2 +147 5 +3812 9 +2883 2 +158 3 +764 8 +382 2 +3227 10 +1902 9 +693 1 +2808 6 +2778 3 +3224 7 +748 7 +3291 10 +1098 10 +202 10 +3440 3 +1715 5 +1676 5 +544 2 +2446 2 +2419 4 +2003 10 +345 5 +2569 8 +3645 9 +3442 3 +3336 5 +2466 8 +3894 9 +618 6 +2501 5 +1284 7 +2334 9 +3551 4 +222 4 +1225 7 +3703 3 +169 1 +1279 7 +1323 4 +3785 2 +1942 3 +2301 10 +1616 8 +2266 8 +3885 2 +1626 1 +552 7 +1040 9 +3796 1 +1145 2 +3568 3 +2973 1 +2361 4 +1690 5 +3478 9 +2362 1 +2586 7 +2335 6 +552 5 +1042 7 +998 7 +2295 4 +3080 3 +3340 7 +539 10 +445 7 +2453 3 +3289 10 +2697 10 +1077 5 +452 3 +3538 3 +2971 7 +2351 8 +648 4 +2591 9 +1177 6 +45 7 +120 3 +662 2 +744 1 +2748 7 +2016 5 +3566 4 +3063 2 +935 3 +2375 8 +3382 9 +3709 3 +3150 1 +2717 7 +667 5 +1362 2 +3286 6 +2738 5 +298 4 +324 8 +1649 10 +2800 8 +1823 6 +206 3 +2642 1 +710 10 +2488 5 +2058 8 +2183 5 +3690 1 +2807 3 +3797 4 +3972 10 +1086 5 +2752 2 +1000 7 +2083 8 +2655 2 +1328 5 +251 9 +582 5 +216 6 +2669 6 +1021 7 +1870 5 +2365 7 +1388 4 +236 2 +146 2 +3013 10 +1503 7 +3728 8 +1029 1 +3445 3 +3721 3 +629 10 +2488 5 +2878 10 +322 1 +845 8 +915 6 +3599 10 +315 4 +346 5 +3467 2 +1438 2 +3752 6 +2755 4 +2422 1 +3026 4 +170 4 +1402 1 +2791 8 +143 3 +364 9 +2751 1 +3433 8 +1617 10 +2479 1 +1790 4 +1386 3 +496 6 +2842 9 +381 9 +1309 2 +2860 6 +3872 4 +3481 3 +4042 1 +2633 2 +568 7 +3264 1 +1935 5 +1879 5 +3712 8 +3549 7 +1303 3 +3758 7 +557 8 +528 1 +2361 4 +3533 7 +1118 2 +1233 10 +1692 10 +565 10 +112 9 +2924 4 +306 9 +1062 2 +771 2 +422 4 +3627 6 +3759 7 +98 2 +3618 1 +2167 1 +3920 2 +3831 10 +3358 2 +285 8 +663 7 +2211 6 +1940 10 +2724 10 +2462 5 +3231 7 +4059 1 +655 4 +3209 4 +1967 2 +16 2 +2907 6 +1247 2 +423 9 +2550 8 +2504 8 +3717 6 +638 10 +3612 9 +251 8 +1957 2 +2920 8 +1126 2 +4066 6 +3226 9 +367 2 +121 9 +1582 8 +1083 2 +523 9 +2216 7 +365 2 +1006 3 +200 7 +2057 6 +2091 1 +1604 10 +468 9 +1648 10 +1240 1 +2192 8 +2788 9 +309 3 +2429 1 +943 6 +2749 7 +2008 7 +3065 3 +3963 9 +3473 2 +1899 4 +282 4 +621 1 +1027 6 +4082 2 +336 3 +3997 10 +337 10 +1187 2 +2267 1 +3160 9 +1307 5 +1026 7 +1905 10 +1233 6 +3477 7 +623 9 +1811 4 +2416 9 +749 8 +2941 7 +4067 2 +2988 9 +2802 9 +3350 10 +2006 9 +1948 8 +2569 9 +1043 10 +227 4 +2570 4 +208 9 +504 4 +2605 6 +1583 1 +2863 7 +2535 2 +1898 5 +2526 4 +1958 3 +750 10 +1144 4 +3770 10 +2773 1 +579 1 +298 9 +2876 5 +124 8 +3938 6 +2761 6 +1497 9 +2385 3 +28 5 +1902 1 +2215 1 +2232 4 +691 4 +3335 3 +1653 9 +2574 5 +905 9 +2089 1 +4054 2 +322 4 +1428 9 +3986 7 +3064 1 +1395 10 +199 1 +1969 8 +647 6 +2922 2 +3846 6 +3710 1 +2717 7 +872 6 +3434 9 +2872 5 +3901 5 +3798 1 +3308 2 +1375 5 +2324 5 +3747 1 +1766 10 +4054 1 +3359 8 +3596 1 +598 5 +1763 5 +834 2 +2993 6 +2178 8 +1166 5 +1497 7 +3001 2 +3940 1 +3314 7 +2921 9 +3621 2 +322 10 +3712 10 +1826 9 +2031 3 +300 2 +1676 9 +2713 10 +3797 4 +3538 5 +1714 8 +1573 6 +461 4 +2638 8 +3952 8 +2699 3 +782 4 +2420 9 +1389 6 +3213 9 +2469 8 +268 5 +1800 3 +3283 9 +2168 6 +2790 1 +2303 5 +1537 9 +2811 9 +2176 1 +4047 4 +4057 8 +2859 4 +715 7 +3273 8 +522 7 +2281 3 +3620 4 +1318 8 +2615 8 +247 7 +3388 4 +2357 9 +1736 4 +2903 10 +3366 2 +530 5 +4067 7 +1515 5 +1257 3 +284 9 +2575 8 +810 6 +1111 1 +912 3 +2310 5 +1689 6 +605 1 +1094 3 +1493 8 +1956 1 +2774 2 +1818 4 +717 8 +3409 7 +3451 6 +2795 10 +2000 9 +867 10 +1618 10 +3671 8 +2327 7 +3069 3 +3664 7 +3641 8 +1703 4 +1593 10 +2346 4 +2062 2 +2366 7 +2835 9 +3325 5 +1489 6 +3933 7 +622 6 +195 4 +2799 2 +691 2 +426 1 +1178 8 +2160 1 +3000 9 +3391 6 +1186 9 +3507 10 +2895 10 +1630 9 +3024 3 +2015 9 +2312 5 +252 4 +1032 10 +386 8 +1337 9 +4041 8 +67 8 +4058 2 +2072 8 +1684 8 +1896 6 +1753 4 +398 7 +749 1 +729 7 +2602 10 +2766 8 +2777 5 +717 7 +1261 2 +1327 4 +806 9 +2775 6 +1071 7 +669 4 +547 7 +2400 6 +3094 3 +3333 5 +1094 9 +2456 5 +2750 2 +3026 8 +2710 9 +3808 8 +1996 10 +3515 3 +3116 4 +600 6 +1129 10 +2806 7 +1133 4 +3239 4 +3498 8 +3927 3 +3119 6 +645 10 +3976 7 +3000 7 +1941 8 +2398 2 +804 3 +2801 9 +131 5 +3908 1 +3488 6 +2652 9 +514 6 +3429 8 +1486 2 +2305 2 +3119 6 +3841 8 +400 6 +3821 10 +1439 9 +3818 5 +3814 6 +3004 2 +2864 7 +2671 5 +2987 4 +3497 1 +2841 10 +3223 10 +2353 7 +2602 2 +2515 10 +2764 6 +3647 6 +301 8 +3496 1 +2796 1 +507 7 +3450 4 +1967 3 +1302 1 +1883 7 +1472 3 +764 7 +1242 10 +3043 2 +2329 3 +313 6 +2454 1 +595 10 +2469 7 +2829 1 +672 4 +2318 10 +3829 3 +306 9 +2391 6 +186 8 +922 9 +498 10 +2596 4 +4041 7 +3766 3 +2092 1 +1106 5 +1029 1 +760 9 +629 7 +2972 7 +49 10 +1723 1 +1100 10 +1552 8 +2948 7 +3257 6 +1219 9 +1558 1 +2476 5 +1419 8 +3284 8 +3402 6 +872 2 +905 9 +1830 6 +3549 6 +430 8 +2495 5 +1579 5 +2147 6 +3292 4 +1639 9 +1331 2 +2285 3 +1700 6 +3407 4 +1553 9 +667 4 +3829 7 +1023 8 +999 3 +2571 8 +1483 6 +4059 9 +2 2 +3736 4 +3863 6 +1784 10 +3006 6 +1101 9 +1805 7 +141 2 +4044 6 +646 6 +1909 1 +463 8 +4083 8 +3321 2 +1316 4 +2416 4 +768 10 +2575 9 +0 2 +946 3 +2547 9 +716 8 +876 2 +567 4 +429 3 +3650 3 +1392 2 +222 10 +3304 3 +1999 8 +3132 5 +2022 5 +762 9 +520 3 +218 10 +3536 7 +1025 7 +3440 10 +1655 8 +2431 4 +1081 8 +2069 3 +617 3 +2451 6 +3468 4 +2915 8 +509 6 +3601 1 +3734 1 +1848 10 +3266 5 +1321 4 +3339 1 +3907 3 +605 4 +2670 5 +3700 5 +1465 5 +230 9 +1647 6 +1121 8 +1702 10 +1313 3 +3437 7 +687 2 +394 4 +3413 7 +3785 1 +3701 7 +2420 1 +1439 2 +3617 1 +2377 7 +828 10 +1584 5 +2105 10 +613 4 +1703 9 +1085 2 +3265 7 +2187 10 +65 1 +478 9 +1802 2 +548 9 +173 9 +1609 10 +2362 1 +2078 8 +3227 8 +1351 3 +1476 4 +4030 3 +77 8 +1429 3 +2230 1 +2267 4 +3761 7 +2482 3 +3695 2 +2715 10 +1950 8 +3214 3 +191 2 +1426 1 +4025 9 +2288 1 +651 1 +3778 8 +3558 2 +3037 4 +2204 6 +1067 3 +3070 9 +1484 8 +3005 3 +1059 1 +3446 3 +4014 4 +3870 8 +547 8 +2775 6 +3845 8 +1804 4 +2908 1 +218 5 +3093 3 +89 7 +3684 6 +3658 9 +833 7 +1967 6 +161 4 +670 4 +2866 3 +117 8 +3446 3 +2549 1 +1795 3 +2873 8 +1846 1 +751 8 +701 4 +1463 6 +3840 2 +877 4 +1676 6 +1189 4 +2423 10 +2994 3 +227 9 +1188 5 +3373 3 +513 8 +1689 10 +1156 6 +2272 9 +785 10 +2816 1 +25 3 +3238 8 +2060 2 +2353 2 +1282 2 +2330 5 +2565 4 +124 4 +1431 2 +1046 2 +20 3 +1129 3 +3634 7 +1691 9 +2914 1 +1649 4 +2172 2 +237 7 +683 3 +491 4 +334 8 +2083 10 +3861 6 +2302 2 +3605 8 +4050 2 +2811 1 +445 5 +1032 8 +2550 3 +3586 7 +291 7 +333 3 +2188 10 +593 7 +3659 7 +1753 4 +1055 2 +2025 4 +42 1 +3533 3 +778 10 +3235 8 +3881 5 +167 2 +2373 7 +4031 6 +1238 5 +1384 10 +146 5 +2762 5 +95 6 +2201 3 +2946 7 +1187 7 +3056 5 +2049 6 +1761 4 +511 8 +1501 3 +2194 4 +514 2 +1275 5 +2585 9 +1824 4 +2886 6 +1378 1 +1310 3 +3751 8 +1893 6 +2449 5 +1366 2 +1640 8 +1890 2 +3838 9 +3109 8 +311 9 +2731 4 +3516 4 +4013 3 +2313 10 +2471 7 +3221 3 +3547 7 +1578 5 +2093 8 +3201 7 +3212 2 +406 5 +442 5 +2052 2 +3781 7 +3699 5 +571 6 +2319 7 +252 1 +2511 8 +2334 8 +3676 10 +3033 5 +462 7 +3261 4 +116 6 +3862 4 +1353 3 +138 4 +2869 9 +3701 5 +1123 1 +2054 4 +1928 6 +2355 5 +614 1 +2389 7 +2568 7 +3382 10 +967 4 +1844 6 +2337 4 +370 5 +749 3 +3739 3 +2660 9 +330 5 +3931 9 +2422 6 +47 5 +1672 1 +532 5 +2381 1 +153 8 +1234 10 +611 8 +1299 1 +3473 1 +3457 3 +1313 3 +557 8 +1826 8 +1328 9 +2872 10 +724 6 +3361 4 +1470 5 +2960 5 +2399 2 +3695 3 +2674 6 +2528 1 +1879 5 +3290 5 +722 4 +458 8 +3622 6 +2228 6 +2952 9 +259 9 +4081 10 +806 9 +3096 5 +1874 1 +2058 3 +2194 2 +1318 1 +3759 10 +3080 10 +1509 3 +1823 2 +2253 1 +4087 4 +3684 5 +1961 7 +965 9 +605 5 +3052 10 +274 2 +3743 9 +3707 5 +2463 6 +2156 10 +3623 5 +1155 10 +3838 2 +4078 1 +2192 2 +3102 3 +1773 1 +3948 9 +2377 8 +2888 5 +2136 3 +2060 5 +896 8 +3079 9 +1040 2 +1130 7 +3937 6 +3076 6 +3555 3 +1160 10 +502 10 +1344 8 +37 3 +1474 6 +2152 6 +3943 6 +2839 6 +3575 5 +841 1 +1645 4 +403 3 +3421 2 +2622 3 +2038 7 +1854 8 +1215 9 +2510 3 +3126 5 +2836 2 +205 10 +2493 5 +2828 7 +2832 1 +1147 7 +2746 2 +3423 1 +996 5 +3615 8 +2340 4 +3044 2 +2626 6 +1859 3 +2203 9 +2429 1 +3878 1 +1973 3 +3902 1 +1947 6 +1431 3 +954 9 +2126 9 +1750 5 +3783 7 +609 4 +3544 9 +3000 2 +3231 9 +230 5 +1005 4 +2676 3 +1779 8 +126 7 +3815 9 +1502 8 +3379 7 +239 10 +1746 8 +3556 1 +585 8 +128 4 +2657 8 +3755 6 +792 1 +3560 10 +1089 6 +1759 1 +2366 10 +3763 9 +3904 4 +3946 4 +2756 6 +1744 8 +1094 4 +2773 9 +2866 10 +473 2 +3495 1 +2644 6 +2988 4 +580 6 +3062 9 +1291 8 +3403 1 +2381 8 +3605 4 +2384 4 +2624 6 +2276 5 +3504 6 +1794 3 +984 10 +2298 4 +1741 5 +3294 1 +1427 6 +550 5 +1140 3 +3464 5 +3081 8 +2807 3 +2306 1 +1334 1 +2968 4 +300 7 +3997 5 +3240 9 +1294 8 +3015 7 +3973 6 +3172 7 +2599 10 +4076 10 +925 8 +4002 8 +1115 2 +2096 6 +2261 3 +1707 4 +496 6 +2034 5 +728 1 +1528 4 +1093 1 +1655 4 +2484 7 +2747 7 +1296 9 +3705 8 +2130 5 +2688 6 +3843 1 +3428 6 +563 9 +1196 2 +2313 8 +389 10 +2293 2 +2089 9 +1327 1 +2247 1 +1018 7 +422 3 +2384 2 +529 3 +805 9 +1418 4 +2608 5 +2303 1 +3074 1 +2861 6 +2880 3 +1415 3 +1745 1 +3101 2 +3574 1 +2530 7 +3120 1 +2466 2 +3287 6 +1071 7 +642 1 +50 1 +2096 3 +1810 4 +3897 6 +1711 4 +2236 10 +3087 1 +1523 3 +428 6 +3090 2 +752 5 +1303 6 +791 2 +3772 5 +3060 3 +276 2 +3836 6 +1636 5 +3260 9 +298 9 +761 7 +3539 10 +3033 2 +2710 5 +548 10 +2236 10 +752 9 +3956 3 +3436 4 +1190 1 +2438 8 +1635 10 +2186 5 +2279 7 +2011 2 +3246 9 +166 8 +3613 5 +2767 3 +3310 10 +3182 5 +761 6 +81 3 +1125 9 +2079 9 +2713 6 +2949 8 +1109 6 +1802 6 +3473 5 +3316 7 +1995 1 +2101 1 +3781 8 +375 6 +3845 4 +905 6 +2920 1 +2864 10 +2161 3 +2636 5 +3050 5 +1001 5 +577 1 +455 9 +279 5 +964 4 +3290 1 +3165 6 +3941 7 +663 9 +878 4 +3683 2 +1732 1 +2821 3 +626 4 +955 3 +3228 9 +1125 2 +176 8 +3467 1 +2231 1 +493 1 +1354 9 +3457 7 +489 5 +2915 6 +169 7 +2606 2 +3155 7 +1887 7 +805 8 +1201 1 +2784 7 +1515 7 +3404 2 +3131 5 +688 4 +2514 1 +1177 5 +1221 2 +1488 4 +3282 9 +3540 9 +615 6 +1572 9 +2183 8 +1206 5 +2648 5 +129 4 +73 7 +834 6 +1421 1 +3000 3 +1743 8 +3202 7 +3561 10 +254 3 +2436 2 +633 4 +2914 4 +3341 3 +2957 9 +2326 8 +3617 2 +3928 7 +2087 6 +1948 4 +3483 7 +3571 2 +445 10 +3758 6 +2060 8 +1411 3 +3633 10 +2902 3 +2883 1 +2072 9 +122 3 +3060 1 +3294 1 +1679 10 +2728 1 +2040 6 +662 10 +180 10 +1269 7 +1840 8 +2469 10 +3559 5 +2778 6 +2144 10 +2363 3 +2205 4 +2284 7 +400 8 +1167 3 +2692 8 +3226 8 +1845 4 +2370 7 +202 1 +2413 6 +32 10 +878 5 +946 5 +3493 6 +1605 4 +1332 6 +941 6 +3075 6 +2886 2 +917 8 +3930 4 +3052 9 +2986 7 +3234 3 +1216 10 +2660 2 +1263 4 +4093 10 +4015 9 +1480 7 +1227 8 +518 7 +1476 6 +2073 9 +77 6 +1061 10 +3768 1 +1034 1 +3905 3 +1328 7 +2601 8 +970 9 +2644 1 +2034 10 +720 8 +1749 3 +1298 5 +2304 10 +377 5 +3482 1 +2233 7 +3569 10 +605 8 +2151 10 +3546 4 +1699 3 +3277 1 +2573 2 +1318 3 +1096 3 +669 3 +1930 10 +620 10 +3123 4 +870 10 +1238 3 +2084 3 +2368 3 +966 9 +199 6 +3942 6 +2792 1 +569 8 +165 1 +1571 7 +2859 6 +1567 2 +3782 4 +932 9 +2540 3 +3627 1 +745 7 +2420 4 +3761 7 +3870 9 +1642 3 +1394 10 +3151 5 +1286 6 +3902 9 +1126 6 +2171 3 +2645 2 +651 3 +1339 5 +3791 7 +3945 9 +1769 6 +1692 2 +1338 10 +732 10 +2410 7 +713 6 +136 10 +2966 3 +458 2 +1204 8 +1698 4 +2628 9 +1680 7 +1361 2 +579 6 +1948 4 +3507 10 +4019 10 +3171 7 +536 10 +407 7 +1526 2 +1468 8 +3874 8 +3144 8 +499 4 +1453 3 +524 3 +2746 1 +184 6 +1811 1 +52 9 +3121 1 +1357 10 +1017 9 +2192 2 +2987 6 +1137 3 +242 7 +2761 9 +2075 10 +3275 5 +1061 8 +2137 8 +660 10 +1996 5 +163 2 +1761 4 +2318 2 +3570 5 +2478 3 +966 4 +3212 10 +2345 9 +3321 5 +1807 1 +3326 4 +2135 2 +3927 8 +2992 4 +556 4 +1623 4 +1523 7 +920 3 +526 6 +3249 1 +3437 1 +3043 8 +2877 7 +3945 4 +294 8 +289 6 +2722 7 +3440 3 +3979 1 +3144 1 +1985 3 +3975 9 +3826 8 +136 3 +3342 2 +3679 6 +3088 5 +446 2 +2292 4 +3041 10 +2656 3 +3513 6 +1280 1 +2610 9 +2661 4 +422 6 +54 2 +2021 5 +3864 2 +254 10 +1542 1 +1647 4 +3368 1 +3790 5 +3016 7 +3277 4 +1189 3 +969 4 +656 5 +3823 6 +4081 4 +393 7 +3358 1 +2825 9 +3544 1 +680 6 +1429 10 +1347 2 +3018 6 +2662 2 +3516 3 +4074 3 +3215 7 +3970 7 +1252 1 +1594 6 +1729 2 +3765 7 +637 8 +751 7 +2482 4 +733 2 +3850 10 +2449 4 +1382 5 +185 10 +83 4 +3644 8 +2661 1 +1712 4 +533 3 +35 2 +3955 4 +3133 4 +3064 10 +3728 10 +1492 2 +1234 10 +2203 2 +705 3 +321 2 +386 5 +1639 9 +1725 8 +823 9 +934 1 +1222 4 +862 8 +2665 4 +2998 4 +2214 5 +2306 3 +3735 7 +3509 5 +139 7 +398 4 +411 3 +3341 4 +1300 1 +38 9 +1877 5 +1392 5 +1156 4 +3161 1 +3027 3 +2939 10 +721 7 +3238 9 +2148 9 +1675 6 +1853 5 +1912 7 +251 6 +3098 4 +1352 3 +630 5 +3370 1 +65 10 +2325 8 +3688 8 +606 6 +1510 2 +3982 1 +3867 10 +888 10 +2874 7 +2560 7 +2199 2 +1996 5 +2965 4 +879 8 +3151 2 +1253 2 +1275 3 +1155 1 +2036 10 +3880 3 +3907 6 +283 6 +3319 7 +3543 7 +3446 9 +810 1 +2069 9 +2928 4 +191 8 +1380 10 +582 10 +425 6 +235 4 +1995 6 +677 1 +3967 9 +879 5 +3179 3 +3038 7 +1785 8 +1906 10 +4095 3 +3679 9 +2749 7 +1069 3 +188 3 +3307 2 +629 9 +2304 5 +2244 5 +1247 4 +2603 1 +3044 9 +2567 8 +3285 2 +3387 10 +2907 1 +471 10 +2077 9 +3257 10 +536 6 +1722 6 +599 4 +3487 10 +1150 7 +694 8 +1787 4 +3202 6 +3354 5 +2059 4 +1700 1 +2012 7 +1176 6 +2306 5 +2052 5 +2118 1 +1998 3 +457 2 +201 4 +264 3 +1911 6 +3168 4 +720 8 +3410 4 +2493 5 +1687 10 +660 2 +3167 3 +339 6 +1547 10 +716 3 +1095 9 +784 7 +444 1 +446 7 +2945 4 +1198 4 +2037 8 +326 7 +3370 3 +1448 10 +1007 5 +3943 6 +423 3 +101 3 +2099 3 +1 10 +2841 2 +2516 1 +4060 6 +2563 5 +1963 8 +3989 3 +1397 9 +2786 8 +3013 4 +428 1 +1830 5 +2502 3 +1496 7 +770 9 +1737 8 +2612 9 +2542 3 +3154 9 +3661 5 +1271 10 +558 4 +866 7 +365 4 +3517 3 +830 8 +455 3 +3380 8 +886 4 +1429 8 +200 3 +3908 8 +648 3 +91 3 +791 5 +3998 8 +3420 7 +3604 9 +1988 4 +1927 7 +1738 3 +3145 4 +4017 1 +3732 1 +1345 9 +1469 10 +2896 4 +358 10 +1905 5 +2025 6 +52 5 +2466 1 +1332 4 +706 4 +3153 2 +2509 10 +3789 4 +2525 6 +2994 9 +3386 9 +2353 2 +1970 8 +3150 10 +697 10 +3628 4 +3735 1 +2902 1 +2916 8 +1131 6 +2449 7 +2256 2 +1037 8 +873 10 +2524 9 +3729 7 +3510 9 +912 8 +1351 5 +3213 7 +116 6 +2781 9 +3781 7 +987 9 +224 2 +2170 7 +2957 10 +3753 8 +2546 1 +2295 6 +2162 5 +228 8 +2825 8 +471 3 +1198 2 +3532 1 +301 1 +1597 5 +569 4 +1366 5 +920 8 +1937 3 +3212 1 +2528 8 +2803 3 +961 1 +2705 3 +3672 7 +2234 9 +174 2 +854 9 +2816 5 +2280 2 +3101 2 +549 4 +2064 1 +117 9 +507 1 +1728 1 +1150 5 +3312 8 +746 8 +163 4 +1436 3 +2183 5 +3464 2 +3702 2 +1428 9 +262 1 +3486 6 +3200 10 +2428 7 +507 5 +1275 8 +3160 8 +3044 6 +3909 1 +1829 5 +2082 6 +600 4 +2011 8 +3697 8 +1983 4 +1083 10 +2200 10 +662 2 +3974 4 +2660 5 +3007 2 +2732 10 +658 8 +1607 5 +1726 5 +2072 7 +1318 9 +2327 6 +683 4 +1417 5 +4019 2 +2298 10 +2468 5 +2484 1 +640 5 +909 1 +3383 1 +733 8 +171 1 +1525 1 +3995 3 +3358 1 +1303 3 +1440 8 +2982 5 +250 3 +3681 3 +3585 7 +1668 7 +4028 10 +3734 9 +1486 10 +809 1 +2895 8 +3498 1 +1937 9 +3426 5 +4067 8 +3358 1 +2379 1 +660 3 +2233 5 +209 2 +2433 7 +2579 10 +3888 5 +3581 10 +2047 10 +3382 4 +312 10 +564 6 +750 10 +2459 7 +3991 10 +3691 6 +1776 7 +553 5 +794 2 +1928 2 +4032 5 +169 8 +2668 2 +3603 6 +3673 7 +3554 1 +3810 4 +1202 10 +1714 2 +3415 9 +4059 7 +3495 1 +3524 7 +1430 4 +1176 4 +4055 1 +1189 1 +3876 8 +3357 1 +1489 4 +1174 1 +470 3 +396 3 +3206 2 +1713 6 +3938 2 +223 7 +825 7 +3377 4 +4002 5 +2301 7 +3428 4 +3796 3 +553 7 +733 2 +1313 8 +3271 2 +616 6 +2533 7 +3916 6 +1280 8 +1655 6 +1439 3 +336 6 +4030 4 +3584 6 +1626 5 +1568 10 +2000 1 +1621 4 +326 9 +262 9 +1494 4 +3936 9 +345 5 +2071 8 +2090 4 +246 5 +2059 2 +2962 7 +2860 10 +3029 7 +1136 1 +2354 7 +2352 7 +2727 1 +385 10 +3312 9 +4075 5 +3319 7 +2917 8 +1577 9 +3490 4 +1629 1 +1123 1 +380 6 +1411 4 +1559 1 +3765 7 +408 2 +1422 8 +200 4 +1164 4 +3994 7 +1547 7 +3982 1 +188 1 +1065 7 +893 3 +400 6 +824 4 +1566 2 +1471 10 +3063 10 +1623 10 +3839 10 +2209 4 +1860 5 +3279 10 +4000 4 +3763 7 +1994 10 +1841 10 +3347 5 +58 7 +3053 10 +2020 3 +1465 10 +475 2 +3230 2 +1539 5 +1206 8 +3910 7 +3428 3 +915 4 +2602 2 +1036 7 +2873 3 +3426 2 +3789 9 +3867 10 +2420 7 +268 6 +16 10 +4072 2 +2510 4 +1975 9 +4075 6 +1680 1 +2231 6 +3514 6 +305 7 +629 5 +1157 4 +4079 8 +3085 6 +3667 1 +2830 3 +1419 5 +1535 1 +3703 7 +3475 9 +2563 5 +1847 6 +749 8 +2222 2 +3356 1 +1830 7 +1053 9 +3040 3 +907 5 +342 7 +2002 7 +2554 8 +796 5 +2960 8 +288 4 +4091 5 +537 1 +3772 4 +2944 8 +2436 5 +193 5 +4017 7 +3813 9 +1315 6 +354 9 +2268 2 +1458 3 +1338 7 +703 7 +1389 9 +3459 5 +2492 2 +1306 2 +3739 7 +3081 7 +655 2 +343 2 +2127 6 +368 7 +1965 3 +2220 4 +2810 1 +1996 10 +2980 4 +1073 9 +489 5 +2625 10 +3867 4 +3131 5 +2048 5 +802 8 +320 10 +2852 1 +3911 7 +3585 4 +1991 8 +4002 8 +2146 1 +2301 2 +595 8 +3298 9 +1043 6 +74 8 +3826 9 +3145 5 +2067 8 +2972 1 +3083 3 +2167 8 +277 7 +1423 2 +30 4 +835 8 +2595 6 +928 2 +3105 7 +2777 7 +3550 7 +749 2 +2206 6 +3923 5 +1227 9 +2410 6 +1069 3 +1539 8 +691 5 +1029 10 +759 7 +3185 1 +2948 7 +2047 1 +3145 3 +2602 10 +678 4 +1535 3 +3244 2 +2659 4 +1859 7 +1721 6 +976 2 +3808 3 +2188 10 +1352 4 +1887 2 +1073 4 +1462 3 +3347 9 +342 7 +1147 5 +3310 2 +2879 10 +1247 9 +1796 10 +1271 6 +1227 8 +2907 9 +3342 7 +3470 5 +3974 10 +3227 7 +24 8 +1290 5 +3966 3 +1480 6 +818 9 +110 7 +368 3 +2331 3 +2793 7 +1056 8 +87 9 +2185 8 +2437 5 +3128 10 +2431 1 +1472 7 +736 10 +625 2 +2524 8 +2896 6 +523 10 +3900 2 +39 8 +29 7 +3419 10 +1473 2 +3676 3 +1270 9 +1607 5 +2863 10 +2489 1 +185 9 +1366 10 +2688 8 +2721 2 +1557 4 +901 1 +3999 8 +463 2 +338 1 +975 7 +2213 9 +3579 4 +1871 3 +2407 6 +2121 5 +1883 9 +2673 2 +932 10 +1189 8 +55 9 +3505 2 +1278 10 +3984 1 +138 8 +3847 4 +44 9 +1128 8 +524 8 +3695 8 +858 8 +3998 9 +692 4 +3851 5 +1613 10 +3202 4 +2119 4 +1521 1 +2611 7 +3324 6 +426 1 +1362 1 +1218 7 +1994 6 +3575 6 +1661 8 +64 2 +3758 10 +2322 9 +3765 3 +596 1 +342 4 +2811 9 +166 6 +3821 8 +2317 7 +1582 3 +3898 2 +388 8 +403 4 +2876 4 +3466 9 +1479 2 +2638 10 +778 1 +2175 5 +26 1 +658 3 +590 2 +1065 6 +4014 1 +3093 8 +3340 1 +3835 6 +1366 5 +2207 2 +3634 10 +284 1 +1490 5 +2578 5 +574 10 +3098 5 +2438 6 +739 4 +350 8 +3544 9 +657 7 +2999 1 +2611 10 +2105 8 +3416 7 +952 4 +3886 3 +3437 2 +1740 6 +3627 4 +2275 7 +2992 8 +974 9 +3900 8 +4 10 +3258 5 +1439 9 +3007 6 +1782 4 +1625 8 +414 1 +1805 4 +2885 9 +363 10 +2635 8 +715 6 +87 3 +2050 1 +513 1 +2020 10 +2294 4 +3713 3 +3611 8 +640 7 +2474 6 +782 2 +432 3 +2424 9 +2661 6 +919 8 +2453 7 +1694 8 +560 10 +1311 5 +3812 8 +1185 6 +3277 8 +2681 3 +3695 8 +2804 10 +836 2 +2331 7 +799 5 +2602 3 +119 9 +467 5 +3483 4 +706 4 +2544 8 +2491 10 +2124 6 +3472 5 +2085 10 +3649 1 +1534 2 +1163 7 +2186 9 +1385 7 +914 4 +2603 8 +950 4 +3991 4 +1647 1 +2278 8 +385 5 +2320 3 +3261 8 +2689 7 +915 4 +1615 2 +2722 4 +1011 4 +882 1 +2544 7 +3906 3 +102 1 +3270 2 +2172 8 +461 10 +1626 3 +16 4 +686 6 +838 1 +2327 4 +299 2 +1070 3 +3076 7 +2740 3 +1730 7 +3560 8 +3786 2 +977 4 +520 2 +2333 8 +835 7 +3915 3 +876 3 +273 4 +2967 7 +1563 8 +1852 8 +3721 3 +3859 8 +1528 1 +1475 8 +3293 9 +3165 8 +3501 9 +2396 3 +3608 9 +2272 6 +2165 8 +3257 9 +2610 4 +1163 1 +3509 3 +1916 3 +3182 3 +2371 4 +2451 1 +3350 1 +2898 3 +2300 5 +1668 3 +2103 10 +1699 6 +601 5 +1613 2 +1192 5 +2242 5 +1992 4 +1000 2 +941 10 +1213 10 +3913 1 +3555 2 +1632 8 +2423 2 +227 8 +764 3 +2619 7 +3879 5 +179 1 +3913 9 +2466 4 +535 4 +2936 7 +1864 8 +2765 7 +3059 4 +1189 4 +2223 3 +2341 5 +2939 2 +3941 6 +3223 9 +1994 9 +3308 1 +3122 9 +1325 5 +1739 3 +1566 10 +50 6 +695 10 +2593 9 +13 3 +1030 4 +2702 10 +1909 9 +779 6 +3447 3 +3263 1 +1277 9 +1509 1 +3466 7 +2193 7 +1238 10 +482 1 +1026 2 +3504 5 +43 7 +1116 6 +3103 10 +3342 9 +3338 2 +727 9 +623 10 +831 9 +97 3 +926 3 +3812 1 +3470 8 +266 7 +3445 8 +2394 3 +979 7 +1050 4 +2067 2 +3617 3 +412 2 +1346 7 +3277 10 +548 1 +80 5 +3596 9 +3072 1 +2583 5 +1878 4 +307 3 +225 8 +920 8 +3260 5 +3237 4 +1813 3 +337 7 +85 3 +2357 8 +2327 4 +369 10 +924 10 +4089 1 +2310 9 +3379 2 +591 1 +2988 5 +1490 6 +4028 5 +538 7 +168 4 +2168 4 +350 9 +3798 2 +535 1 +1859 4 +2186 8 +4011 5 +3635 6 +1262 1 +2529 4 +1050 6 +2014 9 +2269 6 +3534 10 +2635 8 +1490 4 +979 4 +2981 4 +3493 9 +3085 2 +107 3 +3336 8 +270 3 +1920 8 +1398 1 +1968 4 +1477 1 +244 6 +3898 1 +1176 2 +1237 7 +3657 4 +3846 1 +3963 1 +1973 9 +223 8 +2640 8 +2148 8 +3957 8 +1940 6 +391 6 +2694 5 +2599 10 +2327 6 +1905 10 +762 5 +1770 1 +1145 4 +833 9 +420 1 +970 3 +551 4 +919 2 +1839 6 +2596 4 +991 10 +1659 10 +1917 10 +1809 5 +1835 5 +197 7 +1199 5 +120 6 +1531 1 +1847 3 +3539 7 +1262 5 +1683 8 +5 1 +3279 6 +1075 1 +199 5 +3986 7 +1648 9 +3929 9 +1898 1 +3873 5 +3550 5 +2803 7 +2429 8 +1000 5 +2265 9 +460 2 +2657 1 +687 3 +61 2 +1399 9 +1496 8 +952 3 +3675 7 +3212 1 +1912 7 +3953 2 +1041 8 +1579 10 +2090 5 +2472 10 +2296 6 +1064 8 +534 6 +669 1 +445 3 +2713 5 +1119 8 +1021 6 +3815 2 +2857 2 +2602 3 +3713 9 +2803 2 +3275 8 +959 5 +1625 9 +2189 4 +248 6 +2983 7 +3182 4 +696 2 +3458 6 +2456 10 +314 5 +3712 7 +2531 3 +3989 3 +1422 5 +1620 7 +170 4 +3562 5 +2963 7 +2518 7 +3555 2 +729 7 +3397 7 +245 7 +200 2 +169 10 +2027 8 +313 2 +386 6 +1107 3 +133 3 +323 3 +1767 2 +1878 8 +2341 2 +2469 2 +3722 4 +497 6 +1572 8 +3332 5 +3172 6 +1846 7 +3105 5 +2239 10 +3140 5 +2168 9 +1318 3 +3639 10 +1989 9 +1165 3 +2288 3 +1654 9 +1272 5 +1434 2 +1465 3 +378 5 +2543 8 +3443 7 +2578 6 +1590 5 +3397 6 +457 10 +2220 8 +3763 7 +3461 5 +87 9 +2351 3 +2952 6 +4072 1 +1095 1 +1502 6 +1006 9 +2466 1 +3924 10 +3303 5 +3884 1 +332 10 +1288 4 +331 3 +1055 1 +3754 5 +2886 8 +2959 8 +4087 6 +2734 2 +1949 10 +1009 4 +4041 4 +1906 3 +1317 7 +363 1 +1212 9 +3142 3 +1817 5 +2246 10 +3563 5 +2756 5 +63 9 +3101 4 +3782 7 +2576 7 +3221 10 +1074 7 +1683 5 +3955 2 +3645 8 +1078 2 +4021 4 +968 6 +4093 4 +1355 2 +2889 8 +1407 4 +2986 7 +864 4 +1861 6 +2654 2 +3886 1 +1707 4 +2580 10 +751 9 +750 10 +445 8 +1055 6 +2636 1 +193 6 +2010 8 +2950 3 +3717 1 +2744 6 +450 2 +3456 10 +3531 9 +3257 10 +2757 10 +1168 6 +4041 5 +1529 9 +3601 5 +2412 7 +2878 10 +3562 3 +185 5 +2563 8 +1384 7 +513 6 +1563 3 +681 2 +1639 3 +2177 1 +2432 6 +1291 1 +3617 6 +2337 7 +2274 7 +288 6 +3436 5 +3898 2 +56 7 +215 10 +2701 7 +3097 9 +855 1 +1753 5 +1794 10 +2737 4 +3033 7 +2635 3 +1103 7 +4051 5 +2734 3 +2594 8 +3391 4 +1836 10 +3074 1 +418 10 +3174 6 +5 5 +1850 8 +1737 7 +2913 2 +3168 10 +3044 9 +935 5 +3529 1 +3447 10 +658 4 +2834 5 +3690 9 +988 6 +1784 6 +2519 3 +690 7 +2426 4 +3790 9 +2893 10 +3717 3 +3165 6 +1435 9 +3512 10 +3094 6 +2585 6 +586 1 +1464 1 +2347 8 +2402 3 +4045 6 +88 2 +3054 2 +1431 6 +3923 1 +4063 4 +1475 5 +4034 9 +2639 9 +3836 8 +2603 1 +3079 9 +1162 5 +902 8 +3504 9 +3122 10 +1886 10 +1466 2 +512 7 +2840 8 +1431 4 +2923 9 +1925 1 +219 4 +1482 3 +1919 5 +662 10 +308 10 +2537 2 +3087 1 +1711 6 +2778 6 +530 1 +2722 4 +1949 1 +1259 4 +3334 7 +3745 3 +2895 8 +3042 7 +2625 10 +1071 3 +3360 1 +1526 7 +1847 5 +1362 2 +4024 1 +1717 2 +105 5 +3761 3 +3243 8 +346 2 +2754 8 +3591 1 +3572 9 +414 1 +969 1 +2714 9 +3558 3 +2297 5 +1720 4 +3720 8 +3150 4 +4073 8 +3303 2 +2692 1 +3429 5 +701 7 +170 6 +2121 2 +502 7 +2172 1 +3261 4 +1617 6 +2151 6 +778 10 +2683 1 +2626 8 +2822 3 +1594 8 +1728 3 +3762 10 +1846 4 +1900 2 +3599 10 +528 5 +1458 2 +44 3 +1305 8 +1733 5 +88 9 +1782 8 +3755 1 +1702 2 +4083 10 +3911 9 +3894 7 +3036 2 +1522 4 +3683 10 +1559 8 +687 1 +1649 2 +283 9 +3725 1 +1026 9 +234 9 +549 9 +1874 1 +3716 6 +2385 8 +1511 7 +340 10 +329 4 +3227 4 +3500 4 +3021 8 +3928 9 +3675 10 +2745 10 +4024 9 +104 10 +4067 7 +2514 4 +1982 2 +1922 8 +2539 9 +3064 2 +1065 6 +2145 4 +2365 8 +679 3 +631 10 +3391 3 +2604 3 +3610 4 +3968 5 +600 4 +922 1 +802 1 +1838 9 +3124 4 +2142 8 +1262 10 +1685 3 +2353 10 +2134 5 +525 3 +1139 4 +2110 8 +1900 8 +1330 8 +1132 5 +1346 3 +2477 3 +297 4 +2994 9 +709 1 +705 10 +3144 2 +659 6 +3842 1 +355 9 +1783 9 +1655 8 +833 6 +1879 7 +1793 9 +840 2 +2880 7 +1100 8 +1240 5 +28 3 +524 4 +3320 2 +3918 10 +3232 10 +3721 4 +2752 1 +3469 9 +119 6 +40 3 +1196 2 +153 7 +1412 1 +1023 4 +2199 6 +4020 1 +3339 1 +267 3 +534 5 +1809 10 +443 1 +3047 4 +1530 5 +999 9 +187 3 +682 6 +2101 1 +231 8 +1843 9 +4 7 +1252 7 +2628 6 +2873 7 +3224 9 +3350 2 +2356 3 +3838 10 +2271 8 +154 6 +4091 1 +1366 3 +1692 8 +255 6 +3856 3 +1769 9 +937 4 +2600 4 +1079 10 +2209 4 +1333 4 +838 6 +1543 3 +1424 4 +3972 3 +1069 10 +3741 6 +2895 4 +3091 6 +416 8 +2310 1 +3449 5 +980 1 +1137 4 +3295 1 +2537 10 +358 10 +1877 6 +3183 9 +729 9 +1705 10 +1596 8 +3885 9 +3740 2 +3226 9 +1116 5 +3267 8 +1188 10 +2489 8 +3964 10 +2518 5 +1513 7 +1431 6 +1797 3 +1423 9 +921 10 +3562 2 +1955 10 +1122 7 +3990 3 +3960 5 +1562 4 +1258 4 +490 4 +2236 2 +3664 4 +1782 6 +2973 3 +2473 6 +273 9 +784 9 +2434 2 +494 8 +1196 7 +1416 10 +1631 1 +518 9 +1756 9 +3957 7 +1900 4 +2754 9 +3777 1 +53 10 +2003 5 +4001 8 +268 1 +3237 7 +808 4 +595 5 +1617 1 +1093 1 +2162 10 +2289 8 +134 8 +1671 1 +758 2 +698 4 +1203 9 +1715 8 +2787 5 +3170 4 +3987 8 +4067 10 +1519 7 +2314 4 +1213 2 +3345 2 +3304 1 +3792 9 +3340 5 +2579 6 +307 9 +1753 6 +3547 10 +1761 3 +2886 3 +3110 10 +1389 10 +961 4 +2207 3 +2827 4 +362 4 +816 1 +127 6 +2450 10 +3879 5 +3620 9 +472 2 +946 5 +1408 8 +2322 1 +762 5 +3162 3 +1389 8 +781 6 +1851 3 +3896 10 +790 3 +3365 2 +2820 8 +3210 5 +2584 9 +626 3 +298 2 +1770 1 +219 2 +2076 1 +3885 3 +65 6 +326 6 +4068 3 +2359 7 +1967 10 +3458 8 +2498 5 +3206 6 +1216 1 +196 2 +218 6 +1272 3 +1691 10 +2849 10 +3830 7 +1267 7 +3000 1 +1946 8 +3059 8 +3379 3 +2818 10 +1316 1 +3641 7 +16 4 +633 1 +3907 6 +610 10 +2836 2 +2250 7 +3507 6 +389 9 +3438 2 +1448 7 +1073 9 +3074 8 +3004 1 +3705 6 +3537 2 +2689 8 +2070 8 +2138 7 +2334 3 +3404 2 +1043 1 +3487 2 +908 5 +276 3 +2628 4 +794 3 +2567 2 +135 7 +1559 5 +3642 1 +3973 4 +2905 4 +48 6 +1530 5 +3659 6 +3210 1 +2520 9 +871 1 +1138 3 +1548 3 +336 2 +3684 1 +248 8 +1258 10 +3858 1 +100 8 +3501 10 +3897 10 +295 6 +634 3 +4079 10 +484 5 +1548 7 +3748 9 +1562 3 +0 7 +2139 7 +4024 2 +3352 6 +2749 3 +791 3 +365 3 +3835 10 +2872 6 +2305 4 +938 8 +207 10 +2934 2 +1847 1 +3662 5 +31 10 +3231 7 +2673 2 +1268 10 +2885 5 +912 10 +1940 4 +3632 6 +690 1 +1182 3 +1392 6 +2486 4 +2463 4 +1059 3 +1403 1 +2056 2 +1248 10 +649 7 +1937 5 +3522 6 +3588 9 +3004 4 +1324 5 +1440 10 +694 9 +325 6 +2231 3 +1159 9 +2821 7 +351 4 +1955 6 +3836 4 +142 9 +3406 8 +3108 7 +2828 4 +2230 1 +3395 10 +1428 6 +3546 2 +1741 9 +2505 3 +869 8 +2601 4 +2991 10 +2413 5 +1260 3 +3700 9 +1916 6 +3677 5 +2240 8 +663 8 +1068 1 +151 9 +2250 8 +1435 6 +3274 10 +3595 1 +939 1 +3649 4 +3862 1 +3945 1 +1515 1 +4066 3 +3597 2 +509 2 +3024 1 +2732 1 +2575 3 +1563 2 +3899 1 +251 8 +3423 8 +1755 5 +222 1 +2286 1 +3037 3 +3884 2 +3108 4 +560 4 +1031 4 +2828 10 +3025 1 +3672 8 +2637 5 +2769 10 +2879 10 +2525 10 +950 8 +3348 9 +3913 3 +1365 8 +583 6 +2070 5 +2147 6 +3622 7 +2350 10 +1 1 +2998 1 +3268 6 +2171 5 +2428 5 +1500 4 +4086 2 +3881 9 +2854 1 +2452 7 +1137 5 +1811 2 +3475 7 +573 4 +499 5 +3365 2 +1496 3 +620 7 +1178 9 +471 7 +3491 9 +3427 4 +3926 2 +1732 3 +3207 3 +3701 8 +3904 6 +584 3 +2269 3 +1809 8 +198 9 +2839 1 +2380 3 +3147 4 +3633 4 +3938 5 +422 1 +2110 7 +938 10 +2953 3 +2375 9 +2152 10 +2116 7 +3214 1 +3381 9 +3935 9 +749 10 +93 5 +375 2 +3235 7 +2273 5 +661 6 +1081 6 +2591 10 +2980 4 +3576 9 +2685 6 +89 7 +3791 5 +1324 3 +799 7 +3817 2 +3597 8 +2069 8 +1208 5 +181 9 +2470 4 +305 3 +3769 7 +684 7 +3530 1 +3045 6 +1786 10 +2674 10 +3354 3 +1024 7 +3725 10 +2067 4 +3786 6 +2834 3 +1481 9 +1026 8 +433 6 +891 9 +2960 9 +2241 2 +3283 10 +3755 5 +3801 2 +2694 8 +2519 8 +3572 8 +929 8 +1920 1 +1490 6 +2965 10 +2134 6 +4094 9 +1676 6 +3291 2 +1468 6 +2697 4 +2374 2 +2226 10 +3168 1 +1341 10 +2267 5 +383 8 +1830 9 +516 5 +3775 6 +2244 5 +1994 8 +322 10 +931 4 +1239 1 +3771 3 +1065 3 +2158 1 +302 9 +1232 2 +27 5 +2198 5 +1175 3 +259 7 +1041 6 +441 9 +2057 6 +4025 7 +2997 6 +2612 3 +1795 10 +1736 6 +470 8 +2139 10 +2292 5 +3877 5 +2182 9 +522 6 +414 4 +3480 9 +2813 6 +3846 9 +2364 8 +3167 1 +3545 5 +91 10 +3297 3 +1043 5 +1361 6 +3509 10 +169 6 +487 9 +4011 2 +2829 3 +2796 7 +834 7 +1501 6 +2302 2 +678 3 +406 5 +2282 9 +1730 10 +3180 7 +2823 9 +1364 2 +2150 1 +568 9 +504 10 +3665 1 +667 1 +2582 8 +3717 9 +1298 4 +3866 6 +2818 8 +2768 5 +1045 6 +3522 3 +1155 5 +2573 7 +4050 4 +1652 1 +1452 5 +436 5 +3847 3 +3607 4 +3792 10 +97 4 +1770 3 +1013 2 +1344 7 +522 2 +2092 10 +920 10 +22 4 +1869 5 +2956 3 +963 7 +783 7 +612 1 +1417 10 +2938 2 +2513 1 +3969 8 +1965 8 +3341 3 +963 6 +2776 2 +776 6 +3961 10 +1083 5 +352 1 +2796 2 +2380 3 +3073 1 +1922 8 +3254 4 +1611 1 +2675 9 +2014 9 +1642 6 +209 2 +1987 4 +3961 2 +2989 4 +228 2 +3609 6 +3115 2 +1281 10 +1868 7 +3282 9 +105 5 +2738 3 +2689 10 +1562 5 +787 3 +287 6 +1355 1 +2487 4 +2232 8 +444 8 +2134 5 +1247 8 +1801 3 +2057 1 +1704 2 +3722 8 +3354 6 +3517 7 +1958 8 +2941 5 +341 4 +842 6 +648 9 +3942 8 +3992 1 +3825 3 +2476 9 +3122 9 +2830 9 +3434 10 +3584 6 +1944 5 +1334 5 +2300 9 +676 7 +744 7 +3021 8 +868 8 +2813 4 +82 9 +492 1 +1642 1 +3024 1 +2654 5 +727 8 +3168 1 +930 7 +2031 1 +1202 6 +1500 9 +1101 4 +1638 8 +2348 8 +1172 3 +1112 9 +1455 10 +361 7 +169 5 +2766 8 +1046 2 +3022 5 +3446 4 +2985 2 +2579 6 +1243 8 +2563 9 +3524 9 +1332 3 +872 5 +3511 7 +3603 7 +67 1 +3095 2 +1451 6 +2152 9 +1188 6 +155 1 +2701 10 +2184 9 +1547 7 +3630 1 +111 9 +1875 5 +1778 8 +789 9 +1594 5 +2222 2 +682 7 +25 3 +1114 7 +3784 10 +1524 10 +2182 9 +1933 8 +2809 3 +1038 9 +1370 5 +1205 9 +435 10 +3227 7 +1956 9 +1989 8 +3017 10 +1766 5 +19 3 +3860 5 +1692 10 +1392 5 +1466 6 +536 2 +3076 2 +682 6 +123 7 +1928 10 +1195 5 +1706 10 +1416 3 +2377 3 +2701 2 +2497 4 +2006 4 +4042 7 +3047 10 +2885 4 +787 5 +3125 10 +3153 1 +2396 8 +4022 2 +68 3 +471 9 +1151 2 +2424 10 +2315 10 +2647 6 +923 7 +1568 3 +1455 3 +1732 2 +1619 8 +2236 10 +3652 2 +921 3 +435 6 +520 8 +3827 10 +3811 9 +1808 2 +3463 1 +2904 1 +46 6 +3775 9 +1976 7 +1712 4 +180 2 +3792 4 +20 1 +217 4 +1728 2 +1379 6 +2227 7 +319 8 +4018 6 +672 5 +1396 6 +3473 8 +899 9 +801 2 +1054 10 +2683 10 +2972 4 +1341 2 +1574 2 +2958 9 +670 6 +2150 5 +3907 8 +2075 6 +209 3 +222 6 +1025 1 +1429 8 +1835 2 +138 10 +1879 10 +3717 2 +954 10 +1109 2 +1252 7 +2263 9 +1175 3 +2932 7 +1711 3 +2417 8 +2768 3 +3771 3 +60 5 +636 9 +4044 10 +3915 7 +3548 3 +1739 9 +3539 1 +996 10 +3690 2 +200 1 +944 3 +1825 2 +2821 1 +1539 3 +3258 4 +2918 2 +3429 5 +1695 6 +3019 7 +888 5 +1786 4 +1168 10 +2416 4 +930 9 +3907 1 +784 8 +1125 6 +3627 3 +1924 6 +100 1 +505 8 +1406 10 +1392 1 +2097 3 +1945 2 +3977 9 +3696 1 +3151 6 +1128 8 +1013 8 +3398 10 +3087 1 +3777 3 +1149 8 +463 6 +2299 6 +324 5 +1905 4 +2079 4 +3758 1 +900 4 +2406 7 +1115 9 +19 9 +502 6 +1055 4 +1612 6 +3175 10 +502 10 +952 2 +1090 10 +3677 8 +2921 3 +201 10 +934 2 +687 1 +697 6 +658 10 +1937 9 +1498 6 +3684 5 +2529 7 +2345 6 +2650 5 +756 9 +3051 7 +1827 5 +2805 3 +429 3 +1311 2 +1630 1 +906 10 +3972 2 +2267 9 +2787 3 +2854 8 +969 8 +3208 5 +1617 7 +1257 2 +2686 1 +3185 1 +624 4 +2806 1 +3 9 +2281 10 +1088 7 +3706 8 +86 3 +2751 6 +419 8 +934 4 +735 7 +1050 4 +2650 8 +2974 7 +3507 2 +3378 3 +655 3 +3938 10 +3890 5 +2810 6 +107 1 +402 10 +102 3 +2569 10 +1917 4 +2016 8 +484 1 +849 7 +2184 2 +2664 2 +1443 1 +620 5 +232 7 +1912 2 +3987 3 +2452 10 +1971 3 +3443 3 +1406 3 +1527 8 +3127 9 +3006 2 +1573 8 +2734 6 +2642 6 +3673 4 +3856 7 +1311 9 +3227 3 +2793 10 +104 9 +275 4 +3607 6 +236 10 +1099 5 +2699 9 +1543 3 +3014 4 +2147 10 +263 5 +2195 7 +2457 1 +3089 9 +633 7 +5 8 +1026 7 +1727 6 +3000 7 +2407 7 +2481 4 +969 1 +790 4 +2650 8 +2250 6 +3364 4 +2342 6 +2125 1 +3487 5 +3962 8 +775 10 +120 3 +2409 7 +1693 1 +730 7 +2123 3 +1081 3 +3430 7 +1039 1 +136 5 +1774 1 +1909 6 +3608 2 +2798 9 +2919 10 +1248 10 +3346 3 +1630 4 +2171 8 +3063 10 +2248 7 +446 3 +1885 5 +2906 9 +840 2 +3376 5 +950 7 +1795 7 +3019 7 +3991 5 +3399 2 +2402 8 +1872 9 +2271 9 +2391 4 +3594 3 +3902 1 +2192 10 +759 2 +2296 7 +1765 10 +380 10 +3552 2 +2086 2 +500 9 +1761 10 +3501 4 +3029 1 +89 4 +1115 7 +1058 10 +189 9 +3543 9 +2984 10 +4076 3 +3110 5 +469 4 +736 5 +3463 1 +2013 10 +3046 4 +3498 2 +1238 1 +522 1 +2127 8 +978 4 +729 1 +377 3 +386 7 +1383 9 +2361 4 +2909 3 +2145 2 +1077 10 +2420 9 +1968 5 +2732 6 +3160 9 +1420 7 +1166 4 +3797 4 +3500 1 +1842 5 +3906 4 +1545 1 +659 7 +1255 8 +2148 5 +2412 5 +4032 9 +3519 7 +2829 3 +3433 4 +1189 8 +2520 9 +699 10 +2471 1 +1493 5 +3088 5 +672 9 +2447 10 +2021 10 +3618 1 +427 8 +1215 8 +1756 1 +1354 8 +1478 4 +991 3 +586 10 +3611 2 +2232 7 +3246 3 +3589 5 +2253 1 +1119 3 +781 1 +2485 6 +2108 7 +3947 10 +2229 6 +868 1 +2127 8 +2896 3 +920 7 +4081 4 +3772 5 +568 6 +1216 3 +3173 4 +1450 3 +4033 1 +2249 1 +3957 10 +3035 1 +1729 1 +3325 5 +1007 10 +2506 3 +3994 2 +823 5 +3192 6 +86 3 +386 3 +4008 1 +2620 4 +1866 2 +3206 3 +3073 10 +825 1 +35 8 +2494 7 +1293 10 +3960 2 +1139 4 +2794 1 +33 6 +115 4 +957 5 +293 3 +2879 8 +309 6 +2931 1 +2406 4 +97 8 +2860 8 +1381 1 +3990 5 +1016 4 +1753 3 +871 4 +3896 7 +930 5 +1331 10 +223 3 +1192 9 +1507 4 +3316 9 +2379 4 +803 1 +1127 3 +2200 9 +1403 2 +3959 9 +926 6 +1050 1 +3988 7 +245 3 +3801 7 +2001 9 +516 6 +1583 8 +3727 7 +1131 5 +722 1 +181 6 +3062 2 +2831 9 +75 3 +1255 4 +2148 5 +573 9 +1622 1 +3778 8 +765 8 +1693 4 +758 4 +2215 9 +2774 2 +2932 1 +1038 10 +992 9 +1914 2 +1493 7 +713 10 +1508 6 +3977 8 +3845 8 +895 10 +2137 3 +1989 6 +3691 7 +1555 4 +2778 4 +1204 3 +2078 8 +2235 9 +956 5 +3698 10 +1343 8 +3365 5 +644 10 +4054 8 +2758 8 +1965 6 +857 6 +1702 9 +2673 2 +1519 8 +1494 4 +747 3 +631 1 +2729 9 +859 7 +1461 7 +3917 1 +2298 10 +3868 4 +1318 10 +2140 5 +2033 7 +1176 8 +2504 1 +2810 4 +2413 8 +1947 7 +3616 10 +2610 4 +738 2 +3708 8 +1749 5 +807 1 +412 6 +562 4 +1296 2 +666 1 +3297 10 +3071 1 +3072 10 +1305 7 +492 9 +88 10 +253 10 +2293 7 +3578 9 +3010 3 +1103 6 +806 2 +1956 8 +1767 7 +3676 9 +3808 10 +1456 10 +1549 1 +3459 6 +2544 6 +3331 5 +3148 4 +3730 1 +1873 2 +3367 2 +14 4 +1136 7 +3946 9 +1644 3 +2633 6 +4002 3 +930 3 +4034 7 +3655 4 +2217 10 +1375 8 +848 8 +2156 9 +1357 10 +2487 10 +818 7 +2854 5 +338 2 +1786 7 +48 10 +952 6 +478 2 +4026 5 +2973 1 +2365 10 +1676 3 +101 9 +3287 10 +3129 1 +50 6 +2367 5 +329 7 +2130 1 +3216 8 +2411 9 +718 9 +119 9 +1261 2 +2742 4 +2537 7 +2015 3 +568 9 +1695 1 +1033 4 +1387 1 +377 2 +769 9 +3557 7 +3682 4 +2298 10 +2092 8 +2861 9 +4058 9 +3866 8 +2392 5 +730 5 +1367 4 +1270 6 +1394 4 +1280 7 +3716 9 +3628 2 +3724 3 +2152 5 +3150 7 +1816 4 +3361 7 +3881 2 +2687 3 +1196 8 +520 1 +1285 5 +122 3 +1325 8 +2277 7 +1756 2 +1950 4 +943 5 +3063 9 +3271 5 +667 1 +1585 4 +1869 6 +3748 1 +1021 4 +2974 2 +3761 4 +2004 6 +2236 7 +103 4 +3308 3 +3345 7 +1268 9 +3402 9 +2054 5 +3611 7 +1457 8 +2644 5 +3963 1 +460 1 +4003 5 +1750 4 +772 8 +148 2 +543 9 +3123 5 +1880 10 +2289 10 +3171 10 +2273 10 +3375 3 +2209 6 +2851 2 +1316 3 +3785 6 +3668 8 +678 4 +3604 7 +3133 10 +1967 5 +254 6 +2175 9 +2619 10 +3425 8 +1921 2 +1895 7 +2781 7 +747 5 +3027 8 +1582 10 +2156 2 +1705 2 +142 10 +2922 9 +87 9 +2535 6 +2624 3 +2596 3 +3152 3 +1758 1 +1642 5 +1274 5 +2318 3 +2609 9 +1795 10 +993 8 +839 7 +700 10 +2971 2 +3278 1 +3266 1 +2900 4 +1841 5 +2338 4 +2353 7 +2718 8 +2117 4 +955 7 +1663 2 +2930 8 +1405 8 +1751 1 +1847 5 +2888 5 +619 2 +1495 10 +1827 3 +2583 4 +4059 2 +2441 10 +471 8 +3001 5 +489 6 +2922 8 +3143 1 +1190 9 +235 1 +3849 8 +1391 9 +2917 8 +3836 9 +3760 3 +878 4 +1067 9 +3887 7 +2617 9 +2885 6 +1647 5 +776 9 +1986 9 +2081 1 +3772 4 +2516 3 +2760 10 +65 9 +942 2 +223 9 +3817 9 +977 7 +1654 5 +2963 7 +2599 7 +1756 8 +1715 10 +947 2 +1532 6 +65 6 +3133 10 +583 4 +2094 4 +724 9 +2191 10 +1467 10 +3013 9 +1477 3 +382 9 +1461 1 +3658 7 +2626 9 +3200 1 +3371 6 +4079 5 +3058 3 +605 6 +2811 7 +3553 1 +1942 7 +3466 4 +940 7 +660 8 +2888 5 +3090 6 +1810 2 +2963 1 +3239 7 +2303 3 +1670 10 +496 7 +211 3 +1320 5 +3672 10 +2720 2 +3976 4 +1718 7 +3166 5 +3829 1 +215 6 +2918 9 +472 10 +2736 2 +794 1 +2494 1 +1493 3 +261 6 +1956 3 +146 6 +928 9 +3493 10 +2533 8 +1941 9 +2098 1 +4090 2 +1157 5 +3283 5 +2744 1 +1239 9 +3837 2 +1011 2 +1635 5 +30 9 +1449 5 +3137 2 +3188 8 +3621 6 +1270 9 +148 9 +1486 8 +3255 1 +1833 8 +3170 5 +1359 3 +3614 6 +926 8 +3692 6 +174 8 +3870 8 +3559 9 +1444 3 +1781 8 +994 2 +839 3 +1880 6 +3972 4 +1959 4 +1299 7 +3647 2 +2337 1 +1985 4 +1648 7 +705 1 +1994 6 +1005 5 +811 1 +3310 7 +464 5 +424 6 +385 10 +653 5 +1669 3 +3109 4 +875 8 +1144 2 +954 10 +1703 5 +327 1 +3600 8 +3006 1 +519 6 +1298 8 +3093 5 +1932 3 +1953 7 +10 6 +3606 1 +2383 3 +2947 9 +3537 3 +2803 7 +2514 4 +775 5 +3214 4 +1961 8 +366 3 +1582 9 +1287 6 +2457 3 +1072 2 +2354 4 +2110 3 +1718 8 +1585 6 +3362 7 +875 1 +114 3 +179 6 +174 4 +1479 3 +2347 7 +1574 6 +131 8 +2819 1 +4066 6 +554 5 +3660 1 +3713 8 +1722 4 +2032 7 +4040 4 +2327 1 +3218 9 +2304 4 +1208 2 +1272 7 +3973 2 +2546 8 +1244 7 +167 10 +1252 9 +4012 8 +1738 4 +3182 2 +3331 7 +1971 5 +2011 2 +60 7 +2230 6 +311 9 +3097 3 +3544 1 +396 1 +1450 5 +1281 9 +3761 1 +1315 8 +775 8 +3120 7 +683 1 +2369 7 +245 4 +40 1 +3887 5 +648 6 +3911 8 +1811 9 +2978 10 +2214 6 +1200 3 +662 10 +3517 5 +1484 9 +2694 1 +1649 4 +3097 1 +3759 7 +3353 7 +2757 5 +2043 8 +2335 7 +2178 8 +266 5 +2378 3 +3650 3 +3902 10 +3780 2 +442 3 +1348 5 +3576 4 +3674 1 +5 6 +2134 10 +525 1 +2398 8 +667 6 +1302 3 +2670 4 +3730 7 +3069 1 +1588 8 +2017 3 +3600 5 +847 1 +1333 5 +167 7 +1901 7 +3950 6 +1703 2 +2472 10 +2305 7 +3644 10 +838 9 +3468 2 +1665 7 +1863 2 +2069 10 +803 1 +2941 10 +3930 6 +1134 3 +112 4 +1901 7 +2829 9 +4032 2 +3564 7 +2334 4 +860 3 +549 1 +1721 5 +2537 1 +2876 7 +93 1 +2836 5 +2078 3 +70 5 +722 3 +623 1 +3732 8 +2760 8 +3092 8 +3557 5 +1105 7 +2407 1 +2697 7 +3798 6 +1644 9 +1985 8 +3751 6 +3006 3 +28 9 +2503 3 +3489 10 +14 5 +2102 7 +2773 7 +835 5 +858 7 +3046 6 +2470 7 +2434 4 +784 8 +2623 8 +1409 9 +1491 6 +1584 4 +477 7 +3550 2 +3638 7 +3988 7 +970 8 +1608 4 +2364 3 +2241 4 +3477 3 +3306 1 +1007 9 +3152 7 +1584 1 +1692 1 +3136 7 +1298 9 +1255 1 +1786 3 +300 7 +3535 9 +910 8 +3595 3 +826 1 +2153 8 +556 6 +1466 8 +2361 3 +3294 7 +1322 2 +2067 8 +252 9 +1180 7 +2591 9 +1597 7 +2285 10 +1746 10 +1650 7 +549 2 +626 8 +3492 6 +331 5 +2286 5 +3405 7 +2605 10 +3475 7 +4 10 +2768 8 +1310 6 +1797 3 +589 3 +1515 5 +3233 9 +2344 7 +2541 2 +1787 7 +4045 7 +2420 1 +1966 4 +1472 2 +1069 1 +1283 7 +858 7 +596 4 +976 10 +1710 7 +333 1 +1013 7 +4034 1 +539 7 +4080 5 +3437 8 +2147 2 +159 6 +2971 3 +2139 9 +1591 8 +53 6 +2390 5 +1148 4 +2909 2 +1482 3 +3832 4 +525 2 +2189 3 +2575 4 +1690 7 +3861 10 +3784 7 +1114 4 +2781 2 +1732 8 +128 6 +1399 2 +3284 2 +2348 3 +3542 9 +1330 9 +1386 4 +1547 7 +2263 4 +1135 6 +1884 1 +3998 5 +1497 7 +2167 3 +368 1 +2138 3 +4037 5 +2597 9 +2724 3 +2630 4 +1723 1 +1748 8 +2450 2 +3249 4 +1424 1 +3584 8 +4089 8 +2332 3 +2750 2 +1749 4 +3349 2 +1757 2 +519 5 +638 10 +294 7 +368 3 +3166 8 +1629 3 +1503 10 +3487 6 +2064 8 +3065 8 +745 5 +291 7 +3601 6 +1104 1 +3720 10 +2689 8 +639 9 +637 10 +3459 6 +684 5 +157 1 +2870 2 +3527 10 +2917 4 +808 8 +3481 3 +3827 7 +2632 10 +1721 7 +3048 8 +680 1 +80 8 +439 2 +2997 9 +2375 5 +3000 7 +23 3 +1671 6 +1170 5 +2412 4 +1315 3 +1559 5 +3466 3 +128 9 +2235 4 +1234 8 +130 7 +2290 5 +1172 3 +988 4 +3293 6 +3955 5 +3742 2 +3341 5 +1981 3 +3863 1 +1455 5 +3057 2 +2747 2 +894 10 +506 9 +3800 3 +3837 1 +3078 5 +1080 2 +2605 8 +2867 3 +2190 8 +3406 10 +1964 3 +1570 3 +3135 6 +273 2 +3114 8 +556 9 +3506 8 +3403 4 +1560 3 +1661 2 +2350 8 +401 2 +800 10 +3005 1 +3493 1 +1726 2 +3423 10 +2471 7 +2887 5 +3444 8 +3666 6 +315 5 +1658 6 +1531 8 +1046 8 +3627 6 +3978 7 +3622 4 +1222 3 +2234 8 +2044 3 +178 2 +783 10 +1162 4 +3791 1 +2718 2 +3112 9 +2532 1 +1030 5 +1084 6 +805 10 +4067 2 +2768 2 +1309 5 +3937 1 +3020 3 +3393 5 +2259 4 +2650 2 +2210 7 +3125 1 +2915 6 +2796 9 +2357 1 +2228 7 +3486 3 +1937 6 +2562 7 +2534 5 +3545 9 +390 8 +695 7 +320 10 +2230 7 +764 4 +1925 6 +2854 7 +1803 7 +2432 5 +44 6 +763 9 +1233 9 +3689 4 +2286 9 +1247 3 +2391 4 +3349 6 +541 3 +3030 5 +2707 9 +2244 5 +2029 7 +3454 3 +1038 6 +2677 7 +3681 6 +2450 6 +2275 8 +1788 6 +3029 6 +2 3 +3667 1 +2126 5 +310 9 +1042 9 +4090 8 +3951 6 +3556 6 +3841 8 +3691 7 +1078 4 +1289 9 +2909 2 +2206 4 +3091 1 +1624 9 +1681 4 +437 8 +3112 9 +2679 9 +921 7 +1320 7 +2201 8 +425 7 +2930 2 +67 6 +1225 9 +933 5 +3952 5 +3123 1 +615 7 +3958 7 +1579 4 +3453 6 +944 7 +1351 1 +537 3 +1799 4 +2370 1 +2540 7 +1640 9 +3705 3 +1689 1 +302 3 +255 9 +613 2 +2241 9 +465 2 +1907 7 +251 1 +3398 6 +3306 7 +2646 9 +3697 7 +2996 10 +1177 6 +2513 5 +573 2 +383 9 +1723 6 +2759 2 +1603 1 +1701 10 +1969 2 +3900 2 +2828 4 +696 7 +2191 10 +3280 7 +3241 6 +1950 9 +0 1 +3352 5 +3994 8 +2041 4 +1157 10 +1108 1 +1533 5 +3628 6 +402 6 +377 6 +3321 4 +1876 7 +2851 8 +2439 8 +2134 5 +1246 1 +2580 1 +254 3 +276 9 +1739 1 +2001 8 +1303 8 +3666 3 +43 5 +350 9 +1619 1 +2449 3 +3991 4 +3133 4 +2754 2 +2808 2 +1103 7 +1933 1 +66 8 +3431 3 +1685 4 +781 10 +615 5 +1513 5 +230 1 +395 4 +2410 5 +3608 6 +2031 6 +3742 3 +868 2 +1367 6 +3929 6 +714 1 +1885 7 +3334 5 +334 5 +1331 4 +3245 5 +2617 1 +2360 4 +692 6 +2537 1 +2088 2 +2656 9 +607 2 +2924 1 +2619 6 +3043 4 +278 6 +1781 2 +1913 5 +1933 5 +2976 8 +3063 6 +1946 6 +608 6 +1187 7 +4070 8 +199 4 +1766 8 +455 6 +2961 1 +581 8 +2428 8 +3609 7 +3068 5 +3723 10 +3046 9 +227 7 +523 2 +1078 4 +2307 10 +513 8 +3658 1 +2901 4 +34 8 +2467 1 +2915 8 +3072 7 +3147 10 +1228 8 +1023 7 +2446 4 +1128 5 +398 3 +4016 5 +305 5 +274 2 +1020 5 +1036 4 +3663 10 +3575 10 +1579 2 +1479 6 +3604 2 +2575 3 +716 4 +2443 4 +1533 5 +3364 8 +66 2 +2500 3 +3487 9 +2246 10 +150 7 +4006 9 +4040 4 +2430 3 +4087 9 +1824 4 +11 4 +3395 6 +1865 7 +2906 6 +1713 5 +3445 1 +3127 5 +2756 6 +2413 6 +340 1 +3958 4 +2097 10 +428 5 +2381 2 +1517 10 +1242 10 +1686 6 +1966 1 +3688 3 +2135 7 +2223 10 +1379 8 +3244 3 +3215 7 +3005 4 +790 1 +1388 7 +391 7 +2936 9 +1950 7 +1586 3 +210 1 +1433 1 +3135 8 +1670 1 +1243 3 +1335 5 +163 6 +1191 5 +3350 7 +213 6 +4045 9 +3476 10 +462 9 +3248 4 +3436 3 +1127 6 +1658 5 +1347 4 +2932 5 +2007 10 +1002 6 +1304 3 +2334 3 +192 2 +1257 9 +2227 1 +3308 1 +2814 3 +305 3 +4038 7 +2605 8 +209 7 +1887 7 +3522 1 +2492 4 +3894 7 +3459 6 +3142 10 +3991 1 +3256 3 +220 2 +1541 3 +2844 3 +3940 1 +3425 6 +1313 4 +2499 5 +3559 9 +343 2 +3789 5 +3440 10 +708 10 +1613 5 +4054 10 +729 10 +2120 4 +1730 6 +2600 10 +786 1 +3192 9 +3450 4 +2610 6 +1284 6 +37 5 +2563 4 +2821 6 +2018 1 +1970 4 +3072 10 +1158 6 +904 10 +936 4 +1861 1 +1580 8 +2758 6 +1760 2 +1345 8 +2884 1 +2442 1 +3824 6 +323 3 +3813 10 +3198 2 +3754 10 +3437 6 +3739 5 +3834 8 +2605 10 +2936 2 +1880 5 +3439 3 +2012 2 +2602 9 +2743 6 +1670 7 +1107 9 +577 8 +1446 6 +1641 8 +4044 8 +1785 10 +4063 3 +963 3 +2360 7 +2143 4 +631 5 +2770 8 +2246 1 +2591 7 +1715 7 +2399 7 +865 3 +248 10 +2736 4 +3382 2 +2004 10 +2353 10 +3988 7 +461 4 +3776 6 +3037 8 +3479 2 +2953 9 +431 5 +3361 9 +2087 6 +829 5 +1176 5 +1509 1 +64 9 +1950 6 +70 5 +2499 10 +1530 9 +3704 8 +2965 1 +1674 5 +541 6 +2724 1 +614 1 +2173 9 +528 9 +750 5 +2849 5 +4054 6 +2821 7 +2071 3 +3121 9 +3567 1 +2906 5 +2923 9 +854 6 +3856 3 +782 4 +531 3 +36 10 +1231 4 +1810 3 +3397 8 +3603 2 +3463 4 +1604 1 +3527 9 +3197 3 +1486 10 +2829 5 +4009 1 +1532 7 +1175 9 +2229 4 +758 10 +1525 6 +3036 3 +1694 3 +999 1 +1823 4 +913 8 +3362 6 +2952 9 +3089 7 +753 10 +2687 7 +1754 7 +1881 1 +1237 6 +3456 10 +3011 4 +3430 6 +31 6 +951 9 +3084 8 +2250 6 +448 6 +3423 4 +2852 5 +2908 9 +4023 3 +3381 8 +4050 7 +747 3 +749 6 +1208 9 +2120 4 +2983 2 +446 4 +262 9 +2805 5 +857 8 +2171 4 +1242 8 +3981 7 +2653 6 +2283 10 +1543 10 +23 1 +1594 5 +4005 5 +1599 5 +2883 3 +3549 2 +460 5 +1017 2 +2773 8 +1935 1 +2083 8 +125 6 +1009 7 +2563 1 +254 1 +2960 10 +2676 1 +1954 10 +3727 5 +1390 6 +2767 6 +1238 8 +1064 5 +3526 5 +3394 4 +2459 4 +3292 8 +557 4 +1915 2 +2885 4 +522 5 +1848 5 +2737 3 +3946 7 +1737 5 +2257 7 +3592 4 +2320 1 +3302 10 +3434 4 +3461 7 +3007 8 +2558 10 +1675 5 +2523 1 +723 7 +3009 5 +1337 3 +3338 7 +1106 5 +2530 5 +2830 4 +2189 4 +74 10 +3974 10 +802 6 +3327 9 +982 1 +3260 3 +1319 1 +1198 6 +658 2 +2103 5 +4028 8 +47 4 +3675 2 +3015 10 +2475 10 +2789 1 +3871 8 +4089 6 +2461 5 +63 1 +1527 8 +1007 8 +3740 6 +2447 3 +3136 4 +1291 2 +975 6 +114 8 +3956 1 +1561 2 +1581 5 +3008 1 +862 5 +3916 9 +2829 2 +3533 9 +859 5 +3800 5 +2568 3 +1853 3 +1491 9 +2359 3 +2750 2 +2781 10 +2605 9 +2696 4 +2885 10 +976 8 +205 5 +1297 9 +2274 1 +1614 8 +1070 1 +780 7 +2903 3 +2126 3 +2811 8 +2572 3 +403 4 +541 3 +3383 2 +596 3 +3481 3 +794 7 +2605 7 +2808 9 +2253 3 +57 5 +3523 9 +649 9 +305 3 +3719 2 +2525 9 +3789 4 +1490 2 +3408 1 +825 4 +1038 4 +752 6 +597 4 +631 8 +3349 5 +3790 6 +3775 6 +393 7 +871 3 +1862 10 +2850 7 +1909 4 +3082 7 +670 4 +191 7 +1737 3 +639 2 +4018 8 +1718 8 +311 7 +4081 7 +176 10 +92 9 +849 2 +3130 5 +1542 9 +2422 5 +3978 9 +2606 3 +2164 1 +2940 10 +1223 8 +1207 7 +2067 4 +1123 6 +1777 1 +1010 4 +2333 4 +3535 1 +1159 2 +3640 10 +3455 10 +870 3 +1666 10 +4002 4 +3374 7 +574 9 +794 10 +1852 1 +3033 9 +3344 7 +1505 9 +1418 7 +1254 2 +1426 6 +1210 5 +1344 7 +3439 2 +190 6 +2310 3 +3417 1 +3218 1 +3767 3 +2740 3 +3469 5 +1222 2 +2083 5 +1295 9 +380 1 +4024 2 +2008 7 +2146 8 +42 3 +742 5 +2040 3 +258 5 +3952 7 +2113 9 +2801 4 +2245 9 +2645 4 +406 10 +11 1 +3805 8 +4021 1 +3852 1 +4009 9 +1355 7 +681 2 +3999 1 +3860 7 +3918 2 +1491 1 +879 3 +79 8 +2761 1 +2495 1 +3212 9 +1934 8 +2688 6 +225 1 +3301 1 +3774 5 +1241 2 +1866 9 +1305 7 +802 6 +873 2 +1863 6 +181 9 +2133 10 +963 4 +2507 9 +3048 10 +10 4 +3178 8 +1307 6 +3644 6 +3295 4 +3342 1 +612 7 +1626 4 +3110 4 +1001 9 +3538 8 +3001 3 +1299 9 +3974 4 +1072 4 +3947 10 +1275 6 +883 2 +1872 8 +2996 8 +1726 1 +2986 9 +3383 10 +3697 10 +2214 7 +1144 1 +3011 10 +122 6 +1989 4 +253 2 +3604 2 +436 7 +3439 9 +3014 9 +1132 5 +2497 5 +1760 7 +3698 5 +3682 8 +2715 8 +2697 6 +2802 3 +274 3 +1324 8 +1397 8 +443 5 +1475 9 +3836 5 +1105 2 +2007 3 +1085 9 +1553 4 +2404 1 +582 6 +955 8 +523 1 +3553 9 +2322 8 +1896 7 +151 8 +2408 5 +1242 2 +3562 4 +1487 4 +1034 4 +1626 2 +1391 6 +341 3 +382 8 +2302 6 +612 8 +2868 8 +3886 9 +564 5 +30 10 +3082 1 +3902 10 +2355 1 +2595 5 +1375 10 +432 10 +2434 1 +2049 2 +3927 6 +2082 10 +3262 6 +2287 7 +1298 8 +2777 8 +2651 9 +2951 8 +1161 7 +0 2 +2067 9 +1207 9 +933 9 +3419 6 +1057 6 +1544 9 +3706 1 +1799 3 +2420 7 +1256 3 +2686 6 +940 1 +3258 2 +3531 9 +2370 2 +2615 3 +409 3 +3640 1 +170 1 +918 3 +1854 3 +3581 5 +1183 7 +139 10 +2701 5 +3094 8 +2015 8 +2730 10 +3635 8 +3753 1 +1954 8 +2684 3 +874 7 +2279 6 +1426 4 +1043 8 +555 9 +1957 7 +529 2 +150 5 +3874 6 +1143 4 +3684 9 +990 2 +2689 5 +3365 7 +1868 1 +3312 1 +924 6 +2338 8 +502 2 +1681 9 +3819 8 +784 10 +3578 6 +3793 8 +3022 2 +3336 1 +330 3 +1699 1 +1706 3 +467 5 +3085 5 +1614 8 +850 5 +729 5 +1346 9 +2587 9 +3329 8 +931 7 +3438 9 +94 2 +414 10 +1055 9 +2744 9 +2746 3 +3793 3 +3996 3 +459 3 +1391 1 +421 3 +2880 5 +3881 4 +306 6 +3279 6 +238 8 +2838 8 +202 1 +1912 8 +783 10 +1079 8 +3410 3 +3103 3 +780 8 +1387 9 +3247 5 +441 7 +3453 1 +229 10 +4071 5 +351 3 +1242 6 +4071 5 +284 5 +2495 10 +3582 6 +193 7 +3878 7 +1835 7 +3920 10 +366 3 +161 8 +3202 7 +1568 9 +509 3 +2408 7 +1331 5 +1072 4 +3296 8 +2598 2 +759 10 +2490 1 +2180 9 +1852 5 +2030 8 +2465 4 +1911 5 +3244 3 +2681 3 +717 7 +2784 4 +3661 9 +3235 8 +2862 1 +1307 9 +334 1 +1703 4 +106 9 +243 6 +549 4 +1384 1 +339 4 +3729 10 +848 1 +104 7 +1213 6 +2601 5 +1153 4 +1457 2 +126 7 +1842 8 +2111 2 +1553 4 +433 8 +1721 7 +893 9 +2502 3 +4031 7 +3887 2 +3853 6 +3518 8 +1580 8 +1625 9 +3938 1 +2220 10 +1079 6 +3787 4 +3303 4 +3085 2 +1625 4 +4088 9 +147 4 +1678 8 +438 2 +28 6 +2776 6 +3305 10 +55 6 +3237 8 +468 6 +2505 3 +168 5 +2744 7 +3060 5 +1359 7 +1126 5 +1796 2 +3179 2 +2160 7 +2788 6 +741 5 +2774 3 +2626 5 +1023 1 +326 9 +1254 5 +729 7 +497 10 +1630 5 +2799 7 +2377 4 +584 8 +2909 3 +2738 8 +3993 9 +1646 8 +2446 3 +1681 9 +2129 3 +1006 9 +873 4 +2022 7 +3591 10 +3020 6 +1004 8 +122 10 +2016 6 +951 3 +3229 3 +891 1 +1945 5 +2096 6 +3140 8 +146 5 +1885 10 +430 1 +2179 6 +1376 2 +3049 8 +3672 7 +4058 5 +1300 6 +2697 4 +481 3 +1491 5 +3664 2 +2914 6 +2428 1 +2025 10 +3740 5 +3495 5 +3522 6 +204 4 +1433 9 +3559 5 +3491 8 +775 9 +163 8 +4026 3 +1105 2 +2158 8 +2307 4 +3052 8 +1218 7 +1409 9 +2749 3 +1983 5 +3082 1 +2100 9 +410 8 +3202 2 +2886 2 +2837 5 +2042 6 +1712 9 +1585 7 +831 10 +141 7 +1485 4 +1380 8 +3328 4 +2552 9 +3442 10 +28 4 +3295 5 +448 7 +716 5 +3798 7 +916 8 +4084 7 +617 5 +4088 2 +1303 2 +230 5 +189 2 +2141 10 +2471 7 +3445 7 +3267 9 +3805 2 +1588 9 +113 9 +2365 9 +189 1 +156 5 +3652 10 +3773 8 +67 1 +249 6 +573 7 +3179 8 +4062 5 +2733 6 +1974 9 +3021 9 +3017 5 +279 3 +3550 4 +923 8 +2035 8 +395 4 +4089 8 +2537 5 +1923 6 +890 5 +1996 4 +3414 7 +2303 3 +1100 2 +1671 4 +1092 2 +466 6 +2381 9 +3742 1 +1047 7 +1071 3 +4085 9 +3150 4 +2563 2 +595 2 +3896 8 +3174 8 +3984 2 +1752 10 +531 7 +73 7 +1139 7 +2312 7 +263 8 +1994 10 +1441 9 +2464 10 +2079 4 +3827 8 +820 2 +3448 10 +148 1 +3872 9 +3197 6 +680 9 +3229 3 +1794 8 +3952 6 +3950 6 +2566 5 +2126 4 +1666 2 +3131 2 +2469 9 +2005 3 +1953 3 +3515 2 +1273 6 +648 8 +1925 10 +1655 10 +1907 2 +3675 6 +811 6 +779 2 +1842 1 +2046 1 +3744 3 +1956 8 +529 5 +3925 6 +2731 10 +3582 7 +843 4 +3598 7 +944 6 +879 5 +1180 5 +542 6 +3156 4 +2067 3 +411 10 +1626 6 +3324 5 +4093 7 +2506 7 +2458 8 +2468 10 +2396 8 +2503 9 +2367 10 +3787 6 +2803 2 +4077 2 +1523 5 +2728 1 +446 6 +2513 3 +3613 10 +1775 2 +3457 3 +3930 4 +1573 1 +2969 2 +863 8 +3207 2 +1758 5 +3306 4 +3130 2 +1330 7 +3733 4 +2304 9 +58 6 +1102 10 +2276 4 +1318 10 +72 8 +1817 9 +1224 2 +2639 1 +451 9 +401 9 +2464 6 +560 9 +1965 4 +287 10 +1940 7 +24 6 +1946 10 +3108 9 +778 7 +1854 9 +3398 1 +2151 3 +2923 5 +2725 9 +3378 8 +1374 7 +845 3 +688 5 +983 3 +1179 3 +3101 9 +517 3 +2542 3 +2735 10 +1047 1 +1644 8 +1361 10 +2310 9 +2434 1 +3206 3 +535 7 +102 6 +404 10 +3868 5 +3149 5 +2435 6 +251 7 +2300 10 +1969 7 +598 7 +923 5 +1468 8 +476 10 +2255 4 +828 2 +3250 8 +885 2 +1345 9 +1474 6 +3764 1 +502 8 +71 6 +967 9 +3653 10 +3014 4 +3569 7 +2820 4 +1316 6 +1736 3 +2992 3 +2360 8 +591 2 +832 5 +3902 10 +2303 3 +791 4 +1749 6 +958 8 +2051 10 +2864 3 +2891 4 +241 4 +1918 10 +331 5 +1104 9 +1243 2 +535 10 +2948 8 +2058 8 +2574 5 +2316 9 +2937 5 +1369 2 +1267 6 +1738 6 +1366 10 +2937 5 +2859 6 +566 8 +3383 4 +3538 2 +1572 9 +62 3 +3980 8 +2111 4 +1024 8 +1804 9 +2077 6 +1541 9 +229 4 +3343 5 +90 7 +945 1 +2381 4 +371 4 +2661 2 +3672 6 +3246 6 +2902 8 +3771 5 +3020 6 +3744 3 +1319 6 +3197 6 +2389 10 +46 6 +1502 9 +28 1 +2857 7 +331 5 +1607 2 +2794 10 +495 8 +2281 6 +880 4 +847 10 +3205 8 +4019 5 +1949 8 +3477 6 +1990 8 +344 5 +2752 8 +2034 3 +3588 7 +1771 5 +505 9 +2026 1 +1222 8 +933 2 +188 1 +2132 5 +3767 9 +3484 4 +2768 5 +1482 6 +1943 10 +1640 8 +2812 5 +3279 6 +3959 7 +2610 1 +2045 9 +433 1 +529 2 +873 10 +1385 1 +1994 8 +744 7 +2665 9 +3311 6 +211 7 +1250 1 +529 6 +759 10 +3624 8 +1505 4 +773 7 +1594 1 +3429 9 +1466 9 +2224 6 +136 3 +3932 4 +4086 8 +32 5 +3534 7 +245 3 +3196 7 +1338 9 +1794 1 +3218 10 +284 4 +1747 6 +3710 7 +3343 8 +2297 5 +2521 4 +3802 10 +3643 10 +591 2 +4093 3 +1801 2 +1185 8 +2421 9 +1381 2 +1205 5 +330 2 +3644 5 +1504 4 +3281 9 +3169 9 +2191 6 +3037 3 +3072 6 +1778 5 +221 8 +362 10 +3549 8 +834 5 +2804 7 +204 10 +3044 6 +3720 1 +3166 8 +1170 2 +3210 2 +444 6 +2219 8 +2214 5 +2229 8 +2406 2 +2538 9 +1531 8 +1341 4 +4000 5 +1662 9 +330 6 +3485 6 +1474 7 +2921 1 +773 10 +3340 8 +432 6 +1283 6 +2487 6 +1041 1 +3626 7 +2177 5 +610 8 +2025 2 +2665 2 +1007 10 +882 9 +421 8 +895 4 +1596 2 +1170 9 +386 1 +863 10 +1216 2 +3614 4 +2822 3 +1816 3 +2434 9 +3923 8 +2717 7 +2002 1 +1745 8 +1417 10 +446 10 +396 7 +517 9 +534 9 +2942 6 +1256 7 +4068 10 +911 5 +2907 2 +1927 4 +776 3 +3477 1 +785 4 +2842 2 +760 9 +3268 6 +3425 1 +1723 9 +1879 5 +660 4 +415 4 +1791 2 +811 6 +248 5 +236 2 +287 10 +1817 4 +2630 2 +2992 2 +1950 6 +3474 5 +1824 1 +3571 2 +2758 5 +3343 7 +1821 2 +2972 6 +1291 2 +2746 7 +408 9 +4042 10 +526 4 +3311 1 +2222 2 +3155 1 +3408 5 +3727 9 +3716 7 +1321 4 +172 6 +534 2 +1827 4 +1560 1 +2654 2 +2937 3 +3102 1 +2640 9 +3527 8 +2810 8 +746 1 +3423 9 +694 9 +41 6 +20 5 +1888 2 +2831 3 +1597 6 +12 9 +2351 4 +550 10 +1688 5 +4070 3 +3345 4 +15 9 +242 6 +2823 4 +2870 6 +3587 3 +612 3 +3067 4 +1665 5 +3909 7 +3483 9 +710 5 +1307 9 +459 5 +3370 10 +3711 6 +491 3 +1938 2 +2272 2 +2118 2 +255 10 +129 5 +1726 6 +2144 10 +3655 1 +3228 1 +19 7 +608 9 +2167 9 +3599 10 +729 9 +3547 8 +2491 1 +3318 4 +815 7 +3745 8 +1743 3 +3102 5 +3946 7 +289 3 +3352 8 +4042 4 +3943 7 +3786 1 +2910 8 +2412 7 +3851 8 +3896 10 +1297 8 +1075 8 +3520 5 +717 4 +2416 9 +3535 2 +1494 3 +3614 4 +327 3 +3272 7 +3078 7 +1952 3 +928 8 +1322 1 +2563 3 +1412 5 +623 8 +458 6 +3754 8 +2197 10 +481 8 +3081 2 +2712 6 +2057 1 +915 6 +3583 9 +2544 3 +2841 5 +3389 1 +2732 8 +393 4 +2141 6 +2216 1 +2541 6 +1211 5 +3478 10 +525 1 +2292 3 +2483 7 +696 9 +2828 1 +915 5 +1047 1 +1755 6 +2524 6 +2721 10 +1936 8 +764 10 +2789 7 +3012 3 +1266 10 +4085 8 +3797 2 +2110 8 +2170 10 +688 4 +974 5 +2386 8 +1075 7 +3606 7 +3612 2 +2545 5 +1956 7 +3552 5 +3585 1 +110 10 +163 4 +699 1 +798 5 +1452 10 +3588 10 +1014 5 +1249 1 +3817 9 +866 10 +3177 10 +276 7 +2056 1 +1787 8 +4024 4 +3284 10 +2852 9 +994 10 +3106 7 +445 2 +970 9 +1140 10 +493 4 +1433 9 +3762 2 +3608 3 +887 7 +1315 2 +2146 8 +3944 1 +2345 1 +1994 5 +279 6 +784 2 +137 6 +3041 3 +755 6 +2503 4 +2778 3 +3646 9 +2580 4 +2147 4 +1542 3 +2530 6 +2357 7 +1586 10 +503 2 +3471 4 +1166 9 +3133 8 +2226 9 +483 8 +3475 6 +1640 3 +3188 10 +1548 6 +3520 5 +965 1 +3348 1 +189 10 +3796 9 +3653 1 +3804 6 +371 1 +3046 8 +2189 2 +2543 5 +3253 2 +225 3 +2033 7 +2182 10 +1975 10 +373 4 +137 4 +1033 4 +3898 8 +129 6 +101 10 +3114 9 +3741 10 +415 1 +752 1 +1383 10 +3232 3 +3534 6 +2786 6 +1320 7 +3762 9 +3929 9 +1238 1 +3353 7 +3911 7 +189 9 +1872 3 +3941 3 +3292 1 +2412 9 +1105 3 +1231 9 +963 3 +1098 4 +3351 6 +3409 4 +75 9 +365 6 +4088 2 +570 4 +3450 7 +490 6 +3582 3 +1764 5 +1658 9 +1235 5 +389 6 +1015 3 +1108 8 +4009 7 +1420 10 +4007 3 +1191 4 +3350 10 +805 6 +855 3 +2683 6 +564 3 +1640 10 +3632 7 +1769 6 +295 10 +2004 5 +3962 4 +3720 7 +833 6 +2054 9 +351 3 +3162 6 +3564 8 +1557 5 +2737 2 +2530 8 +1694 10 +3637 9 +1107 2 +1243 3 +474 1 +835 10 +3981 4 +3722 8 +52 5 +2942 3 +3461 9 +3959 10 +4080 1 +3554 6 +1633 7 +1591 7 +2656 7 +540 2 +2305 8 +842 7 +3146 10 +1251 3 +2403 2 +835 5 +773 2 +3458 7 +3165 4 +433 1 +2319 2 +184 10 +3171 4 +1316 2 +3103 5 +195 9 +3694 4 +2688 10 +1936 2 +848 6 +3991 7 +3714 7 +16 10 +2050 4 +1957 4 +1813 7 +3883 3 +3129 10 +1555 7 +882 1 +3957 1 +1613 10 +2381 3 +1205 6 +96 4 +3400 2 +2476 1 +3132 6 +648 5 +2613 9 +307 6 +3069 2 +340 1 +4033 7 +3613 3 +3821 6 +3658 7 +588 10 +3796 5 +1901 1 +2932 8 +533 9 +2864 1 +2976 6 +4058 5 +4000 6 +52 7 +2606 1 +1784 1 +973 9 +1337 6 +1521 6 +2273 9 +50 9 +877 4 +1265 2 +3981 9 +772 3 +2543 10 +2910 10 +148 1 +929 3 +3817 10 +1356 9 +2603 10 +3064 10 +236 3 +1714 4 +2242 6 +2907 4 +1879 10 +2685 8 +2129 1 +495 9 +3688 3 +2593 6 +1157 2 +1048 7 +3763 5 +2224 6 +3561 4 +2035 3 +1208 2 +1515 1 +611 7 +2020 2 +2615 10 +889 2 +3331 2 +2320 2 +2471 4 +3194 7 +2715 2 +3911 3 +2493 3 +2034 4 +2575 8 +2170 3 +1348 6 +1592 5 +3146 3 +1064 1 +1493 3 +724 6 +907 1 +3502 3 +3672 7 +299 4 +2517 3 +3487 6 +3732 2 +964 2 +819 2 +1960 3 +2892 7 +2993 6 +1101 9 +1240 7 +1560 9 +741 6 +1046 9 +2287 4 +502 8 +1311 6 +3071 8 +2469 6 +2760 1 +2553 9 +1073 7 +3543 2 +2323 1 +2572 7 +2027 6 +655 10 +575 7 +2066 10 +1236 3 +1411 1 +684 3 +1738 2 +1257 5 +2553 3 +2663 7 +3251 4 +1204 9 +1806 1 +3003 8 +762 6 +3163 7 +1754 7 +4040 9 +2394 2 +2892 3 +637 1 +1310 6 +697 3 +3016 2 +3237 7 +1357 7 +1590 7 +646 1 +4003 10 +3500 8 +960 6 +1841 7 +1620 7 +1396 3 +137 4 +2583 3 +3340 8 +2116 3 +4047 9 +2384 2 +2503 2 +2827 5 +1135 6 +346 7 +3504 3 +3738 8 +1658 2 +2218 6 +3144 2 +1604 1 +2074 1 +1379 3 +667 4 +1595 2 +2635 8 +992 3 +876 10 +1063 3 +3065 10 +1445 9 +2430 2 +2090 9 +123 3 +3695 1 +3168 5 +2053 8 +281 6 +899 8 +1603 4 +3085 4 +583 9 +3737 8 +1113 1 +3894 10 +781 9 +1529 6 +242 6 +1746 6 +859 7 +557 5 +4039 2 +2021 5 +3493 9 +2449 6 +502 5 +2792 10 +2028 10 +1299 6 +2347 5 +2662 5 +4015 8 +2272 8 +3546 3 +3687 2 +2466 6 +1312 7 +2764 9 +3068 4 +2422 2 +1196 9 +3139 6 +904 7 +1365 6 +214 2 +700 2 +449 6 +3611 3 +3476 8 +4069 10 +2743 1 +1171 3 +4075 10 +2356 8 +3758 8 +2310 10 +1809 9 +1628 6 +3410 3 +968 9 +3434 6 +314 7 +2523 1 +3429 9 +1426 10 +961 10 +1711 5 +403 3 +3823 7 +554 2 +3537 9 +3062 3 +360 7 +3181 7 +86 4 +3597 10 +3837 3 +3963 4 +3378 10 +2796 2 +2759 9 +273 8 +1666 6 +3315 1 +3729 6 +3574 7 +1220 9 +2887 9 +2860 5 +3324 6 +1048 9 +111 1 +3535 5 +195 3 +1970 7 +1497 10 +1656 8 +2179 8 +625 8 +1339 1 +571 2 +443 2 +1193 2 +309 1 +255 4 +2777 10 +1767 3 +2491 6 +1554 1 +3238 7 +2368 8 +2160 5 +2638 5 +2201 3 +2405 2 +968 8 +224 5 +2132 10 +1030 2 +373 9 +1363 3 +1169 10 +2470 8 +3607 7 +3155 7 +1502 6 +3687 9 +2833 5 +3829 1 +3777 10 +2998 5 +182 1 +1398 1 +3701 6 +1395 4 +341 4 +1627 1 +1747 9 +3265 6 +2489 8 +3944 6 +2359 7 +157 6 +2268 2 +1250 1 +2574 3 +4020 10 +1196 5 +82 10 +1647 2 +4038 10 +1089 3 +492 3 +3633 8 +1657 6 +517 5 +1698 6 +1222 8 +3172 4 +2166 2 +2571 6 +1656 5 +1343 3 +1362 9 +3554 9 +2941 2 +2767 10 +3191 7 +3471 6 +2537 8 +912 2 +1923 7 +685 5 +2697 3 +4048 4 +2929 6 +2271 4 +1786 6 +1470 10 +132 6 +4013 10 +1369 9 +1577 3 +894 6 +1411 2 +2049 6 +3885 7 +3098 8 +3958 8 +2841 3 +3300 4 +2503 10 +2301 7 +2377 2 +1867 9 +3131 9 +485 7 +3578 7 +1263 4 +2950 9 +1461 9 +950 4 +3771 8 +1189 10 +3455 7 +81 2 +1035 6 +3512 10 +3572 6 +2891 5 +2564 4 +1776 7 +3028 4 +829 7 +2937 8 +4088 9 +183 2 +623 2 +675 2 +441 1 +1852 8 +2703 6 +2825 6 +463 3 +303 9 +2953 8 +2093 5 +2215 3 +1619 9 +2906 8 +1180 3 +3956 1 +2573 6 +3032 3 +294 5 +2959 2 +177 7 +2688 7 +2499 1 +4038 1 +3699 3 +3859 7 +1459 6 +1642 1 +3293 2 +109 5 +772 3 +3819 6 +37 1 +1604 8 +1271 6 +3470 1 +2858 10 +2757 10 +1798 1 +992 1 +980 4 +645 7 +1328 5 +4002 10 +2225 10 +1932 7 +537 9 +1114 3 +3522 4 +911 10 +2633 10 +3001 8 +2258 1 +3882 1 +3206 9 +18 8 +3612 2 +1648 10 +1319 2 +3573 4 +359 7 +499 4 +3158 10 +695 6 +3165 10 +2167 2 +3646 4 +2764 2 +2407 9 +2155 7 +1448 6 +1667 1 +3127 1 +135 7 +1264 2 +764 6 +506 5 +3105 8 +937 5 +4010 2 +2231 9 +1652 2 +769 2 +2574 7 +607 6 +1594 8 +651 9 +338 5 +3642 7 +3371 1 +3527 3 +138 5 +3833 3 +870 7 +2520 4 +3068 3 +1661 9 +43 10 +3234 4 +3111 6 +1625 9 +2898 8 +3525 1 +2530 3 +2917 7 +2001 7 +1175 10 +4027 9 +222 7 +2333 7 +1872 3 +2005 2 +1496 8 +2605 2 +3973 1 +2975 9 +2649 7 +1952 10 +3835 9 +3390 10 +2487 5 +3693 8 +3397 7 +176 7 +2214 3 +3599 2 +2217 1 +57 4 +1659 7 +1751 3 +3714 3 +2875 10 +1594 3 +3245 7 +1577 6 +75 5 +2430 2 +2506 9 +674 3 +1033 6 +2185 3 +1284 10 +2220 6 +3269 7 +1917 1 +2666 8 +2274 4 +3643 8 +1942 9 +3126 3 +2317 7 +2505 8 +1705 1 +854 2 +1642 9 +2639 5 +612 2 +1006 3 +56 9 +1023 2 +384 6 +3366 8 +455 1 +2153 6 +1079 7 +2176 4 +1206 9 +4081 6 +1285 2 +4094 2 +1142 10 +1307 3 +3587 4 +2844 7 +3226 7 +2457 3 +2921 6 +3132 2 +345 1 +649 4 +4065 10 +3693 3 +3563 5 +513 9 +1167 2 +33 2 +153 4 +3185 8 +1873 5 +1702 1 +3799 10 +756 7 +801 9 +3801 2 +827 3 +472 7 +1096 8 +268 3 +2160 8 +2931 4 +3145 5 +555 3 +3863 6 +2106 10 +2336 1 +1444 5 +3832 2 +131 7 +275 7 +679 9 +599 3 +1184 6 +1464 6 +2622 4 +248 6 +1312 4 +2100 8 +3531 7 +1235 6 +342 10 +2477 7 +247 2 +1424 6 +2989 6 +2123 7 +2465 6 +2203 1 +1443 10 +1773 3 +2058 3 +3027 10 +1329 7 +3578 7 +731 4 +632 5 +2656 3 +2901 5 +343 6 +2157 9 +596 3 +163 5 +3700 8 +2955 8 +2670 4 +3695 1 +3428 5 +727 6 +3111 7 +1253 6 +1870 8 +2787 6 +909 9 +1820 9 +3830 3 +3126 6 +3118 5 +3670 7 +3757 8 +3454 7 +2750 5 +2097 4 +3445 4 +1166 7 +3947 4 +3770 5 +2125 4 +2132 10 +3089 7 +250 10 +2423 4 +1737 7 +2687 1 +2502 2 +919 2 +2354 9 +3074 7 +2245 3 +2155 3 +3640 4 +1670 1 +82 1 +116 10 +2480 5 +2174 9 +2497 4 +1910 3 +3481 8 +957 10 +3011 3 +3902 9 +1144 2 +3894 10 +2668 3 +2266 9 +1738 1 +3002 6 +3280 6 +988 10 +3073 8 +1148 5 +3624 8 +3011 3 +442 3 +2771 5 +265 8 +1151 9 +676 3 +110 3 +1421 4 +2040 5 +281 8 +2145 3 +1174 3 +1546 5 +367 6 +413 1 +238 7 +1650 9 +937 6 +1036 10 +905 5 +2108 2 +2969 9 +2356 5 +1495 3 +1575 1 +52 5 +1737 2 +1457 1 +573 2 +3489 1 +3301 5 +2585 5 +3978 4 +3945 4 +2554 8 +1266 6 +1736 6 +2138 1 +870 4 +4036 10 +924 10 +547 3 +943 3 +3859 4 +1390 5 +2047 8 +1852 2 +2780 3 +2684 5 +1665 10 +613 4 +1398 7 +3509 7 +1605 9 +740 1 +243 7 +2659 2 +899 6 +1406 1 +579 2 +3301 8 +2814 7 +467 1 +2460 3 +3172 7 +3746 5 +3238 2 +1272 2 +3292 9 +796 9 +151 4 +3114 9 +1102 4 +4072 7 +3927 5 +930 1 +3501 3 +3166 2 +571 7 +4062 2 +1367 2 +112 7 +2477 5 +860 4 +1057 9 +2105 10 +3283 5 +47 1 +3477 5 +891 8 +553 4 +2510 7 +285 1 +1484 8 +4022 2 +1414 8 +134 1 +1085 4 +2299 2 +2428 8 +1288 5 +1487 4 +1354 7 +1115 8 +1920 1 +615 8 +2485 5 +2692 9 +709 1 +893 7 +2945 3 +118 9 +1232 8 +3262 7 +1332 5 +2284 5 +2410 7 +3191 5 +3808 6 +3573 2 +2134 1 +1291 8 +2215 8 +4017 2 +13 9 +3263 8 +3875 10 +493 8 +864 2 +179 8 +2933 7 +663 9 +2633 7 +1485 6 +2004 2 +178 9 +3816 3 +678 6 +3019 7 +2792 10 +83 7 +3328 3 +77 2 +2991 6 +1643 4 +780 8 +2627 6 +3422 10 +4085 8 +593 1 +1798 6 +1606 6 +1045 7 +2765 5 +3186 2 +2260 8 +3972 7 +1132 5 +1900 10 +1759 6 +2290 9 +1212 4 +698 7 +511 1 +3331 7 +1185 6 +2565 1 +481 5 +896 7 +3301 7 +3907 7 +1014 5 +3916 1 +3628 3 +897 5 +1626 7 +1935 10 +1200 7 +3970 8 +3287 6 +927 2 +385 5 +1665 7 +2625 3 +1068 5 +3819 1 +2727 1 +1770 10 +3401 4 +1035 5 +3934 7 +1747 10 +3304 5 +1699 3 +739 10 +2396 3 +438 2 +3852 10 +2536 8 +619 8 +3535 3 +3758 3 +3889 1 +2887 6 +1720 9 +906 7 +3930 2 +3424 8 +2388 2 +1193 8 +2670 6 +3415 6 +3748 5 +1005 2 +3621 1 +2117 6 +3173 1 +3138 4 +3527 6 +790 3 +1633 5 +1725 10 +1700 8 +895 4 +3164 10 +3433 1 +165 1 +554 8 +1332 3 +1330 7 +1063 9 +2077 7 +875 9 +1378 1 +3839 9 +1907 3 +3274 8 +1444 4 +3809 1 +1834 7 +447 10 +13 6 +353 1 +2807 10 +3759 2 +1007 10 +3404 7 +1943 4 +1538 5 +1627 5 +2355 7 +1113 6 +578 9 +3056 3 +4034 8 +1812 7 +1388 9 +662 5 +2030 10 +24 7 +1600 10 +3051 7 +1495 1 +3155 4 +2911 7 +3017 3 +3764 7 +3561 7 +2259 8 +1092 9 +1312 5 +2132 10 +1929 10 +1297 3 +164 4 +1759 3 +2554 5 +3570 9 +2073 7 +68 8 +3225 1 +1222 9 +3001 8 +189 10 +3512 8 +3954 1 +4007 10 +498 9 +3559 7 +4052 3 +4066 5 +3914 10 +214 6 +149 4 +3949 7 +1491 7 +1783 1 +39 9 +1576 2 +3915 6 +1422 3 +2488 3 +3578 5 +939 10 +2467 1 +3742 10 +3990 3 +1156 3 +638 8 +308 5 +414 9 +2119 5 +2310 6 +491 8 +1948 9 +3551 1 +197 8 +2189 4 +2492 4 +2503 10 +3930 9 +3180 3 +1251 3 +1713 6 +203 10 +79 6 +2020 8 +2585 2 +2096 3 +1790 2 +2869 6 +1174 6 +2765 9 +1261 3 +2399 5 +637 10 +2318 5 +2306 5 +3370 7 +3379 1 +1732 5 +1503 10 +3555 8 +2024 8 +3905 6 +3491 5 +197 9 +340 1 +192 10 +1165 6 +3663 2 +2625 4 +2784 5 +3138 10 +3624 2 +3707 4 +2747 3 +96 8 +3822 6 +2740 7 +4083 7 +3339 8 +2041 10 +3050 7 +3165 7 +3096 9 +1375 1 +658 3 +3089 7 +586 9 +737 9 +2962 8 +3511 4 +2051 8 +1653 10 +2080 4 +1883 8 +2251 3 +1934 6 +1480 9 +3874 6 +276 9 +3255 8 +1860 4 +376 1 +71 7 +3753 2 +80 2 +3707 6 +1065 4 +978 2 +34 9 +1967 3 +964 2 +2802 8 +497 2 +793 1 +3976 9 +276 1 +3541 7 +2997 6 +444 10 +1180 10 +3008 1 +4091 10 +2304 4 +2965 6 +3270 5 +2441 4 +2822 5 +657 6 +2631 8 +1358 10 +1783 3 +3165 3 +1865 1 +3323 6 +375 3 +3779 5 +2505 3 +1645 10 +957 3 +1491 3 +1214 5 +3670 3 +2193 1 +720 2 +3241 10 +3819 8 +2112 4 +3301 10 +1264 4 +3937 3 +3991 9 +2233 9 +2788 8 +2477 5 +2449 6 +3996 10 +1614 6 +1843 1 +2732 4 +2658 2 +1930 9 +1400 2 +3464 10 +3043 7 +1099 6 +1698 1 +2485 9 +904 9 +3305 1 +161 10 +3368 3 +2575 3 +2376 2 +3414 10 +2415 2 +2241 3 +1118 3 +672 2 +973 3 +63 2 +3909 10 +2730 10 +2677 8 +2879 7 +434 8 +3328 1 +372 4 +3892 9 +3724 3 +1471 1 +1378 6 +3369 9 +244 7 +3068 4 +864 7 +1521 6 +2038 2 +3124 2 +1781 4 +2580 6 +324 1 +1703 1 +1230 2 +2407 6 +3972 9 +1775 6 +3082 4 +2442 8 +159 1 +971 1 +1686 8 +1022 10 +166 3 +3153 3 +3406 10 +1865 8 +1902 8 +2309 8 +78 1 +1521 7 +3207 10 +3637 2 +2802 7 +2388 4 +2204 2 +1263 9 +3758 7 +210 1 +2319 9 +561 4 +3534 9 +3902 2 +3460 8 +3392 4 +2231 10 +3718 9 +3019 5 +1126 9 +563 4 +1770 1 +1615 8 +2212 3 +3923 4 +745 5 +1638 9 +2814 6 +2652 1 +1114 8 +3194 5 +2302 9 +2308 8 +1040 4 +1210 4 +1632 2 +1359 3 +2478 9 +2613 5 +1037 7 +588 4 +602 3 +4014 7 +2961 4 +2047 9 +2435 1 +200 7 +1265 3 +278 3 +1610 4 +3825 10 +3239 6 +1101 2 +1300 4 +645 3 +180 5 +987 10 +626 9 +1288 6 +4017 3 +1451 10 +3465 6 +639 9 +830 3 +3332 1 +2983 10 +3702 5 +3877 10 +1450 4 +1003 5 +1545 5 +85 9 +1838 4 +788 8 +3927 10 +1056 8 +2778 6 +3679 3 +1002 8 +3338 5 +796 5 +2418 2 +3877 6 +279 8 +2305 8 +3895 4 +3515 1 +2818 4 +667 8 +2259 1 +2268 1 +2727 8 +1497 2 +777 6 +2200 7 +2456 5 +2856 7 +1571 5 +990 10 +1046 3 +3554 2 +3317 2 +2117 2 +49 4 +3251 5 +1138 4 +1020 6 +359 10 +2453 9 +2468 2 +1970 7 +3781 8 +339 10 +707 9 +1294 7 +3950 1 +846 8 +3362 9 +1275 3 +2627 5 +2665 3 +2785 8 +2626 5 +733 9 +1160 1 +3159 6 +143 9 +2164 2 +3928 2 +1972 2 +3856 7 +3888 7 +3983 8 +1829 10 +37 6 +255 3 +1327 9 +2513 10 +1368 2 +744 8 +709 9 +3809 9 +2173 5 +2777 2 +961 3 +421 1 +875 7 +1552 6 +1624 7 +3938 4 +1100 2 +631 1 +235 10 +1125 1 +168 10 +3547 7 +2353 10 +3006 10 +763 5 +2716 3 +2657 6 +3549 9 +214 6 +3547 7 +3270 6 +436 10 +3474 8 +3223 6 +4019 3 +4083 4 +1913 8 +422 4 +707 9 +2853 3 +1850 4 +596 4 +3455 10 +1307 3 +3706 8 +1441 10 +3879 8 +3858 3 +472 9 +1711 7 +3057 7 +1080 9 +498 5 +2332 9 +1374 2 +1178 1 +1673 7 +3260 5 +2625 8 +1925 7 +1769 8 +100 10 +3527 10 +3042 7 +3425 8 +3027 6 +1279 3 +2027 3 +469 8 +17 2 +2782 9 +341 5 +129 6 +2538 8 +325 8 +3066 3 +4047 6 +90 1 +1170 1 +496 8 +3767 3 +738 6 +978 4 +1727 9 +2483 9 +2017 6 +657 4 +2139 3 +775 10 +2472 9 +2787 8 +1504 3 +543 1 +1331 2 +1313 1 +554 4 +3997 6 +2823 8 +1521 10 +1342 2 +3175 5 +2162 3 +2970 2 +1781 9 +121 5 +1868 10 +1220 5 +1315 7 +3619 1 +729 7 +1148 2 +167 4 +915 10 +2197 9 +1387 1 +558 4 +3475 5 +803 7 +1223 8 +2789 2 +2020 8 +121 2 +926 3 +368 5 +1726 5 +261 4 +3162 3 +2490 10 +3168 3 +3301 10 +3438 5 +1498 8 +1912 8 +2145 9 +3118 4 +3638 1 +1186 10 +734 3 +2438 1 +2923 4 +1900 7 +2894 8 +3372 2 +759 8 +2318 1 +2312 7 +551 2 +2008 7 +3030 8 +960 8 +212 9 +470 9 +4042 1 +115 3 +3981 1 +2901 6 +227 2 +3460 6 +3819 8 +2974 2 +945 4 +3000 9 +2475 1 +2146 10 +1307 6 +1835 4 +3016 9 +111 6 +1804 3 +1492 10 +213 6 +578 4 +1962 7 +538 2 +3498 7 +1504 5 +3276 1 +29 10 +1751 4 +3691 8 +3940 7 +3590 5 +904 7 +1308 5 +2836 9 +2607 2 +3977 4 +3483 5 +914 7 +3591 8 +2957 2 +1456 6 +1058 4 +156 10 +1229 8 +723 4 +323 10 +1036 8 +1588 7 +1119 2 +2304 2 +1258 6 +2374 3 +1511 6 +3309 8 +2197 4 +1922 1 +2663 6 +1672 7 +3887 5 +3053 6 +1402 1 +548 8 +1584 1 +2087 3 +2285 1 +2296 2 +2219 7 +352 7 +1082 2 +1095 7 +3190 3 +2965 2 +1491 4 +3628 2 +678 1 +989 7 +3992 8 +2804 9 +3427 10 +2437 8 +354 3 +3931 2 +2727 6 +3545 6 +3365 5 +1510 7 +2345 10 +127 9 +3498 10 +636 5 +1057 7 +178 4 +912 10 +1125 9 +3365 5 +84 3 +938 7 +1288 7 +1381 1 +1918 4 +2141 4 +780 8 +3992 8 +588 1 +469 10 +3797 1 +3704 4 +3692 6 +1990 4 +891 1 +4079 7 +547 9 +1882 5 +3816 10 +926 8 +2927 10 +2006 7 +2486 2 +3632 3 +1220 2 +2238 10 +3433 9 +1246 2 +3886 4 +3922 3 +218 8 +2179 2 +3334 1 +193 8 +1378 10 +3579 7 +1791 7 +3787 4 +873 7 +2528 9 +518 6 +212 9 +3299 9 +3114 10 +379 1 +2024 7 +681 2 +3421 8 +399 10 +3187 5 +1665 4 +1808 6 +1987 5 +1748 4 +1625 9 +385 10 +987 9 +3359 7 +2821 6 +2169 4 +3375 9 +3512 9 +3189 7 +1068 8 +3790 4 +3807 2 +22 8 +1287 6 +3718 9 +2858 6 +2126 10 +4011 5 +3800 10 +2661 2 +1947 8 +3834 2 +303 2 +2622 3 +3913 1 +1811 4 +61 5 +3661 5 +2741 6 +3856 9 +1455 8 +1637 6 +3822 1 +849 10 +1107 9 +4017 7 +1863 9 +835 10 +1701 3 +2071 9 +1073 6 +3155 9 +3832 10 +643 4 +530 1 +353 1 +1161 1 +350 1 +2528 8 +3713 9 +880 9 +2421 10 +3781 1 +2390 9 +2151 6 +245 2 +2899 6 +3547 9 +2772 5 +2134 1 +1827 4 +1552 10 +3487 4 +900 3 +273 5 +1946 1 +3128 2 +3301 9 +3175 5 +934 10 +1779 3 +1199 9 +1233 5 +2228 7 +2105 1 +479 8 +3535 1 +1742 2 +2390 7 +3399 2 +1660 7 +849 3 +1652 9 +3332 8 +174 4 +2965 9 +1165 8 +2794 8 +1638 2 +2881 8 +2527 3 +1570 2 +2307 5 +979 2 +2832 6 +3507 8 +3430 1 +3962 7 +140 7 +3207 2 +3306 10 +582 10 +2746 8 +81 4 +2122 4 +1226 6 +1454 7 +354 5 +1664 2 +2109 1 +1697 3 +2452 4 +2398 1 +2224 2 +1679 6 +2330 1 +2358 3 +2942 10 +3842 2 +1411 2 +353 9 +1879 2 +1117 6 +255 1 +2495 8 +1126 9 +1947 6 +3705 6 +270 10 +1351 2 +2900 1 +3427 7 +742 2 +1158 4 +2501 1 +868 10 +3810 5 +449 2 +2496 5 +972 4 +3187 9 +291 4 +2278 3 +1057 2 +1471 10 +3238 2 +1171 6 +1463 3 +2833 3 +2529 10 +2831 3 +567 10 +2484 4 +973 1 +3606 5 +154 7 +2688 3 +1188 5 +1853 4 +3407 6 +710 1 +1598 10 +6 4 +2315 9 +3218 10 +577 3 +2530 9 +2622 4 +4048 1 +1208 1 +2226 4 +1064 9 +2499 10 +3998 7 +496 5 +1751 7 +4021 7 +2966 9 +684 3 +3805 7 +2747 2 +1818 7 +2879 3 +3599 6 +2593 5 +2186 10 +3511 10 +1100 1 +1821 6 +3472 4 +2858 7 +2920 5 +173 2 +3517 4 +3322 9 +3410 4 +2233 7 +392 9 +2204 7 +3584 3 +356 5 +2406 3 +906 9 +2577 6 +2631 6 +444 3 +2593 9 +2065 8 +53 8 +661 2 +2175 8 +365 9 +1178 9 +2179 5 +2548 2 +4022 7 +1486 2 +3648 5 +1654 3 +2129 1 +3787 1 +3637 2 +980 8 +3142 1 +2176 1 +847 2 +659 7 +2132 1 +3193 6 +70 4 +3333 7 +3145 4 +1512 5 +292 4 +1357 6 +1603 4 +64 10 +4048 3 +1027 8 +3850 2 +3056 4 +1658 8 +3884 7 +2822 10 +2949 6 +1058 1 +2301 8 +3666 1 +1829 3 +3148 8 +2784 4 +281 8 +3434 1 +2237 1 +2413 6 +805 2 +1900 7 +669 5 +2412 5 +2964 8 +3704 3 +468 8 +3184 5 +3394 3 +3059 1 +632 3 +843 8 +1157 2 +2788 3 +1339 7 +2516 9 +650 1 +1764 2 +3082 10 +1718 5 +2034 7 +1360 4 +4023 7 +1123 6 +424 3 +1087 1 +1181 1 +2253 1 +531 2 +1485 6 +572 3 +3615 8 +839 2 +2062 2 +1142 8 +1175 5 +3997 2 +2481 3 +3086 5 +3060 4 +3474 1 +1045 1 +1009 8 +2648 3 +2472 8 +2130 3 +362 3 +1695 4 +3669 8 +3233 8 +1840 7 +3803 3 +3042 3 +882 10 +3123 1 +3752 8 +3475 2 +3648 4 +583 10 +1334 6 +612 6 +163 1 +3764 5 +1912 3 +1816 10 +2696 3 +842 6 +257 1 +4033 6 +3039 3 +2051 7 +1188 5 +2949 7 +255 9 +3385 1 +1189 2 +3189 9 +1669 2 +1227 2 +2908 7 +1812 8 +2435 4 +1842 9 +1452 2 +2649 6 +1876 6 +770 5 +2038 9 +3784 10 +1738 2 +2144 6 +214 4 +618 4 +539 2 +2360 6 +350 4 +307 4 +807 5 +1564 7 +3877 2 +3824 10 +1023 3 +2440 9 +2700 8 +2239 4 +2076 4 +3086 9 +3480 5 +2189 10 +3143 5 +3434 4 +2389 8 +3170 4 +1231 7 +1376 8 +554 7 +2525 10 +2580 8 +4069 5 +319 4 +1771 5 +2893 7 +3742 6 +1438 7 +1010 1 +726 6 +3146 9 +2214 7 +351 3 +2878 7 +1791 9 +1475 7 +1457 6 +2583 8 +1730 10 +116 9 +2972 6 +3886 9 +1110 6 +1906 10 +1406 8 +2044 2 +1333 1 +3736 5 +1384 10 +1298 3 +2877 3 +1274 4 +1711 5 +3467 9 +925 5 +504 1 +3689 6 +3026 4 +1071 3 +586 10 +2394 2 +315 2 +2946 7 +747 8 +51 4 +2317 3 +692 9 +3653 10 +3718 10 +2106 8 +3031 1 +1970 4 +1763 3 +3037 4 +1116 6 +1784 1 +3486 1 +551 2 +3451 8 +3809 2 +2572 5 +3576 1 +3229 1 +151 5 +723 3 +1748 9 +519 3 +2762 3 +2266 2 +121 7 +1905 10 +2294 9 +629 9 +2232 10 +1590 2 +2437 6 +1092 10 +1153 3 +2067 2 +1825 10 +1631 1 +103 1 +129 8 +2731 10 +1265 5 +2754 10 +3176 2 +2385 8 +1620 3 +444 4 +1231 7 +1496 1 +3681 10 +2951 3 +3148 10 +172 10 +1414 9 +3775 9 +2671 4 +697 1 +3632 5 +2440 5 +3099 2 +350 6 +3080 10 +1314 8 +2759 4 +2801 3 +3304 4 +2912 4 +2351 1 +940 6 +2725 2 +3543 9 +3971 3 +1649 3 +550 7 +125 1 +1696 9 +2743 8 +2277 1 +543 2 +1262 7 +550 7 +920 4 +2277 10 +2466 10 +2648 6 +2442 7 +1983 1 +1438 2 +2167 1 +2256 10 +183 6 +2832 8 +2037 1 +2829 7 +284 3 +138 8 +1758 1 +2109 8 +1146 5 +3817 10 +799 8 +325 4 +706 10 +1790 6 +445 2 +1734 6 +123 8 +2187 2 +1960 7 +75 2 +359 8 +802 5 +1384 3 +1140 4 +2396 5 +4087 7 +2680 7 +3182 8 +3436 6 +899 7 +1437 4 +1502 2 +2046 9 +452 9 +3709 5 +1733 9 +1547 2 +1729 10 +3826 7 +1387 8 +185 3 +513 9 +3068 10 +306 2 +1585 3 +1244 6 +977 1 +1751 8 +1350 7 +1112 8 +2683 2 +3677 6 +1196 2 +100 4 +4058 3 +897 6 +1915 7 +927 2 +480 2 +892 1 +3033 10 +2510 7 +2915 4 +1296 7 +2536 1 +255 6 +2584 1 +98 5 +1922 3 +1547 6 +3939 6 +3795 10 +3628 6 +2484 8 +661 3 +3160 1 +1991 2 +607 9 +1305 1 +1910 6 +3274 4 +2755 4 +2570 2 +2550 5 +3805 3 +3987 3 +1123 5 +1105 3 +3047 9 +3404 1 +684 8 +3036 5 +3368 8 +2208 1 +2049 1 +1761 1 +1416 10 +1559 2 +2246 5 +612 1 +92 10 +1815 5 +926 5 +1552 8 +438 8 +2828 7 +1502 9 +2894 7 +3200 4 +2227 9 +2483 7 +3918 5 +3274 3 +2318 6 +1762 2 +2416 1 +2081 6 +3583 6 +2357 8 +1319 2 +657 3 +4073 7 +1517 5 +3633 10 +1945 8 +2331 5 +3289 6 +763 5 +3895 6 +1698 3 +1658 3 +31 8 +2042 6 +2543 8 +413 8 +831 3 +2182 2 +3657 2 +3790 4 +2894 9 +1186 9 +3197 2 +1102 4 +1728 5 +689 8 +1189 6 +2347 1 +2034 9 +1046 8 +2342 3 +3731 8 +3407 5 +1307 4 +1156 5 +1946 5 +2779 8 +743 6 +334 8 +1101 9 +1831 4 +1158 8 +3068 2 +954 4 +3810 2 +467 7 +37 8 +339 1 +74 7 +2022 4 +419 1 +615 5 +1498 6 +548 10 +1759 2 +1873 2 +3670 4 +2614 9 +1278 1 +908 9 +1115 6 +2677 5 +1732 3 +3546 4 +3924 1 +2665 1 +1387 2 +3622 6 +1333 8 +1977 10 +4051 5 +2720 5 +2555 3 +607 6 +3498 4 +799 2 +3439 1 +1422 8 +3862 6 +959 1 +4029 2 +47 4 +2013 5 +3339 10 +2797 8 +3463 10 +1923 7 +2693 7 +276 5 +3223 2 +3887 6 +4060 1 +3765 3 +3480 6 +565 5 +3616 10 +3576 5 +2612 9 +4049 9 +762 5 +551 9 +1439 10 +2131 4 +544 10 +2124 7 +896 1 +163 4 +4021 5 +3887 4 +2329 4 +1714 8 +1209 5 +2238 5 +2096 10 +517 10 +2526 4 +2825 7 +2802 6 +3625 2 +255 6 +3419 7 +2404 9 +1538 6 +3235 2 +2416 9 +30 3 +3790 6 +977 10 +590 8 +535 9 +542 9 +553 3 +3670 5 +1373 6 +123 7 +735 9 +1218 9 +2397 8 +2703 9 +2846 9 +827 9 +491 1 +2986 10 +3797 3 +2170 2 +1397 3 +1185 2 +49 3 +1207 9 +3167 1 +466 7 +1659 4 +3479 9 +874 8 +3136 2 +1377 9 +879 2 +2961 4 +4020 10 +642 1 +2826 5 +3641 8 +3631 5 +1084 7 +324 8 +1660 6 +3774 10 +1663 6 +3907 1 +4027 1 +290 5 +963 6 +2344 7 +3325 9 +87 10 +1110 10 +1760 1 +825 9 +3647 9 +1213 5 +849 7 +1494 5 +3980 6 +922 8 +586 10 +1807 1 +3755 6 +2477 9 +302 5 +2174 9 +340 3 +2047 10 +1973 9 +3168 5 +2419 1 +3039 1 +4020 9 +2298 5 +1796 4 +3313 6 +542 4 +2913 2 +2069 4 +2407 1 +3566 7 +2190 10 +381 6 +2826 6 +2811 3 +305 2 +608 5 +3637 10 +617 2 +994 7 +1737 5 +761 4 +3223 2 +4070 3 +897 4 +2223 9 +2796 1 +2449 5 +1933 10 +450 9 +516 6 +1468 4 +2999 2 +3656 1 +3197 5 +2286 1 +3695 7 +3210 6 +2723 10 +930 2 +796 8 +2608 2 +3529 10 +2512 5 +3975 10 +1475 10 +1425 9 +2602 2 +2782 9 +1919 5 +1362 9 +214 3 +1476 4 +3714 4 +47 5 +1776 5 +714 5 +2815 2 +716 8 +1040 9 +415 1 +1683 5 +3396 1 +876 7 +2724 6 +1825 4 +2314 10 +3581 2 +2430 4 +282 6 +862 6 +2300 10 +2698 8 +3704 9 +1554 6 +939 10 +3315 9 +1561 3 +838 5 +2454 8 +2397 6 +1186 4 +1103 4 +2363 7 +698 5 +684 4 +3117 2 +2500 4 +3798 4 +4080 2 +2324 2 +739 6 +505 7 +2872 4 +476 7 +2891 10 +3213 10 +3634 4 +147 2 +282 3 +25 10 +2759 6 +465 1 +528 4 +2579 8 +2013 5 +3811 3 +694 4 +1180 6 +791 5 +3556 4 +3981 2 +3378 5 +3526 7 +2021 3 +2459 10 +3528 10 +3855 10 +3024 1 +3266 8 +1298 5 +2308 1 +236 7 +3047 5 +1001 7 +3633 3 +105 7 +2072 2 +2751 2 +1806 8 +4014 9 +720 4 +1813 1 +3026 4 +648 6 +2818 5 +1021 9 +1180 9 +1859 4 +1921 5 +1925 3 +477 5 +3051 9 +3474 4 +2718 10 +695 2 +2738 3 +181 9 +2138 4 +1474 9 +3440 10 +2442 10 +3753 7 +541 3 +1271 9 +2280 4 +1212 2 +3028 8 +3066 10 +3241 5 +1439 2 +3323 9 +3958 10 +2619 5 +4056 6 +3306 2 +2598 4 +1865 10 +300 8 +3693 7 +2055 4 +710 7 +2292 7 +3443 1 +498 8 +3295 3 +1591 1 +2208 6 +4032 7 +1800 5 +352 3 +780 2 +1835 2 +65 9 +956 2 +2303 3 +1494 8 +2362 7 +272 3 +2916 3 +2190 1 +633 4 +1862 2 +806 8 +3214 7 +15 10 +789 3 +1854 9 +575 2 +1241 8 +3633 7 +2771 7 +1776 7 +2664 1 +2994 9 +1300 8 +2878 4 +1185 9 +3652 2 +990 3 +205 5 +3316 5 +3237 9 +2604 4 +441 2 +241 8 +805 4 +3357 5 +1179 8 +2796 10 +3949 2 +530 9 +2938 6 +165 8 +3716 1 +3697 6 +3085 1 +29 8 +2242 9 +1622 9 +877 9 +1876 8 +329 2 +508 6 +3600 10 +1514 7 +3301 10 +1829 1 +2099 3 +2960 3 +3851 6 +1275 9 +2714 6 +2747 7 +294 10 +1226 5 +3453 2 +3326 8 +263 2 +2873 10 +3305 2 +417 10 +141 4 +1773 6 +3875 7 +2042 6 +2796 10 +1964 8 +2719 4 +2902 3 +2893 7 +239 10 +344 6 +2385 8 +472 9 +239 5 +2319 5 +2847 2 +2649 8 +3116 1 +347 6 +1848 3 +3705 4 +3340 8 +751 3 +695 9 +1393 7 +2153 1 +2148 1 +1848 4 +659 4 +2177 1 +2038 10 +2754 5 +1465 9 +3122 5 +2960 3 +1113 2 +3649 3 +3225 6 +2647 9 +1474 6 +2094 4 +740 1 +2325 5 +1224 7 +3048 4 +457 6 +2720 4 +3779 6 +2298 10 +1805 7 +1752 7 +3417 3 +3801 4 +2776 4 +2012 6 +3307 3 +2844 7 +2872 1 +957 4 +2252 4 +3174 9 +3675 1 +2599 8 +2037 6 +3173 9 +3304 3 +3000 5 +696 1 +3583 10 +2956 5 +899 7 +1427 7 +2211 10 +3065 2 +3351 3 +797 10 +1283 7 +120 8 +3194 3 +729 4 +2692 10 +3422 7 +2526 8 +3354 9 +790 3 +259 1 +55 3 +505 5 +68 8 +540 1 +3416 8 +3584 3 +1268 7 +729 2 +1840 3 +2573 2 +3843 3 +3823 9 +2592 8 +3453 4 +2886 3 +1236 5 +1562 6 +2156 7 +613 4 +2763 7 +912 1 +585 7 +2341 2 +754 7 +1028 1 +2006 4 +1767 5 +1965 3 +3078 8 +3587 2 +1418 2 +1086 9 +2082 9 +1415 7 +790 5 +3031 5 +1441 10 +3496 7 +966 1 +3562 5 +2816 8 +938 3 +2216 1 +1150 5 +1925 1 +1068 6 +2860 2 +1014 7 +469 6 +2987 5 +473 1 +3009 9 +917 10 +2700 10 +3394 3 +2324 8 +736 7 +2990 7 +3043 9 +896 8 +1146 1 +1360 10 +3906 6 +348 7 +3786 8 +1004 5 +2974 3 +558 9 +2854 5 +2777 7 +173 7 +3332 4 +450 7 +2464 9 +1195 9 +3235 7 +3336 2 +2254 6 +818 3 +3798 1 +810 8 +3606 2 +3025 6 +778 6 +977 7 +3549 2 +4015 3 +2736 7 +3550 6 +3889 4 +2921 5 +3176 3 +4 6 +1305 4 +4040 1 +1225 1 +3314 6 +1222 9 +1197 8 +3932 5 +3991 6 +493 7 +2644 7 +241 8 +562 5 +1097 3 +2632 6 +2480 3 +3129 5 +3096 2 +3585 8 +655 9 +2600 6 +491 4 +2467 2 +495 9 +3969 6 +3467 10 +45 2 +602 3 +763 6 +1876 10 +1188 8 +3089 4 +2316 1 +3761 10 +228 1 +3596 9 +215 1 +546 2 +1716 7 +1940 4 +2585 2 +1780 7 +262 5 +2560 7 +1845 6 +86 1 +1080 4 +1350 10 +606 9 +1391 7 +2634 8 +127 10 +2256 3 +2794 9 +2617 3 +1509 10 +2103 6 +1893 2 +238 5 +135 10 +3003 2 +2917 10 +3425 2 +2607 2 +2136 3 +2216 5 +1414 2 +1484 9 +3474 6 +3871 3 +78 1 +1613 4 +892 10 +3655 9 +3129 6 +832 9 +2100 5 +4092 3 +2112 1 +2649 2 +676 10 +3347 10 +424 9 +860 4 +3666 4 +1185 1 +1872 1 +1811 10 +213 9 +144 4 +3984 8 +3748 4 +1716 2 +2523 9 +482 4 +2002 1 +1501 6 +3333 5 +1641 9 +1867 7 +3138 10 +330 4 +3154 7 +710 3 +2139 1 +3269 3 +1694 3 +1437 5 +2333 4 +3433 4 +4 5 +2452 7 +3848 10 +944 7 +1822 7 +4025 6 +3936 9 +1309 10 +1496 1 +3341 6 +1435 3 +803 6 +3276 4 +971 8 +774 3 +2286 8 +1316 10 +3276 1 +797 5 +503 3 +4020 8 +2517 1 +452 6 +2644 10 +2338 9 +3013 10 +997 5 +3485 3 +556 2 +1037 5 +3610 9 +211 4 +4015 10 +831 10 +1715 3 +1365 7 +1098 2 +487 10 +111 1 +2022 10 +3957 7 +1276 1 +3879 9 +3127 2 +1973 9 +3891 10 +2944 6 +3106 2 +3939 3 +386 7 +1665 10 +2078 9 +1125 10 +1577 7 +3543 7 +853 3 +3798 8 +3801 7 +3169 6 +2880 1 +1540 10 +1518 7 +2083 9 +1616 9 +2814 7 +1787 8 +3727 4 +3708 6 +2186 7 +1693 8 +1577 10 +2225 3 +2065 4 +1931 7 +1138 1 +3381 3 +2675 1 +1153 1 +1507 4 +347 7 +1773 9 +2601 3 +133 1 +3813 10 +3061 1 +163 7 +168 3 +2578 3 +4076 2 +734 2 +999 6 +1907 2 +3972 4 +493 10 +870 1 +1613 7 +2118 7 +1742 9 +1165 9 +2074 10 +3320 3 +874 1 +2703 3 +1014 8 +1310 5 +3038 8 +2369 9 +984 9 +3684 4 +961 4 +1278 2 +1791 3 +3968 9 +1462 6 +2801 4 +146 6 +3717 8 +3445 10 +941 4 +1709 8 +3112 2 +3192 7 +3353 4 +2564 8 +639 6 +2140 7 +4056 4 +854 4 +719 9 +780 10 +2091 7 +2748 7 +1123 6 +773 4 +2572 8 +3240 6 +3156 9 +1985 4 +2845 2 +3011 2 +1830 9 +2768 7 +1079 8 +23 5 +1702 8 +3920 7 +2925 6 +2318 7 +3833 2 +1659 4 +164 9 +455 1 +3237 6 +3397 7 +1751 9 +1247 8 +3951 8 +659 5 +2424 6 +894 8 +4082 7 +2904 10 +3148 5 +444 8 +331 3 +3653 4 +166 4 +1331 7 +2053 8 +3411 6 +1266 7 +3971 1 +67 10 +866 9 +479 2 +2452 3 +434 5 +1926 2 +2563 3 +2434 5 +2808 7 +3612 4 +509 1 +146 5 +112 5 +726 1 +5 7 +1767 9 +213 5 +2630 6 +914 3 +2248 3 +295 3 +3251 10 +3771 3 +2556 5 +3851 3 +1227 4 +1444 10 +2455 4 +3500 9 +2382 4 +3745 1 +4040 10 +239 2 +3552 2 +1812 1 +404 9 +879 6 +593 2 +2620 8 +960 9 +2935 5 +3247 10 +923 1 +3362 4 +2746 4 +563 3 +228 9 +3501 6 +699 6 +72 7 +2701 2 +1265 3 +350 3 +213 1 +3267 7 +2167 4 +2325 1 +2896 8 +3789 9 +1296 4 +2459 3 +3485 3 +3459 7 +2028 10 +3655 4 +1965 9 +1673 6 +1843 10 +3491 5 +1532 9 +2204 4 +1427 10 +2541 2 +1947 5 +3718 6 +1105 5 +2498 3 +3322 6 +1985 5 +434 5 +2948 5 +1763 9 +248 2 +1467 7 +1719 4 +263 7 +3514 8 +2057 4 +1461 6 +993 10 +417 4 +1400 7 +1956 8 +3824 6 +964 10 +3822 8 +3459 8 +3676 1 +2537 6 +2853 7 +3629 1 +2855 8 +1975 1 +1607 1 +855 5 +1423 7 +1692 7 +1080 3 +28 9 +86 4 +3955 8 +2773 6 +1108 7 +55 6 +3905 7 +3796 7 +3143 8 +3808 8 +1687 5 +2304 1 +1328 6 +1150 9 +323 10 +2591 9 +2083 8 +1145 9 +3254 7 +2660 6 +2134 6 +317 2 +3971 6 +268 4 +155 10 +1067 6 +2810 7 +3214 3 +717 2 +3692 4 +3479 2 +2901 5 +2943 6 +1958 2 +3965 10 +1896 2 +1538 1 +2294 5 +3815 7 +1433 8 +2680 7 +1012 9 +191 8 +238 8 +3300 5 +514 10 +1643 8 +3348 8 +3547 7 +2874 8 +3090 3 +305 1 +3842 6 +3085 4 +2127 10 +3843 10 +3473 7 +2005 8 +1809 5 +3217 1 +2968 1 +3422 7 +76 3 +3216 4 +1470 1 +3350 2 +221 2 +382 4 +1982 10 +244 1 +1795 1 +1951 8 +1818 4 +393 9 +1339 2 +442 8 +479 9 +2304 1 +1068 5 +827 7 +2639 6 +2554 6 +1999 6 +4078 6 +1905 10 +3957 3 +2424 7 +1143 5 +486 1 +2832 6 +157 10 +4082 9 +1143 10 +649 5 +2647 9 +3693 2 +3595 4 +1778 9 +2170 9 +3830 2 +259 10 +1417 2 +1061 1 +2146 10 +1642 9 +463 8 +2849 5 +2323 5 +3355 5 +2378 2 +990 8 +2692 10 +879 7 +1674 8 +261 7 +3914 10 +1842 2 +887 4 +4036 7 +227 8 +1592 6 +720 1 +1761 6 +1326 6 +2286 10 +386 9 +2863 6 +78 6 +3986 9 +307 10 +445 9 +3940 7 +529 5 +939 4 +1459 4 +966 4 +3798 9 +683 2 +1323 8 +313 10 +3093 6 +420 2 +1586 10 +1256 5 +1726 5 +1772 1 +1464 6 +3980 10 +2147 2 +3727 8 +641 8 +1577 10 +1207 8 +4035 4 +562 2 +2492 8 +72 4 +1535 6 +2706 1 +2845 9 +1676 4 +730 3 +1964 9 +3894 9 +2393 6 +2790 2 +869 7 +1139 10 +1784 1 +2365 7 +1750 1 +88 1 +3565 5 +1199 6 +2526 4 +3472 9 +1295 1 +2082 1 +2587 5 +3150 3 +1238 1 +2562 8 +3926 5 +2277 10 +1317 10 +764 4 +1292 3 +2153 3 +3582 2 +1921 9 +256 6 +1318 1 +1202 1 +177 4 +1154 9 +2986 9 +3936 6 +3273 5 +290 6 +1024 10 +2780 4 +3986 5 +516 10 +249 3 +2905 10 +2844 8 +2862 7 +2524 1 +2837 5 +3402 8 +3161 10 +2999 9 +2960 10 +3824 3 +2495 10 +1385 2 +1335 8 +813 10 +1090 5 +3901 7 +1055 6 +656 9 +2570 4 +3329 5 +569 9 +2055 2 +2018 5 +306 7 +323 3 +2866 5 +2095 1 +3068 4 +3174 3 +571 4 +1682 3 +1345 2 +2909 1 +656 9 +1484 4 +3164 2 +2571 10 +3966 10 +3340 10 +3728 8 +7 2 +2608 4 +2421 7 +2362 1 +3003 10 +3149 2 +903 4 +3827 6 +1493 7 +1841 2 +858 8 +1451 1 +3172 3 +1973 1 +3439 3 +2296 3 +1634 2 +2457 1 +532 10 +1046 2 +3357 2 +2972 8 +825 9 +3344 4 +3911 4 +1051 4 +574 7 +3352 3 +534 4 +3882 1 +2328 7 +517 7 +3393 4 +1929 2 +1767 10 +733 5 +2664 1 +1410 5 +444 6 +1540 6 +968 9 +2640 6 +1875 8 +1901 9 +3463 6 +3969 6 +351 2 +3927 9 +909 8 +1050 7 +2546 1 +3510 4 +249 1 +3123 6 +163 3 +549 1 +3607 10 +1638 7 +3195 6 +3973 1 +104 5 +3502 9 +3134 9 +2764 1 +2263 9 +3943 7 +52 3 +849 1 +1057 2 +1287 5 +3156 5 +1769 7 +3908 3 +1059 1 +1455 4 +2934 2 +25 1 +2676 4 +3981 6 +3527 7 +1243 4 +1259 2 +3833 8 +1258 3 +772 6 +1262 7 +1837 7 +3722 5 +1901 7 +3677 10 +613 2 +3232 3 +776 10 +1169 3 +2073 2 +839 2 +617 8 +1811 5 +3395 1 +1528 3 +1681 2 +2428 4 +1405 4 +3810 3 +3260 6 +3019 9 +844 1 +74 10 +102 10 +3149 9 +1048 10 +808 9 +36 2 +2902 6 +2605 5 +1523 2 +2765 6 +1940 6 +3654 5 +3120 9 +2253 5 +1651 5 +757 6 +1246 9 +3442 2 +1811 4 +213 3 +3163 8 +3938 9 +405 2 +3465 10 +2497 9 +3963 4 +2858 3 +2911 1 +2586 4 +4093 9 +283 9 +3429 5 +74 6 +2552 8 +837 6 +3303 5 +727 7 +3844 5 +3646 2 +1480 10 +190 7 +1495 9 +2341 7 +2280 5 +3956 4 +3860 5 +2735 2 +2861 7 +2927 1 +2012 5 +477 7 +99 5 +3191 4 +813 3 +3000 8 +3213 5 +1658 5 +450 8 +869 3 +3025 7 +1170 2 +3437 6 +3514 5 +2433 5 +1333 6 +2050 7 +949 8 +2985 1 +3727 5 +889 9 +1630 3 +3443 4 +737 7 +1991 8 +1580 5 +3192 2 +2548 1 +968 7 +151 2 +535 7 +3856 5 +1164 10 +411 8 +1538 1 +2929 5 +1978 2 +58 10 +844 4 +1501 9 +1059 5 +2496 7 +343 2 +2893 8 +3966 7 +2075 1 +3105 10 +756 8 +1687 1 +3754 4 +3947 3 +3306 10 +1523 7 +3955 9 +6 9 +1945 1 +1488 10 +2653 8 +3688 8 +2749 8 +3167 8 +79 2 +1526 2 +1585 7 +2095 5 +768 1 +1069 4 +3216 6 +1781 3 +2165 3 +2393 5 +1828 4 +2526 1 +1814 2 +1977 9 +313 2 +1930 7 +3803 3 +2629 5 +452 9 +353 8 +961 9 +880 1 +2662 7 +3725 4 +1329 5 +1008 10 +763 5 +2644 8 +4013 5 +2516 7 +3550 5 +3797 7 +833 6 +3309 4 +2095 2 +439 1 +3984 2 +2296 7 +2670 4 +3352 8 +1106 7 +2232 3 +3932 4 +3922 10 +1295 4 +1182 4 +594 9 +815 1 +527 3 +3211 10 +1929 9 +1906 5 +280 1 +464 5 +2700 1 +2133 9 +3273 8 +4053 2 +2384 2 +2509 5 +1247 1 +3745 2 +910 1 +1524 5 +2412 6 +1260 3 +3277 2 +2078 2 +1625 10 +3767 10 +1966 1 +2711 10 +2454 7 +196 1 +1331 5 +659 1 +118 2 +3428 1 +749 9 +826 2 +2708 6 +1021 5 +407 2 +149 7 +3176 3 +3310 3 +3951 1 +2425 5 +1010 6 +119 9 +2677 8 +3760 8 +3345 8 +2116 6 +1001 5 +730 2 +1085 1 +2347 7 +2704 7 +3235 4 +3178 9 +2172 6 +1846 5 +2144 3 +1166 6 +1492 6 +3283 5 +3655 8 +1124 2 +64 1 +212 2 +1912 1 +1218 9 +1051 1 +996 6 +3157 5 +3308 2 +1891 1 +1235 6 +937 1 +1820 1 +597 1 +3382 1 +1882 1 +4090 3 +1612 2 +1884 1 +1009 4 +2989 7 +196 4 +1635 8 +3632 4 +253 9 +2051 1 +1045 9 +2473 9 +2292 7 +936 5 +1725 4 +327 5 +665 8 +2335 7 +2937 9 +2483 6 +3251 4 +407 3 +1280 2 +3407 10 +3574 10 +3480 7 +238 4 +3999 6 +618 4 +3899 5 +1123 9 +1492 7 +2447 8 +1335 3 +826 9 +2229 4 +3643 10 +2979 5 +4025 1 +2136 2 +2100 2 +1338 8 +2546 7 +3854 10 +1368 9 +2271 5 +2977 5 +1645 2 +1515 9 +236 2 +2812 8 +175 9 +627 6 +2281 2 +1236 2 +36 10 +2909 3 +3086 8 +3846 1 +1818 1 +332 5 +2912 9 +869 9 +3898 4 +1285 3 +172 6 +812 2 +2672 10 +2888 7 +1850 3 +3499 8 +1832 1 +1431 8 +2801 1 +1080 10 +443 6 +3893 5 +185 3 +2316 6 +50 6 +3849 9 +1137 2 +2962 2 +4079 10 +4014 3 +1702 3 +4055 9 +971 7 +2515 7 +2299 3 +1150 9 +2989 9 +626 3 +1572 7 +2233 5 +1392 5 +1257 3 +415 4 +598 3 +109 2 +3403 6 +3411 9 +1894 4 +678 2 +461 6 +2764 10 +3142 5 +2613 8 +2219 3 +3810 8 +3510 9 +1744 7 +3700 8 +1986 2 +2106 3 +756 2 +2888 1 +1485 8 +1857 8 +1187 9 +355 7 +3227 3 +4019 3 +2485 8 +2139 9 +3517 3 +3665 10 +3618 2 +3358 1 +1591 9 +1886 4 +746 5 +1721 10 +2471 2 +3938 9 +2506 2 +257 6 +3861 3 +3588 4 +3619 4 +2627 4 +2528 10 +1881 1 +283 5 +108 5 +583 1 +852 9 +3783 10 +1538 10 +3931 5 +545 8 +3042 1 +1533 9 +1555 2 +3840 5 +1863 9 +530 7 +1842 10 +3966 10 +699 2 +3960 2 +1644 4 +2685 1 +1162 6 +1059 6 +3406 3 +2804 10 +1028 1 +3754 2 +3937 2 +4020 10 +2036 4 +1653 6 +449 10 +739 1 +2067 3 +681 3 +296 3 +1138 9 +474 7 +365 1 +1211 1 +2919 4 +223 8 +3724 7 +3490 6 +3310 4 +1509 2 +2406 5 +3450 9 +3188 3 +2492 4 +3031 3 +3321 1 +2125 10 +4070 4 +2449 6 +1269 7 +3132 1 +733 10 +120 3 +2009 7 +4013 5 +17 10 +2805 7 +2016 6 +3240 7 +2478 8 +3622 8 +1511 8 +1567 6 +2208 10 +2421 6 +3722 8 +2612 1 +1459 5 +863 6 +3812 10 +2234 2 +1230 7 +3000 2 +1165 9 +472 1 +2724 2 +18 1 +915 10 +3744 8 +2865 4 +520 1 +2297 9 +3469 8 +3748 6 +3301 8 +1674 6 +1260 4 +677 9 +2398 4 +3377 6 +1748 1 +2111 2 +2876 7 +3086 1 +1776 2 +3505 7 +2367 7 +3830 8 +3390 3 +2124 8 +3984 7 +2916 10 +2396 10 +1677 4 +3013 6 +3362 10 +1284 2 +3097 5 +2508 1 +2664 5 +721 5 +3878 2 +3689 9 +1648 7 +2708 4 +3937 7 +3415 10 +2761 3 +1848 4 +3019 4 +2555 3 +111 6 +3243 6 +3377 9 +1007 2 +3769 3 +75 1 +1195 8 +3968 1 +1205 5 +3756 2 +1689 7 +2596 7 +2909 10 +3807 2 +2871 6 +891 5 +3409 10 +1890 9 +3724 9 +3611 6 +1052 1 +1946 7 +1375 3 +3432 3 +3178 8 +2517 1 +3172 3 +3873 8 +1527 4 +1220 5 +85 6 +3112 7 +2539 6 +980 5 +1022 2 +1934 10 +58 10 +1859 7 +143 3 +706 2 +776 6 +4088 7 +2987 8 +1736 2 +501 7 +416 5 +3276 7 +77 7 +133 8 +3566 8 +3177 7 +587 3 +2859 10 +656 4 +2130 8 +2668 9 +1738 1 +2399 10 +2485 4 +3758 8 +1255 3 +2870 8 +3970 9 +2660 1 +2949 8 +582 10 +3207 2 +2460 6 +1037 2 +2300 8 +1438 4 +4064 2 +1513 3 +47 4 +494 6 +206 7 +1883 5 +1907 2 +736 4 +4037 3 +3008 7 +2975 10 +2136 4 +3351 1 +1895 4 +2824 5 +1546 8 +1755 6 +3513 5 +3462 5 +1907 3 +3329 5 +1296 3 +2762 9 +2642 9 +82 6 +2056 5 +3469 9 +605 6 +3834 3 +1662 8 +2204 5 +2231 7 +146 4 +2484 6 +3002 1 +1163 3 +624 6 +3993 2 +2431 3 +1430 9 +1017 7 +3450 2 +3416 3 +3215 4 +2245 4 +2873 1 +2984 9 +439 6 +1604 8 +2761 6 +3029 1 +3048 4 +1137 10 +1633 9 +227 4 +1271 2 +2495 4 +1169 9 +1108 9 +2174 10 +760 1 +1547 4 +3924 9 +604 7 +3079 6 +885 2 +2456 3 +1240 5 +1766 4 +1145 8 +2033 5 +243 9 +741 9 +1280 8 +268 7 +1348 10 +2468 6 +1947 2 +3334 6 +2374 8 +1100 3 +1003 2 +1812 1 +1689 7 +2109 2 +869 10 +2552 9 +2960 5 +2530 9 +1542 8 +136 1 +106 1 +3308 3 +3104 1 +618 8 +2468 3 +274 9 +2516 2 +2462 10 +167 9 +1544 3 +24 3 +3147 10 +1578 3 +1684 10 +1813 3 +5 1 +3684 4 +597 4 +14 4 +3326 2 +3728 1 +3867 3 +1580 3 +2587 10 +258 10 +669 1 +3150 1 +2015 7 +3335 4 +233 9 +2223 3 +1279 1 +2399 8 +1167 10 +764 6 +243 10 +3235 10 +2591 7 +1599 3 +359 9 +2827 4 +3682 1 +1980 8 +3899 7 +2449 1 +1698 3 +2179 4 +827 1 +725 10 +1837 9 +994 6 +1699 3 +2040 10 +1349 5 +3794 6 +1975 3 +899 9 +1515 2 +2600 10 +786 1 +1387 3 +2082 5 +3476 8 +821 6 +3768 2 +3541 4 +3394 9 +101 1 +1668 4 +3432 2 +1090 6 +2710 7 +2464 4 +783 4 +1648 6 +1163 6 +3060 8 +1299 8 +387 10 +3744 6 +86 1 +3529 8 +1085 2 +478 5 +2557 1 +1208 8 +3767 4 +3163 2 +3179 4 +2419 6 +4022 9 +945 6 +2826 7 +2412 3 +2783 7 +2515 10 +3818 5 +42 8 +2945 10 +659 2 +612 4 +2484 10 +507 4 +2027 10 +509 7 +1775 7 +219 10 +3733 5 +1724 3 +2606 3 +270 5 +3653 1 +446 9 +2719 7 +4095 9 +2103 8 +2007 6 +3257 7 +859 1 +3995 6 +2388 6 +1915 5 +3262 8 +2459 6 +2279 6 +3530 2 +2919 8 +2965 6 +34 2 +2017 5 +1253 7 +3971 4 +2495 7 +2716 9 +1389 4 +4077 1 +1104 4 +1028 4 +3428 5 +1546 4 +299 6 +3312 6 +1072 5 +2479 4 +2192 1 +2238 1 +3105 10 +1571 6 +1337 2 +2908 10 +1875 2 +1750 5 +401 7 +1336 1 +391 3 +2926 6 +1003 8 +4024 3 +3327 10 +1976 7 +83 7 +2317 4 +1943 9 +2391 3 +1602 2 +3199 10 +814 5 +1774 1 +3056 9 +3815 3 +1400 3 +646 5 +1686 4 +490 2 +2353 2 +277 3 +2074 9 +3402 8 +3429 9 +2517 5 +1931 5 +1980 8 +2791 1 +3549 2 +2698 4 +2777 6 +3019 4 +4079 9 +792 5 +1955 5 +3295 9 +1284 7 +3477 1 +1507 8 +1621 2 +392 2 +3275 7 +928 7 +2196 8 +303 3 +1769 5 +1724 1 +3960 1 +3209 6 +3037 1 +1241 10 +3146 4 +782 10 +2661 5 +2943 8 +3586 6 +340 9 +2135 7 +1911 9 +2699 6 +95 8 +3039 2 +2367 7 +2958 5 +3882 9 +3449 8 +243 10 +552 9 +2760 8 +2455 10 +3781 10 +947 3 +2362 3 +1366 5 +2659 1 +1249 6 +2635 6 +1623 7 +3472 1 +2849 5 +1229 4 +2687 10 +1355 2 +1584 9 +3270 2 +3116 9 +2472 2 +2153 9 +3907 7 +621 2 +3047 5 +3107 6 +3363 7 +3685 2 +1547 1 +979 7 +974 3 +2389 3 +2831 8 +2506 3 +1606 3 +1470 5 +1346 4 +591 10 +1795 1 +1332 7 +4040 6 +297 8 +1954 4 +3511 6 +1782 7 +1520 9 +2969 5 +2671 9 +3977 7 +2638 4 +3619 7 +786 3 +3257 3 +763 4 +2652 6 +155 10 +599 1 +3494 7 +552 3 +3219 3 +1255 3 +1876 6 +3060 1 +900 6 +2407 3 +1507 1 +792 8 +1845 10 +2111 2 +2230 5 +2385 6 +2740 6 +2447 9 +911 1 +2998 4 +1109 4 +869 5 +1662 6 +4048 9 +914 8 +2359 10 +216 6 +378 8 +2837 1 +3926 10 +3501 3 +3393 6 +4007 1 +1902 4 +3258 5 +538 4 +2889 5 +2581 8 +2136 9 +719 5 +2366 6 +1234 6 +2322 10 +3818 3 +1252 1 +1789 6 +1990 9 +2398 1 +1553 6 +2756 9 +473 1 +3485 7 +3505 6 +1284 3 +2581 5 +1242 6 +1747 1 +942 9 +1096 3 +1135 3 +1890 1 +1320 8 +1667 1 +1116 2 +3184 7 +939 9 +3598 3 +526 4 +1118 1 +1665 1 +1227 10 +1265 4 +3687 10 +2978 10 +2239 2 +815 3 +2967 7 +1659 8 +3103 4 +1883 1 +3833 8 +1532 7 +643 7 +617 3 +3370 8 +3932 8 +747 4 +2065 4 +3693 10 +2748 8 +2243 1 +1536 4 +3248 9 +1416 4 +3548 5 +2847 3 +2237 4 +1162 6 +3825 5 +2114 10 +3252 6 +1964 9 +3489 3 +562 8 +202 1 +1575 3 +200 2 +3315 9 +1280 3 +2739 3 +1078 7 +3897 2 +1554 5 +1255 7 +1343 4 +1977 5 +1749 6 +2750 3 +2046 9 +3983 10 +3405 7 +922 5 +1938 2 +3494 6 +3635 2 +3980 3 +2397 8 +3953 1 +60 7 +1387 6 +362 3 +2219 2 +1653 10 +1805 10 +3002 8 +2108 6 +3855 7 +171 5 +3775 4 +1388 4 +709 4 +699 10 +1828 5 +3516 8 +312 4 +2154 10 +2842 7 +1965 3 +1431 9 +1067 8 +3379 9 +3313 4 +1866 9 +886 3 +3556 9 +3018 10 +179 8 +3483 6 +2181 7 +265 8 +2894 4 +760 9 +112 6 +2990 9 +850 4 +2042 10 +3815 5 +2783 10 +675 3 +2881 8 +677 6 +1226 4 +3428 5 +359 5 +579 3 +1254 6 +1816 6 +570 7 +3744 4 +44 9 +3334 5 +3261 8 +1909 6 +2931 1 +1659 3 +492 7 +1073 4 +887 2 +841 2 +2602 2 +3509 8 +603 10 +1714 4 +1821 3 +809 9 +224 1 +3666 3 +3812 6 +3970 3 +3649 6 +50 3 +3019 4 +337 5 +2172 7 +1856 3 +3381 3 +2345 5 +2569 8 +1495 1 +143 4 +822 3 +1152 4 +325 6 +1158 4 +969 1 +2245 7 +4003 2 +1184 8 +1384 7 +2700 5 +638 2 +2678 5 +318 9 +285 4 +266 6 +4054 4 +2122 6 +2459 1 +3677 8 +2581 6 +1368 8 +2160 3 +3780 4 +620 1 +2793 4 +457 7 +3707 3 +857 5 +2506 9 +99 10 +1180 9 +2180 9 +1890 7 +4050 3 +1183 5 +2802 1 +3624 2 +1006 6 +2492 5 +1166 5 +3142 7 +543 7 +2801 3 +2949 10 +1413 8 +2872 6 +2388 10 +1403 6 +2665 8 +2479 9 +3318 7 +110 8 +3980 6 +738 10 +3142 10 +1171 10 +790 10 +3130 10 +964 8 +606 8 +2039 1 +3452 8 +1297 5 +3460 2 +3782 6 +1166 9 +4016 10 +2143 1 +4041 10 +2028 9 +3978 6 +3559 7 +1250 5 +2541 9 +2820 7 +1870 1 +560 3 +819 7 +1609 7 +2502 9 +390 10 +1708 3 +118 1 +521 6 +3816 6 +3859 4 +1345 6 +2919 9 +2643 7 +1412 5 +1989 10 +2703 6 +2515 1 +2868 3 +3693 7 +455 7 +1093 2 +2679 2 +2363 9 +3000 1 +2765 5 +290 7 +1684 7 +3626 6 +3971 5 +1148 6 +2333 3 +747 9 +2110 2 +3879 6 +2762 9 +2628 2 +1588 3 +1640 5 +2527 8 +1003 6 +3761 8 +2203 1 +941 5 +1764 10 +1998 7 +1486 5 +1778 9 +1418 6 +337 1 +3546 9 +362 5 +2899 7 +3449 4 +3803 8 +950 7 +1249 8 +2378 9 +99 10 +1556 4 +2744 2 +3619 2 +2238 9 +3069 9 +3224 7 +1837 7 +2342 1 +1946 9 +4086 6 +1742 9 +1820 1 +1183 10 +1308 4 +3928 6 +1287 9 +3580 8 +44 1 +2977 6 +1350 9 +1425 7 +1066 2 +2408 9 +1575 2 +2153 5 +3102 4 +135 9 +2758 3 +3540 9 +2125 2 +3796 5 +1795 4 +2676 8 +2096 10 +1415 9 +1715 7 +698 4 +3273 7 +1510 4 +2942 7 +2997 9 +2941 7 +2202 3 +4062 10 +590 1 +3500 5 +627 10 +2489 2 +581 3 +1042 8 +1675 6 +43 7 +131 2 +3194 2 +819 4 +1607 7 +3809 1 +2648 8 +3470 2 +2942 9 +2001 5 +1924 7 +3722 5 +222 9 +3344 5 +3909 2 +2361 2 +2594 4 +1451 9 +3194 6 +1582 4 +120 9 +2885 1 +2690 5 +1055 3 +2236 2 +3249 2 +1360 7 +2533 9 +1395 8 +3741 7 +1236 4 +2317 1 +1469 10 +3676 5 +1420 7 +1500 5 +2717 6 +2934 2 +2777 9 +1271 6 +1889 1 +1360 4 +1969 2 +1 8 +1097 2 +285 2 +3900 9 +98 6 +2889 8 +1734 3 +1370 4 +3999 2 +2008 2 +3511 9 +978 6 +3747 7 +1106 8 +804 3 +2414 6 +1744 1 +3141 4 +2357 5 +2289 7 +628 6 +2054 3 +1367 8 +1695 2 +4061 4 +1786 5 +3531 7 +33 5 +742 1 +2882 7 +2326 9 +3730 8 +2581 5 +309 10 +1523 5 +2461 9 +1090 10 +245 9 +1961 8 +3826 4 +54 4 +1745 10 +505 6 +2734 4 +2879 7 +1429 9 +1780 10 +3763 8 +2085 2 +3185 5 +2030 3 +2534 4 +919 4 +2008 5 +2816 5 +27 10 +416 3 +2021 5 +243 10 +40 8 +2354 7 +1027 7 +4095 2 +2714 8 +470 10 +588 4 +772 2 +3791 7 +3294 5 +835 5 +449 8 +3746 3 +3762 4 +1143 1 +3125 7 +3422 8 +1590 4 +685 9 +4014 7 +1522 1 +2477 1 +214 7 +1584 3 +519 8 +906 5 +1375 1 +1575 2 +893 9 +3991 2 +4075 3 +2622 7 +153 7 +3756 6 +3697 7 +1795 10 +595 8 +629 9 +2880 9 +1810 5 +588 8 +2662 2 +1139 10 +569 5 +1782 2 +3787 7 +3767 1 +1391 3 +627 8 +2146 8 +2783 6 +2053 9 +1052 3 +1296 7 +634 10 +705 6 +2795 4 +2854 2 +1760 1 +3363 10 +1466 5 +56 5 +851 1 +2764 7 +1497 3 +1736 5 +1941 6 +2446 10 +241 2 +229 10 +3804 6 +3108 5 +1487 9 +3061 1 +858 5 +2141 9 +2349 4 +3767 9 +1256 4 +1550 6 +3940 3 +1370 8 +1105 10 +3710 8 +1315 6 +2278 9 +997 2 +214 7 +2548 6 +2822 7 +1375 9 +2782 7 +3766 9 +581 7 +876 5 +3832 4 +2883 5 +2986 7 +4065 7 +3648 8 +145 1 +1937 4 +4011 3 +1086 10 +3544 8 +1886 10 +237 7 +3133 2 +364 3 +819 1 +781 5 +2542 5 +2604 7 +2559 6 +3899 10 +3298 2 +966 5 +395 9 +3784 1 +4078 8 +2710 3 +4042 7 +3175 10 +2684 9 +3774 7 +383 2 +3091 6 +4046 1 +3959 8 +3781 1 +2175 6 +740 6 +411 5 +1898 6 +2382 8 +547 8 +3019 3 +523 6 +283 9 +3178 3 +1883 7 +2690 1 +3197 8 +1920 4 +146 7 +3725 7 +1329 2 +917 9 +1706 7 +3474 6 +1181 6 +2814 4 +3708 7 +1462 4 +878 7 +269 4 +3182 2 +2670 3 +2691 10 +2122 9 +2636 7 +1210 10 +3383 4 +1149 2 +653 3 +1396 1 +2248 5 +3643 1 +1201 2 +2968 5 +2970 8 +175 5 +1271 10 +2576 10 +2053 1 +1152 4 +2494 4 +1518 8 +3679 3 +41 9 +948 3 +3693 10 +140 9 +1344 2 +4017 4 +1112 4 +1346 7 +715 6 +2235 3 +775 5 +3889 4 +366 5 +1064 2 +890 10 +2363 3 +3281 4 +1309 10 +3842 9 +2127 1 +1367 5 +1636 1 +3201 9 +823 4 +708 9 +1983 9 +1512 3 +2129 2 +501 7 +1491 6 +3694 4 +2763 10 +2142 8 +4078 10 +3497 3 +880 2 +2604 7 +3884 5 +336 2 +2806 2 +1601 10 +1318 8 +189 1 +3017 6 +2059 10 +53 9 +340 1 +804 1 +508 9 +2675 10 +2330 8 +3161 10 +2351 5 +1687 1 +1371 3 +2029 5 +2386 6 +131 3 +986 10 +666 5 +2479 2 +3762 3 +1889 6 +120 8 +171 10 +2181 7 +2300 7 +1117 2 +3836 3 +1859 9 +2446 2 +842 5 +2529 2 +1749 4 +1705 8 +757 7 +664 6 +3193 2 +82 3 +1006 9 +2332 1 +3011 5 +4090 7 +2689 7 +1373 4 +2161 4 +3314 10 +1193 5 +1015 8 +2770 7 +2225 6 +621 5 +128 4 +137 7 +2432 6 +2231 2 +2693 3 +1964 9 +654 4 +943 10 +995 8 +2439 7 +2169 6 +662 5 +832 7 +1131 1 +1045 5 +3220 9 +506 2 +2067 4 +915 7 +658 6 +3416 6 +1950 8 +2760 3 +2297 7 +4051 10 +1467 1 +2248 2 +2795 2 +1615 9 +772 4 +3245 4 +288 7 +834 5 +3795 4 +2689 2 +2726 3 +2606 10 +1767 5 +72 10 +2680 4 +2656 4 +2325 6 +3643 8 +3035 8 +1738 8 +684 3 +3832 8 +3728 1 +2275 10 +3107 10 +685 3 +3846 4 +1982 3 +1690 1 +2032 6 +1210 8 +1781 2 +3382 2 +2247 1 +690 1 +3735 1 +1611 1 +2958 1 +3543 2 +3484 7 +3383 4 +1395 1 +2098 9 +1474 5 +89 6 +509 7 +1644 4 +600 8 +462 10 +59 4 +946 6 +2324 6 +2871 1 +996 6 +1638 2 +323 7 +3103 6 +2134 7 +1541 5 +2401 4 +1727 6 +3397 8 +1731 5 +3671 1 +3651 8 +3635 6 +2892 2 +2833 8 +2641 8 +3525 2 +3738 2 +3686 10 +3111 2 +278 4 +1384 10 +548 3 +3772 7 +3536 6 +481 5 +3748 10 +4052 7 +572 7 +2653 7 +3797 4 +3867 10 +1799 1 +2206 3 +1947 4 +870 4 +1611 6 +2400 6 +438 10 +2292 2 +2975 2 +2863 3 +3747 10 +3738 2 +1865 4 +2427 6 +3084 6 +4044 4 +1387 6 +3262 1 +693 7 +1125 10 +797 5 +1355 9 +957 6 +3781 10 +2182 1 +1077 10 +70 9 +930 7 +3118 5 +1067 2 +926 7 +3068 5 +2984 3 +2713 7 +3882 1 +3359 4 +2119 6 +692 10 +3093 10 +3144 3 +1783 10 +2775 8 +732 5 +2138 4 +291 5 +830 8 +3752 5 +3154 7 +613 10 +1945 10 +1703 7 +3138 4 +3954 8 +3963 5 +1989 6 +3506 2 +2544 8 +556 8 +3623 6 +1378 1 +1324 9 +21 6 +164 10 +1064 8 +1277 5 +3024 2 +3754 8 +2917 2 +3126 10 +2715 9 +50 3 +495 2 +2961 1 +921 3 +2361 7 +43 8 +2014 10 +568 3 +2542 1 +1475 2 +2515 10 +2829 4 +672 9 +3836 1 +607 4 +744 8 +2107 7 +3118 8 +885 10 +800 10 +1649 8 +772 2 +3713 10 +2800 7 +1421 9 +2111 1 +367 3 +1137 4 +2645 10 +1226 4 +1095 8 +3364 10 +2810 8 +3614 8 +767 4 +3589 1 +340 5 +2647 5 +3762 7 +2526 6 +844 2 +2353 10 +1499 2 +1824 8 +4043 8 +1580 9 +2023 8 +581 7 +2697 9 +3806 7 +3330 2 +2796 4 +106 7 +1667 6 +3121 9 +491 8 +1080 6 +959 9 +961 2 +3875 9 +1256 4 +2327 2 +3024 5 +3579 8 +635 1 +4051 8 +364 9 +737 5 +1404 5 +3039 4 +1559 5 +3169 3 +3517 6 +2128 4 +3883 4 +1955 1 +983 4 +1682 3 +2348 3 +445 9 +949 1 +1529 1 +3623 8 +836 1 +464 6 +2192 2 +3156 2 +2592 10 +648 6 +763 6 +1012 3 +3458 1 +3242 4 +2700 1 +3724 10 +3058 10 +432 3 +2621 10 +1386 1 +3954 8 +713 3 +3324 5 +3680 9 +3210 9 +3257 4 +2281 4 +2674 1 +3355 8 +3129 1 +1323 3 +3924 10 +337 6 +1993 4 +1410 5 +3095 2 +3873 10 +3867 4 +3841 9 +1699 9 +2316 7 +2441 1 +1398 5 +1372 8 +3995 4 +2726 7 +861 9 +1707 7 +3939 10 +776 1 +1101 9 +2112 6 +2337 1 +1129 3 +109 1 +2993 5 +1271 7 +1855 5 +1510 6 +2564 9 +1272 1 +2118 5 +746 5 +598 6 +1460 1 +3752 4 +2891 2 +841 9 +2446 10 +1140 10 +540 1 +3855 5 +2087 4 +2580 2 +1335 2 +1649 7 +4039 5 +3382 10 +477 10 +1215 5 +2158 1 +1163 9 +614 8 +3517 3 +2429 3 +3744 5 +342 9 +3027 1 +2399 6 +3211 4 +917 8 +513 10 +1910 1 +2413 1 +25 9 +605 7 +689 7 +273 9 +2299 8 +720 2 +1356 4 +1476 8 +3038 8 +1046 1 +638 5 +3954 7 +3113 5 +2904 3 +2826 7 +366 2 +1060 1 +3101 4 +3623 1 +1046 6 +1648 8 +2319 10 +2580 7 +2740 7 +2132 8 +77 8 +1541 7 +431 1 +2630 6 +1872 7 +1048 9 +3717 2 +1889 7 +2224 4 +1570 1 +3920 3 +2350 1 +1044 10 +873 9 +1551 10 +3882 1 +2499 2 +2603 6 +2066 10 +843 8 +3173 1 +2002 7 +1935 3 +1349 6 +2279 9 +3933 8 +4052 6 +1380 3 +3553 5 +3262 1 +1718 10 +2127 5 +3522 8 +218 2 +3081 6 +2212 8 +1414 4 +217 6 +3319 10 +4093 9 +60 2 +1841 9 +2929 3 +465 2 +3793 6 +1815 6 +3592 3 +649 1 +1222 9 +3654 9 +1126 9 +1883 3 +2413 6 +3066 10 +784 2 +403 6 +2530 8 +2289 4 +3445 1 +3904 1 +1237 6 +1146 4 +2062 10 +1773 2 +483 7 +389 10 +3913 9 +3234 4 +2214 5 +3441 4 +3730 3 +1729 5 +20 3 +1698 6 +2113 1 +2545 9 +1532 9 +3480 2 +3967 10 +202 1 +3625 10 +906 1 +2771 9 +1194 4 +3498 10 +2758 2 +1058 1 +2733 3 +1833 8 +3340 8 +2451 3 +597 8 +3409 9 +3920 5 +2411 4 +3056 6 +181 9 +2203 2 +893 2 +2040 9 +1594 1 +1887 6 +2415 7 +2968 8 +1160 4 +54 1 +2270 7 +3801 8 +3270 4 +2981 6 +1512 6 +2325 6 +2816 3 +3150 7 +4048 2 +2805 8 +3535 7 +3593 10 +3500 10 +3281 2 +1360 10 +3597 4 +2721 4 +343 10 +258 4 +1255 9 +1939 8 +2824 1 +1726 3 +3129 7 +2754 1 +1694 2 +1952 8 +4061 2 +89 3 +1078 9 +2207 1 +771 4 +3160 6 +2529 10 +1275 10 +3569 7 +2421 7 +1878 8 +221 5 +2530 5 +2271 10 +1718 5 +2790 3 +1023 5 +1544 8 +1108 5 +191 1 +2823 8 +3379 8 +289 2 +1688 5 +4060 3 +2126 9 +3701 2 +1720 5 +2772 6 +3700 2 +2136 8 +1689 7 +3815 4 +224 2 +1875 2 +2927 5 +1911 7 +1582 3 +1257 5 +434 1 +457 1 +2981 4 +198 8 +3030 3 +3133 9 +2475 8 +3167 4 +690 6 +1754 8 +2109 6 +35 1 +3007 6 +1491 7 +2420 3 +2540 1 +3714 3 +1454 4 +2217 8 +2945 8 +3523 3 +2892 4 +2897 6 +1730 5 +4003 4 +2276 8 +3587 7 +3226 6 +0 7 +916 4 +903 8 +3079 5 +1591 3 +1633 1 +1316 2 +3577 8 +2644 7 +893 2 +77 4 +140 7 +2672 6 +1022 6 +1499 2 +1639 10 +1104 1 +737 2 +1403 8 +159 2 +1386 9 +1607 8 +277 10 +2007 7 +1950 3 +6 7 +3642 10 +897 2 +2337 8 +2005 9 +1552 10 +2996 9 +2807 3 +3706 3 +2722 7 +738 3 +3131 4 +769 5 +839 9 +579 3 +376 3 +127 7 +2292 9 +2064 6 +293 3 +2664 7 +3159 1 +1316 3 +3741 10 +2200 2 +3235 8 +1615 6 +3673 2 +2027 1 +2041 3 +2158 9 +502 3 +3259 6 +2920 9 +2991 9 +750 5 +595 10 +77 4 +3058 10 +21 2 +2507 2 +1414 7 +2714 7 +2649 1 +2054 8 +2386 10 +2074 4 +3972 9 +1599 3 +984 3 +910 2 +1353 7 +103 8 +1232 2 +1963 3 +3550 5 +1089 3 +83 8 +2172 8 +2716 8 +2012 4 +3828 4 +3398 8 +60 3 +3319 5 +258 3 +2440 10 +1001 6 +1323 7 +3974 5 +3416 9 +2292 3 +3393 7 +3653 10 +826 8 +165 2 +2911 3 +2145 10 +3586 7 +2063 1 +3343 4 +429 1 +1006 10 +3920 10 +3762 8 +3335 5 +911 2 +2266 7 +3226 4 +3291 8 +2664 9 +2491 5 +3306 8 +3442 1 +1825 10 +640 6 +1598 8 +3616 1 +3793 3 +2566 10 +1866 7 +2764 6 +2351 7 +1548 9 +322 4 +2280 1 +3559 8 +1545 9 +3684 3 +1570 7 +3097 8 +858 6 +3959 6 +1860 1 +2740 2 +1148 9 +3830 1 +2356 8 +2609 4 +1264 2 +3457 5 +413 5 +327 4 +1687 1 +749 1 +1883 9 +1180 10 +337 5 +498 7 +28 9 +1865 1 +3618 1 +1249 9 +1827 7 +1126 6 +725 5 +3055 5 +1678 4 +803 4 +1274 4 +892 1 +1335 1 +17 1 +2755 8 +1539 8 +263 10 +3628 2 +1536 6 +3625 2 +2750 8 +1723 3 +754 2 +1215 1 +2468 5 +1915 7 +2581 5 +2083 2 +2500 6 +1408 1 +3553 7 +491 7 +2703 10 +3716 10 +2080 6 +3910 6 +2597 1 +2884 10 +2393 2 +3050 6 +353 3 +2432 3 +1449 8 +1730 9 +3401 8 +2603 3 +3666 5 +3757 7 +3451 6 +2631 3 +3513 4 +2051 3 +249 6 +100 8 +3249 1 +2676 8 +3349 2 +595 9 +260 3 +1321 2 +613 1 +609 6 +733 9 +3565 3 +2844 5 +1077 4 +1335 5 +56 6 +1635 1 +749 8 +3556 7 +3628 10 +707 3 +1128 9 +4037 8 +2115 9 +500 9 +205 7 +3402 6 +3212 4 +2871 5 +3626 10 +2295 1 +1035 10 +576 2 +804 6 +3995 8 +444 1 +172 7 +426 3 +2358 6 +790 2 +354 6 +707 6 +821 5 +3885 2 +1713 8 +3784 6 +3039 8 +558 8 +3662 4 +1602 6 +3633 5 +3148 7 +2544 1 +2897 2 +1868 8 +2020 5 +4075 9 +3637 8 +3963 6 +2467 10 +2682 8 +86 8 +3390 2 +3339 4 +1037 7 +89 10 +2146 5 +1745 6 +3121 6 +2060 1 +3569 6 +357 4 +1103 1 +111 1 +3413 2 +1193 8 +563 5 +2826 8 +3744 7 +375 6 +1013 6 +1568 6 +2868 3 +1608 7 +1941 10 +968 6 +3423 8 +2918 7 +1782 6 +2209 1 +167 1 +2760 8 +1729 10 +1217 4 +2875 2 +2347 7 +1611 7 +2309 3 +119 2 +723 10 +3352 8 +4079 1 +1694 7 +1800 7 +2296 4 +2053 3 +2343 1 +3538 8 +1106 1 +240 3 +3200 10 +538 6 +2704 9 +3566 4 +3644 7 +3603 3 +2059 10 +1172 1 +3726 8 +2693 2 +1746 9 +220 3 +1058 1 +2733 5 +346 1 +3561 8 +2016 7 +1905 7 +1291 2 +794 3 +2621 1 +2879 7 +1422 8 +3040 5 +966 6 +346 3 +4074 8 +3107 3 +250 6 +1903 7 +1823 7 +1941 3 +1193 8 +656 3 +3856 10 +3578 9 +1671 3 +1408 1 +3973 4 +1335 5 +2952 3 +3572 1 +567 7 +2517 3 +3453 4 +3350 10 +2637 9 +3576 9 +3449 2 +1793 1 +3411 3 +2143 7 +1627 10 +1174 7 +342 6 +850 5 +2827 5 +1367 3 +2783 8 +364 3 +1103 6 +3247 3 +2149 3 +2201 3 +2631 5 +4090 3 +3626 7 +1042 2 +972 6 +1913 4 +143 10 +2251 5 +1762 5 +2310 4 +2592 8 +1443 4 +1123 3 +716 9 +3583 7 +2524 5 +3119 10 +23 9 +1015 6 +3945 4 +4069 3 +3508 4 +3067 9 +693 1 +262 8 +2509 6 +2148 6 +2193 9 +1492 6 +2472 6 +895 8 +2772 10 +400 2 +786 2 +2090 1 +2208 3 +479 9 +356 4 +2267 8 +1695 8 +792 9 +2903 5 +3171 6 +1243 9 +2349 2 +3895 10 +491 10 +3972 7 +713 2 +3522 4 +2937 8 +1718 7 +1770 8 +807 5 +3955 1 +270 9 +2996 1 +647 5 +1867 7 +3583 1 +3476 10 +1452 10 +3630 10 +1799 4 +711 10 +1450 10 +3530 6 +635 10 +2022 7 +495 8 +3385 10 +1741 7 +1236 2 +643 5 +4066 5 +3752 6 +875 9 +3582 1 +2377 7 +2184 10 +3864 5 +1079 3 +3044 10 +3813 2 +3966 9 +629 5 +2299 6 +1582 2 +1480 5 +3984 1 +1689 1 +1082 2 +3190 8 +646 1 +1348 5 +589 7 +1961 2 +2145 6 +1364 2 +2677 1 +188 1 +2570 4 +2986 4 +3024 1 +223 2 +3571 7 +2605 6 +919 8 +479 6 +1142 2 +199 8 +2507 4 +3255 9 +1555 3 +1862 4 +1569 8 +4003 2 +969 8 +2461 5 +678 7 +1907 9 +1502 3 +2990 4 +1026 5 +3877 6 +2041 9 +3876 1 +301 7 +432 3 +2509 6 +928 6 +1432 2 +3112 6 +3095 4 +1818 5 +2142 5 +3986 1 +32 7 +1349 4 +2403 1 +1060 5 +1802 7 +555 9 +4018 4 +3565 7 +2161 10 +2194 4 +3926 7 +2095 9 +3729 3 +217 7 +352 10 +2548 8 +3679 6 +2553 3 +1063 10 +1533 2 +3447 6 +1027 10 +712 6 +1118 5 +3083 10 +873 9 +3612 4 +2727 5 +729 1 +1895 3 +2700 9 +4078 6 +4089 7 +3265 7 +1583 1 +3546 5 +2689 2 +1640 4 +3344 7 +134 4 +3114 3 +2242 4 +2980 1 +1594 4 +3626 4 +3225 8 +3137 4 +1634 1 +2588 7 +3933 1 +844 1 +1466 2 +3288 9 +3192 1 +1987 2 +2357 6 +16 5 +2817 10 +128 2 +1160 10 +2992 10 +2502 5 +3972 9 +2395 3 +1275 7 +625 4 +907 2 +2265 7 +3172 4 +3225 7 +77 3 +2146 2 +2817 2 +3845 9 +3691 8 +600 8 +611 2 +417 7 +2645 10 +75 9 +711 1 +564 4 +151 4 +3541 8 +3038 3 +3912 9 +3342 3 +9 9 +1553 10 +3576 6 +1170 4 +98 6 +2700 6 +3086 3 +2591 2 +413 7 +2521 8 +3016 7 +1118 7 +4000 10 +1018 8 +722 10 +3952 1 +3646 7 +3920 4 +448 7 +3415 2 +2990 2 +984 5 +1211 5 +1659 8 +1928 3 +1319 2 +774 3 +3266 8 +752 7 +2279 10 +2854 6 +2941 9 +3060 2 +2874 1 +3549 1 +3379 8 +751 7 +3009 5 +3099 2 +233 1 +3321 3 +1889 4 +2192 9 +3140 3 +1338 2 +1980 1 +3517 6 +1434 1 +1576 9 +3398 10 +1951 8 +3785 2 +3229 10 +497 7 +1914 9 +82 7 +2467 10 +1546 10 +3857 9 +1230 9 +266 3 +1664 6 +3702 4 +480 8 +3512 5 +123 5 +3271 7 +3467 3 +3861 9 +455 8 +1743 9 +2004 2 +726 7 +4059 6 +2982 10 +681 3 +3533 6 +2857 3 +2731 10 +3075 10 +217 1 +2691 9 +2311 9 +30 1 +2142 2 +3943 6 +1285 2 +1664 5 +3455 5 +3493 3 +467 4 +1220 3 +439 7 +2905 8 +718 10 +795 6 +2965 8 +2864 1 +2547 8 +2790 6 +832 9 +1498 1 +1664 10 +1942 8 +878 6 +2726 6 +1088 10 +174 8 +25 10 +3262 8 +1573 6 +3861 3 +2993 1 +3965 1 +2892 6 +1820 6 +339 7 +157 3 +2762 7 +76 5 +3179 9 +1356 9 +686 2 +3302 3 +3262 6 +2467 6 +500 10 +3046 10 +736 2 +649 3 +2925 10 +3501 5 +238 6 +1303 1 +913 9 +693 3 +2173 3 +1814 8 +3080 7 +3560 4 +3904 2 +1921 9 +2389 7 +2600 8 +2192 10 +1275 8 +3306 6 +287 10 +3722 4 +363 3 +240 10 +602 7 +1671 3 +1677 7 +789 10 +2319 1 +2771 1 +585 10 +91 3 +2105 7 +3282 2 +3942 9 +2825 3 +26 9 +3405 8 +3732 1 +1612 10 +983 5 +1469 9 +2819 2 +2995 10 +890 2 +3616 8 +814 3 +2376 4 +3578 4 +3499 8 +3319 8 +2801 3 +3953 6 +3239 2 +870 5 +2468 8 +2992 2 +3429 3 +2117 10 +1945 6 +1143 1 +469 5 +2804 9 +2309 9 +2124 4 +1763 6 +3604 3 +3640 1 +2045 8 +2531 5 +2763 3 +2395 1 +2323 2 +1081 10 +2078 10 +1731 2 +364 9 +1714 9 +578 7 +1469 6 +1905 10 +129 2 +389 1 +94 8 +2873 9 +1124 6 +824 2 +3386 5 +1700 2 +3658 9 +2415 8 +1264 5 +4028 8 +1663 8 +1435 10 +4002 5 +3274 6 +2072 1 +3006 2 +376 10 +3595 9 +3275 10 +1755 1 +548 4 +232 5 +3179 3 +1100 7 +772 8 +3330 5 +3967 3 +1494 7 +1770 4 +2269 8 +896 2 +1058 8 +1698 8 +3801 9 +1633 6 +667 9 +2153 9 +3867 1 +3617 4 +415 2 +671 3 +1993 1 +3368 1 +2161 3 +3957 3 +1938 4 +3215 10 +12 4 +1450 4 +3852 3 +3372 9 +1514 5 +2308 8 +1153 2 +422 5 +1824 10 +1575 1 +3979 9 +3026 2 +3162 6 +3247 3 +1939 5 +191 9 +2677 8 +3849 3 +3871 9 +1269 4 +2867 10 +2521 1 +110 7 +2569 6 +3901 9 +1302 1 +1441 2 +150 3 +4029 10 +1336 6 +243 8 +3365 10 +3901 9 +1297 2 +3664 5 +3507 7 +1263 8 +3142 10 +2079 3 +642 1 +2478 3 +3766 10 +2993 9 +3337 5 +2224 7 +1444 4 +2939 7 +1226 2 +866 1 +957 4 +3813 9 +982 4 +2114 1 +247 7 +2946 3 +744 6 +923 4 +3534 4 +2790 7 +2840 4 +1963 8 +916 6 +592 4 +2187 5 +1236 5 +2522 7 +139 1 +3331 5 +1705 6 +683 10 +3383 3 +2377 10 +523 3 +3815 9 +3822 3 +541 8 +2128 1 +431 3 +1719 4 +3104 6 +2394 1 +1679 6 +1341 1 +1555 10 +2818 6 +1818 2 +3978 6 +3784 5 +211 8 +28 3 +2160 6 +2290 8 +1029 1 +500 4 +664 9 +964 10 +1349 10 +260 6 +3889 1 +224 9 +3846 1 +3442 3 +1542 4 +1834 10 +137 6 +1918 4 +3657 4 +16 5 +3626 10 +762 5 +1907 10 +1306 6 +976 5 +31 9 +2618 5 +643 2 +2273 4 +1515 8 +196 4 +754 10 +1134 8 +892 3 +1800 9 +1698 8 +2326 8 +4061 4 +370 3 +3209 3 +3852 9 +2499 8 +195 5 +1606 4 +2600 9 +1248 10 +3417 8 +691 6 +3882 9 +2000 5 +3657 10 +1219 8 +1453 5 +1806 8 +2896 6 +10 4 +2545 6 +3165 7 +3882 2 +2855 10 +3935 1 +3647 9 +71 8 +3790 1 +1891 8 +514 2 +3790 6 +2152 5 +3046 2 +594 8 +2930 6 +2927 10 +1739 1 +2559 7 +3408 2 +1081 3 +771 10 +506 3 +3537 6 +2946 8 +3995 7 +1245 7 +2097 5 +3222 9 +137 1 +3918 9 +1969 5 +1077 4 +527 2 +317 7 +1836 3 +1238 9 +582 6 +2554 4 +2292 2 +1106 7 +1709 5 +3980 2 +2134 9 +3310 1 +3476 9 +132 4 +3924 6 +3875 2 +2794 1 +3632 1 +728 2 +3674 1 +3873 9 +3649 4 +1185 7 +2722 3 +485 10 +272 6 +1181 5 +3942 6 +4013 5 +65 10 +3027 7 +2131 2 +390 9 +82 2 +2018 1 +3598 5 +2083 9 +1188 4 +1583 2 +736 8 +2779 7 +2101 3 +1522 8 +674 5 +3751 2 +1947 3 +4044 9 +2536 9 +191 8 +3053 9 +2025 1 +2984 4 +33 1 +1426 2 +514 7 +2972 5 +3109 4 +3106 6 +2568 2 +2309 7 +3966 7 +2344 5 +1173 5 +1885 5 +2939 7 +3867 1 +3595 4 +4083 5 +1132 2 +2868 1 +950 5 +3194 4 +2220 5 +3917 4 +580 10 +1304 10 +1540 5 +3223 10 +825 3 +1436 4 +3907 1 +2911 9 +15 3 +3371 5 +1200 3 +1092 10 +916 8 +668 7 +4035 6 +2062 7 +1581 9 +804 2 +3293 9 +2459 7 +2831 10 +2755 10 +2931 4 +3780 10 +4013 1 +1060 6 +3093 6 +238 6 +440 1 +85 5 +375 8 +622 3 +803 2 +2099 10 +2261 7 +2677 10 +2598 8 +1134 3 +3503 2 +1761 6 +2114 10 +278 4 +2703 8 +1153 7 +818 5 +3369 4 +144 5 +1992 1 +2033 2 +1942 2 +44 9 +3582 10 +354 10 +4004 1 +3363 5 +2129 1 +3445 7 +1353 7 +46 6 +1934 2 +3555 9 +2423 2 +1947 8 +3976 7 +3853 9 +124 4 +3854 9 +1633 5 +102 10 +3302 7 +1801 9 +423 4 +1740 1 +2919 2 +404 10 +2831 9 +2348 10 +2235 1 +1242 7 +2536 10 +2623 10 +655 5 +634 4 +218 9 +757 5 +1516 10 +3683 1 +1746 4 +3826 2 +2137 6 +191 4 +2889 6 +1793 3 +3265 7 +2244 4 +1057 8 +1190 8 +1085 9 +286 6 +644 10 +3189 6 +3934 1 +1957 3 +34 4 +1837 6 +3480 7 +2206 1 +747 2 +1688 3 +2107 6 +3892 1 +3119 1 +2198 8 +2862 7 +1662 1 +1857 8 +1132 6 +3316 3 +1877 1 +3550 2 +2671 7 +190 10 +1450 1 +2910 10 +1173 1 +3742 6 +1907 7 +2345 8 +580 8 +0 2 +1920 7 +2737 3 +1030 2 +2061 9 +2704 7 +3309 4 +1204 1 +777 1 +81 10 +906 10 +1049 4 +3803 9 +3684 9 +1256 6 +1970 9 +2133 3 +1968 1 +1532 2 +2992 1 +3285 10 +829 2 +156 8 +2882 10 +120 8 +499 10 +1962 3 +2202 7 +4015 8 +2883 6 +327 4 +2502 9 +8 6 +1896 6 +2862 1 +1220 4 +3890 4 +58 3 +2273 1 +2074 10 +3090 5 +200 6 +2522 2 +624 1 +592 5 +1190 4 +3879 9 +84 6 +1193 10 +1612 2 +3239 7 +254 2 +3689 5 +2560 10 +422 3 +3726 10 +617 6 +3673 3 +3806 4 +143 10 +1326 9 +952 5 +211 4 +3346 10 +2984 3 +80 3 +2045 1 +371 9 +2921 4 +1924 5 +2656 8 +3435 9 +3882 6 +1410 4 +967 4 +3102 1 +2018 10 +1122 9 +3656 10 +653 2 +1418 4 +1107 3 +2603 6 +3792 7 +721 6 +3489 10 +1092 9 +1186 8 +3296 5 +2136 2 +2847 5 +1660 3 +417 2 +3312 9 +1811 8 +2537 6 +2928 10 +1383 5 +2939 2 +2065 9 +1781 7 +3544 6 +1042 6 +342 10 +2704 9 +2433 6 +194 4 +2000 9 +2886 9 +1010 2 +2869 2 +1508 9 +157 3 +2606 4 +1790 4 +2353 6 +1723 9 +429 5 +3385 5 +2976 2 +409 7 +585 3 +3346 4 +3500 8 +636 4 +478 5 +921 2 +2642 4 +3195 5 +3676 8 +3798 1 +1651 7 +16 6 +228 4 +1168 8 +2865 7 +726 8 +839 10 +3906 1 +2140 9 +3875 1 +636 9 +4087 1 +1551 6 +3299 6 +1899 9 +3215 9 +2406 1 +3391 2 +4087 1 +1259 4 +3409 7 +450 7 +2905 3 +1733 6 +647 1 +2220 10 +1894 2 +744 8 +189 7 +2138 5 +2569 1 +2941 4 +1627 6 +234 3 +3382 9 +3326 9 +283 4 +3659 10 +3223 3 +1083 2 +21 5 +3083 6 +98 7 +3288 7 +198 2 +3577 3 +1638 1 +2968 7 +251 9 +2460 1 +2706 3 +1224 8 +1773 7 +995 5 +770 7 +1972 6 +1375 8 +3830 9 +754 2 +2173 8 +627 7 +1797 6 +3883 6 +1402 5 +1736 6 +3818 6 +1851 6 +3316 7 +2677 7 +663 6 +593 3 +2773 6 +2694 1 +1355 6 +2838 4 +1222 7 +4049 4 +2128 1 +1802 9 +1112 8 +1812 4 +3774 4 +1166 8 +725 4 +2677 7 +2281 9 +1746 4 +2493 7 +641 8 +11 5 +1462 4 +2250 10 +166 2 +2528 10 +961 7 +263 10 +3339 3 +2827 4 +1732 9 +2883 7 +859 7 +861 2 +3158 2 +561 2 +12 3 +4009 3 +1000 8 +1035 2 +2937 1 +629 6 +4084 6 +633 2 +2601 6 +2352 6 +1079 3 +438 7 +3352 1 +3240 8 +2414 6 +2520 7 +3806 1 +1134 5 +1567 4 +2601 6 +827 5 +2418 5 +1640 8 +934 6 +2003 2 +1361 9 +977 7 +3833 6 +3506 1 +1192 1 +857 5 +1151 7 +21 10 +3669 7 +3653 8 +2881 1 +1425 2 +3634 8 +2023 2 +1825 4 +3340 9 +377 8 +3265 8 +1108 10 +2393 5 +3781 1 +316 2 +1475 10 +2501 1 +232 3 +3331 4 +1765 3 +2788 1 +3280 3 +2253 10 +2090 7 +3222 7 +2724 1 +1265 2 +3847 3 +855 7 +1994 8 +3149 10 +1469 6 +2450 4 +2419 7 +946 4 +2779 8 +711 10 +3970 3 +229 5 +782 9 +2264 9 +3732 6 +3980 8 +770 4 +2639 7 +2716 10 +3583 8 +3474 1 +2085 5 +1121 2 +2257 2 +3388 2 +1328 7 +916 3 +2169 2 +2166 10 +3003 7 +2230 8 +2713 4 +176 8 +869 8 +1994 1 +912 2 +313 4 +3754 6 +2763 4 +714 6 +3634 5 +3327 4 +1620 9 +2297 1 +231 9 +1057 3 +1101 2 +1041 4 +691 3 +2083 10 +485 7 +3271 10 +1475 4 +3822 1 +3339 7 +3785 9 +3305 10 +1931 3 +3387 10 +3887 7 +3685 10 +3844 3 +1839 9 +2068 5 +170 3 +3234 9 +3413 1 +317 6 +1483 5 +2165 8 +3199 6 +3312 6 +1195 5 +1172 8 +896 1 +58 10 +3517 3 +1279 4 +653 6 +1822 7 +2863 8 +1141 8 +3424 9 +3958 3 +655 6 +404 5 +294 2 +186 6 +3461 4 +1354 7 +3243 1 +3536 5 +3069 3 +3268 6 +1595 10 +2915 9 +3721 9 +2327 4 +3800 9 +2860 7 +2215 2 +3780 7 +3230 4 +46 1 +370 6 +866 5 +2080 3 +3315 1 +1324 5 +1029 3 +3766 4 +1751 6 +1476 2 +986 8 +2803 1 +2111 4 +2338 2 +3724 5 +1879 4 +1931 10 +2497 10 +1532 10 +2527 4 +3131 10 +1814 9 +1523 1 +3901 7 +2319 4 +2899 9 +1437 3 +1660 7 +585 10 +3484 7 +2269 8 +738 6 +2207 9 +2969 4 +1485 4 +211 6 +2838 4 +3531 6 +2513 9 +3864 6 +2400 7 +1134 10 +454 10 +3850 9 +208 9 +1813 1 +3291 10 +3528 6 +3959 8 +1339 8 +3506 3 +3121 6 +761 2 +22 1 +364 1 +2628 8 +3881 2 +2965 1 +2716 4 +2487 7 +2730 9 +3318 6 +629 6 +1007 6 +435 4 +1478 5 +3141 2 +1374 8 +678 2 +1904 1 +1834 1 +1269 7 +3504 10 +2939 8 +2599 2 +1427 3 +3212 8 +3345 2 +3406 7 +1938 1 +989 4 +3785 1 +934 1 +437 6 +881 4 +122 4 +3791 1 +2000 7 +1631 7 +1329 7 +164 3 +3300 5 +3287 9 +3086 3 +2094 8 +804 7 +2223 3 +595 3 +530 8 +1273 5 +786 5 +2472 7 +740 2 +2264 7 +673 9 +2361 7 +1326 1 +547 1 +2008 3 +1050 8 +1852 3 +2884 3 +602 9 +937 2 +2085 3 +516 7 +2260 5 +2234 5 +1887 10 +2430 4 +2722 7 +1956 4 +2459 1 +2905 9 +3195 6 +3568 2 +597 7 +167 2 +2975 8 +812 7 +2980 8 +2173 1 +1286 9 +414 10 +2575 9 +3431 2 +218 5 +509 4 +599 8 +2253 2 +2425 8 +1903 7 +1882 3 +3459 6 +3750 8 +3879 7 +3658 4 +93 3 +2907 10 +4093 5 +4046 10 +2553 3 +628 5 +353 4 +2955 6 +1148 4 +1622 10 +421 5 +1751 5 +3036 2 +465 6 +771 4 +2380 5 +1939 9 +3015 7 +1858 8 +268 8 +2522 1 +3363 6 +1936 8 +1255 3 +3555 2 +2728 6 +4022 1 +299 2 +3805 10 +2651 5 +1905 4 +1401 5 +454 9 +814 10 +2090 8 +2793 10 +568 9 +3842 5 +2281 3 +2515 4 +1920 8 +1894 5 +1752 8 +306 3 +3519 2 +3708 4 +213 4 +2748 10 +588 8 +1499 2 +2297 5 +3789 2 +126 9 +681 1 +3899 1 +1572 10 +475 7 +625 10 +1258 4 +1460 5 +2488 5 +481 7 +2448 9 +820 3 +2882 10 +3490 1 +2711 3 +644 10 +31 3 +2255 1 +2522 9 +627 8 +22 10 +2711 7 +1282 10 +2480 1 +3949 3 +2798 2 +1383 9 +2992 5 +1491 2 +1989 5 +2155 2 +3580 3 +1215 5 +2340 8 +1715 3 +3344 5 +3397 5 +1089 8 +2778 10 +3895 1 +321 10 +958 6 +3883 5 +1945 1 +3373 3 +1180 6 +1698 4 +3567 7 +3144 9 +783 5 +2923 7 +3221 10 +2758 8 +3915 8 +1535 2 +3194 3 +1792 9 +572 9 +3530 10 +2444 5 +2855 2 +768 7 +1914 7 +821 5 +1860 1 +2994 7 +2926 3 +3594 4 +1054 9 +406 8 +2511 8 +3791 4 +220 1 +2195 6 +242 9 +42 4 +1349 7 +2944 3 +1880 2 +1480 6 +1805 10 +2634 5 +3381 3 +1064 5 +3218 8 +3391 10 +3118 10 +330 1 +2075 1 +2774 10 +3123 9 +983 3 +2024 4 +3016 7 +425 9 +3109 5 +899 1 +2521 1 +4000 1 +2850 2 +3023 7 +2190 2 +3453 9 +4093 7 +3034 7 +747 8 +2485 3 +2066 9 +2052 1 +3465 3 +2692 4 +2116 2 +546 3 +448 4 +2518 2 +3365 1 +1695 9 +253 6 +164 5 +2151 7 +3215 6 +837 7 +553 9 +2582 3 +2285 5 +592 7 +1127 5 +482 8 +2803 9 +652 5 +3119 1 +1567 3 +1987 5 +379 2 +1883 10 +3841 8 +4038 6 +453 6 +2498 8 +224 8 +629 2 +411 5 +3853 10 +3104 2 +405 3 +1898 4 +1693 3 +109 2 +469 2 +496 4 +217 7 +632 7 +1710 6 +125 10 +1567 2 +2568 7 +2245 9 +3151 7 +2354 2 +1887 5 +1005 2 +2726 7 +1361 7 +1381 3 +1383 3 +3041 6 +2252 1 +346 4 +759 5 +2045 9 +2877 8 +2281 7 +2373 1 +3292 4 +657 4 +988 6 +3893 6 +1043 9 +788 8 +1341 4 +664 9 +1247 10 +3285 7 +2839 10 +670 10 +593 10 +3427 3 +238 7 +3747 8 +2380 5 +146 2 +2775 10 +2790 1 +2458 7 +791 9 +4028 6 +3665 5 +1495 5 +2756 2 +1237 9 +2449 4 +1139 6 +3249 10 +2747 9 +1513 8 +4050 1 +3195 1 +1455 9 +3482 6 +2337 4 +1523 2 +1430 6 +1146 5 +1655 8 +4057 6 +1455 5 +191 7 +1671 7 +2028 5 +3530 10 +395 9 +2020 4 +3583 7 +950 5 +1105 9 +816 10 +2189 7 +2677 4 +9 2 +483 10 +1606 1 +2663 10 +2964 1 +1523 8 +3645 8 +7 1 +729 2 +185 9 +1680 6 +3629 4 +3886 9 +1507 8 +2202 10 +1123 4 +1048 8 +2469 8 +2455 9 +1450 3 +4064 10 +2044 6 +180 9 +2370 7 +3996 10 +398 9 +1462 1 +1442 10 +3583 1 +2750 9 +1643 4 +2951 6 +79 7 +421 3 +2778 4 +3693 2 +1015 8 +773 3 +3014 1 +1025 10 +3488 9 +3026 3 +3108 9 +3945 4 +62 9 +590 7 +2486 7 +1035 6 +3525 3 +1705 2 +2160 4 +873 10 +4040 1 +1300 10 +442 2 +3648 8 +2035 5 +3611 10 +3103 5 +447 7 +1494 7 +1342 8 +3676 6 +1441 2 +2882 3 +3626 7 +3349 2 +979 4 +960 9 +2272 8 +2477 6 +1631 2 +2462 10 +1635 1 +3521 4 +1538 10 +915 2 +1891 1 +356 2 +3373 9 +81 8 +900 7 +3236 4 +3149 6 +83 8 +890 6 +1643 8 +714 1 +4041 6 +365 6 +1457 7 +1521 2 +2580 5 +2290 9 +471 7 +1491 5 +1655 2 +2727 5 +3081 3 +2307 2 +3816 6 +1678 9 +1613 1 +1890 7 +3107 1 +217 9 +863 10 +1852 6 +554 9 +567 2 +3700 3 +3559 4 +3870 4 +3695 2 +276 7 +2593 5 +1009 8 +329 7 +1381 9 +2848 2 +3548 10 +2045 4 +512 3 +2469 3 +791 3 +1518 10 +4088 10 +997 1 +4045 10 +825 10 +1449 7 +3425 2 +2816 10 +3579 7 +1068 9 +653 8 +1616 6 +2336 6 +1459 10 +3783 5 +2128 3 +2882 5 +2405 2 +200 4 +1164 9 +2094 10 +1884 8 +1645 7 +1624 2 +2066 7 +1488 4 +1136 3 +2658 10 +2102 3 +1189 7 +3775 3 +1370 7 +3049 5 +272 10 +2760 10 +954 2 +3127 3 +2438 8 +2670 3 +3395 4 +274 9 +2558 5 +1144 7 +2557 5 +647 2 +2018 1 +1909 2 +2846 7 +467 10 +2055 8 +3092 7 +1822 3 +3765 8 +336 2 +610 10 +362 8 +3569 3 +1180 1 +3754 9 +1901 5 +1909 6 +884 3 +2760 10 +74 3 +635 4 +1752 10 +2238 3 +663 4 +3229 2 +1013 3 +1376 3 +1501 4 +2606 2 +3462 1 +326 3 +305 8 +846 9 +990 2 +3598 10 +3582 8 +3796 6 +1731 1 +3279 6 +3472 9 +60 7 +1910 8 +2982 7 +3372 10 +2114 10 +541 7 +294 8 +2316 5 +3760 1 +1284 4 +2374 5 +2717 1 +1313 8 +932 5 +3137 2 +1373 7 +4088 5 +1820 4 +2512 7 +2813 6 +2251 4 +1727 10 +704 6 +483 10 +3281 9 +1622 2 +1284 3 +1293 1 +3241 7 +1508 10 +696 2 +2944 4 +3889 5 +1075 10 +1680 8 +1084 9 +2060 10 +2892 7 +900 5 +2589 7 +1025 4 +3950 6 +953 1 +455 2 +1016 7 +1344 7 +2688 8 +467 9 +2597 9 +2859 8 +2643 8 +3544 6 +1000 8 +225 4 +1473 9 +2134 2 +26 10 +623 7 +2449 9 +479 2 +3936 1 +935 7 +1490 7 +885 7 +437 7 +3937 1 +1729 4 +3078 7 +2020 6 +330 9 +4064 10 +1392 10 +2589 2 +4080 5 +2785 9 +2570 9 +3420 7 +2709 2 +261 1 +2595 8 +2383 8 +1986 1 +991 5 +3796 7 +63 6 +2499 6 +2323 2 +3772 3 +960 1 +1186 1 +3358 3 +2414 8 +940 7 +3606 7 +802 1 +1913 5 +2900 10 +2078 1 +864 2 +3210 3 +4023 7 +3678 9 +1792 10 +3996 5 +2024 4 +2605 7 +2645 3 +1420 5 +3328 9 +2147 9 +2813 2 +1841 3 +3458 9 +777 5 +3564 2 diff --git a/benchmarks/new_opencl/bfs/kernel.cl b/benchmarks/new_opencl/bfs/kernel.cl new file mode 100755 index 000000000..51ce5a086 --- /dev/null +++ b/benchmarks/new_opencl/bfs/kernel.cl @@ -0,0 +1,53 @@ +/* ============================================================ +//--cambine: kernel funtion of Breadth-First-Search +//--author: created by Jianbin Fang +//--date: 06/12/2010 +============================================================ */ + +//#pragma OPENCL EXTENSION cl_khr_byte_addressable_store: enable + +//Structure to hold a node information +typedef struct{ + int starting; + int no_of_edges; +} Node; + +//--7 parameters +__kernel void BFS_1( const __global Node* g_graph_nodes, + const __global int* g_graph_edges, + __global char* g_graph_mask, + __global char* g_updating_graph_mask, + __global char* g_graph_visited, + __global int* g_cost, + const int no_of_nodes){ + int tid = get_global_id(0); + if( tidlNCIL|bo>ZY%vU zGw4hUoz9OY?j#2gCAtyRdK(ZV>YcGVXKFgr&J3wQtmBl>frP3f&87PO+3{cYUDf?s%L3j*YqVuGK18|eEvQzZj3{2YGd9 za*x|=%Cm|NX~(OEp1NkuuQPS~c8IFqukEbw)trVQZD#}DStXj^yjXK@?bVEAM02?2 zH9M{(Ep<tyruBV;qE3|e~yU(`bir$^|wA*0Hcr@QypgH$5w)^?c<2znXW3RTek@qG(^KzPZ z!;dCyXY&y65#31+Y4&YR`p)ET%~{XA>$ztG_wsT!zNFcQxpyNxKg_)k$UFD)?rh@T zP2BS!_wsTc+D|*p+Rlf#=QdM!Hp_dnzLR(3>BNja=CeJb{jt&X3C-AFrFr|OXhtXV zGo?wl%S;^}YN_5{E!MkVi+!1A%@WPMWnaiPMb;K+zS*F~te4=UrKOVe1s|RIKIUlO zs8Q9Rc@-TR{L$6CkNZEzGpDjav%{uV3-5dr-f7+1P7S(s=;!5x_#EQh;4?2L%xCU* zB7ElMn9wAAGkbZ57Z&frH*2@%M7cN0J$c;A%gN93EuUwEZw2zsy}Ubx+*`;!lem|c zQ`Ag5joQv4?zt_jJH_(esPE)G$2U6?)(q$$KgQp6T(-50vGx9kWAj(Y*t#`;g^aCx zY-~cCzap&Lb&a|cZDyQ|A&=|m`_8;x&Ank)$c`-5Z8NNS&FGMJm}^s*p9?zl+s5HN z-7v#nF_?%&4r{SRyqccYoLxI+*iDg)%%jh1wD{EAct2eB@BRN}UodaH>?_{Y8*8*Z zk=jLiJsA6iHClbX@iZ81PSKpd>X@PMMqS(YKe;a|crM=w{;KzQ_H#w{^8!tM|0h)? zA-Vo1Ra*A_uI&3V^{#9KZDg=}Nc%sY` zUuplHi`zGz?wHj-aP)23d)E#NP37-+ZTc)@w?5l@Ix*YlI~&{)z4DvG*b+_atG6^) z{%2mfzgKkd(!N?x(ATIlAKuMlEOQyl9L7?8t?ru<&8TXktqRTk+DR=V6DL=~*UvHc zmhm)r^!$zr=c$9Uoo8OGaJF~MHg;pb{-9T@mGMUw{fW>7-T#)Q|KFhFSeE{y|A+Ly zF7)>gwEn`orbGCqGZr13(~)7FF=XT@YxBfM@FV-S)JD?ZvCTz>yz}g7;RW}PVgGnO z+hJX|@9RkWI6dA|N!@t9Q!{pU>fTN(luCw-lr^fQHVNB<{Si530*3_v5pFhd_0VShBV`MZHc}48Jo@)A; zddH{G9rR$$z|r%z9!_73V^jX`wY7J40IsV1U()r&M^ev@W6&|Cw( zA4cQm{TUjI{_oJ}M1^3*&xrNj#NI#uY};hJUCg?$(DxezG$iYfLhK=xgJdv>o1? z7JUsK3y+F?s(A+&XjAzpxwZvor0v?T>h-=Aj@x;8@mSv3xcGU}hkeI2aaYR=+2L^9 z55srWekrssRI~=u9v_|f{7vfl-{raSbO~;a(Pj*Q5n%3Lz8vz`4QpLTTwe2d&DV=ua}qlGpb^&EAIJ zy{#JG&eGjitx#=^#5cE?vA$kS;+gi|bed}kiC0o)`%P1x@z*A?%jSHq zx=?o;&H47aHf+px&DDAq_*O?r?GnA-nSHv*C&u%wp?>LpGiJ=OR zALy&(RiQHO?Tfl@7a!WvD5?@qYp-Lt&v zjv}w7p~%_(6+P9wmHD4a9Q7FOAEbTgFz*H1*K6)ihUObJ9rPV0rr5^yI6I*Qz~JT12Vjzq9nyU$yEU!l9v40+WJ zMYefgp|kxJ#S4k4B))Z?!bVk}h9?KPp5@66-Jf$e6=a`j$Uaj8epY<=Gt0ZNC0*YF zXQM&UFMcoe;QvYlH@|R`zc#-lc+8vwZ;DdMZMw{dZK=7y50QS4tGRGr>0K`KVSoE( z%{BA2RO1~9_tuu>?x8z$e?va9+QIzP^2~8?)VkHH(RGm%$r*T6*!IXIt$%?w*N=9T z*agHN$Wrh<>rKTEuzXF)vX6UpuWA0U6&7q?Q$bi<(J|9NuZ$|@zcMis+?$F2akVkG z;c9Pg;%eg~`qkb?I%XL28fJL&5;KgCVjDi%aTU5+t}rN4h)&aQ#h!1w_-Jb?_FQd9 zAIQDPe^A=fWlVin3*uInSDhd(Le|HgssFlDy+bf-ShaCi{Z$?|U+Hc2>2QDjo+&=K zE7yGJMP|eOcg3$1pTL=aI^;Hn8PlH0B3HE0Bk%Ut@! zipKig-t|9%8IkvdPiqERyZm(<(F0^o@rd?npfjQuICCD>{p33Ml}vk2<9F;{g4`s* zqSK7`VHf@vcypM8Ij7OHL;>H6z7;t0X0p{nhy;?s7w}|2Oa>Y;P1SL|3f4GS8K?eATy>g`q9tHquT> z%U$+{t2IAgFYuWoJK8bHxAaN2)lsNqzA0bLgENP5Rdhta;{s>)LBpAMoX^Lx7pwAl zY5nn%rO=}rAJaq75`v~MnUG-qD`4CGAKX6?#5;osV4S zJJouAzm_QX=5(Mhd72xFgwTOW?qAk`tD5194$VJZ=x9Bn8s)TC3^>V#8qTJD3_ z_lBMM$GMgWyQRa7vFrEcf5n5$=he)UuD*+ug#F|&`J~f@{?M6X@sr$X?dUofH8jNM z67m=a3w`s2ko!8az;iNpzS)KE+!x=euC-|H-XVCtXO11cmbnKTMGuM=U_&}X$_Hz< z;NxH#|G?O}`Q&J-vojRj@D|u-8TQa{@y_CX{C_g-p0IS}pw>56O(I4mN5uT$GYEzY zW}*LS-})?g(jK?1Rg+TIu-Mp1sbo(a`D6U>zq$b)m1wEL9_Z?WuJ|>KH1v} zi@!R5QGb)% zlE_+lR{E5Fg#O^x$4C640&L(md_?4T`w`j_n|#3z1V?(ePi(6z>Q0Z`EA4<~tr@&+ zxo*wCfiuXiF4!e@(j(rmttH^oaeT_trQ_`;IJe2;US&_Y-}v9$yJ3kI6cv14utniY ziB@7agSEs2b_73U?!(~Lo-a6ac7Rz4FiUvfbuGB3J9B5DySkRzv^wD}xvwxMOXKB7 zLqfmNW+D@dm7j;rx}NXxp+xrfMEE~ruS)iO z+WvNag_wg|Nz$Xaf52M=yD<4+=g|){F8qeHAV03z6-w&jll~@!@()`98v%=6|nS{X0Y+h4bT`~GqChs z-+Cb=--~|n`JD`p@}u1UEA_uss<~Ufp(FQyobi|Nso<;I`}!%>-nNe-Pwg3>>cJlP zc)_Qljb52|xzBr+=aM2Hr!}`b??ftZLBjr;%ti5uOrN6HO9P=_KBN1;^es*4J@a*3 z_#}LlISU@LkT>k)1M4*V&mKq{*A!^pywAY92UPq1pN;$z&(hw}cjP($>pVAH5DL~$ zd@gtYh3CmR4793o*G!ziZ?d#tzr{S`uNLHE(?1BM zm^Emn z{8HMp!P8)4-+3DuaX0mu^1Qw6O?dLB#HRlR{#r)ttJtSEe^1+4d~Q_9jR}1P=hDFp z>%2d7m4+{Bf<1=Y++*5iFF3AWwX^t*(bT5Sxb$fkNN%D04e86>0&bUdl}dlofACn} z`{<1!{rc<9GVepI8=%W~+=OranjU*w`o4v}-x-y0tA3xPU-}STmG&9$Vd4hUeRJzP zFuK@}==m9c=U_f<7dx}Bh+sp**kwL96pz{DdGfgfUn66io%sjz`v;a)fd|-lZ0xki z&4P0ZN1GR~7R*=n3fr0ScaKcKIl=v4fA6OZY&CR*+&g>z7+g2#158*?KK9h8w1-V2 z4|il#wJ{zi_w*JjI}jcQpIcsorwY%5H@99d815I|JBHuE=N`YFvB>;nF&tVh#_%`a zy$HkO*Sa$!)5haBygL`$fM5Q(ikEHr6T9RtueQi5>3%_`KjbIvetv!g+EsrUJ9SFp zjI7N#NDRo>-+bfZ{^q)6k;&4Qv?2ZG>|Sv5{BzP?D#`px%(7kD%h{lDam$MCA5OF# zT9rE^qHJi!E+2SljPB39WcdZsSC&r?PD;B+esmeSzcrEWJAZKz-Fs$YpG=?UC(!)b zkFqp_O=C3waICGdSZi7L^Al;_bEP{O+t2tjG#CHXMc1d|3%=le1V4Ix!Qc2z=!}X% z;-3f0{zma^(^PyM9iIZf4SVf3mSOLRbyp01QhXxksrQk^hmqxni|`X!licy3OKuQ#XB zn?3g#)g8Lf=tno;yJ7zxM2_<#3vBBu`v0-6`kwuF@Jr}~7eeQ2oy&YH4}MlMo+xn( zz9@d4Z6zkj{V8j!?#%vaR?mC&1Bu$v5QY-Y;76m+qZro>S2fCU+9H!`S^W z_%3oB!uKh}cYQG9|Ja3v$n!%H(VfgZK7-G4ku1OU^NdV1Nj{!@VN1(jFo)k(vdr`2 zi3>4bOUpO7u43V=9yEFQmhPP|++*O&3;y_F?88rbKM9toxLD=cWd+GsY&mE*=C_v*`ct?-G4&!*G|`h z_2vTlywpD?Z}K7jF?OJSs?Zs;-XJ%yH6F9t6ZQt|^m2U3JZQhYg7yS2YsfMDFoSbr z7;*eUzUNS{v^B$Ca+ZA7a3Z!2xoJEhbZcvlt$g3l`Nc=Je(7Qyb-(!dUFg^U8IHDe z-5E@e?3K9@`ZD}qdCp%4AD*!GXYwy1<5^vNb=z#exfgV%xY=*AH)|w_U3`H zmE?u;$eGXwcJ~}MP<$NSPO{eXh*g&LKffaWj`$4XXBfZ0Hyt=|THQNbkh%AJ_#MLq zVfP{Hu8Z##%mJI;n9%kUR*`DEIdi{i_i=o*JI^NkB>v=E)~{2w6?&?$B>^7Ab1_&f zuOcD7K(@_p8$P(OHcf)@p>(_Db(Y+pigNpBt zmG8x`i}U=;*k1e(@^n2z#L?K@+4$DSTHi391)s8G&-AOwd-oLGr ze8Y?6TRX_N9wgs-x(M0omv)q&mK`H>o*dsc{_2~4BkL~lmRFejSA8(nwl=7_RhTGv zI5xM~QNg#jdcHAH*SkIuOpbgHIW3a;^`nU^1h-_4Y4fj@KMMcgNzWxQ0KP5xv`h}@ z!+cxe_d}K@{*_B!MfmK5PZ#bCKU`>kjrc+Nz7^8`k2CEjzbt)9jKTbjWaj6GPkBwn%#y|PDi*#mLMNk%5FEk2ob9y{Mrt7U4 z6X$c~zfT-z$&8>h(l}o4@b}kGyr=6*=I{QA_mpo?J})taGpB*P4{Jf>zn$6F;tOL( z!sHbg`2)rFCT!RS^g@f{2W-d2;_qXh{H8puziZh?f{l4|WnJ0dK#uW} z>n(p^M1zSuH+Y`akW zcVg1{4;x^=6GHn<@DWeE*C6b$2gz=UDk8^iNDWKJF0zC~+aq$oWsXIAdPcf0~cq zbZpso$S3MSJW?%jD*jE_y?xs!m2Xp!bT5v(?Qf3^`}qsNE?yEdOHSd~%HcJ~$Uo%r z2Ni;GD<4!jkBWyQ{dX;!uW}kU532l_=+wpSLPOXujA*HE-==aLnRbt!UUO{eFg&bq z@3%fRfrmd8d(rx1>_Y|ka{a~E6s8_4KdYYIWPMz&D|>+a=IjC3_(-+=TLr>lHg;Dphyr}4tzv(=_ zllUu3@K_giU>&$gfSkn7g8$I8mNWDqly9>8bT& z_~riOka|w!++KV>ZLb)@&v{LYZR(A?x76#Y2mehYZ!_d>%=@Ch;cOcI3`=|NjT?0G ztr6w(-oD{7vCy2cJ~9}2D2tKV7^FqJl|0!!^1Sd&+7z4&ZrPBHg_7f9p>g!?IdqSV zQ`!{Tuv0^sdC0X}ex;SmMQP*0J*@-yL}9P0BMkqwSolobR{3ZA z^SipD-o6=QxEK563uD-r!iVbbir)bZ&kJAuXj1u?$lu>hl#TeOiH~>F{uJ47wB91nqPRAjCIQdzc!lnYnMINZRbU~zWFfEWN@`abanI3C&>S^ zqSNKS7}FU(kLk>@l}9hWH=}DSe{%8n$iPK0Mf}<|$BO?Y=XZgDujXvMZy_VA=dxbU z`a|XMkZ&z81tVmQGT7U07_7<2x{a*aIIO=IpsVp z_R9K;S{s>54(6h@k^Yi~FW6>BVgFrPm8{LndV<{BU(x~Aux`WJik+wD5syY0TY+ur zQ9qI=eg~f$XczyWq9e@u0G~Tpx6z}{Y+mzEN87FQpC$(#bt?5J82ew1IeNY^Kauav zZ^$=3)^TCYW{%Ffn9lkS&(H2){fD)i3f6(3Vh3Y>v4A**wW);gA?nQKHBWd}GFQ!ocJR;Cyx>>J zXYU%;2rCl>#zz_o40zUGq8EUl1@skGb5n6VYRFv4+|0Q)&zUWs8=&)H+Fg~0Z1K4R z`V)Ch_3>!`K$pYZhK!Hs`Q9~&{21$z#uCpGVYGWF;DH3;|pQ^b}6yAXRNAPzIznQv%<~KC=6)@m| ztF)b)|9Lcca&0=5{CYyx1;LJgg#RItA?5`6Xb3S@J!Oi`(!=l+o0SN;Cte~JJkaPr zh<`P(w2?Vry{{|nE~NhF^?!$#C({0~==bR`zQm8{pWIQ0?#74bqHC-r(El*Bfw#~Z zN)-(ut;pD%^37`f zSJr)5|CP00pYbY?fi+#_*fZo# zWC}i{`r37O#j$0qDJ}Ho@m=^Xb4*qqu|73kMu;EZ%GlRV?Ca8l6KPwvI73_O^Fo`* zd5%8GOJO6AXXTV!*S%(HQ=ihI;O2q%ReoX93~gdxD|>QlqVVJgf+yg$wx;Xoo9J3P z6@DS^{(PT#Nsj3D$HT@YvE{{n)0-1x%lej2WoSS8l+f&D{U*uBNL#KF@t@_ZbhUizck@-2+|4|a%UL=odW79Id~=}KTS8p2v1hvI zwbaBjTILUJ&Xxw)9Yw-hur&P7Z^b$;utzK?C}lka`m8OB%?7 z_fe~{O`o8XT}Qzg>flw~|Iu>_^T|Qsn{G$e(d)ZRu{Dw_uWwEfiUbS=AEWND%t>5(85c@94p zJ#J5k&!=#lCfPcF8*F4WUw|hu*XCN(kGkjojIMT<`K~L(_`mC^1cAn4Wn{#*#)|~QLXU*`U z{57dPqpp93tj#DHZj$E@9QJzlX~y%5OygfqYTh&ZbmN&tA?o3N=nwZ$*P~H?)3Df? zPJiTU$O&0~q=Eh)UhK@7wS=0d#dcnV+;oTKT(ye1$KN7%Lv5S%i{FMkh)huX+22)s zK=M=Wovek`C9oA%Sk?N~?ak7EMr!?+7?ll6jBE5IlH2ks6HB1w#??|EOush%Kl>4H z546a6KRh6D?m4eb)$FF7+};kU+ZKNndc`*}+LDH=Sw+TF`jLHn)V2CO)Wp`CVXrzY z@1{M3{SKQ^qk18@A!|Owm*yVEzLz%4D0JaZH3&Upb=3Y4Z8VzX$eEk1R#e)uSJO6q zIAtePAHf!L#2&KdpgR>o(M#|9tR=~~`WJN72tD$Qq6vD0hM>fJ-yW*T=o+=wUX{@E zw~C(Nd*%>4iv}C5A$zsV_X)li9uQkIm+Zw9**fi2g$?&1nX54T9nAEnwM>!zoH=3E zf}nFD#x)yh3mSO#ob{%_?I>>#3X_X23!meD?p&N4>bZ;(n;JbPsK}O~wqq=Sgjb6=7nzwr) zy30D~L&>o7R9M!@M!cF0(_-5;a_>!AO6u<)u|m|egOS`{v$51IP3l4T?EBP~ysz@T z14jqFnx9Vdo?aM@$^A2^kD#7Oo?-6JJF_?N-Z~N4M<6WjI)9i!+jx(P32zfg9s zkUvEJLv$Ivb)PUxYNx_a%c}QwFT!_Yy>9Cud8w{xMonYL&8I$P7qQk>=50H5lv`_c zuWB#rgQo7@XqNd>3!(DRd0L+aPDy=H;ovlH#a_nKNWB~P4lQPV0a>nI$oRqrdjYdC z!(RR$Brb)Pi`$qvSYov0X&y4`Mp?5myL8v27RbDdx~(4SE5ds1F!k%!@OM(f&|G!~ z?8(Tp$iK6ld8F1}=|HpSfZ#^_%Od|Gd(5TITxRwp$=)RPD8VnaC&_p=q6bBJ=e=EE z$Tsw{j@P+sXe7{ z*(;K2+!YUQ-G1Jy+pF|eFk9#l+CP+*wtYr$%duY3QKKpxK~LV1=f{s-VF+}BX^HWC zu(#Ff!RM{_oH@IQnU22a!Ox)4>a{I+xxNpbgqMwdVDSa~MULLfwJq%mZ)I%4PvINa z-yM@HWJ>nffCJ2Hli}7|A(1<%FQDP41)S>b$J2tFdSIgwg&X z$u~%wVyhVMS=qnSzDTQQPx>#}S8hm~qF?QY<-2{KUZa(Wy&7#_qSY%o7nvWoFV3k< zu!ag2RIFmo7om^IUpey!8UH@wwFR0}d2+rpdvLx}5#e*3+@RPqu+XemIE-AGP6e_h z@`Y_chG?JHJmxpDH`2eS{GV&TtJQmz8?^Q(wE9|J?T>5qcEJPr{>E2J?EIUr^f&yp zyxr2lTil7+%MGV;A((X?xrs*TVU1z;xc;7^zwNXSUO2mWJ;UocUVlY@O{%}WM&(b5 zi$lmH?L9?%yJ&AW?LEJR_G-X9r4PTA?%epG|DTi`UWPWA8}W0Td1nRpbZ}4U3b?0% zd*mGSvH8u-3v)qj_rt`HWM7ye13=`+v$dshAc+TgR26J>v)LHf+?vl?~g%+if#u>)D017FGG(GN19Fs|7m zkC-=K`~|^egLzVzd<6f8BNOa!qv>wiW{Q23?}KU9XuCy?CAG#>^o*D{aiB>r`y=wO8LEht$a@^>)?ij0X&<#?5f)W}YAbw7O8av^ynXCf7WYNovh6RHu@M7Ne{?b)yft*j+pS;i z_lZ0VYhu5gT{~vN|1+#%PUiVB-&5IDFi~W@f5EcZ#+<#_sK&Iv?o>KvQq$g~v#z)p zY-^$pe}CFF_f2csFQ<~L)jjS*&rCKP zY7usS1zoarwvzSbD-Sq9m>bXr~T$;`oX3*A7-xyKL3$A>>)7=wj$Nn zM!U`AKiX)A_MRGqSG~~PD|npQbBmvf&MRG8v!H8=QME|3zc~f}gT1NLFbZ8>b+hK5 zn#vwq{F27qWk$95ZM-VkPd0lhnESrS;gHCnqI3SC(Hxz@=+GIzn_3^vYjD5PUF3H& z#`Rm-r`K%Q(>}?5rwDaSta(S*r`_<8$+B13UJK8LI+^cgEtqy>)Od!zs_{)K*)x%) z+o?PSZ5i5~-Mu;b^W4eNQm<;iW4^Yo$*Ix zj%3_!Ni<|sHL~}xE$&r!(q5A9;bS#p-nCz|nGbJwf<1ijb=OHPIMwqj@9D%$<@XPi zzlv`EiZSc%H)M}MJmTKnZTj7vjD5(on|txCKPkFBAbqKO*YA(m%`ZhV-yeO?dF~`O zftQ*)`W@qQ>j{}}dqs6z<~g>tQ;XfRo4MU5b3olfe2V)A-J0LcnASI2cJo>*(`M`2 zT)QoEtwy)kcXMrj_S(@tJ6iu~+dM|zr@U{xtYNGF4c;jHRhS_-k+rkq?Xa!oZ{m0Fx>+-Ju}?{S@BPFi;)BTl=g;Evoj?!6#9cLd9|zRLzV;kg(r5=iJD>LuwzW-`p$vLv`Y}uqS;G1K2CfgtX@_ z=kw~RnKpa)el0QUN#a+{JfCUfr3q~WC7J$2UTTYU&AFP_OdULI!oMKD6lK1OM$<+Q zbCB%gd>-};O|wEyt2G*IwbF9Vj&B~vK+D<*yT&8??|A?uBrRelj-M<~Ico?i~>C>FnscH5dofSK3TUEMO$J|G%H1{!! znwXPnFTL={<{Sg{p7m?IcgD~B`t1c@`qBiXm9yoka#p39tpQ&%Oj@`1>%-!lvb z5c7&GSIokvyjD+H197KiQ1iI2Hpv*Q;k3k>&clP5+(IUMuTZhqt27~_Lq@e`c`sk2pt=+Rm2JIjA3ZN$CnrdAD^5x`{uLlH|@P_ z`@(yF!_(AkU&DOnv9@*1W#jBze=;8aKgYPkekc3esP$#sVVMIz%s3i}9n?IO2h{6E zy}C+W?vv|o*utko&cJtks(1Yed$`eEMel75nsHkq{~nF*DA1?7{5CsZ^X$_ zZv}Hw~@`82*>@gd4KQchh zaT~P }=e8kAf-L9TZOvSOO);3uphoWZ}7y2T^gh^gecN`+k+e)XkoIs2&(TX+Tj zPY-sxw0+cXY)rcw!wOR~bI{rzJQ2?E^b%i?aVQPtG$C{SH27sdG7m@95{HapSNxAY*b%;C>`br9A!XzxBO9viaJ}TN--qv+9NlH| z74`+uBav;f%6n z&aM-h^Cb3c_X+f5yH>0EOEN~*FOq!!Xdm&zE~(kS%6qyIJ}kth4@B*)Q^=$5MK?`s z^04OK{7lG>zM#2_e+aHHmPjKu9n1?OJH2JtC*B3?whoTUGt!RWx61LA4DtDHJvQ8< zkD4XN z*h4p2cqe$K`1eXpo^wUzW%V$Z^T_F=yF9N5-VM8Vo{joeB{C{}hR5QwJeg!2_2@bH ztP|(L<7I|BeQ;(i@p3!-_RYKKZ}>ZscNHExyAHu$c)a@%{AE3IVCAobrp#VX?A#TC zvB+e&QFmIhJeqNaK3WGa-*@>LpV&Hstt+?7`mx}IyD7ggCmTWO;9Jn2=Y|LK6;7cm z8H_rMd=(#&`=BET>hn1hDqEFFb4rb{+&@FSrwGnAExFv4{UiKQh zvvO5dc0s0wBtKdHmfV-^??k&*FT5?bt+hI2h#TA7MOiUTB}|>i&z{zbn`N&s47FL)(90eEa{5T(KsTS-TLs z?A=i%K4vC95xfU@^o?mb?`@zVNS{2dv)A%o5}S)bgm~Z`}qBCbWUvkP#N~QHyzAmKO$@Y5-TA$ zKlJK;8df+EmeGBPi7-{u}_=|ArERI+|Bf-h1> zJ)pE%+%2(Dwync%VI-RE>-&l}$psEybEo1O z;pLSoMv7wVqxj-cWFg9$js<30#M#vA=@#pArZKOs#rIF zI4m^bk3-9WXN6Wj(h!37XT9aeHRFyQA@|8}n00XEo_Jw>PepF-gM17BRh}z;$Ee#8 zN`>*$aTjG>j_;lb%*ea8brW$W@=Cm8hbytiXNa@jmYSP0awdpRZHjME_h9N_cd`|7 z?;n~mv3*6$N!5P-vvIGkA!KhN-~HXUL(UUtLv|AVwy3=vvk}9i_Qs!v*%wQn3F`QC z>eg3q8t$Z!@4mE=l{N`lZc``2)On>|XJ9!glZ!~b`$E>i#B{?i` zT04os2S?lY6Yn-I&*UZ4{#EWx(g(IK)qF_lBQkZ`fL^bz1D=MFg9fP$iKl8M&q};n z%Gp)}EBAx3ft_5adp*oUBRG`f(_<&ZrXJ78PjM4_@P3t%pUp1>(MUHuJZzhZu$r%9 zt!I65DuM&H)e$j{h|WVd`kTQhOHU;`HCr%>nAl!R4a>~cAJ9g>@kZsCe8c|wVwwI zBClq#U~>|mqQn3T4DQR}L2P7+o*E$+FnuJNnWqC=-Dsr5ee7mEvzBq-QLq8OX&j&6 z2{@mR?&hPr`RFdSz#Vz$ZXUXuhwkQ~yHRvEita|i{HXWQ4$Ic{0)?N%JN?JXPf!yw zgq$Kb=+K61#>tK7SNVwBoS_Nb*14bfzX9EpXUSK5VTWPdaa?yFDd4OHV)03O#C_<4 z>n_qg?C8?JPFdUI#){*_&(scTd-4=dGVKfn(<1NL)^D4)*3-fFZXV9?0vV1%GZ+Is zM->KU+IcIL1Ow3ve;YX)u45saWMvpza{k!vhM6u62nGqWMY+UMfj2igyU?X}y3BTq<$lh=QUm@$y&NvIUH5mQJT3<5moE56g$L_)- ze|n*w+PE=c7wjLfOvyn(+B z+bl6JahOjG?LW%r!}tWrg+i+_p3V#w4vl&>9l}ekeKGCI*?JH3#f5i%63kP0_(l#7 zw}XcUyduw_@X(lmhcg5Zmky%qKl^aI(fV5OjhEi@ljqX@WAODzl<^9m5(f2(=I_R* z>p%EV-aodFSPb4jAp2IzPX&Me(x{(&Cw*ZKvwc||LPEOA(B8@}CB z%*U=l_604w-KoeA`+2(QgOi+3A#u&I42G9KKz+Ct`^0u~SG$<|1zHdqF7>TAYf`7- zvGql-ZkA=#B+8VnWY4?G2~Q)xsxY^_9-AIVcd(JC%g_f)`7dU|^13r~GA09$bs|T{ zqs|;;ASX+2(N0;JP8`YlTNyG(EIGAL8Cr z&M50oIju6^B3DdGOD&BZY#Oo7vY>8r;HJD0Ro9!IRdP zOR)mf}i8BhV@B)0p|sXf6bW5dFNej zyb|N(=>9O8{Y?*s><9m4a{CCi6AwOk1-6kfGUiRUWbsYtE^kz_u5^dJ-YW*e{l|{p zpOJs&l(oN8i4$e9fK_>r>;wlRrLt;XZh)&ap0j z&(BXx79U*3=9|Z(IsM}7qF*U|hhK7!@GQp*)qkWRum9MAw{7N1^zTxhtRrVHnB8Gv zOW4O-Ob(;N%B-POUa&ROz5xa>-)auHcRG8k1~xYsw{=WsU*!zHFp+16kFy7CRe>Kt zMreLZE2@WXHPP8uut!|&=L7h zpaUE9f2kjlW%z2dA4qbADlTXyU#RSs=?%<2S2f9`qq7u1wU*#r-JhV)Oj|Ad6MVg+z#e!Qi8k zQDQ)Rs;sPlITvK*5;}JOC(yB5$;$tYepC)g_KYDb2KgxLVPIFRE-L`NfAb zc5?RdGG!-cGHzuj5BweR+wAQBo5&qic-8p3OA?d)WGQO^^g(`+x?$puW2~q4%rH8q z@*Q$D-5T}HWns5>1UaStWqqA)bV6H_n!D+&(bbEcB{ykmjH@do=fJsP##Pp-p2vSm z+l}mZ!mh|Qn|RgHPH=x|ru{P3YS2U9s(>D9Nt%b0?)lBMb7&hn&scF$-Lm0O%37Xq ze?CM%8g*~=n)_xi{5_H0S-+mho!NgMmb#AqlK2fy#dBfV^CvN0f5kFsi}71pEWA7s zYixvXNm*yloL&68o>|<4UE+*mThE+bEI5;D+{Rwf@UmPCB4<{oI7Ls(2SsD_}9W0-z?FBy+iN8@6(>F1dRtr^(-j_{v+*DrH( zyK^&f74yq8zch${+H1hr}{=7$^89y5Qb9FjxBJoXE+()i7E3 zE_g>9FABcpu;#;j66$^Fqc7^%?72>={$y{PhCiEDHXYwao!8|(Sg2xv$^^BypEbls zlCx#R=KIuM`UN}4o16~W`Rut48_1LRA=rK9OZiNkFM|Guq46@lQ5!X-#4WcSkHm(k zw_uNAEJ7TyZ2PB>tvvaitn4_#m)h6bw7Yx{@doSe;Hvv(C-P{f{S}M(OwN2Nb#=*i z86$W?OpsYu>XYB8h^pV;+Pau^knT8srxt7e7llpU3e!npdz_NtT&$XK_e5BK!Uv%p z!R?&CsdD+H-JxLXIr7!W=7)?g)hM|d;*jLOOtwGI8CNA|-t+2Ku`YZ(YB$p6+y=?x zX|=-lP4LXB876O|zLU9uclgrq+iWPjln0&oyvzrEiyj(vxv?_N!gjR6B!v zluxPY_MJ0W|NDn)ly8YYXg6_QcULQGS;SECnX~iU_&{}k*UEnjZr*-g%_sIgcMbdf z+67-x{9>Lzc60;vqwF!G9tD3elb>5uFKZR;mDC|u?70?ue=oB6yj^(TS8_z;cnvASw-judG=w}9s8gg%;rbS*{)J@FNW+*=rrfijE@VwjCwj?Z=Dk#Y1WNT`lUd*gw!wlxlh=F2Dcce(?p< zt4`ooG?bA0ne5#rcvJ)SRUv!x_}vBMdB}Z*b!W!G_>N#7GG|3Y5Akq`8b@72 ziJRX$U*_I60RiG(<96ncHSCzxsq#7Y3X9wbp!@+~Y^@?r+HS*VJ&~-unD(f6d529{eOWSjzqgi4*WGx>kOlb0!Y{W@$>p;e#r8`y(;UT75i554s&=E=q}?YAK3U9C?je3Y0lkNxub zvnKhQ-c6I2De{Ncv0unSpCw+nd`!gr7Kk6nUcWQ)e}C8J-+)&cOl2+cck{RFJ^)_| zjJk#bKUu3eSDnshy+4#~Pp~I@ZK}E!lw$YmItr;(VQpbmfqUva@-MbNQE;i;)}+bZ z6v0>WiOW6+ww(F3uY5Jhk!=1)u?cGimTpGwMSqGt_6Us6@wtz^0!FVYk@_#AuA>OP zl;9hfmzSrb&$#!LO`;to_sCtBl6T{Hk)hhn$h*jO%ry0w)l2RmEA#7QJ>tvAy4dHH z2kj*K^@wSDQ;(OpKeNKD4@q1b%G7Ey=Tj}#UgYdubcH--461q4GXz6iP8^@kwd@h5w!fG8M z5-HBCCp1i+@UH7;oyrDNa*E!gtonRgLeFO`K+BDk8)a02RV%sym?ZC=^_o^E3t%xo0Py4laL1qtMBwV{h1Bcjq z_52C)d(>nRH?RjTs`ji^?4l--*W44V7n|W!UyZ84xp;3}u1|Z|svxJwe%Q{m-v`~+ zb?k@D)^1dspe|e)UF)QF&BPE@d05I#^FQ{Biscc!H_M)ui`?Il=^ZWDJoPxZme`oFLvjS@Ru z>7U{GJ=Qe$y}vE%*Y^Aw@kqHlvTdQ?vqkphlRF}IyleRPe5s3T-o`o?>n>&2BdgG0 z{sI~n;}bL!BQ;~22WS(TKWBa1sN749P&2x=P|*{kMlv`=Ewt>%tE66$vwhuY=~SbN z>(pG@-`oeykH-1UowzgiO5(;Vb@xr{_wWJA-D7R@{dG;mcf>YTHxY+WFTWcdyzMsl z#r}k;ZPdxNz(JAC* z_EQsf61iI}HVI#M3iU*p&+LyLrS?p-?d!Fe@l|x*P}pG?p{LQod1@asIT$(9Q|3Qq za>nhAN9F}TF-K$83Fc#onh)W-s!5Z*HPu7ppgYwb(##xasi`Ydam;S=;LqQ z8_fEp=w7zQU#kG$@nHt2QEBZpXkV~0*qVGR$LnB5>D#g|cswtHKW~3e_ON+#7i#vo zSJUoSz6)=^8@Gug+*^hxd(S1<6UBZd_Tt9!?`C~&IknHj*8w~DSHoIr;|SOU9*vB^ zd;E3ANsPKq?)M9jVRLYf`=v$K*qa(=dR2w|e(m3bLqFzOt`$A^N!bfUU&v1Hr(zpF zlSo-3TtBb*=l@J|?=M^+{iGiFZi0DbKg9z)|7aq$@eAk)b$m^?C1N9BN+j3s9?sXH zt^C1H#UkHKr1BTBznJ=k%0JcpUvVa6B-6L^)E9I&RQU;!IrrE1e8Ldq4bS$QJ0pHm zd&J**y(W6*wUKiZJzGy52YM~?=B_=-_eo+K)(UrbvQ~H#@`dbILies_YQmD>(@J~= z+FUz~56&K;uMN-id%&yaVbgBvGewuV=VkfrLVw+VLk64V4A&B|kzn3Ig(oLvOn*Bj zyJ~E69|s#{eAw3ArMk0=*HdTU8N5RdBv7b-G-QFkQ`G6MOIDA6Mtrn-|NPML7S8RB13-1+gT3_^PJmg_0?Y69*;jaVx z3@JAzZ~uLjMLS7XCq4Ss@rC;!_;w@RJ^{NwEKf$|^u8|8O6 zj=pAqXa3)ac9o?yPvj*KvW^@ml_S;i$ z)`Q8`e&JavAALiA*lP^EtzFJD_gxMB`$Z4iONkU17tb_N-fLO?twB+Q^Ccbyo2o>P&Z@Q9 zwnZ6wr`A9ZJ}o&$XFGoJ_I5QE#xW{BCFdvTwT8~xZUZ#V!k@yY5`U9@f>MVl{*vTy z?e*vgzd33fzaf_<`#o>u+)(yf4z1Qw&HLHkWa+77XDHR&tl4jw)a%{D_np)d^FRGN z^G}W1hfF>A$z$i;5c~6L@KL(Xg#9EoVjDE#cQ{XBi-^$$`^NjRa_$59E5@5ySAllr z(~7^MaIR?z>y0aWrM8xO#jsJ04;1++_wQ4-T7Ij(e_6|Yf+=3xPs8?jo>Yi0Q-j@G zh(Anz?J~5W7sKr<^!i$QL%DlSpjnLZ2vNCf#PLu-%gH)!oT(SM{;J1@RL24 zu^ZnHNlmBnyO9?;7g3%6f24~Z0zJRdyLNX~pCzmK|+_1sSxsSP(J zQhC?u-r|d&X?;ufY-FC;Waq*T?_xYHf*~U^4&KjP%ClpI z8J_K#QER2sv2`aI2W@b_)U?T-N&eSs+4~a%_vJgWnX*TN?*=Y>w}|hS$ai}#d{@PH zHR=pT;z*vG!unlik3DT>CYb`N7879{2{rIzQ4zB;|%d^y$OzE3oC~CKC_?D z-)gCIRMdT2nJ?sAX!QBrG?&kcz8>Uuh&YY7n7iu5brF_>)GklLn zl&ofDRL)TGt|2!mu_f}VQe!yt8qI%*T=k>Z zXtAPK^ij5EN&78>^rY{4m7N71HVLi64lbC~7a@g>8-5|eD&V7ru*x9{&R|{sX z(|nRYvA%%c`}rmNn8?pC$JDDCF{?)2HUEE*t2y=3NXp_jn8ETKJ;B#r8Fp{1$2MWx zSQl}lVfuX~?XQ0&%^oPFJI)+6N0;bNPH%hzy6FwIU?@};N>sV8j?^>{r%S+>KemHDA9p)Z>zej8**MmmGq$T(e1JtE!N!0 znyr!VgNv!AFUS2T&oMUf5&ZSob=rtcU5&pivc&IUwGn@>z&|?H$r=>(;}$ylHDu7* z8uyd!qbk26&qUv6{6_>^)%lG^+d}l=)v(OXgt?ouNZAx;JNcjO+f=QD2d{GTrff^r zt|*#lTXar*h+wF5pYLWIeV!bw_Kf(<1S)RnTH5ig6|g@j`Q1`VVPUn)%~VL z==uBTk@%VQn!EN?h%+P7#%_E;i`*4HVbHvPH1*Pcp6!a;-=sbr`y!YvFKO4PF?Htr zf^UdlD0nT{DRUaz&=+^N^iB8Szw~EYi_zJ`%p2!NNT25ir%8X(uku0ZSN0OScXgKu z)>312gj%xc#`9s_o6US~20v%chko84nGNPG(%Yjta#p3M9y+S0Lbq%7W<#^RVr(q7 zW&QiqF_2@a!goXOQ){Q{DSl@(H9SS$<5DjxSd&V&$HA4v7@iE3DNM=m|LCaTu;k!^ zuiZY9YPvt}H~j#=0+~2mrP=i3p12)VhqO zQ^msxd-y=PUoc^k8ps@@mh+7E*ZkF%_#GUX-BDL3dw~`>=zD+vDICJYavfc?_SIdBzvu_wCNNTUvdp z2b++6Uf~g#<5fl!{@7vc^p;9ZJ38&1qc&w*~IOSO__SLtEha1zi*1)G@tKX(Zw2d6h z-jK0-Az0GQelC&wI9P(rlt#?leen3Q{-%sW)oqOBvtPgl?vBH^Psi$hp>j*At+n{U z(364==+k3Up5-@l&}+`#lm9dQoK(CO%z!WIj6&t}h>sY*Yr{Sq;@RU`>bhfK2rL`&2xkC(PK%#Y0ypXvrV3w^gcq zlTl^qezNb!GWXEF*Wa?7`juVu_qf=(vz(c%XobInm&*5ca!15S@jd@j@fp6Vm{NE# zK5q}N#fQMRJuEg<{9Pr3iq6(UUQHf0ERXuV4rm&VOO1>@wN3*U;wkaZ)SCK0h?qc+ zH71ce>`xQEL^HM>e)Qj4@=4(haZCt(9n1ZW@q_15O()SM_R9)}XR+HMPDdW#$-Qb1 zj@#W9_Szbzdrx;vH+Jb)dAkx_mQ`{lDD3 zdwf*oweY|9?3vlQkqK-}yigJu2#82&x0<%6ml=!1s;5$q0(yLnb}Oj8h^#)9ja;QfM;rz(li${6^hgO?_+tzYalXe)JNszLaP1 zQGfR(Wm6GeX*JSE7#ZX0hJafz7FZK6^KnN|WC6tw)j7J9j#N;r?kA_Vbd#f6Pc8~| ziM%8_ls$ce=AD7;5ZIt{*;vphp=S!tz_vE1l`A+4uiQj_74})QD2!d zmiah)>Vw|Fb>uqE2-+Q+;T^0k_6R3097wFIJI7d8aSre|1$#u z{$39^Q3Q~E7HH5 z%~t1XN1B2D?f3dH;XiIQItcM)N*+B==j;=D9r7t-3)~qa-XmPZedL1Jwy%nxQhb;2 zl9Sx;)z|yYRs3w6RX$4G19QUfqUPM%%AD6Kf9U`1yru0sW7^r?te5crVP|;I%nQG8}zLOS^rWcYgyqb+TiDt?u-NZg9hCKYRVrm5(O^b4<`_TokU zUVh9BrpGGhZ;WDS1$4@rgIu7--Jr&utgP)$OhFEx($teEZ6#i2eN6H}J6Yj?ebHfL zan_T#48d=ev$s^*q5peI@Y|T(^1K!5^m7r1no{2l>f395O2JOimX#*{VC>HA4dD_4 zd-1&Ta_pRK)Pl^gawp*rg`U*R)T}L>6YDf}uai7QkDJH|0^54z%u)r*UxS!?t|X= zXLsbR4(D_%a_0Iu2DT$paK=Z@_Q<&&IqNh2Tc3dzaOUSO|6C7edaNPv)@_9!CC2g| zw2k;pIm6p(kdr(*PN!ZXp9y>>>bce&eEr2w1jy%$ojiv;zR1LR8>hL%+K5g}Y$-Le zppj-xo%&Dya4Q>m(JXyS#jlo9-=LVbM)N7s$+O940v~Gea4pC^`H25}l+@C5!&3v~ z2$_&5#YTYLG5M(3?FDa%JHcDdO%xNmL#-~Uhl(vk!N;##Pi@E1j3Yc7-GTbLuXT(w zkYN&~LF9uKN^YY45t4&l)l(OHCOO$%WP0&|SedIsF1AWHdv(BFggzwqYl7X*Eysff zF~3UZuW3cE6WN=&@*Z)?r=*UhyW%`>p+xEB-h6bFes#~KjpXd(T~ce&sSFN}h94L% z{i{6Is-}0{aNx~YI4dw3c#KkTp?2eVo(C2S#%tD(FPAz=xlVp2xfQRAC$H3;#FV>o zsNaoFO1xK?dLMsJ?Y=7;uXGkAm8O|h+ zFeX%tNKA;2;7sxe&Lp1$oi0>+OZwcliaI)%`un2zNDvwHC81?99+!T>vmh~izb0oZ zyzmIP8e}Z7e8C0e#dqXe>Sdyby)Af~%)e!);34#G7iVYtY5`B(7)a)Y+GT$5ikmmWiOj8>SabMGNT5-_^_t;jrK3e<)zJ($!LH$B!IC@ZC`K_WO`oE`|{0=+haJaK* z<5~O;s^59ru9iAt!ndO1?+Q5&of7z;iyX{-{EHA2-pgBdzH3gU_Vdt?Q%O5{+iYw8XRvkY*((0GUkx6GrxN$TIz<0g zEs>3pHpVlk{zF{lk+(C)a1KZBt0gvZDDf}AY5aCzwhwsSOkBA>ur}B1sb5Qss{_w7 zMMonJs&0rgcdVQXG+g)#FwTi;O@h-ylDCZ|wq{mbV$=B#tY-(K)KW00^@5E_;5Jl# zy8;9Cf7^wu6ZRsmh4S!!aTbKy6mCa*L}Uu*%v$y*bfu$%|L9~{Qa|H`fU{fZF|rA3 z=W^}>`%G+&y0?tnXdS>j7O^ru$J#^B!mII#PYTtON=waX=^Vfym03+goa+(-dE926r9acw8kuLPiia@%ChIOLzckG)ysX^7B7V-@E zCVL_em~9Ji?jT3#rMuv4*>BXB?YF05%l?@?MLb1asxEBdi$Wu%UI+UGzccXbSBE`0 zqvK*3+u%Cv$&D*6moYN_zgdSp`Pz!hX!{?l!#;cJSw?XkI-fqE4tp|(oTh)R4*QM< z;8G3_;NPqsO`I4q1N74X=GI@S#h#qjv~D2W^1g)4<=#HHHLnG?!O4nTXVY?l{V>tx z-6d0YVF%;P?`-l8`E}V{spC8C5%AVzf3O#TZ}iD@ouz%MerEE<_XpNlisjklBfeYS zl|H2(p@Ye9K61j%&4!<@P;wjfTWKp@AC$J>@5yZ~j}09A)QQ9g&X#tdqmL$_n~KLm zOO2m{=kxJ11+u@XWNt;bHWtd6JF$(aTC3w9fG##4jgET)niz*BBAe!I{5RGDKiIj@ z2Ax_jv&buWS56vN`{SponFc;4tIbLuC(A0?%ev5V8At!x)Y0&f;r)3(<1P33#pU4g z)?*33=668eHN;<4Jq0?yUHINOYOd-&Z`e4D-{etKeBvwCPpI=coby$Av7x{K*(->A z4xKq%xLC<2=0>US_yzVBu>evxHLm;l$;^tL;<&Y7A<))IIM0ctFsK}=7v~}WSr!&$VNqwkdd^scBu5;*LKPR~?XM~*9aduE6 zhuUMRCK+(-4>#nx4;jx9=}X4OoC3*3W?0tG`b#yhRZVMUhwb#|Rvu2yYoEaTLEyJv z+H$``TbKKvI5{P)W9@;@Q9J8OKnIJ-0Vc$t&p z)*Z4&OZWKC3+>tZXPKA$cUGG3U~5#gi8%DM43NR|Z>#4`&P_dZH+AEumih7_?SnJU zq20UWzPsWAaK6@;As08X?!i+^_WgJ_@%~GjT=Rv1JSVh|_8a@d%qcg2(tnAW@=8o`4ho^$?M@%o(f3KaO#71qO+hY4hdKbkTr#-vfi?O;N|}0@|>8& zDkR?>8z%>+vBo_29QB-%TcwtJ;k(kF1sszLINt$|IEz}t^1ij=9oF;5nswb5fum{I zx5F#n`Lebqa#yVpiAO!hnG6lP3WX8@D%-=HIcWwy+sW%>C?)V znkj{EOJB}I@Q}Q`0_jisPp)j0eM9|i{Tz4Bap2hDpEJDf8^HB7-F{E{zLLH_=$3h_ zejlS>`sk~Ljrp9k5C{IBS%5j{cv*CF>H zFBJ2cxIL?FcKMf~9YJVG5E}Odp>3*uUGkRGO<+GEZYK16%9|m zvHTLD+iuQmZ0sA6V}m`(8IQ!6i>yzIZZnp_bo(80zYXB8<Ov-X7fFRB{>( zQ|AF`L;98W6|cB_;z?=Gt6_abe^KqF`A(k>L#^gt4V**l3hD*th(06jNn6ge7rfrF zU%kO3?-usm6s#5x>2Y@b=2OQ0qk&_;;jKYq-#D3bwqcj|2aNl*-=xOPe(xRk%e`$` zX&v^4M&gR%efmOdf} zwLWfc=`QDnFgc|vki8!WT0!_>5IQVt7J#?sATuuZ`Mat?ef^S<;E6xpr{T$i)@{!l z{@k&PsTj1Fb`j^}^3}QxszEL7Cof-e(#n8V=W<4S5$_Cv=8leI@6&@`Z$HBOGfs!g z)s4#r&O0_SnIAgb2bZgs47xvo`-l4ON8H4ix*X?*7v>ts&$7={%+>E(zU)j}ru1Wx zKa`xP^y0Mq(T7vXD;r~Oy_^F_{%-7ud+j^$o2`gB-&}T?x^_=i%p3B7_#VHRNY%h} z>i1)Z;vDAvVfLMF@Er2!Z2H+n?7HaEt?Y+`(0*{a|DKrKc!!M9Hs3~vULLc}+PF0z zo^uT{60tYVv?AJ)_s61(zwGbj{`)wEPsvwX?yyS9X*|6%ZqLF0R=GdsOkM_EjZ~-U zgtKS)+`)9hx#p3%jPL)FPH1OvCbBUBuFBX_IxhCUvxQe~@ofzcKsO&Cr`T-H;jFku z&M;zMLq@r3hcoqsotB)(m|P3bEdP!L9T9(<#Qw;BO5Rw@nZDu3^o)x-;S$3u{;p`T z-zIsSwd4)|K6pQ7gOjf(CO|_*V>ijfu0S8~m6OnTkw0{+W(xepJX7Q=&2AjEP9V#`?~j~qWecBQnuPzrgOO93I?!w3J)@8>_ZGGq zA}@_a##S=qKH^k(5&J}9T@ALf2K4k7u_e}FOT<2h9pcWtt2&Km@aF)}^qd)Mhg?g; z*@xTGxB6dW49I46hLW{J7u;J|pJ)L0)$g*+$bT{Bu*t0qYsuKMP4J|8B?}@S(0=o2 zaxJnZa?^zVa+AnRzFg?bi3(SjnD~kx(Z$v+Fo{xUeNFIz9BQXyqdW0C7a0V2y*_9? zZcR!(`d&I+SmXC!bE}L=ioSMSrof8)gCgF^Ni(p0BhYH z(kBZzdmg)ueL9FYuk5A0N-lZl&ja_Vm!sT`PsI z%}CL;%Ti<7r{o24zz>&rRlE8(acfZ+n%D^M;(J;-GLyVpqiCX{sZY-9osY9|$A$8q zvQJLw>anJEKoein>_sgx=gM+j>QE;i%js|yW&S{N>#{dGr9J1e`8sxxkm89m=6{Mz zFs`=`A7(pJFhhnF+v22UUq>dqUf%Byx8#-cQ!+tKKbfH4csK31Cp})jMs``p0J>6X z77g4lWjhAqWZsv-8S-pY6PFPk zSAnhT0qo=0^hbRTnHwG+l-LkthZET`+BV#*!x3u{^22=gsTPCBt;RluULpQ>iL;xk zec36`yi)vc5>s;e{TS!vLdKfNT4HX_qA&9GMO7Z{XG(aZ63A&+kX=L;AEHZ;ZS zPq%?PS2l*7m7yXfSHr)(mKNv^ylC&L2mf9^?tHWHa={1HhV+AtB+c*ByxtN%IGGrj zz0HeQla@j2hmIe5H-X&Jw=T^cBI}9lBr=rfufkiX`8jGZFJfOJ-^v=ZP6`k6&H)eK z>1!+UYv6(`joc&l7G#psbc;@mF8>npK-a-!4C zngsvgBRaL%MfR?0y>su@4`|cJi6Y@w5zZciw?z+xI5YmTvgce*?8NR9aiNog+hq(v zHwQo8Pp*YlrrRxi_s+dnwfw#7E^F?@8Yd)og!V#zRju;mj?Kk`Wvt}&kBmN@{tl)k zgKCve+Blbex=~;*INd8_Rl`qA&KZhsM2+I;s9&=O(y|eH7I>EYebXy~?`}qok|9~w z--0_uoL3n!0C)ZySRP)rRNdozs@zjD3wyhlfA1x}zcafCzfJz&cNH(+OFd`5ZOQA? zCr?S+dkd4Y?#>qT%-*%WVZ{`Gt<77&+kFBT!ArG2m5z71Jnl?u+%gcqweNx7&kJmP zxNNNl9>K(zx*)LUY(#s^QZ{g6boMS?o!C60mk!xqc%he8dB~P+vAnw&$4hFjL1U07 zo>g{{y(@mj^_TszmO8+xao`v>-opCylLI2Zb#rdw{j%x-@_a^0p1*o!y_G33*;_aF z;%%Pb?b2-n;P#Bd@2!Kc`LISVO0N;m^{r9i-oei!%YHm)yw{08jeYXRw4EI}rFGyl zO8HS8B5FUD_;kPUx|5K0JS7MZg$er+Z=H`+5+%4Bw9joc0aB zbQeQM4@aIilpkYTk2ASbb9R4(eS&&Mo$aTPm4fUybT>U9&v3t(b;K^o+&b~8vxdMb zAhpKT^-eREx~3;DKRhgLuT?f$YNV&>2DIUX+W)=#devI4rS$DIAKZ8ua`oQ+bj|0z zOS{ECW%ct-xsq!v4E;&_r~aOL_p6&X8PU2=^-+m$$jhsj}TK3&n8D#hMccp z4f$U|zJGLvqPifyJO0;YM~if76!4jNB%{{s`WmuI274%@?WXdmF;34iCdRW86DzWe z&(`(%Q^)Dqyc3F!=lxN2Q%@~uu?c02Fu3F1L$FJNFdh4+RW zay~F`v4!N+D!)X{t;CuH-0D;03yY&uJP?i+zi39sKVe2ERA93c-d@2T|C|YIOwk9T z6K^KZVg`6c>{=yfYO*58q~$@CcSvAZR0n-JLd@I|##WaV9d|Q+;Lpjt2l{`fPAy9? zMgu#HmDAOO4>-5hG-47H*4q{^iWWV=oL|hwu7N!`j{O+ejps8#U=}0a66ZC_wQq|L z){h;`BBl)g{pff$v@+WOW^Ls$&L!&E^c7TVQ?xI`khPMv8TUEz+{*t7#`ys4-b~D& z{I6sDaq`9Q%V?XeK_h_U`Ou2<;#oGa0+LfG@!5(jqqvSaf^X#?Air0HluuwGKAR!X zn8nPcGNR6OCG)xtu?D7VaQ*&Ftt7MiYrXR<8p>K$XpA+)xXEpzgV%Qfue*px{1(3R zZ-sq$9tkO!3O)^h=egN!Q}d=LE~pC|7sO42wKLAIGtm#j^ciqstFsc(csMai4+Ha% zf&+6G*r|S`kMw$-*6y~+s*N*=?GrlCCA2C>{6InEFT<^dE>yp*&IG#5d(F~65?h6z zq2@br@z=xqs-mOgMpX7GH60{o-u}ZZ@NgS3OYow@g$?YZkXsF|;qzB;u0Pq6*bLvw z+aP&s@Tads&#D{Z)^tc-yBwpwgR>&|(?jT6p=Ac~un{M`j=neG+gWu?+DL3(pZ?$J zR((dv=-{y4_CoW2FduZ|kerSBY7`$O@BCLk8YAbfe=W3F_=V77+2eO^E5pzC*X1T> zM&pJ7^tEW)bt(G#7_jRnQ*Qm0*q)%r&G`A)OL-VV>LLT^)$POtO*TIKbiUY+2mM`q z@v1jzpBR9+VSH8(B!nhR)RjL);2`TF>l1}$vK}i0hoKP~bKd9@r{J84Es3>`W=ovJ zUGPHuLgIs}Ziz+9iK8$Zhp?6bp6~eUv{d_^PfM3>7)VQFSN=bxrBkBro%@;ij zI(i0j4{;z5kq305(9(U-l^3z|JOLlBfIr7oK0$tC*KZ}}V}(9|cWx_tSNf5&Q{eGQ z@D84PQ&{9V(Op>Is)WdUBI}8*Z(HG?S+{ov#P9qaI5m*|koS4&)PUgFVElrvzb`xo zUu<0Xj@0bCbK9!ls$4Z$*a#S#i~kdQ{fxL=8 z+1VlchdR30{Mf7T0GZQO$5l?6qEp~z8kbkOB8$7T%%D|cQe&x0#_>QjB{Q*BjI)S6 zAb8yT---2?N^P7|(Q!9pe;SnkNY1UK>*rEqBFfoqYsFY-3hO&V?9k*5I-fPb79(da zu-}mPg!tj-@FTB97QTLh#P4w~6TdsYLvl)Trv6cMDeMlCb5m?OdE0_wk53M}mUD2- z558(}wjw$jIynlv>S*?`)Ok7&n2)c}6a8xF8WSocep{VGNsL{nS@*t1&XAgTbo5D; zhlbcda^chW;pP)9JyfTbmEJ|#%+hL`1UAQ;6i+|s>!~@ywOj%=Wr(guPjv_?S&y@`TCxGs`50UuTOJUCy~Eu1BAIj~pEMS37Goa^c0~ z%!-p^e%0+I(&zZ z#ED8QEpfBNBcj7+e(Wy9{!%J7RI}I;U!FNN;4EpqWI!BkPG#K5s2n5v5xgW<*h1aU zRa$`E=ikANee?ql5uXt`fShd|0rIGj6BSv6byj(C50|}#URxyn8Q51+F}C2{K8Xn* zX-M2|4so_a^nlG)cChx?}z zW8%mz%tfAGJ_g>sf$x0vEaOzqB6(qmD)Udwq zd}tPD3l~jb+{gp>T_G|rbH)D0`5@=a2yv%z&0G9%JbB3rnTbnZL|y;}i$a{A;Wu`| z=;{xED>CVm$WO$9Mn}~JEwS6h8s=Iid}krJ2t6cs5V=1h+=G_Zj7`1AejW5)a%zK| za~|IxzN`WD5eol+-#bgjDPuG~3k{_1ip5w3?$F{4C;YqXy#>$4olB zf6f~A8y9DFl1t32{_VFNF8eL(r`jdXM6-T)1+s-9Fwwm174hWc+1UnXQk-iZ(p8>V z*4Q>{ki%KumF9N^#{?H;9PG_qGCpE?Zb>w;Pdd#YIaY6D{|{sT4>QJqAEV>L*!#oS z`$O6LL)rU7jq~-PiSz67*!y{j3GqDR0zEHrL0tqoVEJ*l$jL8661{P_$YyOD%ZNXP z|A&Y2tL=rYmi3)%{CnBN>WYm@*VFN| zlH18pw3+#l!&vMJ&gCX`B#4zkCU)k%&pE=M>F!TYc9M^i`gu9rTc#zyy}p2YJK`hD zwHBiLD?B6qoIJYDj%TrPNzBuWhRQ2XoFik{)w_RYFWp9r;xvJm&;X$Y$;Vq?NvwEJ zOTKEpk|+iU$d|p(Ys+Rti$(5he!q7fv?@i5jqTty9RFK|PWT4pDlmnokfv-QiWAc+iUEh|1skvCFG| zFXIA+%J0d#=kq(5oM(PPjYE8-77dw42x2eS?W}O`4&^bNg z5^H45`Kf08udnbNa^6SlQ?W+-iT7d7VQ^f02om$t`5AJZnZ+*l6eqWHw8R>%iL`g) zKZw|i8)9lL#m`JWsJ7Y7)HEwwEn^lq8fzKz;!-WC1xE>=PR=_q%&I0o47^9hJiM^< z2(_#(wue;3qT^m9AFc4LLa~LZ_eE~#^_2oEVgWPK^oi#-NIxYtocAg<6FyFsDxCCn zU*xrsz(nHlzIVRxedbyu?Y}E{j{Fc#hCA~GKN$0~{}t;P#~d z-Z}hkenR$u{7bAbPyOGI`sn z$JD*$ukXR|Mky)Y?;C}i7iXvS{lz3 zKGi6EVoa|j(tKsc3r~SBdb07Q0+(1DDF{M&x@;oEw;$R&5Om)XF(SQF8uEp)ZZVIfzX5CusiU%!d850llngkFEoG~e0u2? z;wqNO7-ekeUV+3QUX89mJY$`KJ`q$h`?w=PXYeZkr ztagzzlzb8}av6`3Pn;WO4^eUpu{%a_Jm_3K=Voh2KfDVSEBQ!aFs8bluB;%09x-qvke;x!uFukoo)X zqp7tYB8TJq9)QnJ5qJ2Zw8dQCSM$U#E^}eMj~e}Ct^_m*9SE6A>MBIuV{cqIu&u}w zjPIa~PmN853g?*z9uvjI4OP)P99ZsvU7;y=g4)$F%1bqSH2{? zLB-;v__^>2o^}R@0_-8QhOU) z{uag)i(&(c787ScEQ_0ooP{1?Tu|rJW8#_z#utElg1?iri=Eu8NutY24u`(@g7p2^ zoZk3?Y;2!GvzO$YNK6^RS;Ltp;q8%R_~Z#<>Y3Xz&DmSRIVc_9^b?}HiVhpF7pG}^ zWS`)#8?I6~EO}V*-_j#`5H1XGNs5ITX*-$B&oKO51A*7l+5Rbr-&YyWn7RR>vrBL zBX1$T){T)mIeXeCA4UFTAR~~w^UUPqk>}L@PtnHIe8>kH4({q{LN@SkIVOfjO13sLdUGh!zcys}o zuN8cKCTqTeeu`Lc;sw+DjDG$ka&zH9b#IA@T{$HuFYc3*d3OVQqKGkKvq`_ZPrd8o z*j06@w)@S&zYjkB&aD!;wrsKTUB6qhRrcrUdph#(miTZFuRNv2M+L5ZxO3Gr z{+ybTKk@~B>wVl`x=!SCpLQ-?VKHx!dsySc(of=9`c}3$;hUT_XKh9I6FHN(kq&ik zU2-CX(dDb*ZB@)^@2cGi@~=}{F>JhfK%?HGZajUd)Qx=E?QH4+Z#nN)F(rC7?MQ9` z6W>Xw0vq20Q=;R}EsahbI>mw>MT_gq=s7ndJL5ws)9p-_ep6zj zoEt*q2Z$rHn?dkJ<&`Jzy2=lbD5@((zb-|uE=}bkC}k{{iS8`@O0JZ)n+ogDN4ne~ zxmKk{0J#W+&qm3qfbC1cMERhweOMuru?_WeSWMbV`a5*S|6vXbV}0ES>B~h1u`-}} zw3`^$06l>g)4#-BI9f))w|kkN`1LbF?N(I=IgB@sYb)9|rep^D#@zCWm9ga$*0o!; zC+h*fl=j*VHy`(^HVgdy{91Btb!4^72R})`DBjGP5PL?xaXF9g+|&?u!;?5C$NhKf z1CoC*`XnE8I$+8CRgRqAJQ9+#Ejmip@de#E+<^T})=vJ1$kp4@CAnK&vkHHU(EBpv z0dhY~Dy;srws=l(Q}PP=V;%JXJO?;bNt-E{7ZvXQM_{hnmbOxB8!b9lmz=NZJQuz8 z%tg=+@>7r>LgkADhp-)D%S84NoB^MDVc?29SOv|#X)AvJO##7QiGxjy*`)Gcw3f4u zSB9t`yGiUm8Jr~wV-q&8mjRn#(5XI}dcW+w=s5Dbj+qP|%e1>tF}k; zu z9XF(Mu>6I#R;?J&R=UQm*y7VR`gC5Ylx<#oTPhwB`$L;n{v#K;!O1&HO$TE3&AkzF ziECEp@d)-!=8jCJZDc;1LKeA6sD;A%QIEN({6@hewN}BSS)2#SFiMdlu-#kGIyY;z z=$rUu&?TzTEy;BgEpNr|OTGiM4w-WWIWI<=QYS1iwh}tYS!D8?Q^T|?QCvwrF_S$g zdH}YuO-;AN~sQIyf zWM7MKhM2t13-6)7Q&I7$^!jp!5(D`_Uv4smS9hV;RH*tE(V{1m-$CUR#k#Yn8xn6*+;0z96qp zUDZRZ-j@UqWIQr1sS#p{&u_RnIx(SAGsXlpHMT20=&?kmR^vNKF7eD3pnzg#NYDnzZ&c*cJ7lkbRillOR*xf#U^G&|?Zx|exz zJUaSI(DKZjG#sSgWPavhKUD(+1XWb zd`svH%)7lrcNby|1-^`jvrvLwDdp7citiVAx%fb2dQ{&+AoOiAY%~R5&Q-|A96ZbK&u80!7Gz9R(IH$ zUyLIkBqcuHxtlY$k~3MYi{L@*pM=I5&|>n(#BIri0g9n`f=`U^?Y?KOdJ@~!LFiJOvKJ{li;;he_KVqP^Rww=PTUS-t5AJxl0CRz?LRGc zh2S@H-;q#!6r8^)a!BB5sah%TvnM(`naBI`U#>@2zt8(+@A+_E^{&uD=#G*rPnT_$ z{^=i~fvW9(vW=62|5fs?S6$j^A=g`qUrx=hy+$u54n2T;(Fv|;Mp~B8bw39-_Zf4y z%YC8sZCaj{%nEh+ZEgO1ut{W^* z7e!wAN9J#=sT(>=BX2hHoD(3|)x2;}VkV@{lW{3IC8?dR?V@(x$+h+J9zUFlyc;|HJb0@y*R^+lKLp6GM(-Ov+#zNtGo;#ik8ryf}I?vKdVmTLx88|adf!_0_EJEfWzJ{aRX z3ggtj~)Ia zv~`NAHSP=#pY3+kb8R=ZJX=1WidPC46DoV`MGNqmT*;myXSU?zTsTE{c7Jf8mwiHW z-uNI#VmvK;9&O7azfwGvdKEGT%cKTZXDfS$=k9OC|L`g>j|H5ThDjDVe-qGk=erH~ zGG%`Rp$Fh}aVdH&_%*f$-L@5ZLu4$m1w`$CcdC zpGOat-|^RLDptqW6MN^~h7qE3y7^%{Cv6 z;UfmF$cZ1e5Q{@iohMHq$6S%Bb96EV*Huqh$_7~Wu{?_}^5Ecgfo8PAFGMFEkPjAn z?`g403a545xN}+L5wCTH>eH*DzR@i7sV5Kk{a00~zWsVjCy{XrkJCqI8b{zk;@hD% zC*xUW?j1ZH!4n%iNq+M;oYDHr@O2K=7yV7x?r$jiG}xwLD)6+;$26%$7G3*6Z;dFy zS-}|@qqm=Vj(Q9nZC=Jc|8ulxC%85lykq@F?bNWZ3`0NUzpP;uw4khs8bh?XoHnUx z)GDx%wE?ebQ`V!m-H8u%vnLnw4zVQcDTx82u7thtRqP|3YQ3Q|`>3_Ueqc{#o#5W( z__?v|3Lih*ybijwz4zTgcqq6jFihiPx=rU`eNb#dX}nB!)bARMmjXBP%Qr^H3BGP( zZs7NL`OW$TJK&9rz}KL}DehEzjlIEmekORD#$D#MQ`(7+KA_r&7K0D!h z_I}rIJP$FxcR#ItC!>)$rRT6}&tK{59b0mX8rT-#!%m)=S6f4F(VEUqRoinN=j49S zq1jG{=H36X=DquEWQQN*Ir)tlM)9Ta+DlKr|M(AbxKF%k75A%7zrRx54`R#X{^rx~ zKdA0w>kH}da{aXaANxU;6}puC$d~HL+{SjRAWWQDSa(KZN14uVYGp{?fA`;r$6gi+ zD4A$Fe3f{;-s=yAd|7EuX9O8IBG=_PV@ilTsL);XDUnB<;f?5I;ch$BsP5A**Du9B z(x_xf)pn?gYgNQZ_P@57Yn#z`8&zE=|G5xj#?Cmh@mN|`6FY$7dE^}*!1II$rukk! zp69ZEuo({*n-cY0kX`v+3r&It;xii}I%Kb&jLxUze$kU368U6@=I%gG&Uz{4Rm$(- zG21LfPln%g?8lab+>q9jtC3Sg1{d2?IkntGPo^%BYLA?cZHKRV`!qc{bfs=Dm>iFe ze~3LX8MzCbE?TS35ld{h#e0&wgmR&&p}t_llvhfvpYHWk;8H}S=wZ;0AFcyX_t%<-LFH9QLarbTcvnl(Me@v z7o8@pM@HA;Z+Mc}cWtBkmi6e*BmbHn%X;}St-kH%BkVSQ?5V_@4vtHem{o~Qm6+9Z zY$|c7*dincv+u`bEv+G}EB14#FG1Ykjmn0eRTo4SJXZU!db#L8;wR+{MkqR3_hUxK z>-ZS>6zRxFe2?ZMv2F|hNIm6E5%H-c8sN)|%p!>`a3%lhyr%K}<3{bA3*%1Cg@uXY zieQ3RhiK7Pbn1E`gF@FwEoM!&_r{G{Ll$e!M#;a5Ew?lXZ>iz_K574Kmt4b%DcBk_ z{rFJ))JpEKhz)gfmLabY8(PVEo%!Hm4f@4xT|q;9Ln=O0_`aWiRo>(NDWf>fK4i>1 zZ;^AH8svP#uaH+ICP|)2c6U)bJKUYTm-x^H;bGD6b`>9L5sxJ?St>qM>X_Yk9=a3f zUvegB?%Z!+6RUvs5MQt|7g`+GydgDl=kgc+w;^$%_?z4?^Q6Xs6-vd17X5;KfUj)S zFMzScV7|ybVkKfPWx0`J?%`{%W(^mkBT0>mFnc8@LX1EvMzrWp;C>PB|EceNIjeg~ zZC2lV&>Lb)!NUw^(eu}Mi&w^-$uBrwO&9CCn0J2$tW$kUJkKSy-?e`DjlTHKoSJy@ zvSpbD_I~G@N_bZebE}XwqEFU{I4Qve;2RXYKvp8(YDF;lxH&B`{Z)9j8N@bmJ$oP( z-^m*PkK#LpUPKP`#&;6C*|z$sW_Y2ZB`$tXIgis;z7@U&-2^Wr<}JB$$Vgdl_}L!$ zwrhlex=aIN`hr2u+7Z*Y z62>o1Q=BobF`CQkP61QoBr@)_B50%4WQ#emzA6;xb&b(dZ8(2jA>G4i{ z^YNf5wUvs)g}Ja&QbBFZ%F^Zix|#K zi2=NOz&IF#k&Yi_9Q5}){Qv&kBz|cBSG+D|3#y zG|?}9v@_k8^h^ED!vZV%#(qo;<%1^ngv=nmn_?M<(7427@RfJE;x0DEl0?FlDh~eV9vi^|l9jCm$$dXvS0`kvw+^b2> zIqKdS-iIr6>jud`x1-x@1)i+!fSAxF=13X8v!G+7TXmo}Ce&M08?%6~;+t~jg1NZg zV-6BWInVsIf+77|^XHJKc|Q6X=k6!qN1N~swVvQ9@jlcPujYI}eK_H-b*Z}78$&S? zzm%+vitRiP*$?+A$YA2r~XP(ud%lZ@&;2KyMy1Zxo!scQ{w! z1F^!^4>OCLurU|9gKvsFwuj4>`|WL%_I@IGMSG8@);&+wUGO3KQ2pKnX9?8$cXq)8 z{8&xN{pS|Eru#UMiq!-Mde@)W9&b^NT4&mQElul_SHJLc=&YWc_7Z10CH@|rIf!hM zI~#tSighF3s>lXbmc%zsQSprua~Li988r0IDLP&k6rPye^E$a;^q?=7i!8GBUE;yM zuiE8(p|iqogic8x$ycYGbms|gqpu;*vaFy6-DVHSIl{%vuNJvU`uS~&=F^YR{L33| z5x(H_s48RzCerOxYLcq>k(_Zd&A!t_mY)5uxP*E0@@7 zaO1&2_y2_b7JaAeVZjN$Uy*S-dp2ZS#QwMu)^3QLU)X0@$~Uv!kUDhM0^p2JYE{a* zLSvz$YF&fmw%uJV@)a?`JnuB@6xx7LDrdeGIO8@(48Tsf}!8{PwBgFtzFLOC|PS)y&uP# z;&<>Y19{AlIU?`=7xAf;=`p~^RKL={&kwKqq(A%yzPt5h;&00ZC*}SA?@HW|T4Tji zw+?KB^3T*Aq3^A~0uEh$?_*!#Ea$*?m-4QL4vw5Pb-Bs;fA&}kHy7pfIE_E^aTEJ* zbTz(Ds=r(MC5iEmq1Ic-!s%lp~^j?S(Y z_=oJxG>%7}AwH3KA&J$z>Z9bN8S{h>2;Z0ZJE1Y)*8CJrQ#=h@IeFtUsc&droPgE| zJy(3~9py_}sGcR>QurToRvtWr7zsH)G4NU8YtY$wgT}Mk$qOA!jPch(!H~U^TEo4x z6^o9JV-F=(5__4-$Aq6NF`+`yLy0%C{!+Z8mKWuG-{-|P`l<1f+SFTm<0X6ZF%d5* z`IsIpK8f5!yyWo{&WNmh6)$AkUIh|G9y(k9E&k*m$u&A@5+{hmK(?&#fa468Yoy^HQ>r z@aL-zDS1HAnMjZP=Gyzce7#?v@W*3mT(M;R7vP`n9cvx$HKXfe%UV|WOYz0^%9wx_ zSv1%-yX=i<(X;4n_}6nnqv5rj6Fk9tk<|&wgE6L#e5IN;AU<+gp?7*-8)ytTuJYPw z%MAM6>+8o?{a)d&iY-jzBQk-HyKVh(QE-WPyiWGVD}8(Ww0*rHQIAe`duEZyAdGWO z>Ob|4)&D1jpRq>&$r`h_1qZfrmis0}d!6J9N(tIZ)_p1oTFXRo|PbW3md+UO-9uXl{mA+Xyid+dBm>f z%U#%KC#~9r+?63?m2t?p(&JQPV_eB8Ve;!u4wn?Or)D*1B`uuG!sjKmb))(F(V5W= zN9_ow$DOQiYVU17w)7d=CpJLlRmu3!515ad3v=o}pX7+nkNe(>tRs%$V?SQA?31?G z);-|D2ci=X@;$cI^8}=Rd1eR^HBPXL1*G?dAMtr!m$GouXCPy5_XRhpk z$m12g@siRPG@%ZVYt70@0V|b?$Thj2+ z=x$ZY<|+7}hC%}|sQs$`c(Kmf4JdYL=tA=0Jx23SQkDDhFPi?xu;5zuE;?|<0 z*w3k$ihg4uu6*+aqU*wEgHp3q>}UoyH{z?1+w%BsB90ck%4iCz_|?^>Ch;!);lX(N zU;-Wh=MfS!D6nGez4(!Sj(Ei3a$WBCn-guaZ&EP}>HF#(vCX8er=Ry>Pfk_f>a^7Q z^H8*lc>;3@FInueetSgEvN7IvUgRc`NXDHXYRLGaEJU)=tfK z$&)`LL-(4_Fs%Fx-I^BGt?34}&G}!2P88}0xXZ)TXm8P+xwY6Y(a);Ld4HR!JNMKw zE~yP?>g39fd2qB)NJE6=n(JEq!#hrZ}Pjf z$2udV+qZ58U)jT2EVl&x*%F`mch`MvndM@G_{7O)F3@*-Mngc&dGfpaV{ye7t(nxCE9K}-|loZG;B`p>h0 zIj85@5dTN#DYUAD`LQ;7@|J_`j9=#1Zl8$_a%Zbrlk_^tnC+RYQ|B3mTaclAX4bV~ z-P_6f6ae2@%(EKWMvS{Nm$g;nKAKveCf4VGS|2am6tg??S!33hHTD|$&HLWGCTdhP za9#l3(p{hfE8QZWl5>#t?I_@P3vm&q?y^Q+O+nl{a6tCl2Tse*Gu1k@w*&?Eyo;Ks zg?f=zLXHi4=LXiQbb_pbE3GJLp92UgS8_2R3& zv>{-jUpRAHSI6jRkw19E8&`Lw#L1C)(5Ej>%UjsO3hUt&R%N=d=`A@ zZh$_|;x~AEkoP+p_$~1ww0TCZUXrV8_N_a)*4<UMQKd3MPo@mRy_wd`wrzCHFFe5kU{Km0`V zR=&)8jDvlW>^`_#>PkW5UX%a8Sk`4Xu}o?$6;I*}fb&>*R4={g+MH8jKriOb%(4u4 z`Q3kDo!C19=jxf7@jAL#?jf$Re~bqFPz}2G@U5DC<7VAEb0)BUMe_=7)vQL~JZDS5 zdYJumEpu#Sd^7kj_%xIGWP}Xo1UN>mSEq$fyZ_s(4#(}zdTIrOPfa}ASPxuw2COsq zJ?p6EoM-}e)OT#*)9(M4`d0SNS!&K|-xw*{FmqWg8cr)^ik}&i8cnf(r zWPbU&nqT8V=2w6E{LXC1BxWmaH8GFWTrB3|bsPwII}a#)keblc_6;~EHqb_TKK^g7 zY6I)cbLsi;jy!8U%zV{+!1>cTd+`HJ-6^&~?>aDyDoCemwSYU4L zgf4@dY7M^s3i}JZq*lB&4V+5htEyRDbAa*58m7nR|CT&lvRAB;xw>^D@1*xe_2z(g zpk8-QY*sOAPRkj<+yBj39~oa;^8K9$ON6&{vA=VLw}8*^mRimW*>AQ;{MrO>-fh4% zV<66qY%rYt8#Lz*+A}xDysDis`=X=NQ+z5WwABqs{MxEyE$7VD{14`z^yk0oggw1p z_m+cace3`t!I~b?y<_CE5*(bdS+{R{S#!^bC>XfYz`=Z;J>yoMWzVhzRxQxaC7k0R z?#}6M1piv74JCWF1zOg~Z}u$Lyz0id*9}iPlXZu_dW|gs_wiiaJH)4IC+9$f7J}wII@qVjh+jMwV6O+fdAs&#x=b*wa2YpVQ>ywBYcSc?q!^*+choF0@memKKCAgMl{5PzeBH}*#~2i z1IIQG0NVqyhH_5wlQu3SX6X^F zHnUvw-n&q@hF>K0;x*(4{*XHaThI{-gQU!x!@0 z+q!*Vy;d8fjawep+`@l?Z=JDG=}s%o=S)h2qJ^Bz(Yz@Ofd8{G=cdkl;Wgr~woLO} zk)P8(Ywx|a=&6}ur#u)GeWz{GwtsR>@@1JDb?3^?fSb7u8%I@-H~)Cdd#FGjayp%@+G2apLN~+*dN`A+PNgo%7ro&i%dP`<%#^ZcS>8-(-y8ZMwUZ zF;;iP?1$TRZyGg8_OH{t!jtTqZ5!>7q9cct9emQZ3wWP#7Is-xjC1(Uv41Oma7y)c zerEwtyFC zG3@XP&1&MF*}%Dh6~t5pps(~1o~G3X;KBEQ3{Rh~39axx`4@QGk2L4DP1s~2#3F49 zIv<-Mkpt{nJ~D^ke(v@3I4$##)#nAAcV`8hnVU3cWHq#u{on6dx3aBAp10?jaXYvv z=0Om|uINV48!G1w^UBsDGeGC==DRuqFX=V}Hufzwz@Z%*)JT)zaQ@ z)rLKtcNnwKH+kPRO`&HBw)QQIZSD@98%`{n$nx_34t^u!N}I@t_Dtwe0rad1_)h14 zMq9uR?ugrwo`AQc!LV~147UlM64}a}TA_~(y6_7-;~?;qH6m9V>tJOZ>#>j0#u*{q z>*o2;PTjeUb!H`QJ&QO%e1(5CJ}G2sF1!y~?4Pr#A{V}?=*S;kiNO+oR3ylFIV)sNLO<>xcRYG> zu6!2}L)CFu?N#0px(Wa65Z^s?=~QhngLk7^PHB(6nL|O9=3Him ztpaF&QwcUZp1l^DujW(u5jtA8*cU|y^P0d(t~=q)%>TgGZTj}^?9{!l9oD^8V60@; z=3^zu1oE8BP3FP;4yNbJZ*+I&rtT|x+9G>F))RWSx0kGSKt*ty{Q!h3T1^S6!vY{B1L;cu@Y3z_h@On664EBtM= z?oDr3amDsoqsc`H@5ugn48HV9+oag#1NhtUhg6K1@Hf>*0RASvs_Z`Aruc;L_7rdP z|M%lxZ|4i|@ftYOqTj2PVST)-$%U zLn?-TQY_|=51fYo+7H2>6`rj+fYll=L|bo3(KeS>Sq z4r=y~pmAl`vR4Xi-H$BCcTF`i-u!@_`B}rueUEyKT+4h*WKhGo=RNd&coOyr_r^@! zTe3&1MNe^;cJ$akKCat8I;LAq@Vv9Q*AA~wte_o!-#-ev>jv0Q0WXq7Cp)HDyF`b) z4PJFj^OiOvJ0XYCmbbK17g?3Im=C(5@sOhbB6r%s8hG9+-iPN^Rw{ny1y^vst`d99 zo8YFeYkD2fLu62S-_E^}@gm25aUyeQWPZiK0Q&s}q516L0J8Z8u9u8ewjulFfbQ-H zvbTG5sRM5xjOcE`&Cgdwc`iSfCk-t@IibRb9@|VolZzd*05ux?lIEUJgPKAWluh*~8v8Celd*&3H+?KPs_6t^hgAzjV`(zk!MPVus` zp2TMv*EoM%#u(&=b(^(X=Avvt$Q+g%X0L~Jb!P5q=KFk=X5WLXg?uFQQ2PC~M|~SA zx*#N}M#98|A)~I-9CDYEzW{oTt(>}l^6lW?Qa0z*{G4em)PxF2-oI1HJG?IE z@mmAQme)@?Blj4|cQZ~o!_U-{hdw@;{QjB#@Au16XFGj)gmpfNZ=Uy89Z!CED`))5 zICr?`q-sxVXs13c`Ct?d9sU0fhVX>{pTZDaYR3RZ%BAV>B1DOyQ2F*Oq^vaIRs9q79FWTV9ogz@oEci?7f zAl#M7{Y?6x#>ErVLwJ!Ir`yBCf>Rgb3F;JVPv!l1LUNS{?7KH1Yqjf6MsS!D-ZWZx zj?f!&$)e-w)UB^G#Q&98*MMx!c}Q$cZX^69lnk}Ds&@Se6ATSqDIB2Z<)+beoKA45Whpw;wOSaE3E5|iySf1 zDQ}%rl7;?q$EBS6h=-`B6|~H{pu|ubob$9y#460bDSK&}{eN zvFKyF;2jO{;Us!fGQ%?U3@=oRUmcj!zn2eupWb-_dM$fDi<~~Ry$ye@vL_J74?n9? z{f*@sF3RcPGP2DA%SbwGCu8r~B zUg#e54<0Bo0``~WW9A-9*;xvkh0j<@PA%KxwO$9@OZlu9`Z-MKU0c!Avj@PiJPdq# zVHo^W80HUvVXzm5t9N$!FpOn6SrXgEnVh1j!+kh5A9ogTtxB%tSw0+B0Y_pait@-6 zA#hyPACAGl4ac&-1CC{BI0gs9v9MPVc?zbo>2p==L4YvIf9$Sk?|- zW+q1#xQr|SuP%I3@W!AidJ zu&cNa-;}*&FI*MC-k_Br_uAQiRNrn0+4pFz=DuO-iLr6R>(~HIfDTAMT=#1JGl1&nKz#C%|e#nqKS{h3V+gL?KXA$Sc4|9D0bG_y0QVyJK+0o z!tWU_eh{zbSJ-)Zh8SpX$!OZ(_mb}`*+t~$lAx{;e;TyH?d*f?0grKe$F^who4LA@ zwYy)}>;yI`Z1?t}X2YA8q`i6QANafGA-h-KhyF27w~5hpn~?Q$pqun(Rd-3uQNZim zLe8%W!~WqP6dbJ`=p7wf&}Zs_+br_)Z8F?Hb1m^I^8XsXzenxO1p()7e&;-=bE?SEzJ%+4a|=t6U75|;w;_!f`y%#cSqkGHlkb$g>++F zMZg=v+HCkUITmi!yjp6@FC2m|X(#a+72wiIWWBnemkAse(#CISy97O_^`z!~;T+xm zulc&@D(=;cr@1=8FCVjtx1b^gFj1J?!{1#POYAZRm5smw+p{vt+AbNxKVP)xE44*!1~c^o~}W zOF9n{%)|fp_Ks z)fTbE0cp=Z+6o@FKr{Cng4cq}>}kfbDWLoc(vINZf=bnnx8Nsw?GVPYN-*9G-i*K)17=_3;m#)Hct?AFz+H(C?R?)r|{)`OEyyUcorB;fLJ&!e@Z(*~r~> z)QE}mEHHW*y!xkq*X@`1Z8COpVykt|S$G*?nVOZDFe$5|Pw^9WUZ(ahtEoEQ4tP8KUt~JU1 zeWJ%BXM_E3)6t`6_;$vu+ZA5f8Nj#dKa>sCtGbpp;5V7q(FV_EenYRN4VN~o1t-v( zFVpS$V|4G|N_F>P;9K(^^o#GMZH6_2aW7~$yvhst?AN{EN_6M_jQe`sdOHZ5uJFeb zp`Bw~pVP`Sx1{uM>;;jT0lWJ5j05@>Uar~U26Xak7ze+Dll^z0lWvgrgEg8xhwJyP zQhr~r@)LLupRao_dF;tw zU-G4Fr>f36c zdL7sa#5Qp^{-HOZGnLD+)xS&JpH94@&N@G=dB5UwyX0huL%V*@{RjD9qSKb{6893F z@FMc-5z}ie(UF6~$ap3>Jdp3e0kagEeKEH0Mr?A(cN59$;mdc#9#({vo{Nk@jWy0m z)^RP4jLZ4IiTj8T-X3)G(aYWm7r84srETQLx{xnBmTG?6`M|xymmPcCt_vx9$aLgG z+F>3cB@@%GK|FSCDLSyoXlAG8Og%hO?z{KQ!S56fy7zR#qhk2E>N)2V4@n=sadpX~YQL^Y3)8uvEx{1B@oeTo0kAB*3=^!@4cxtRHY zdudn=tL;|uceu63dA%b~`mv^$q}r+rxho2=F%;<0{C#2Wi9Ma#7Zur7L8q?=6dd7t zg_dBB33L&IT5Xc&6oN_|MT+@9A)aYm zpl2p7sLQaBlhK26ByIrRMcT#=d?ZMl24}zcuApX~eAjWd`$*8mM(AXm9OokcIDwPn zU1Xl*h?6Z*IW;=_hT18%IQ5KEZEt z-AH_J^SguFa!rwm3lE7c1RfT1&6w%D+BCx2iCnMbE=_at*9S$$ch4B3Igf{tC5Uqa z$L#RBn4N(vKMtD<`EC>rOe7c3w8n1BjIkHj$3$*(rmjY=#h#>P?HLBrO?W7=Mhoi;1jCTvTeuH=!b^e1F4JfC%wtsRte;oo%-##_VzXOQ>J&cNYnrh! zUKaZqKQX%SkRd9*MCydbZ1%P`(4~3#a0ZGz0z87>*u_jcw#tt6b(j zS$nHlHx2<$_e2vzMrS*>PaA7o64i~-#i9c-H=dPYsBg=+Sbbi7i8=czE6nFg@^2^8U!8?+d&!zj0A_Z zqLHchoc_oA_iQ6DGL&!KXZozxR_r_EOIDrGl#!&Vv>r6YGi(o!rqYr$g}$O_O4fH6 zil%Nc(+X)Hc02UXd~@GJ+T0v%M4`$2JlEof0e5I4^#`hxexT2#FQZDvQqkDKG*@DD z1|64iG^7tQ?u?7dg?F(Z0_B54qkzRoQi0CA7IEd$zP{iqIBWMmrsRWl{z-J4MerQr z;OVzLV5s>2{q`EUE;f)^eq@v1UMJVp_|B?*#>iryy@BhIQ6F;2Cov~6d`!?^Kx7Z^ zr4_Pom%>NEUB)Nz``Pub-_YFmZ}s?mK=^#EpEE0oofwUO+V6Ay@OkbNlN1e?&H_LE zT=$39k7v)f4Q+|q?QOza<5{&)3;E&PQDa(VS%PP#)enA*?UnjQ{2uTj{5-l(V*O$p z0&UD2GvD=cB)+0Wx2Q{asu!ONfA{Z=TE;c-_YKe&eM0txOEr{@jW~mR z8L@(>ZWO?0I0rYJzy@+YA>qFpVyJSZzwj0Kbtx}#{m#>tk;QN3mu^fD zD&xXEgksJMpQ`JY&&T zMHdCT+KoJPGx1<)J=e1TaSC#gKW%=xW^!>V{=h8&3aV-|(HxBi*@+bOgTT%Wwt<&l-F9 z%`b^Fg+|wtkc&_HRXb^)$amyowXBO=83$)QS-4-7A+j*O`LHhc!VTDtWzaUX@7a;) zTC@1=e@=8zB_~A|x&wAFCc@{d1$W&lWQ($Am|K&3N=`QAKIRNLyPX#CTF_&K*fQb6 z8?Z;Dt(4Uv!&7Zt^(k_SwnNJ)Ibs^{cjhfpa|GxfGH;>uQ|y(*V~im@p|AwpM&_jE zEo4sw;IpWs?}1d`rJs!J=6W@+9;fe07bxfcX3E{nvstxX|4ZpVyiVxVCLQ$XTA18N>f$pf|-t zU#e#uW^E>+GYt+TVTN9)^qzx^@6smmrJ_#-=xZciwl5mKlJSn?^IsT>Y&w6JkdMo4AO%ICnOTFg|K7Ot?Hv*rtW%lc{Pg(3oZ{0dMVi}C3@TwKqX7?jM z`(v9WWwzXpm#&Y*AKk(HEK#gNP`qix4rDg^|6`-IcwJSe%x-vq_x`52j}Gy8(+b*_l-bgs#7bq0 zA+x8UH$Y<|v(d5PP3Wzyk#N}-#*lG-5&uu1HqtrH{gUG>`gSq8h49$tBH=5$kGIQs z3x37x1L(R3iK|CXA(mW?`$%LTV=g$H)GzlGn!?vRbxGiPn}N?cRsf#Te<#ft6^+!& zPlLzc^2q68jjYOFiq9x6nYUy-MEZvhE*w zOP$!%T1wtJ<7W@DkazKk$U9^kzmc`Aev3V_oITmhS#eKf?TSm3tQDP*xIbhqzJi{z zcJg5*Yvb9iQBT%3rCa6Mo~*@o7k#b6zwnpe)2HsT*3&1~GsgZ;U1+I8&i>^$(7-;;s=p7+9B*bk+u7n%f*)%E}R6+>V+pLS!*C`z46`@3B!BCVHwZu z&{=Z4gMN6^K*42X;Vjw>9hUQM9G#POXKLJo{nWTCe48~(@ob^hc-aodA6;%F<1b^+ z*m>i>>aDZ%tft)7LS(ZQXl!@0`f73JjbhY^&7<%bdvtKv8K}qSz>8Tg6+a4JhBw5I z9u@hV1K&Oyx4|{1zp>i#Q7*Dc_Be0`iH>=YHbG?O|N?(h@(<{Qpz|KF)=>6v&Iuuli}m(}UvtFFMW{oRP@ z$rDjqQvXfB{wDhR zrs3x9=FI8r%oOb7-#QoUDId6p@7%|nQId}-xKlPfEI!N9S-<7sa2L1>4uk6kYt;kn z{hsHV<5<&AJVHyW9^ScA_Dm1D#0)UC$Xph5$ll%YKppeO=mTZwi-EV{#cyYb-AO&- z<2Mv<$N$ehtjI2jRVeBeKT3?^(eE<{aa2z{n#H+Q`%gfJhKga(Dh4yJF0kibGD0Yo7-yS>qetCX%N@Uz2~;L{;lnCf0g)>@Ik`@v&aC&c@dc|7UEG&+5l@N;~a&gB`cp@aErdQHdW|z))~o0~Z|}$!@ewdy!k^JM_LN}@mAARHU3|bUb{M*e zVXO@>N8Mi+pIz9BD;^x4T!tK;h2F7CfcI|PM88;VdVIRJUVMh^x2NgCw^FwD z+yhhh$l8h6h-#y-hhK>^#e|>28%Rl7=Y7ruECmkZXfN_@IPjKr?86Q+H+@Waw8m5l z`a1rWz4+Tg)yyHh32&^X@2puCdH;SDzw2~sAMrQuRcVEOt;ibJ+#bg7LRo4Zy3AQs zNqlW>0AEB4@3#hI4WLywMd*e&*H)c)6d&cWHy!IQ{LK+d`kkexg@3U@@jJ#wbGM*3 znDFv#{rva}ymnxFTBeR}RE56<7;W0y23_ck5oPHBe{}?! zXkpF^`pd!A%OM5_T?8G7`?6=jr)sTOYF$`ps3Cr0&P3?r)-^}N+p3VK$0HKkZT+cP zZ`8C|0w;?(O4sb?kAru1K{38L`iGAIm}?@-R6m!P;7JIXBeAdOAz=5^3 z;Dy(2vz~RPqL;VQpNQe%T0;aJW3A-~@D3c?Xc9XW;#=aXOeqtei|qG+AB=Sb?N;S* z!85%h?uA&S#0rTat5)&6MqY86`25@fC$UYdfRnD<1747GxzdupKVAHINxz?-^7kn} zUtY9hPj(AB0B*;nkE*ZI=K?(`Pp6)Ar;I1=d584>L4mC`WheY$060edsWo{5>w*`s z^A0LlNjYvy{evp@zQj*l4EXg>AXqgXJSYonm3eh*?>N>IPQYir6B}tfl1g!tEV+9uc4;5%^}z=L+H zbt~bSRm6*$SqY#26#GhuSDx@$QzY za_F1$?OfpN){axYQQ-;JlnI(V6L`xUP72n@SL#snGVC43TuYL-06Tn}_&t(5#jW(S z7E{KE_o>^e><63~`;hP!lFXq@8NeD>{J=rr7hudr1mICSpbu|uqtm}2a~r$FcM9zd zU`^f?T2-0gNImd;nz)lX*^I_Ij>LX(Br_{Rb@MtE*Jc5HnFcoNTz zosC`R@}7l#Yvh|sX30Efc+PX!plxkdYYTBKqtO?@M`%&r4V!gQ_6Jw;TWnRaiS5z& z%O|&b{z0Bg8=UVS@X1;Mc#L20QQ9kf1s#)EsRS}_Hga|!?Lr@z18yLr&9B?`~F5FA6&cy z`@6CLdj-GOs<~R+Jb}H^tlO(MYVN{;yq8O?Ule#VUicZ~*p6Zs%NRKWO*KB!Z}?CH zaiAIQ zZD9?2v+8@ep^BI*`V9|(Mm$-m`mm}s)h6k;$WG^Htm&3LCaN|n|Cw6%o0K2nTbmhk z_L?Z&$QeC;rI*T_OQGy1A?xdZc=(L#t*<{b?&g~(-u(5@)cfb$bJv`^Lv!ZN5B+rJ z{Lo!Lns?94AI+RIf98w~E%nY9zA!0Xk#wDEPVM_uPD6oYtw(=%$PZg zSGwGbUVY8japUD)!JPZ=x#uFD@w;ZARx~NwOWV5qmV0B*>wLDFXOZOdQ3JH1J5ukG zhj{mkQmT$~ zyVhSZtg~*pKZ-i!-oj)X7t(k6{z~#Ol>H=m>Y3DE#Cy-%oprvH{4MuGJ^se@_zT@Q z{l*Yu61w=hIkWDXbJzTzhO|)UPmRagxcnKs@pt?cwO_Sh=401iUvyQ!8(w{)GPF4D z=4spV@4xJaH^1@3Wp5OGd*h*h{O0z5{J$Q4>apAJx%rJr4<9S|X78B;GVd=gyMMu} z*M57}lP7MQ^wKSN%zS;vvaAiytv)}ocKndist?;%UQqG7KR@#R$zPrR=zlzW(=}gB zE7V`O;-2u_9s8GjQ1t4A-@2QkCnlZFzkBDscfb6Ny&HD7Uzhun-@hG6wK&xDs~6{e zWz)ZI|J7X`_tZ1r%dcMW=;ht-0g9LY^ZcIow8)d0XWi3w`Om($IxR9LbY}M}Pus&cb;t|5mtS@7%kgIx{q)ZN9DT$04t{&ve=h$;{i|1f`elK_>u!u#(_eY}D>Kjk%WVUy-aK|yM%~(Z-|Y6^ ziL3tjde6VpSGBjdwV!D}(caX4pnYq5QM=ZzwP|Ox6IzpYK-;PnY5ZM1{hoW~IHZ{{U4W-hqo$9LW5EVy{~ock}HKX>ju&YjcmnseDD zKe+#{duCiRdUW#XoS6^YbJq_pNoM?XF1c_147v5Ac|V@%jCQ0pO@ozd=ac?fE;|02 zuJV57MZEmGULINM9-6v3?P~HP3$87c ze9iJfKO&DDesatj@`@qbUaBR(e0cNSnb&AV2MT}sO7t3S^}f<6moKi(g_rK^@>}kW zt?Ya@mS^uJpKtkwesX9I{ zU%6c?8vIIUouBuvJUi6m-P`zH?uU|XlixXS{Qc!g{h=OZW>S{i%Sqlpk*p)QQ!6^c zy{%lQo=N>d?ghKlc~A1U-2bAn7!{gz{~TvN>d@Ci(6%=HzWZkWSS~}u^6wiKnl=5d zduGn~dT17zx-%+-_BAtlgTntbuXc>a<3@~i}#W+SWR9;*(<3l^-StN!h7?()VVPETke0+*lHaw6v$u4 zRmYEoIoIDQT3;vdNlW;BWnVAdK|U&(2KUp8QrGfZ?#p#Smn8Rt!@cAq-;(d8g#~(1>RNuweYyU3 z@>G)hnx2+s@Ru45e~-Vw7yOz3H~)hFhyQYtNMro$W=;ROu3ykQO_O^ANeh47-hR2y zdqM7vB5iuUy*(#+&wKLa|6fAjO9*@kfiEHOB?P{Nz?TsC5&~aB;7bU634t#m@FfJk zguwrwA#knc&BL%&T1Pj}%);k0TQ7Q@)N%9wWj|7_%?khVUoP+Sqxz5E_iJpO_2u7} z5cm=T{|`do$~*D-k|N1;ExDvg$#fgJq-n`?C%L3~$@CL)Nkz%DkX%wlGOZ++v^tqK zkxP0$nYNHi+L}ze$R*Vz(>`)Z2a@Rsxum9KIzcW;yUR;Ka!EPKG>}|UD47b#C5=g@ zE6F8AlIdD5x(NiJ!1GHoK4^n5aHA(yl@ znRby&s!67OP04hET$1)fF9pdZE@@0MT}dt}l1$fKTN^(i7lW7yVr00`q3%R7N$+U}HQcW`LBbRg_nU0W4YD%UP z|yc8stl#@&Y$t8u7seoM4m}HXq%+LG#|N8UeXP9gKv!^3v-lP}(e4<|TjlUzc zcl#6VD*BykuDkAYK=nn>yMEd;US-lXIWrhPO`Au`k>66irlo05-|cBiZFhP3?PPvl zx_bO~rd~>n;urqqCf`_lo~Hehlv2D>d2gQ2o;v%-)92kebonlr!SgJg{zRdKX$M-@Mse&5|v13^GP{>%^hsSoa-H)HzzyXK@C_ji4n z-KDApcindvag<+JTR?r|%)M`Z*N!{|th)ZmGf`0I%$+)SmIo<=OZwfP>=HE|myEfX zf8OuWKe%fSOk1m@ez(*gu!u9eJLg3SQuoUS(04P8R%!8tR+(u-{(HF?J=Be<42iB-Wo+Ue;Ghl~ zRkTA}TU4*v#Vy*}V(ytNW+-EU&5iY%QBDv!&(uawvWZP;KQ<3%6OU#Ba!c7HDfFT$ zQx>ws0#|VG+Hfs4p}%HaOOWk~G8Q42Y=TyTjE zAy9XWW%cm17$84E&KL>SNtft>`8 z+gh!*R-@fI?I+o3T&Iy6T$5}c*Sv50xhB~G+9Yrb)RO}XlU(3tZqV!??*@4%op(ug zMiOord?Rq{B{}bs+nKzZ$veGymt<#^QBH}rHj8&|W#i~<$xHOLTT&*a>SPzd5urTJ=)q@qkQO~yjGw%h3 zpUb@z54}5*ybgKearYQaJ-;tMM=dJ2FJDXM(~|ill`Gi*&3nANMfPma{Z`5@PU+C+w#-^#C zfM-L&!=VjDl*58D>#O}bV8ud>W2yIrPq1(demqnMJ|X+rH$(Qi7ly&Rir_;(Y-BS* zugu(|-OJ=a2g+nYmuybejb17Lqn_n+5bn^%ru`pK-p7_t+LZfIY@m{-6~Y zXGqSs9N^Id=VgIqYH?+10iYbdCkOM%0es1WiWp}WiY;T2t8Q5LR=3NB4aR8r?AnET z>^U}lUb{<=Z3=R*%PVX~{1h92&5+%MiTT9p6zF%jP++VPn*>zgtwRb)>zQVoq>$pFX#r>7! zY+}FU7aRc8OZXlK3SFSZOMa%iOK#8-H~;dK@#{=2?km@0+k9+(<7Sz4{h5Z!JUD*`vpvP1jZy5_Jhq7VdrEJ^*EJp#$k<)?az2FvQpckpdzAVg>#n!Pk zEUIG@dSk8WEdU&YGAGF##f@ix)@kj z18beb%%Z@$IL*lWuftivb{H@#7{{g|rN9>0jRbZA-;v|=*jixw-vrOF!1MO|+v5JU z=xj-N!av=90?*$thQRZ+!VY-KrX%r(+2CH_S;`{quJB}WspaR;c?C}vlKHy8a|7@c zy||L^%TIyBJAps&Ecz;o^8WYW>H29W_#yhEl7pd&`-PXd@C#_4MWN@R55jjt75{9k z*X2-hgTAqv~tgJ&Ijp*{y4&;oKU5LZWHR0{)>F|e>5ow>) zVSV?ah@;6tn3Rjb=9SL|Vzfn-Kk)Ik?%!XhzW;l^>)I~pzq4&d6S$Ae{U2-$^8g#a zR+t&iWB#DKfDH@S@JnrQ9IB#yq&(7a78{zTo|skFCL4Q-{tyqm5|Is(D&ec~vdP@r zq1kMHyEef4q_uu>(pvDqCapEdNo&){No#d-(prt2BxSMyI@?`Q%7XH}*j#=#gxD)| z*5a-h(NT~4kjTlvDYqz%u`=6PlpA5A8!hpZS6X=Y6X1WwhVIyGKy6|on}6A(bZ-;U zGUy8Vh^@iqCBT39o1%00fs@E1pR~bPTRpg28N*&UtDIPVj51j49f0@v*-W6LEG;G5 zR9TdBkZ~RY4&;Ih7uunxFSM5Mjo1()-xNF@0uBtZM_+m&^6Nt6*M-Qh3+>_eUda6m z*{taTt2a12O`8%M!FQ#mEc{JtEQ;J@4}Y3==~*lY&Z2)=_GmrJ3G{|fJYpn*W=5>P z92|??RXH5pp2NsrV-wL4^l$hLfp9}Ti%U(ts-D9@rkGK&SK|w6HM{@E>b^bfy?}h< zE?`0H!ocX*@JyXevqxJGY(&RctvOoV7}u=Mk%gojSd%3O2D@f?xw@7`-o21{=7d$U zXgfBc7jUM1d8Cl;Z6F~VNyvs0dAe*aCJDXA9A^3CkgrK>a8frWHbH2hua)MO z!BhImcj#=N#*i0oaZ z@6BWr7&hiA&awjcX3B;i-a>8hcVIWK*+rj^0h2d*o`lKOwKqFUdL_RpPJS~2{u%o0 zZ*HkYUe^2sJ{wGA{plO=M}8GeT>t!a?t+Y*#L7Scu*r&-SL!kzvgnzyKv$4@52~?n zcGce^<6-^mdd=Z5w|L2Sqt1;Lw>W#gtGkObz}0HTr;u+B!bi;;)g}_)iTI3SY`9_h zdvo}(Hqs4N=UBbaCBUV`Q|5aL9`N$HIg>7nO?jQoAxxj}_PHV4BBAOb26ScQGyZwe zA@IE+=sy=4Ba1IYAG#2|=tA_P!N#cK!Ld=%!N$eNhKs8&fL3!A5AvfsNWG!0KXZ^D zb3 z!D;M`x2-@ltE1l4HQz}L_8%2Eu^~W>`@mx80Gv}WqCDAXz|V&81UU1g`R?iCpRypG16}U*JIg`(x@H?Ny!AiE!jycWyE~nxy z*PP^|ZGNYOa&juBS&J^@AZfjq%NSX~>fUTp(AzSrGZoHrn2?NvT|mENgW{2Ia)6g? zuyv5@gUE~N86>SSk~5L^uz4f;^XOT_7-G&iT_8u_hY;9bvVoY<&@d;T1sY^VV}X1XoMvqevG z23A4q@Tis+u5<8Xy~##0&!;)>fD3#lW9J5H*vO$FvQ|B-V8fgiV7|4$3SP?C!yAPT zvc@1o*jPk#urf9v@Hev|f@!Q>dblml233ix--q{^hSk!Vy*7J0zYn)NhfEzjD0BnU zd*h=(-(>vI8NAIM(eGEXA&q$x{G=*knbUj6%~p}Iz1a{V0{+o|;LoPi(#Ciu8*^-H zpk0xR=x&JnzXeBuz~k- z;JVP;rtUOVF(fh2AE5j!kFKWvIyPK4fD>|m9y;tkbaVJ0?X=e%@px#G=PDU=OD*qp zrO}G>6FGj_^fOb+ly9Y6XAe9kXVPubKgGL6wk6B#;Nj*xEpbQPvpve|>kRb&yt94# zp89N=;Yn- z?8Ns!8~-OH*8 zI)nW4q#StJDv#e*Tt2sH_i=Dn7v3duQfx@E(QGz*u>;5Yc9om(xg{~)Rq~Ygjep_Y zMH4s(px5ofTNGc((Q>RZcr7-89Q1CFTn69T`aPS?U1Ds?>hu-Z*IWwU!`2-N?dsU( zv!k&I_hzPWsVn{nJ_)`gip(+(XI7PZJo`{5-52~-@HvKmg@d`#$FN^Yq<+zRU1qV|yGOz!k@3DFQ|Ueeo3g)#!m}UO zty8C4ogp0Fx4idoY=FV;nG2|2zaa5sW`VmH`LX9E-Cf)pxr>egY`VfCQDHXGo@TF& zMS;ZP<5$y09~*ss?3&M~$$g7v*TMTAd-@VO6>xRx-#Mbn ztGpO|s`7NIN9urMr%pu~^)l}AUhEmZD;NAYra86ghvMmDqSg;(EV2)IbqZZiOi26X z8ruJh%LblayLKNGI0;;3%o2~9;2U!C;RiJ9pB`>EF6zZ$DE|QL9#-YM|8(kQzNNhV zAIW#_-F(;DD=oocqFujBz2EsgJ`OpgRmt+j(w=v^)mzm4``CzoU@WnhRlNr_m(BMR zJ50tIeYIBxGX2xEIAce9TAim%&3UYMnza~RQTQ0+E#n9797;Tv`E}Q<&=N;Sd<~lu zH_gxs)O$+rJT?fO;xAI31wTzJZs1^Ce1=OJ0`k38`5rL&DYog?fUjwU??OJk_YG}r z_USf-Hv!t$>vTJZj-GK_F3`|L1MnWhDXU|Xy?Xe#e!<%8ciZAic1EO5s~4LXHRZl5 zbveu7+c`CTr9P=Yv9jR=bYn=p?gMNl#(b5r6I%W*KC{Z#_3#H$_YKtjQJeHz)%zs% zQiss0luv*6VmAbw_g0L8M`yc!J;T#?-pruvYHk;v}{E~qpOAgaov?}oX(!_+?RPX=xHm6T*&IJSxfk)H}WYj>ND_h#5?K{ z-6(iAzKq4rZrc4&H=L-bSb+ZdekacCrmxXXo3El`KF^gc?CGbwOJnGk&K=LsGSKCP z|F}Nn!|&^_gqJA0UvxBuJIY6p($P9;Epc`2W$upS?eaYbXGi15_b?Yx8F9u|T&wOa z$cV&ye+17CWXAHU6|Zu39MaqcgS5oLz!>U0TR$#u05{L6A0r2f21q-@=DYX?Rzx^F zD{3u5PTztqnNHhp3sIi%%Mth(ws?H5gGU_f^!Mzkm$C+16HemKYK?{;0B=eTNxL;R zDm~$*^x}Ohe$bPTI^TbM8uayF)n<&4PnDqSH7MrU%W2?}Cil$reS(jLZxr90i&vCwAb)nEKG>81p1plE~ zHl0k-x6o|jj$d}?SrrxE!M=DYHVPh_hhHcip9ys!cTXb&MaR*ta_0ITGy5m?&pSnL z5uHKwYvWJorcJw#sdrm@dG9`j-qG62=R9go>-nzm9C*{a-O7H#%u;2SdGD)oA4f;K z<7Ct=N1uG({9C**#KE2wQEawI$_5LkhoYhjB+IO|fTPow_8eIC9_?Qu`V#d1SM)FR zm}7&iUZTHb*8+bdsn_xD{%tYz24&wJ$lZos7vcL?kiF<1`046eu%nT?94f*6ca3M@ zPszS}^-g@l5WTXC&5`nv#5Umf-?$TB!3+3XtMRwKiNEz&7P!?Yl>*y=v^-S_Ql)T=A z9|L^vtvN@l?iSuIHi+0|RkvX{gcuxIm_kQLKPW1Jcbfr7={e|7%sX3qVd#L+6 zxr>y}i%nq{6yx_{E(rg(J?v6+VdRJpzv3d~*Qs6+zBAm6rfh2oGHelap+(RGRwHB4 z_mNMo9E4pn=_`rF=_4hsz+Hro@vP?+_pmXnu{L{Mo0Wb(bscX{WM!O+?a0&;gZ^|X z5&Z6H=dtwb#b$7hR$l9hEJMe(GDUyKCLO)Zfaf#6i4QDK2WN*Go%;90UkUsQCyP85 zJ$V=7Fn~6Ejz4;A?X^w`_V=hXdEqkxhCnEmgVyB|t_?+7+uT;8CuW~la z*Sp!>*3VA0x*22OT_mxY#iwv!YU|ts_#aaKgOKpJsgEe1M`)S9aoVKO%BOKX2ae+( z6PoH-E^Y9+nSL$)vs;yq!z*|HvAG8(wgSVD^N{)VZZLdR53wPA2j zU2J5v&-I~CicbwXbrxm@ot5c9p(l6A8FVMnS0)9XKc7|;jBOv>!S}*fKHtF`3O zdhMi@wN`qN=S%nUjmKAWgjScn)eZlj5t`0rgZ(7UaNR*O2d3`t`L0K6Q-9y{J~+_B zriffR_dxa^Q+gLX@U@hzcTI3)_DJUInSaPT$cDjVIG|aljn#b<+o}wMIT@L^v6-{6 znSU|5%*~)9zQ@jXA~NV}tI@#%Wr< z#oWBiC&;^vIo0qQ=53f;vC{Q)?9m{7>&0f#L02|dA1T+xl#6~4s+Pl8xvpm3Mi1J< zNTZJhtIRW3;adsXd3q2Y`%R-j&oD+uGh(BQGmJ~BJLhZ)bmql$=70EpST*xM%-w{T z2O52l`J03A1MK0h^EU@38GO&7ZaQ;8$U?r26-52ufzn^8GqXzhVarRI8^@>rX}di- z3O`vEu!p~3+9RJf?NP-hd?sM$U1~C4Wr~b-A4ZQHJ>9f=V*~f*&?`C2CPN(vGKP{ zuv3_uiV7Tp_DIqwfmzN-H5S^N|D?tRy+W>I94sA^1E#-H+{*xFjX8QR_-8Nb@~N>2 z9Sj;WRx&mPm!{jpEIUE)wDm#fzt@ zsuO1CF_y&|ZS~XcfRU$z*Y^Uidjs~!o0y-wDd55Lm|wwE@TnU-hxTebuyvjbua8|^ z9WXAAng-)%e5Kk%J_M*Y&AEE@wJ|#yhz-*Nz}>H4k%F_-CH17n?5wgQ-&bV}BsN9t z?lS{4=ZVa#!GAyct|5mV#LM2*oL%sMhcD39F8xDW;>iW=@$$Q)GA{`4_)p-UCOE{H zfFH$a^i_`sL}uweV2aF&ra6Z;V+-ysaUVgyYMNNW7%<;g)9#EV{^y;)0?R|~ZmZBY zhi)I({X6Q%cT}xAk=BvW8gmKM-%8uyx3n`Yp4m#y{Z=!Qyr(-?H{Fq%H&JsXzIoud zuHqWt1-q0E(xJ0te>}Hl)iI@S)>rO9-v22kx*PpKkK1kMMGaf{Pe!^{Wokv?S7t+B zHN^7Bq2Q~Md^;&iifSD6>oZ+tg(q`zm#X<+nfGP>SLS|Q=7TJWdH8$he?vKQvB`Xf z4LzM>4%n$UExZUg0Gk!&X!S00w;miPu2cN4%iOb9Ke}5-{Yk#~d2`UAnpvM!zs&uH z(|@NsPn{kf8xQ_tyE$bolZ1CNPMO4-0nh9eojgDLoo+I$C})z2b2u<{OAMb5-*|SA z=(IBLBf4VO*c9f2#767XJ(upDTa$}C1MdWs@gK`|*8GzV1Z6PJFX_+a`_o+P3OBX`A4As(s>@LPj1;;wiqad4c$n21P@O>zhs} z|H6{NTKBr9)}`h|fys-)PvFeEwSE5@X>%;8H;F$+%KALL)w#X)H{Ib-^Hr~`+^4#gbzt|C;y0l$e}RYk-7@S) z;s+Ez-Eq1-wjJ8e+06P4{3c5`aL@%Y5FGX_?-)Bc(4~yIc|U#xe}y5jcMfY{)-L5B zk*2A?l`>-6H>R%tql=zkqQ4NoF;C&0;JM%$Fl=;7FI0YOWSZU>*{WhmBu>K7{8!k_ zxx#-=GuH_27@(3%3RJD#cYIX!juo*Mv>LvOh?>C)FItJXt ztVyUw{v5=ILCj>{)9{00=rtUBfc{3{mvzR#2JY14%uk;lK7Q-;p@yD$~QpF3nb2@W`8ZbvDSG4 z*(ou9^hM(S=*Od}zPZfnNZ-1_q-p;Ws~kJw!2bUU{Pftr@;&q1&K{wirgrh0p#$T? zkvb+e$0R(=?BoEPjVfl>i?=4G+LpL&(N}4& z=qAR-a>LQgEMoxm$U08qTIKK{rzqfy4fjbNuv(DczCh3zJ{G&70es|)%?dLpWm&T+n>y_Nhg2Pj<$+UHi&+5egc4bsIVg^@@!2(w zsTm>dk#AI+XpgiZkrOy!wT$p+jachgzO?7xReKUo1zLbvFtONdv1ZG7AL72i0Na|e zWG$}X*0ET=&u|`KlHKyjyzII+~U;1z=wqfVM)O)f{)aJV9`_fmo$?)IDD;_FSc=Pj#Ko2U-@-Pws8>F<*Z4o#`g(N%ZpMMaz)nF$od*tXM^q<`wBQR z3LMqF1HWP;uG3;0#zMQygFagBv)8b`WMSY`Y{a60;mXCldz}`S`1{AqG-BG}k-R@* zabKrzxt{QyJV9*93FYr?+TR=-@#?_X`mw>Vyg!)u2;!OK8^-R8J!}#As#CJ2(z^#u zRBo4cv_ZSj1F(=7_2gPHw*~))&@y!EJQ2t#8~{8`vnaM<9J(9xbt^c)`<9x4#)y(M zCxiHub=X=f7`N5LQLZTD0QGIm4+eDS+CYC-Vj+|tI$dkf;8PM`l-WEmHhCNUDIwmC zcU#6YzW^=|A4~sy25Ui+Hp4c4H)EI5mY!t{Y0fb=rfV^9)(J9a6{yjj0I@)UX~b>S z5ntic3tNd_H(MW!x6f*DP`Kj2(ap3-O&AGNh#^F>r z{TbG9RWQC|y?&QL>k@lP-LjS=Ua~HdxN-HF*pzLGZiQz{JEZNO*_Msljl>NH>V-y) ze4igW`AEL++IPi6XeT@^+7%8~Wg%jK$F0-qyb*s z$$!D4qp7l`T!F3hP2eeTebjZ~N4_ zT8_voIjF8k;kn>^64w>(=S7)Ay$N5=blNlydMqclx0(KL$6gzw*?EUY+ryei+aW*K z5qyIp&)|iDBE^TntAHJXR|hN3CiD9=GcHUTc*5&vnN@x#r3>&)Cw}$#wQ$GB{ zUPszQVlAEhYw9ai^=&iqUd1j>11BkO4dtz)ybYB1+;YkrflpV_!{@d;)j!(*vcki2 zv`xlF^c;KCN#T34j!@AGe2+DbQCVl$F}|sBVJwL4UMBDhxFyZ)@se$6@Mzs)Udom= zQ6is9$C`~}imp@mqxqr9?ZAdU4$Fh4)}f!21YE|saqH9c%tMlZIC)s>#W-B zf}y(c400gJ=ismS@u&wJP&}^8#E+OhTJ#0s$p+)3c=A5x$Rp5eJ^hxTdSP z{uZU*5Z{mP*6jMhMd4el9kxTyC|V=VpV+spj5~HcGE>n;2OSjMr09@2At@WWeM9oD zNoXobOBu`~j_tfIwYk1V=t#k_D-8+#2(2%MA3_`MBK?)dF%_2^8^L#x9VM--Q=Nc6 zer(h|zO6m%TdrBRY@@xblj{rrzVW!CBh|M^&7>ILiT&#Yp+P_W8l|nBY_j zR&jq(#4DrX1|?^L&BQRX)?*{QcmrjYltkP^eQ8Izde2_70yvdR`6EBOeB@VhECk<@ z<&T%XVFM9=bU2cDzvXyrgMOjgAo$SATF|`_d)?DRfd6skF#GU*hwiE5Dm+neym8E= zVMf6=WK>DJ`@j(n<0PiNRA*jsJiM)xIQ$*$PGI{Wdl+*-KN(25wHyc-3>tPxyTSTT zIn+H?fUGVpekEQ$TfO5vx~Y$06N|9nPH4%}ovh$sw_e&+2mN6C1e$W4`j!l2JO?kA zQ4cb`Y%gm)(E0aGK^|hWAS>bx8!5L8|Hnqkp}aNCz^a~h*9$-P*3P4+G9HT7=8mc9 zXXKC5to!?+f3Sv^7)EJVYEpr`hE-N(SOc$Qy_26fCg!|@3)>yvzCN;6-C6+5 zT6QwtWm;n3zBXeMbqz;1$z#n#vfXyx5!&Xp-QG~2YJa-ZC)hWZze6)DGQK+f_sbYbznz?5nvq|^+QW^J*zlc{SI&LlIGjGO+o4&E zM{GlsHGIHz-C-?pr0&Dm`sfg)_c!If25o;xpLJ)xtPzO#of)+Ow{|CeZwXjs_2|}D z3T-z@UFzM1JN#DJX1{lT|55wd!^i}Z8awLkfb*(DGTzqY;Sm|<@QR)4U}AgCb{PZW z79#zedulmMnLaHnGp(`(rdQ^w4|sN~_iTi2Ev)6)j^wlb4OXz|Dl2dRzfW#MS6o9@ z{~6dQ{@YW|c9p}H(zpGiWv!j8`L;ywUU$7_tYe*$=-xZ9Nkj*c-)A^HcfcXV@13#{ zdILKcg@5=gyrd+Mcq;GH*t5h8KbCRkT=d)_kNm7HLq4VGn*38`Bb;lWfG&FpxEb&^ z+KP{;dt3AV0o;1nYu~OeZEf?~>e+O}W=ngEy0rJnJl6lSW-X_ev^Nd>>}EUA-VVFL z^4csqkUA{b4UB_Ug&(cg3m3L?VEa}T4{ul06BmuHS2o%Vm4y_KHk+q3-#pZ6`CHn6@qi8Zvs#~g_e2Fb)pCfsv$b7pO?w#!zWONJ=jTxD z2IS{V&3;VkI^1qdK@agU4+MPeRSj*4fu(Kslj!#6z+LKAcxp&H79p#!6P&@Vv;iGo zY!q~SeAcX+PnKW0?VRNc?A=A{iP^r0@l0oK>!Neo*{S-ZKm0yTzkTjb*0&MsOTT?G z2C82r*bZtOaudYs24hq5ba_vnJ3bSg5qC`dP#6m*2GaR>tDiXquDxqR%y- z242(Q&Di+09=>5G2V;{N3lqP`@<1@Le5b+>VB=$KeX%@z%ewAYW2?%{eK(QeKVkU~ z;Gfz5soFbc^3z&u^7OQ@e|5y74p-{9KOfi=m*dChDtImB52@7>4^^Eqs88ybd-5z1 zsBKFe*>OU?hxbx;j+Q8rI+P!*mHLtA=pE>fv`dpZx!0*Dr*t}WInkMgXS={l;qEp+ z^Y%^3FKGGUJ%JM4^*7;jtRxlyTddA&gTjj^@bwM`R|0|d#P69yIF5cNaf|yZv8m*{ zJjJ^_cr~NpZq@>+h>{C3|8-rCT9e%Nm5ljl-F{(9p?p zm_x0MNF0f??NEmJZ&e>^NBG^x({=Yx>8t~jzO2*ZAMnh(2d~0EPz#@h|H|HTvKO2? zpiJ>Wdld&)!wVA?n~AYogdZe55Ti|2I&sP1gqj=spipOg)+G9-x4D(ngU!x7na}z~ zx^CTyz7wkc%)VPE+3$c@*;HE-H6u<#Kg~*}Ccj5UpAnmZm?ZXI^Q$ts_mSWVIcsw& z)VlY?p5_)eFjn+}W3ef~FUi{kf1oXN$)Sp#oF~mpW6Df$1UL^s?nryLnHg>Xnhj_f z!lT{t8OV+zU~VcrwV#4_JkbL05Pss*oy=_kqi%+l$YkBl17?%zZz-@X_3*?3zdjRJ zmLG1DZ;}6+e2Y))3}Ys?3p}^4(D8EThDFrPJG4*sGZ6Yt+-$0SIRx(D(%E)ntJx%d zQU{->H??@>9dCz!FHHE*GwQ}FK7s7{+;Rl>Tx7HbUI+}7oUAR^!n1dR6XnR6dgVj% z@WsOoRkp84eAluEiRYs`hrhyF1fG?c_|H8*i;C+c-oecf8L|HmxR{R530m0LzX~2N z`0l(jBG)O&7;LP^*S688IT_6Xt9%`FF_twI@bz5e>EPBj;(ZMKbeB0b8~am^kKgz{ za!hAn^W~Hk5j)s2SL1g$u4I|L?vQ3bi9FkI2s&A<6{`Bm=_B(O<=p>81NOr@iP^s( zw!Q>7j76q51+5kR@S|^oHUr4yR?WG7Q<@cgUUSB8fv?aPe+e=jp63I1>iZ*~JRC}_ zXl|2lq#WVj%Ey<}!u1S2+*+sM&-XbcbjZ#cK4X92rb z=Z=#>*USS)1;b;aM>b9UP}=0J^+e8{Cp;FM^p)s#MG{7Xk5k90=5yDbd)&pg_IT^m)iQr9 ze8O3hk(t7cMBnE3X@9!oYtB%73R>}a)JgCwd!M{VI}(Ya4E99x@F_8<`JF^0l%QVa zyK5wtP2eXn#VHsjc8q9Fd_Vm|`#kY!toq1Vo}L-o2oBUSPJ%Bc`V726#xzl39!lI0 zYEbP6G$-4;yH>`AXQ!mh#0}}EfMvb0A&FQ0`*-40i})vV-R#p7r5l@$H;!cQc>WttH^INjyn8aYg7L!k;_zlR>o^i4~R5*J9R*FsAtKV^etN z)&So$Ky!5wS;z0zLUSVXTlyoP>)R7USdYluzltksTDm1R21#GxH0 z_&ZJ}qotmszo#Xh*w|*3=j)=2bif&&8>{Q0i=#K#)T#8va{pQHR_$zm(B71MuIsIg zw`qJYUr)6UTrk6@X6x3V48Z~79*M6D4NXV)H^CX=_--P<*W{VWGt;`A^~&%7@xjVI zsV=g`>2oRv`hA|Yjs>yXFVf==+>T8!;VS~m#-@qyI$8eFRkuPHrQ6pizgW}6UHIj% zkattPy2<=pz!+5=0B-`|MY_N~_D(+XWQy9~SJ}E5tv+cJ`Z#Ub{fxBL^%tkn z_GeGLrU4&FyuTT>TGjeR1+M1Pg(Ou*_<| zu}^58c0<1&k23Xm`A*Fe9)(S8Eg*(v$m~xkqtSRbuLHlsr=hV6SP%ETUhMsI`u${m z&#>laPi)FX9kyknzsXv)Rd2Z_dXH)^dp~(PKy9~sp3L6cL06?h7rP&0oh&lZqnE^^ zQ<6RjUw6EJvY}1!g#u!$kw-~exEUJHNZP{0vkoP;mblyD=Mi&CjP1yqh@YO$^}WR2 z788%Vj5V@P!zW%a#U}Rr`AMEo0#E3VZppef@Z!o?U1$HCEHhYmJG{XET9OwmEk~!w zG2jIT@1^)b_|ybFehOc}pi@C_oOZ8pg8m$5<@LHZm$Ca7@CNjzE^q=S*gYB0ZU(fQ z0qqhCT%8W>rbD~w&~7@k8-#X)&~6Z(AB_A4IfmGgeQ z93BW=xRv;9;B9B|KyX2P8$EfT=v$%}$h@LkvoF%KhtjM?htL(0`t0D7@U~*3@xZFh#vMb`3Nw(qz{nkxsmB*D zj#|B*HmrFSuc>wAF;2zyKB8~9$aUVwN6tQX==nm^qF3OnkAzJ#>P``Tqh9H-Lg$Gg zvp%(R{uvn1mbwv1`60GxRl7Y<_gTF!))IrCVV+IoICTex`cv?UA4bOGH*hPF&0_On zhq>6$?k~9Bi%w8JR@!QGZKuZzTiRkHss)x>)p*L4z4ab$hzRW5a(JHNhwrBN;cEDy z0j%&dD1K;kagFGzm{PEmt+#lYVOrz<}F zOxUm7&OY|Q{$W|Sl6xfa^UZB;`A6-YW0DxXG9(9dKua*qx~2ujqinU%fFY zgvYK*bfbU8Cv&$NzyWz?pnGYBW!PlrZ#x_BMUOfs-siq#CHB7Qz#G%o%s^FA-?RJZT9~~roy?YiYaZ5Y3;!8Dx>&8}NAhgvI%IWoo6wTo z7yMUa78Tk4F8oXR_i2OYzsF|4e}>;Dsv*~ezFGg9>+O5i=a`nNSL#d*Y&jwNx*Ir* z`~}aTIbwW#f`1l#vZwBI7BBb=n8XHv!+VGoqn+@$<;c$Fvv?EVcFL6fpAi2iI`cvH z>6Ms30*6b*|6s)O{XREHymaWi0d7zeSuzCq!d{i^Zz+3NhKA-Mce?LkDSKYZK9=lz ziM+YME_l31l}~se{#C_ubBmDa5oiY)d8|M5U@HA35H(}9-k5aYz{;KA(ZQfy z01l*Z>3z!S-(Sa$Wd5x`IEO7cpqh7%X@%cIZub7e8f(e7m8}HdNVm|@tlri5vG5rs z^(lLmj5mJXl^ffgV4!abM-qXS$uY`G>@#nN|CyFQQ~2dl*7fvF+`wKaQw|0bPntiF zcU+(7d3t|*bkM*f=fML^+5gUEUvGs6O%s2o-eayU@fP~3Pw(yaV!r^z z{~-_m8B^>&MOUn!akiSm|DLx3#pxY=;6C*BZ%Ws!JB3`q{&;6VB=O`+Cp+H*wrU^q z?4xc*w2$cE(l_xg=YZE6It|odA)LIh(NwwDMjuN}w+N28!wYCI{#9Iw`Ai-;bLIy)f ze(%q7W|B#uUBBCXegDWS$;>&=<@0==&;4>BBXz&1UG$cs@4!o*5tyZ5q2>=%WcKXe z{ielP$^APOCM&Vo3(amZ;U(np=3&EVF+Cqj;Rvre^Lx+$&Rd-U&rTt?s<*bnxT0kW zd6iS0oM@)yKR^!Hb=gh;9AP}>J%XM!#S%JRP3?of=9jCi_W#{}xw>j z(@I(3d4Q-!k|6w)h^J5Hb!-aDQh`bIe;N2>r06P6hP=R6U!VOtEuNw}Ueq7sYM^ z?u@%GTVN-0(eN2zD^>Pz)7_cu#RmCSN>njqijf$GX}00*tY~% zMCSBxrI9mj(wrAX?j-i+7(IWcHJ-GBz(~<)p&^~WL%y35Ht$21oyZxPMo4SZ++`aM zS_`Ds4t(#5W6|*Ux8kE{K_A0jo>{Dia~hd5qS@DAk1)$PlWywpy~(_9lBbt2?fL#6 zIKln+D9a7jNW3>T@yq*u5G#f6XidDEP|qQ24a#Q@&$&lgqnWSjL2b!{?_=Ash*8A{ z58Z*T7Sth&Djtb_@h*=~&Nwhh@yTiITk*-=ze0bTk^Fldwxfbr5%O+nbb?be5g!0^ zU|%F|7`Br^LUkTB;jutgVKayD9kS%7xEjn+$5~ ztKBDc;A}tpn$)J=M}8WzD#>?(ugG^6`f5l!$nz7u@h9P{;T}3>0qY@_q`FVtJ*S#+ z_HN?NvsXk^ds4y|Hy20k7y6h-qkl@dX1~@2d=Cz7$-@WfKZ;JVIOtjlDt$6E(OGycObaUDJRk&8kFkNPF& zPjtMVf-)J4{hM0Yzc?DMtORZ~;%E127k|{6#Z~YnY8+d-SG!o~OuTXvxuX8Elnx>_ ztK(8P1O0Af{UvJs?i(HW2vnRPJ_OBh^Aqn2T%2IO=I-cw4|pGmi7#*lv)NH@OS; z)xEQ2Ug|MUaLkGc0(YTzjPazB01N#qdtKIf%mChCiD|NX4- zG_p}6F{S7&R~!h0`-rz7M==~gk0@*SEVz{^ebSQq@SnQ|54GV*pgr<4(|!& zCsMj<)NT&oe?kUf9HHAOc~fLfd*VKyyYU3}YH;(f>@Qv^wi@)1nm?b zm3`*SQL?bLe!Z_`D$gjHQq!%gr{e$n&ACdpL>{!NsMpS(2S zAGdODnc&`SJ{Fo)A%UF7Iz_SW^QBoj?n z+<4W`BGUiIzUGNwtSE|HQIU`BXF}`>p-08gz9R5vJNj#4khm-EA>Rk7zbh~&oY~N=j0h^i7%8d&#{(Zi*>qli!FI5KOQ(EitfxBu^m^VL3pO$p?s0UBu2@7 zfLf){C*||OmSO=PGXEV)HmE5+X_df-;P+wk9e5yTzY<=QW0t4n26Au*WR1fAS#P=0d6Ife(`Dd! zd;R^2K8jA5Nxpp6Ipdsl?+js=$#oJd$rm!Y&!R7!UMJ#wvqcUh*YBwO-P2zC0rbkF zsrV8ZaDhBqhvL)k<>mbJmKcvMYZ6)+@HJ{IRfc6KKtHX z(CF*(CH~7;+L8-g@{tXKr^lzxpV>D|8pk*a@4>rvh403JTtkiP;k)2Yv;_gFRDue*c6*yIEG_Mc2Y{vpw8eO|04XFl1)_ae1-xhvQ~-Bo>m z`p7z2Zm?hCLs{Pm?z*BI_?|NCJK8eDekie);L4DZMpuj^UGd^Dw~f%1AoNOThTQXI z9_{g-Ay(|nM&v1vt~ePUT}eITteORV%HWwcVzqR9^PRu3s)z?U;~}YW_dA0g3H0#M zRDaH*1!AKj{y<00j^h8seuOO^I~9I%?)r>9oaOT0f(zgbxQC3XWLRP=Sr@f{79CKr zapW8$a~X`~SAK**AkXtBR7@E4Th~7f6;=errWm^iTa?stDL6;`tU;;UC~-RWa{)g* zMWdg?KiKmFe(1c`l)%4O&=Qn5hmvmmWAI3WahxXbmYRQ#Np3AUm-IdpIWCA^2h9wW zvmR6Cmzd~K0k#`*KCwTGFFdH;hwjOH^1Qq!dmMge5=t-&;17QwN|zbDIHku z4|aa;fW%~-#FmMFCmDMoF&PEtsQ8PqIi=tNa?#Vwe=V_y@Zam91ybAdjizbj$`hULV`>i-otKP2wG6#1?O8{feKas`0{u`xxJoO9cd#KuUT?Qkx^jofYYuF&Rg zU|IenD^MxA(^<|@-fuR~wBLJbQjgaBZ|Eb_?fy;kosJEXmyhiT-SOJQ=NyTPtKNj4 z3%|>x3&B;^5PX?6EJP-#Mn|fKH}^6oYrfq4lu@{Y7@=zJ+I+R1Ffo$uUSgpoAFq&j zMQZ!n851WPGx?sFOY8ePS@S&+dhSF*v(G|rJWIDqxg^se9yY;5hg+k6^dPZknq^(6g^h1;=M60cNvT?w9?&J^Lh%_?$n8IN?vd}EZp0g z-jf`**zEb5b>g`p`=*}&+n+=%^a%U1#Dv(RQF5ZlS0WcToOM0^xy8gjC(eiLAYUc4 z_?mub6ZELRAJ`+Wvrlx?mGZok4Gss7&9c9?;9P56#kAN=`Vm&qtF7Qad6(~UAN{oC zLNOP()AiZ#noFZ`lb&t`1DZ4NZ<>8a&ODhXzV;_k&Xs(MwY+~%G`{Am+!NyXs;-EJ z`=Kd;)V$5q*I}%zW1kHNz8{TeEg-*`_=UoM)t$Gf2`PQzm^-xjtGewgyg+cye*cCG z48c$HQfwSGLn7mZZ%lwY-1A_W7UmAA+?&ESe2#q=#vZ*Cx<(vRqdv|4UK3~bB=BkZ zn0;&0l+fc(PUSvLvAQR!_v8Kz%#E$4J9Y1lO3vy+b>_q#r0y!`wbNO84|vQy`%Ypa zzjgbYi5X6HTfnJm3OE}t)a0JU8nL;_JzGT_2lra=&A#;zpVy$<;49qLhOh8T;1{@G z$hx;y6BAYgeOivJz?iotkip3j`etI9(*eDzP6Vy0?x5Udp7}2;cN%fAUjPTIq=sw0 z@JMLh9z{p-R(>`g1!lkwJdhf>?6)Mj-xsWX=X37R z9OUS*xs`jw9(XR53kQ8a-+Oj?`zRPD=dpm}$UFzhaf8Me9P@BaE1&1RyZm{F7-Q@z z1~_8~?3nKzJGXFr3eTPX=is0BN9?ZqfqAdyUYt0n@K%cub0jj-^j#LPw*>YIHuX=& zieunI-;iB*<5XuQw9kkQNj$H*Pi^F-!k48cy3h{yaMN>cb>O*h0$PKdAfL(KR_>R@ z&H??Sc6jgfUps504@c+o2K3B%aVB?8=vWi@*T`6J@=U!OullMU4?GcZ?pdH)uPneO zl)`l_D!A@WJ=QL{P&~Uwo$a-W3}@+1_7Crnv-S4>8sD7(4bN~o@c&oWm{!$F)7x9; z7Iim^xtlfK-D6Fy*5pfccY@g}FpFn#-?%^I8nfQ|_R!C6`55bOm3zSdyA@C0OrIqB zS*Urw#@tJ}m(1hHJZcTo_wwBpwtPoyidEgg8rqU;sQ-zxZrxCP&Hd1qA4Ze=+2O#S z)VVa*dNhi=EOd(V+@;34p13-4I2^`uWGppL{U5PUl4I73Z%gvbI`5JH9q;cy#JC@P zcF0+I0kMSCDOv*$Fge%UAhI~Q4;s7`SnHbFgvRhgC zm)+a|^(F3sHq8_~`cV018y9%%om|X%kZG|oh8mEI8=BN!*vFvAl+;hqOAH;~ZUt*R z2YCvaO61MLsLBNwc}Z-z)++7@J!LK918ixM?|CtGL&>%5yHSf*x02sv>hYR3U%a|n zvtADpuXh8Vw-HOs-^?GHdw9^=8`Rz3-8*3W$j>WAMrl9lcWU4fn^+@qM`$y=2pwH$ zU%DJioROC+81VcmtX;{pBCjYqS9J#d#^qfSTT8s6-zY)`3j7}U>{Ps3;MG%BcZ<-J zSmW>gRys@yM3yOr@6AUZ#=dr%wQw&IQj@Gi;w-C!yOgdRD9Z*OcIL#@82{Teh;2MZ-R&L{9bBa zNv(w}$^AjbpM|_X`yMr}y{|OW$=U$SvanGTi`VLBzYXjg+Fu`qVdf0_1MC(@6^98IUC+Pt0m@32VY0!`Cv;_bp<45GIbV~e=GZ` z6B^Pl`{4J{Q(?A0$Aek()Dm-OD7^9z`(O;7mzXxmndEQ1gxsGnbYDIbo+&vReAYYi z*#bT*mCu?-KAXvB*h;Cvh#tv%XW+l{a_kw?!x!$N#e7a5Hj>Jq&*_urnEOF`%3H9GW7v-w}GuLHd8!(OQVXKfv&{9ls%pLKN< z^Z#Vcen8$&u5F{DZ)#mKpU@Y1&YQa+bw?GgQE@V?N9YY_+C;53(KEq=Dn(0#zlCNU zlCw~GWT(Pio9Mwk5>U9B#8IiC5<3^$r0AC5tHM+8SZWA}&TL=y8QrP@m%vqrp16Xq z((@tKSgFMxo=-pKbM-hfQoM?|59;=E_oi3|bmB~CV1}hTrlHwCuynEKNo_3moykL1 z1~G=y&efbdu~px5t`^SSrN^tD)$H4LVMki5TY1Q8gU2S81a&1Bh|VLl#xnka{?ofM zW1q;-;zxI*WB7BN&OF_{BM(^te}IplYHG6jnahAyi%j>jUk|TrL+9Y9CSk<3UPSFt zeySI0;SYE4SqU_2rRHG#33t2n`+0*rChRkuG4X0f*esUcn)6TCY7TGjkDD%g=~lE) z*5iJ2SHixy9Nq+P!!KfI_?dUtkh5yn5IIol?u2HkGdkt|r0$Jlu(la0b0E$68z%5jqMig0Zcu=}W;mt;DA)pVh%17f+1XS$@Cqu%Bn>-y^(} z@7+q|H}U;JyOeCwTmPb+kr^DO*}Qi;x-GQSo#O9Lr&E88UwD30=v|f2)%x9%3q?H{ zWfKuTFJl3tK9NmSy-U;F?eT*IwHd%G?0mepPWTLPI|2_bPL8!RwDl3c>_x_7j3Wwe zz{_})JJwjG;OAVqBobcpC29i$&m;_mFUY;KGN-6}CUO6qetrhrj98 zcaQ4Uq+%^x-Ge zMf|wQ9sMRaXl{%+HBE!cz9a8s9B2P832jyN8;!>K+=u7!``Y?X`Ru*60r<^_r{Zzl$2>f4M;DcI2tZ4a{Q`*y zy*d4Iwv4MgRSUT1$GJx$XO?UBt%rToj2JSuAq$$=u8;}c>ej*d_Ez3)k67O)J{|rd zG+TaTT%$OsbLJzmA#$P6YoVQTrs37y5qm@T6bJare3rS8JNqK%M*RqxbKuySGM~(= zWKianTw?p$eUpUN5@Yoev1C(>$NhS22IpA|{hT(J_4E7g8PL21dQ*lDp3T(bcXsM= z-!jdrH8d-h2akoftU68{12&eK$Zp*G_^p%mIDJOri8JJPMB-(I*2HU?BG8rSFg@v; zq-cr<|IR_7dt!rgzqzbGUUf&rsd^f@0-ShpCcIVC?1Ohehh}Qw8ke&Bh>T|p>` zpV>`j?~mihnzSafc|iE7z3d5fZc;N)a7^i{FD{4@=b+oWcJGdX2 zmvcrIpugQflc|-*JKzb)_Z2;Y=EMpEivC!B`1FQCP1zfu<;EjBu3fk=%hRhU^vd1IPnI$Rz%FurU(u=s-_-L<@i8P4wZ) zp%SzAi{TsJBu2Cm8_W)$v28xIWFPrlg7*<<2{j87|X%05)w#;`s633%YP z2ypvicco zp!v`^=y!+s9USCPa4N?rsu0=>Pu~`aPwJq*3N&VEBm7}6?;g{Zz`@lgsr@$3uasl;SGe)f(n zo^|=$x~zU{Q=zgq88c1YspQL*pASV zBF+Ct!MWfZLvq_3fknFA9$1SEfo%Jt@KBL=6%ML(*6)oKXTrlWiQj8sO^Jxa$XJt? zYS4v9T;wz5Q}6Ym6X@Z}8t@MOQ-v&1EpwqGugL$jzy>|W7fXZ7Fg$oKUUi7OM82%h z@FeXHp{Iinz+{EW!Lj!>`eTh1Q(_OdOfk0VXUDci&lbE?@vz7)J>})orH+7{t<(-+ zPDL-6)1x`^Y)VF7s+U`5VJoRIcZXLapRvc`=Vy{1bDDQzML~EKbtqoEA|f(@0}pXF z7GVd2wpN2Xfu}Y5cn5yffM)!R`%+U1O-3G=YYtK0XfR&W8X3i}1JIctJPoWD@C-ic zZ$GVUD#9zReasO=#<;xA=S(gJ*2K%a-{%)uK=DJBN0-!*CKsvi-LkGh>@T{zE-)bS zlIT$3rQ5am8ORR4?W&fI1&tDVrr->0oBUe2g0t|-9n@Fho`nj6*cG1AN`k#V7JIhr z$9}!lA3wZ}TE`jwaNkaN2WN{t!p;x+V%u8IHnvrq4g5`cR=$(>oebhi_AQJynfc`+ z(-Ak(9R2}(o|-dF=Ke3nZxnfD??qrUC_yAhGC&Q_! zDR8(eqSru+>4k6v8bD0@9G%>-@x=GHK+E}=dmW$iGh>gAUHJ1VhO8Vtt)NBnud)=p za~YTUq?VkMTQPc0nXiy_B*Ex+Kj^Co2?xzoxo3+#F#b+_3Obl75l zKwu;Gve2xfet{2bR64BqRg*O?l?_H83nJ}b5I?2(F5x97 z_?=|LwkI?3*Lnl=zKL5gw zkAF-qQzE_+T7b+XIn%;t#r|qP*cKH3AU-$fTNkqa0wrr%!G+q`=iPF1DAooIX~Xy4 zI#Ua2+RkL z!1SlqD)~1?5wrq26<>*5pw``{*6mg{4aOEChcE0Hij{T~FVh&2deC-O&=m2sH=))M?S=Ab-p1rlB@;y_38cbobh?|xXpPSKWoP5i;wosYH!OAPGA ztIErTahzM6)fFv|7pOZ%h-DS z(52R6*gN`$w$yeEN^M=MhV=!}wG{21EOn$6e8NHWu`E6Zc3WyicWYChC+Xw?=#7`V zBe^={=~&dv^=b_4L8c(bM{;{4uSaryW`E^l&;oLPZt?PZ$my}h!CTv>zZ)y&J!l*8 zo07xZZBUauG)t#nB0qEZnXBhoEAjOgJ>;XFFLv@A>i8lP=Ql5Lh_w-&nAlQ!WI-d% zngi;8>W5p|*oe$h;xC9_Ev3If5n~ndQ=n64lb<>K(2Ix9{Cp=r;{To@z4V-5nU5MF zb7G~~2(UZ4_nU)p|MgMZfBiYJB4T&w)g}EH-z)w>CdQvCHP}@@b+Knslifk47axd~*${BBRXW)XK4%^JkbGa`AGELk&~Fg) zt91UFZuB~lz1b`85tn>Y`dB)f&IK1rlwKZRjgFGm_guzsS9~}iy%z0C|HM%6u8A_g zs$;Esdbdmj-mHaOfkNOhL&1gKjk9?kSge_?S^s*8^hwIKCuLGw@ye)snP$fp-jYNA zZgkQL;{53M@lksBUAFHsdrfxoor>f;#o%87YsRm*nfl+VuXS2)*~|8Q6InFF)n2|R zzW%3C_sdWGqjM`gAMOv%0WP`Np0I!2qtId!_EIrq&Xy-IzCTCBKHG17NX!ggjPk#&>!pF!-{unvQQ;j(l zQ)6?YQ^`r5N>1|G(CO*wZpobO_4LtM;N6R&BYtGir-hcudK~5j&-}#j{fe5c@WLbD zs-Lw)CJ8PeFTN(frC%m`*sFrK?xgEq6g-69y+rQpNH5^BW}llMI4b*tSG?$~Tm$Y3 zp2*(Hi8Y761a$g4Nvz6BXj9El>|yTUHfT#t4Knr-;8SM+H`TL)JIvi6j&HX7uE?g2 zKfhbZD?dV9m!21r{vPeq8$(48;ae!sV)QSxC!z=Cmw#P!MDOo1lfQ#DH5_g(Xg-U- z{p#=h_RFP@nDDL8>{|l%hLZySbC84i9)Dy(`80#xAfdvjVWjmKb8gJaw-*~S)@Qi$ zehZ$V@SXlQ>b?BB^Bl8`-p}I$b|vHFw}-9OAH&wAXRG+%v>rSPPbKbwa|r!+y+oSx zdRWhx{tr=AM?Rc6lRO-Kq?g#-@x;FXr`dae*#Y2n9dYIQ=-yl_%Dxzz)dHSpijGDc zRLeN~_F~BkG#vN~FwO~S9fH&2+?$Gtt+_EOvFZE|tXKF$^inYB^@5E_;5J_Vb_53M z|Mv4aC+tOh7Rbl{MJ@=vDV)Bec_LHTXEt#^p(}lT{EtqSCH*s=@Y$~jJw`U+>>Tng zu+K!csPF2ijn)UuBY9TFCpmlQS+D`0_`G1a8`(VoPp*dVP`{2kM)a6DG#GDd^SKwj zPL8l?Sokrvi&^CMLb1IvM=oPCxu2zzYgvRerMp9)`#6))_0+- zZEPQQw|UbgvPRbb-}Yg5pWk#bWB+}9*jJRDWfZlb^Xa4du)8_bH2qtB*!Q*pmvV3b z|7LX|abm~}&`$%HTYsY$ySt=g+i1Aue-@j|Z6k2&+zM`klNGu4j*SBQ38Kq8cP>1R z9gLjc71SN_`m#IH$9Ktn;H}C1;4T2)(B@R1r30#erhCm>qx&pH^25|4{+_%mbILqI z2i-5<*YD(J!%sIUxsCp>DO^p*Pt`LGd~~bLN)IQOS8|tiq2;oU^xo8=;E{>xyr1=!d;H=OaC!IfnAh{$ zC+`~Kuc}@Mo!=vTZx%gQb&oeRPvCFrs3|`2dFzMtd7VgpReoeVFhKV5BcDTOdZ({f z@`>3j{T)Be-69r1`ld#8uRfU>BgY;3RH}H1ajvN~ABjo_y{)Gbrb0{k5Lgs;9NG!zR4Fl?UBbN9XXqANU=Vv7B!)Rw7%* z@~4mGm}`^!B%XcFrR+)SgH8XygZ~f0(|{STS{v&!xwn+V27S zzL}ccYW`60U+Q|;l_!1DGo1e533L{8#c@7s4YH=tRL)z@54=3+Zp?{Dtb+SSag-XI z#uoFOv(=VRPQCqU_Y;;Nd?eJ2&x&xiG3!b8%y(RC}!T7qih|FnaOV5<)ugYBZ z26#w*{$!a?=6CPymU~10ZT)O#<%htr&&xBs@=L(=c|H85%zYVizdb1XR`WiSQd0&+4w-LNY8TudKNMhve%w0#?BM@1b*)4F7kiHNRQ|_@_!3*5As40KXdnF z^{goW9JIp^E%8I+J}tCO(avh=vHg+ksIA76U)OabrGor)LtNE$Xdx%_0zu+9vXJkAX%U<$Ce0c4bZ#SvCg?%>( ztM%iC?3aFhnzjF6^xCg}dCc0I=aXj}ba;Q%x}X1ba^2kb;dMVVJeHNxVXxlzv(f8r z{vx%Jv5|RehAuRY`Tq0NetR@VPa|~4#~oGA3w@?;`4OJ|h4Nn~&&SCvJ#Jqeq^48_ zviDtn%MU;FLx<(eeDL-hWXAO#e^))IueviJc;c=1XYk}P=l1#w-rli`sTj0~b^-Zu zlhnD5=|L^yyO-Q~!peYF=aQqnj(5gEa|@%``*eSNcpl;X8K=VK@_luq_Z^w*P73sn zz-7^$W4@om_wS8-pXbD8w&d7XKXJQ({4DoO#a#Wa>vQ&!I;9_r{GsGTr5C5x+a{4_^;*tHKjQ)SWS2M&9bp^JKIH zb+Ei=Cn9V3v5%s2jDFUWFY?|UHTKT(7Tljpf3;%%!Bd2uqq1VEDW*}egpWbbVyi|;gtz^gp#HsKi z_KDcG8f;~4=;=>lOKicGhbAV@R&#bjiK1;#bgIn#(>7TI%WV04S z$y%Zd?w{ToYXkSy-^+I*|3%ot4yQ7xxskdZ@T68H3nCvde&;E2EwUzZ)0}j;J8T30gD`i~KG6i(00lS-^h%ft$FeV|equ z!?ah)C9nNu^nIGwzJz$>du5*~o-DF}$RDveQS7@>-QzExq>uVnjM%vq*n6)t;@Q-z zENn51*%kiS>=wV{hu?@!Nw02uRZa#q(CO_&p69v&=&R7RQs~;UBwf2Wxuye3UZ4j2 zM2S}oSN}R{tqVdE_rbgPy`&tON#3ndG*Qvi&8vp@V>jgIS&w(@4 z*{H}B8sKC^#|un^27)`(K8a$NOs%o(GsrDvY54n=lC6o$2+gX%)^!*5acufCK8ef? z5BFp1Uybb0pB-Ur!^!H+v(_O$tmd9-5qMk!_9^rV@xM!)U77YdyFBwU@xQ6s=%;)i zAzv-=}!JC46u`F);f(*KsCYW6lp9Kk!Bj zxn<;BI{QS{6WK{*DA8Yqx6t!*##mm&y+pp1Gv=HW9_F779= zlGSr!pWKk|KLY-YO#y#ukXHj z|L(UL)5D1Z;a7R&9)q_9cLm59e@5AJE+KZ}mHw#E$+6?I2EUVopYMlPKr2(@PJiR( z{foLj>bc9A+mU^9+`WPQ&|lT7+}*pgXsnFoUUgsLsq}X&Eg93Rd|vbI+|y=(x#0A$ zj8zRkG08I&-H0B=p&7s84y0rw^ephq{aweig6~d7jglcb*WZ9U1>~zt9fdo;2bR6{ zwdy3OxL=nJs=`Pj1A~ zh^1`c#OUm=ZHVoh3Jvh+RQS_R4AZJOvSm*s{}$Hqw7P51803jZm0e{2rltn zG2pas^ctG4=X}!SfV|&0IoI<3^6F9Yd`429zx>`-D^p^!ckdj=+kC;>+V)X!dqm;) z?y;YFutqLQoe|HCoYD0CW1mNseSge)uM~e8_v8;LJ3Dep_vmMo{(nDkRCFkXci{KG z^XvM^7Rv`MGcCZn{sN;rk-a5xXRNOW;%I41twTdX1~k6J{j&neJZF zJ0WGSRW@3Bq^IZxw4qT7%ks4Q==A57Bp-Gp9-UC2FdO zHSsysC#e?}MW?tc7%FAN^)wl z@{mc({i^Pez_6eN`gDYtxg)HtB`Y-RI{d(&lzor(|CW_4kFiDrJB*bxFoX{{x5+dj z5)(E&7BC7GJj9-#%*L*PJvfT}7}(9`XO6%uLcJyOHOjTGi4WF`9n2!84F7#$G#gr( zZ2+^L@(6i}dNyAZA0WOQ&??|Gv0-`Nkk zaX@mTz7WDk$vgja)APkN9`up;;-Wt@J~04M!}x^miwRAb zt1Ewsz(LMM&L;%TDhO4d;M=zt(q)s z1gy=$|A{^F)zn%gwnWxCYG1vzFIzQAmTv?Gdh8d-tN4@cy>fr(ql?Xty9y7GJuUiB z)ubsn32vrvx!w_3+_};8TQw#s3F3tu%y z;`hkQ#P5#pkeZU@)ZdRTh224FZi-DOzuhnPcz41T>7XIJR4v>v*~oC=BGR(U9~;&SioRBFdENidpJ%mEc9mm3p~jW{C%D8~k$IsXISm18 zTpc*mfDDBUZJLAB{PV}e_d?#K#CfOUYvmojKN*`DMRs8?^8Ch`@b2yWo}`{-o$49) z_LKPXiIE-{=%;@1q!9Ju)!Y)N0I#zA)Yq3D);~TEnniBmx;d;HdEm#FipYZ?FNoMGC!$klQ0G_%sj@9ACs8_rLS zOPq;jefLsi3qxR{#j`g>-T5oB4RTWK#T#^0Czdk~n>EPcobSEnw*|)p7iAsX&6i|- z#PVDp>)@UwOg}YNZ{q$>;QmiAW`ZAu(FxrB3Echh-2L&~{qe?m`uNy+E&1I2{Mejm zzVUHAKlbsKJm`RRqvQewkdvRtiw(!&BAfLzFDL#K{?B)km&y72dY;%_E^)YmQ^;D> zK=scSe1UJgqVVQh*}~&qw!W2(e=nO@U9nN=dMch)YC9QixOzQm-D1hF#6 z#P+JU$Rqrz?)>OPf_j|v&&%PvI?erB<7E2nh>tAST8r+l@QnC#>gd{gAH~KcF;7n# zs;)e7j;!IO;rp|qww)NoB?2#@0YVGh2fLq*ZF*C4pEIA06#)d~%i-s>x@Dmvk^4H| z8r}zWPDky7J;WX>g~ufK@uL&JwXoN#dmyw;I=4`j`w2khvvE z0nPY}k|Xeuraikse4adehxsXaRz3Gq_~F$jqf&p@y~;csE@{x?x#IJDFJg($bKC*& zojPda8lrLza_8K-SB^T^>D}CpE{B+PYK%kY^gM@HBWvZ4H0%F-p68JB-fc|A8XY9w zhdl?uaq%HY%unKD)H*YZ9PBA}ZlX|Pjke?+9mIc-7hc~MQD-TBX6ixptmvet+42Ti zv%t~V%9_`gYOdy=A$;0h)jz?iralb3N5womQG0}5Ru_iHRYgLxo}?bF@T}=#3sdil z+%W7b1y;lYW~As7&uy1^N@~dWDm7ysPL?X1O!9}y&@^Bo@p%7yp74G4S|H=UA$X4b z5OjlyNrE4&`BCq)@}TRd#@V;BMNWRi`DgAxVw~K8Yh^Bh>F_-mgFo#5wdDOFhHd%7 za-QmtuY`m?-dZjMV2IR3W2b*aE@v-jTF{N4GG+yVLDz1M62=A5gNIUBrZ z)bsTc+a~{e-_<`Te>=gc)bDC56doXYyui}E>Er|IyN$D{g|~$Mh4^B~c~#HAAKdSp zM4#%sKZ$$3;rL0`iv0FX!Ci2`uW+%>oM?SFyTJ7u(7~2uyyWtAN&K23_$7Gf=6Adj zE2_@cY}o}TOw zYh&e!54Ic}Emr(i>cvWYgZ~!gpwYrJWFB|dvnNzPnJQ}g5o_p;i_WO{#gp(V_}EoVmt#M@ee~FiULkH` zvy3hLM(BMyFLEz0yHECHw_ovZw! z_Y2rx?^k=0aT!C+o!pcA+_Dpn+RM`9UcNe-_bqIj_mdX>db@172I{?(HZ-&zim&-F5uEjFAQ+r8ES6^r&TNTPjm)Z2kQ|VQYOz;!oQHC4P}D>*EWM^ z$WEhh?V_e>B4b+B=#VvXK6%vZ7h1|0F&8xJsK^;gKJgj3tVhWw_SGxKDY=E%9iu4f zw=Z9Loi#2E?*c_i{*wCS&f09^4u2F#?cXxR_sae^Z%g9J0bua%0CMXX+{^np^4I$^ zzKo&pPVFCE_mUrRk1+J8y{%+#x3V{6{`7lP*7Oc?IKJ;u`1~+&hwsQ(?DZ|RPyFJt z7tZ^bktTD+ph@UJ$XwD_A@5D@#`&Yi%6o|Q9hUW}wJF&Uxl`fwqRy0j#=EWX`lG_v zgoXkq#qYt%V~S2D#wmV|Tt^(!I6rjdv%(uxEKZW23!mWm6W*OqzdyS>?DD)bPr+^B zE7{Qi_v;1LJtKYHBljln9@hWbDfcFMx3T5#Vm*-%HlR=uaR$V)IGM;<=n=-pTReJ9 zT=VGo0&q|8cb;~kotrgJbXlq4kn-zU&=v3d$jagPf^2M`LbLD8>5nZON3P)wC*bXQ zZtz4uG4<@NPP6xykO!sXn|?@iSJ7d8;q@uno_9d-*9lfB9F{t)`0rYkjPncbpu|&q z@as1CUp`OCJ;3y3&ROwQaOH6o&s1qX4!@3YZjZ-^xf&I3)w^i3jFCDU<~g8fnL2x5 zuFk&p*(Cj_7`B-sE5P$4-sx}4jJFbpO-`!FiNs^GCv0fBdwg4R5BRKaou?rKBU6gZ zvHO)_nIt*3yTR-?k}{tYTqt^Amhth_TKDkIa_Sc1Yi-VJk=)Y}`6%y?1~LM*JI{0< z%zI4T|0Hcp?uUAyQD1B(c6ZKxl;5^FZ)a=)@@vX(Tk?dmMaUV4$Gr<4l%D|(b_zWL zpSCM`M|xxyRrs-^2114W%s8NU8GHJr$OXcKM(}@6Ql71U&nU$|{H^Q)(L)()L-K#6 z$MU};_too}lDA6Yu&njy0q-o$F1ZFh9$i58Yx)0F&6#gvo&wIBc)`>?W1c^X+&ulT z`tD8>yK+)aUOyry^X_);L;-8WW|MmNfO^-%u|+M(vD5b8eGfkU)~OP?cKLecyMCi& zx7^QD?{wZzEb-y?)}s$RndDjMyJ?B#{d>;jO88abfahg^cUE}{Q}n!+*$O9x2I0zk4XZ*Mi2LEw~2i2(azdU7W)>t zhcoV#d18+;x3a|v-z3+ZvlZP>Dk};XNirnuO`-eX%v~=^n)*|t~_VqLY>1i)*uE4@ln)BqhZQhc z+jy^rMM38W0>A%h4GW{OWva~OAcI&L&^*SC&1!?5K#Q4Q;x240!{^Zu)AIUf1SVQl z8PqUtp4C&(KC@&Q_r~01SsA-5&UH|YC+7jbl<|6cJ3ow9?G*TX^|j=)hP(#Z4}KD# zQM8jYA@+=V- zpZ*_z56sorGFI|zLj~vPQu8%c=VI8NxenSveG2MBsCtp$5Vk{XnaCc3GvL!O3>=XM ztDxD}?#A!G!zcJFaj>zOJ5>FP?sCrYvH<;KcZl65gIuB@HemyM8L;vD?doI6_m{sJ znniurne)M8*|&^2{cvc8-`{g>{hrVy`JcYnoP(_AbZg=HKgqh?YV#<#+$VEdnb>HU zm(PwfuUZr1y#ZZIDEW*#%(L$Sn*qyc!B$F-o1nox15UFJP>0}%)FHr^DR=QY;((Sv zz#62chhN#Cy!t2uQXj=KDzIgHVa6_7vd9O?FiMdlu-#kGIwz|^^iBLS=n~cFmejflm3QO!rQU(rg3P&zniqwp z^a+az{8se`C-reR zyMmnaTa2apVHjImrpmdd{B$;JWc>y*kN=~Nm!tuze+A=WH|#u!jG$;ksEGPl*LFT^ z@H;lMf^~QH^UNrEDtB7x*Q01DeS7{6S}ML7V)8yEyodQthQy~b?8_NX4CGy2kBecv zx&^(a0zL08^t^|Z-$B(B#J`xF6H6pJ+Ds_nqQsbrG zcPC^H#2$ghJ~AI%s|0@a)C3m#g1lZOWil23+7q)49>!LaG~zJ z+^2`fJspX!ewkXZXGN$7gRPZ)^}UIHA-IifATeZ>=3LKK!usm!|3l(H@eR&X;{}Q1 z)|&s(qkD;_R4nq6QKG9KMehQRyeILuy!%yyyQS_RHFV=S zo1)Y^;@spto@H-F(HbqB^Eo}9d0{kE_*rOqW=;wYGOs%+v)6cR6g+!kz*asJ__}MT zSE%Sh1Nh6F4Z9oh?bFZb3@w~p6~(uNzQDeZmgvq}jG@4n^^glSU$>7p!Urm;Pg$hf z7yWCdz3WxtK0W-99+`4xa{h|OENRFHXP*}pUDnRZp5(22jvilqZZw=(#M)=;_7vjz z;g9LG0Y39reS!D`(D4)>QglMaEQ5PJTI6B{vn1ZAd?(=5b8YRvQ}4V__(O`0h!0Zn z+>~Ds-9gqMxFh%teBL2P&GIR_@ZPu!uOo-mE**udpqK7`&1%)C1g zZ|#FVjfM+#Gkx6}I0UbBmo@Z-Gd~kWK5!*I-u@mrw^B1%or~Z>IYe0*s9}^8r zEfjDiRB#Y~I=1TMKH1+^>~HUTW6>`UoDzIueXou@vuHE6tHaQx9%V05cow1l7ULIj z&sJwM$I56ph^<1+u|w|QL3RJM$fbhc?0s)c@lkO8+PwD!o|fvB@)ma@kzgNh$^Y_s zsNpT%U;d^C=lVB<7D9KFTzRT&Q~Qg*hX$&#(_|Yv2mh>3a~t3?9?YI znHsrK$pI;SKx~I&=)a;DBG;WFPv<@O_w3);(lY)=jk?*$bGDCKSF3`4iJ6c-PsRdj zO42)B$t$c!d4}~WILO}A^WGi@t-$_d9jyJ_na-2(wud?I66$sD6gknW zgEiQO-TVUZ0Xj%+EUS;2)@E?f{&ph6zO9XzJb0f89r+o$R!u3g#X_k$OpU=dd@Iz* zqBhBJO@te9hYe@Xn>s=LEj% zZsf8K(H?h-DZk)gYsmLY9*e9CD6lP&+(B z4`-Z#olaoPo{FHorl~7??$0`iH7gwoJu1IzhC+|Y@3x`P;~j(U)Z+uz%2r^_yFZ{_ zTdwI>W1ve)4KpJol>a?}+U82Lx&GWpOu9RII?<+Zv!uqoF$TFIKpr+wa^Yrj>PhpvO1%xC>=L*ccT==N1_ zo$0LA|I6667T8}39B&9&eO*K0;2)r^3stXidtz{k)7Q#puh7f0>r=^iC7&^;awxoR z4L+00xKq^3mYSSv7wYyaZ=WB}?$_)W-p-L2Pq9t*)a5;;cq;uWWDS-{53WQvcZcWh z=*Iu>959dg?5?(X7Bzok&~^LUZTK?fe)ypW;B-+bdM)@>T!U`gjl3Z;me>OF?(*j7 zdhF^$IJgOcn_TE!sl@v~+!`xh#cVE1FlbSPLMSr6k(Wf>a^5(Cq zQgeI#mQEn!PXCZO5-A*k2Z?Wo-khwb&fGtCJ%T4;@WlP{ugTH+>+rSTYc2S1VSBKx z;B>G}!BpTGHXqQW7g=cQ+rvGg1ZS1aoHfP|vdf-;5VE z>?;${5BXotunJnRyn`M?jJc69>1ot0u#vL?ufaz-581mKckYHk?&MnDA(n(YB{5+1 zl?bnW4*N(#oi}vm0KIm&58TPDe!jZ|KR32r;p4rX+n`H(hTk27hk}~|!xTQI#cen`)>nT9Zhq-k#gYllxAe7PkAe_#N+S@i)GP?C_m@d(yrPqi6xVcEPFN zKlq&-z9-(aitnpV{r+C{y&qd1-|sy2`@7Zm*!luGyj(wJ{s+F3Wd#;cA9;c9=I%Rc zO%4)g7S!!&*in}9H@z~X?!WVW;<4)jJ|z<^g|8B?H~jgAfF~=hOynU0=gH^toUt%K z9aQKp`jp5c^y5Y+3l4?@`_%W$%jXNQkL**jq#8R=#b;H-NTz?blh1ad@9tB5oxJA) ztQkAww0*}@vYOZd6wgyJoM}8ycwma}rSUw6`-9DRqS%z^=Ys6Y@2$`zcpyHraiT*G z>&fVRO70gud4tF&do^b-dUDp&k$9#2JuwnCOVN|zH+=`OB_TJY^yF&f6p_Kj_Eb(U zH_?;nOQgo5=3`IqqE}DRlLMFO;WhK4q1hX_6Z4U~!0CdmDvwxVyDi?6+9kY84@LBs zndqjC*cr(233Hz4$>>O1(NBw`p{?kbetLpDLLaA}%bkO+tfuxuM&_V<`+dEVn=E5; zSAegKSvw$WMEC1cYn0E*me(sDSa3qw*hQyF>5-wW_!~A8`>r*s-*O)5Jo0bpv7DC| z)9Tr7-oDahbiCLA{REb$l#ikOMiY-EFFnfMX&e9skxne(;{u0CuUZZU2 zSuK8K!84n_s+WrnBz{tIFan`M-HREWt>a_hr$9$W;&&lG66?114|AQ$9iI@NN~{gO zyv{6;*aAoDudeEtogOzD&N)A7=bS%1R#f4S5$g~t_`FVEFJw^Y`i%9Q$)4f3QES|K z&2En(P;< z$3>94l9NY_Kr%+O;E&*b0q_5D&o=$oCa&TRB;z|dI^s`1OyHZp)t#CH-qg|eawB&y$58Q_5(lL4m{?Tm zF4%kT)va~J>fu+H_|tHXia&ip<_BKHaAryj;P*zYgEbhb_)*rue80v2@9jBo0dBpQ`bj!Fs+?C!Tsf{DBaflOe zH|`>ai{F*4@5$Y<%UcU9iPf7-{kgu|G^sg9-#a7z&L-WuTI$d39gKGaPtJB!Oz55F zG+DpBrf-^4b!a#yG`_AWVgX;pHzntSy*U5O9wd%(mH9OVL*}#Chqo(yAXeD=Zf1cUG;W9P;G3e3ZSV4p-gwP2 z-VX(@81KR4x#!Ed3qH6TTKC7uB~a&|7=Q0Crv%0(FX>vLx{vMs#k8F~=0)Cu~b)(*@$OcxH#5XQf@r@F57%KQF zH1sb?I^Nx34T8->$G3ro^28P zc%h|!gEU8!t`9*-4x z*Kn@8lkkBbDSoqPC-IY)sB`80;d8CwT$$f}uDaj&M3lTnaEf|RUf#RREo+c-W}M6% z=mB(RoT*^w&HW?h9yx1=933TVz0~T(u_pN)Jj*~HGh~m*yZ=diYGrB-@G&*7%q1!H;VWj={~^U*JnTN=-Nq z?u;vV;{NHUC-80Ss-}$^XXD5?z|nrG3;rQ@Glk=Mj}V_oypY6dE_&C!KVy~f0pa@+ ze3WnUB0!durAs6)$`VpUl*~X}+B@=D3hu;vecIMi;P03)Y#&8S#aV7FBHT54GE&Euv zo`sDU`xEjG_I>CWrt;ht;vkVfzHx3+HWL24=shJ5C_0ljB!BbSTf=-kZBF>(@f5CD za{g=ZPYv*y!$=j*Egz z#N#EnAJ2~5?Njdc_E;-A**7u^L~LvHFARyE`SGA@v<{rTQ-K&+5BMiSJCx zo9jm8P3D$(V~NWoHfHp@9xm4I7QY_y0j*){H21BNKLR^!j%m+6h8(Z(cK7qcbMRRj ze*SLk^Wg07M?M4IJkK21L4iq~z@)Z19riu@>pS_ZQTWpcj_hvscwOFaCDu`NMeq>6 z8!?c?7xMSRGKb1v!Z&9xhSv}iDCZ$Mhscqf&+3#7WaPcw4`IVl@in2@cY%B7c>RFs zqa=O`2V0W(UWa4Lus0d_hQ4-(5Gb~R7#!ah5%{w3tD3|XtJL)MjAr&=59au)`v zuQxwfQpBCQu}v%KA}j0NGb1>q9?a zKWZ=RDSbcg)WrKE@8xYHj^TYTUUT^eJ(1n7g9~qqPCUl<+%@W4h<8vFvw40%4;n@oDn4a4u0If=RQ=4H+- z{O;hL6J!i>BLw!tYsfnN8YI3})*x$1f8L$KS_*(&a^13~Py;;))7D~asqlQ_l>z*# zy?OXq(G_+gepuFYLPR)MNO}l^qayykaJCZlA^GmC86O<>pSPM+jAm>m?^I$-nplYLR;6s7g8wNPr0+fTnfNbdkLo+-W)6kt zp(0|{@XLC(6wdZRvp@Fmj*lCB4nC>4wa^Uib26qPZ7sx=@BFyvy6{=Q^lTM7nt{!Y z_$uVKe13NjM+;tMbof>LYJ;gsyh}PfSkDMdzyshsRbmDOR;+y(KT^*Tk2q02m+#Z| z#F*ThWXwY9d-aakW|E($p7&r+O;zA(cQtwYP_&DE0&@jx`T>mEQx(t+_&B^6IM;tT^6WfWuX@%Bh}ZDL*08_v|Jl^uz%oNQQ@p%6^<{iN@+jXkIK*%tkK_bIfhg#B?gx_kZMqpV-{ zcr<(_Hpmyd)tRKuN!A>`fpbcnVK|dBl+Vn%BB;k-50tY7@qIOfh=DyeWUij%jY1( z04JyM_rC7`pS^d1kE%KszW1IzGkX$3lDY#yMHm?_F-1hT8r##>8ReoLtJEr>^$2tW z0kwb?!S?j6i9;?RLUb#^9xEsc^ybm-N;s`=O)3!DV<9ReXe}2960|J=g@l{Q`+wHV zgd|$+`M&pe-tS`gE!OPYx;*Q-uV<~r`PplIUNyKVcs#2epOcY<%OqemacvjA+RN*G z7WRen!xqM~m{=V8HLI92##?kNo46A0*=9@3Td>Z@xxdNp%3C;dH3?_0r42a?K6KQ> zpNsho-X7%pwt9X`ya;9X%hrpsbKtT?R>wlO1d{ocWAdaEtCvG;ml0SK$g#c zm2rZ01kU9%HDepLSoR_AK|e-4^HBA=_xKFWzGJiQ4VVe6f2(=CaI4|7lvmS?@ zZlRBjv~M!kf=@H)PbgqGC&4k!dUcvfN0Yz3@?gwv-@;kJ;8PRtHf{kfJAGC^eit9p zoRdw!j`JOxNk@~v<$No9XR+$Dq8lT{8)nWtn&b^rjw;@OT=6FNH;RJv?}M%E!y*0a zudDtw9;AO;KHk3p^%=x$#jGa!k?M;@U%a*hK5yp%g%5HjG-vzzoRb?UBi*0mZ?9|v zM{_u^wYdubXRe!+wuKpx{+}a6W z1~=6h%=sW2C&KB7MJ|kOdSTAJ1*(~vE*L#(-fN7{F z&J3zIoc$X#=T6EqH%Gm)ol*O;W1Oe>TvT|g8<6<5Rf!tbnXCRE?0+iR|5YdLNn3Pp z1$Z`>u?G&;q#WHl&R$l6gOfMw_N7Kz?}#V_UGMxGk6!8T?wq3;h)P`$3fhk z)6oe2HFGwU&}=ijtdZZ)Ecd+f#+cWEOd7zr!(Y9|W}o|1w(cDwmF;95i10#iec2)1 zRlM*J-vfW)h1~PXejAngYM^`Xp!olx`{U&BRd*NVEo_5Mj}yOm+y|}uyvkkV@*LbM z$3{Earg2ZNNzu5qD+tcPYeWt~?_OwtZdWwJ3mBKDNIy6LkEoA|e1~7bvkyjP4;;?+1zFP|@eAl$?qi!pUrEOG zNo+%E-zuwmlCnEx-zv2y#Ewmx_4YC5|A|51e0B7i2b_|Vx$dnyDdSRNi=NPGGD zy-Rf~?=m?ru9kCM@H<_vTm6U!qCEHJ&6<0x{Q>Lt)4HSKlan$YJl5ZO{8HZglWrea zr`7l=WBTKoJNTcFTm5R4?Nt3m)}+)cUdY-U%^SA_`2RHOOl|KkvPR~sEz^vN{+yn( z_TGCIJ2fNdl=%H(@3f9?_$Sw7zbvy>cdl>uxfu=kILbP`#V4ZPV~aHJ)-UPadrxR~ z-eT~xq1IdSoY270*3lELz#k{`o~-RF`^Y={3G5Z&v&8O79g8xE6AIu1#1_lsyzD-s z<=J6*N7jJ5eZ;>=oVdD{=Sn9%htBz^Gj=UHc`(_D$JUg>k*E%rGxH)+nGa(F59-|by@QER8XZ&#Wz+rKI5!4Sl+ z=;mNIEUG-qoAN9=1AJ~id3g@9q{9%{*wZV3!%=*YdBizT*Q`9?$a4 z+ARD{zIRPi_?d#OJ)O4wa0l<@5z8jJynMfd-{`nfCOV=$6MmErKWhTMleiAG`fUG> zn4Qz<^On^cc6PntHX&1Tw$rB;_+z~;^1==s1fDWR?A69NSfS&c_A$!n7tp;9-Vf~5 zomq@y?*5@}4t$ol4tr50IDl?8JPGsmuUCLhkV+8>}S(`U7Jg40p_B=x}KM$NmjP4^aox71}-&u8Bi&fYUM*37nd zdPk4)eIN>7dBBObXS#c?Y#pFIA7%i-OQZKjPw2>+QUD%DrVXJa!%YnzD#HlSz!La=d3@gTKUQxT0N8R)xzf5zkF@shbFv<~qviVfy9fs@>Kf}838fvaum_U5+h-j#=SuLT$@opsOgB6I?I zPx>bPpnnI`{pB~dJAG5n6+dkjT9EOC-|g*^Z=VpG-X-6{#O|eH_LOWBo=vQ}D|;`b zWm}NF+fJoqo9|SvJ1H&Os=*iJ(ti4K_GRpgac)w!HC?A$kNrW(HtXh1nuToRe1kKx zO*_`#ZF&oNc&TRZuTW*!(5GZ?bj{jn=A6|HFO~p`Idp~sAxgH4b{C#M^*f< zefCiHqC|G|`B@aX^kM7h=yg5hTi#6qTlewxsXJng_6C&GFvMqVtO|}&y zH}E|>n%}SHSw?GP++eD0+nRjHE{UIoU!&LO9R(NPL!MONmpB1TM6Ru)ZBq`Z82ZuC zXtI6aH1gMe4Ee0^Y}H4e)bpT;t|oKA&?s~RKK#W>%QfhS>-Uq_@?7NF;P;Ah;7KMi zA`>%o>rGR)zxSTzwL=G?2zJ>CpSQdX{&rj!yIXWLd)Z9(&p)nX7wPUB+&g|yv+sw; zO~IGFQh4irbUE^ha&)}KK0D(g!^?h;^BB38@s{YIhO^*3?0sYs{t5Sv4BcC{TdToN zahJDs+TS~&+xH*WttMpN**rUntdCby4!<890^fCg(38*0Nnn#5*Q{M)L(W209oM|& zd(fTGLn+H!-mZ(TN?G&=ThVw-@qf`fZGQzaZx!Do^A;^q^3L;Dv%YQ-{+Ktx&7`gA zwZRY3LFIcp`wrTR9{Z(H^r4ad4F?AB?<<7oL&H9F^9|fD8likc_C}xX?(jp~ow}R@ zZy(Ij-TbGJiNF|})oaPut$gGwaZ=VDKh&)q--QR%>+YkEGIy~si32T@h^Y$ZT21-P z7v^&w6ubqUL->?+a~?DzyuN{TsNMKfKzRK+%}VnrKYdVqD#`2dE1>sbM>9@tc_6BI z{WVSfEqIrkzav%lrO@#>Xmu0ga`*vzVH5RjQf;#Ox5+wXpIy93{HC9}W+7?cm|c8c z;%lu%e%b?$R>0eXVcOc1?ZT(=@3Lnyevtl69|(>ryw*xWvdg{A3g}iNc-ICEH4%qi5MZ)+ ztY(ZV6L@!uo+G-a(6YM|TcxVmXSd9fIVI#d{~{30zp16PYD3GC9( zm2b*f(d2U;J7_p(eP}qjclew^yWr~^e8dtU_bGG6X8e@_UDg9qcXDl=l4Vmm;}_Ac zf@HglVdxEOH)}QYMfrlzIV?8_tp|0rW^O(GeZEYy7ocmQA4xxyeSgcbqz@HakTVa7 zqX*6{&>Qq3vYNTmEQ_^-_)3G$Iqf_RQcV?^5-3j^6a)O(Nip&vy z!(Ou3csl3S*BLVZ6<=GAZq9m0d`)g6@};eZIU)e z!u$N}F`!xCvf5XUcxzW;_htXCeDu97L!r|a{4TF^pY^Q4z4&?B3YCu*Uk0&O*L2^X z*=}yq?3rsx_=7^hv#@c3R={Nck*ZN{a4dUaPmH>4@Q7u>ApVU`yQ0O%6pSG}+kJcl z_Si0DM?G>lf!&k{S*9NH0yWI519R&4`UBtfJ5R!|h4wSq(}%Jfn6Fj-1mgISXJx9s z5!@q2i})F0AEjd(7(Vl zUI0JJuF-6KX5LEt;WPU)$GQ!;)yq4_*p~zwM8{5V2BxNhRboX`N6{w6U)i|NMtN^9 zd=LJI3=|y!|4ZUYbGN1ZEQ9xmoUxRiI%T)law~8jPg*DZ^DNFph^nhVW5cqV# z(Eo`r?B4^1{w^4<-g!C+!)T_HDY0#=$q7%$OTux_31)!>AtAHah65(9- ziV!%i>JCT$XTx#IKLE!mX*l|O!?C6w9k?Q22UmgPl%3vtx9ax$*Xs72KV=Mn<5`(I zk~%YcWP!`*0?6vYZwlTRoDoqJKxYhM$4)^u--b?z&Sd{^H#q;k&ki2)*_GQ3uZ(B- z4)A%i7I%u_95r?Cp`U5QMEc#g_}$Jo3mTziepl3M?#=jW9@xXYT`TLwk4OsI<*kNS zvEOHx@f^7+v}HfE%7?!}D?;zJ`~0WM-2l4pu^P>N!_?y=VushY0iFOKkb1c9Rs7nA z??LUM;+1bUY~%NaU0IwelXof$X zu>~mO%UA1S19*$yV4f%w-RNhUx8W_#4y*^}C(wyvK0AK4?p59;=@hzNo!`p<4i8brZz#J6JE!H8=3Q~F zZoj-(7hA=>iFVvMkhwD2ZelMj8K>J7@A}+bjBoi|=7ixDl?SnvrU27jl1nBSvK^}1~?#PDHh8!0Dfp z?a85>~L#LdTaq zj|~rBSwMLYqeD=po&8%)`FDfOx_8-cY1@U$uJ;W5fy*4|y3Vw}h8^|rHthTUy7!U? zP5uHuz(vf_P{!>4(7fNy(e2;<5gY_whqmc<<=>$5o%jOiYoJVGO*H$`GR-by970?1 zje}#C!;{L(nY-Dd*)Nyt_6Fvj3U$*i-Vgtq3l0P8nXkbsE-}2tv!Tro4DZ3qp{s8z zI`!J{6NqnOKJ!Cwz-Jb%z*qk+aeq4TiaO)`xaR$eG+Xv$h{3yl$MZ+IF48GWcZqw6 zjC>LO^@!=U6zS+eL3BKmJv`9wzyWhSJo|Ed-;Ma>(C?V2;;@F02aE?CICQ_$}&Xh%jxndjQVI-giT>WCEV z^IJvZfq#>NxwMP62jp7X?QI}FW(0LY^N~@i9|6_w2KMeR1w2zhFp0oq< zk8rSKx>B4zSil__WXNtxir5z&`V z@|(SGBtCf0yS>YDP0@)59}-^(GA!zvQPcTD(?Dw{dcD%SG|lP1&M!K?+i#fWJQYNj zAkGaOvx94+b_iX*0G|u{-6$Lw#a=)Y8#^pB3N5aSir(f-SdCtbKS?Vwt^OmJ?)aVM~04L*2^o$a@*0@ZHg`2c>$V&WY)ciMvn=~iffW={fV zi|erg610Uq>r(BMy>cR}lkH6OuV>F4Xapak==}nBw+(uD5PE2XMwT@b3mgl$6+#O< zgMhGy)ci%HQ0S{PAlH$R`}}s1!kvH(eha=cMggn3o;_t4&tBs#cmRCRgY^JwhyzJG z6@EcIg5&Or#-yG4d`m~tP6gka1os7}#HTU1LGaiBx9#F24kz$SnR5No0sQF)=qvZkE$FQ+ikEve^$KoYI#`Fhb5&#*m`H04Xt6#9yyDOulPD4M$2^cB%Q>~`p%`R2U+ z+T2`iM3Kq-JlEof0e5I4^#|)xexOgKFQZ1rQqkBxpDQss=Ny%BG^7tQ?u?7dg?F(Z z0_B54BY{OBsX%95i@0)WUmo}h&PMtlQS!k$`vf}9Vt5X5@bo*9f4=zt1CeLsy3{~s z1&~dF$g^@?hwrS;ZxojLBWt-XjQNpEeu+7W<70yUf+Bl(&sW60T?!uscNw3=?~0q< zfT6j&Z%Oj`pz!&|0B2SbJ249XbinTh;Pc!kCMjl@%>+LKT=$39k7LibwH=AZ%^kv9 zz3j%Ah5T@CZ!oR$Y{4_r>IXl@_DX*veh>H%ejeQ~v3~Kj!4Bq)neTcf>aA$gE$R}U znvBndzXx{4EaPhU`&#IWJ|TPTvbFGsI{bC;_h4hp+qnVX%u5|^K=^yD5g-0)XFNim z&Kl0V#0JfCKg2eij6Sshc)p?94qt+|^E|jK$=B&mV!#IB>%JskZ==j3th3S;U3!5U z@E_U;Zs!~HmVy_3-et|Y(4FX&5|4_WgWa$VyO=s(su7+hxaadWKtIjg6T1yPSa3j% zIeeb8kQ#d9pTd7|6ut%Cw(EkA@wFy=C5ek}V>ca6_I-Qw7<{FUIEZ|Ozh2Q^WGDCs zj~ALw(^?|$=pW$WJ@5~o%kR98 z{<}ejDp&doUx7b94gE9ThgWT~4B}x182EY6r;u%48SsS%*%il<*Bh{}n}IF-+BVU} z%_e1=shF~2#=Y#{MPE>`lX5BZ*NSGL&qVOhab&vb++K`Mqw@}ly-q~8VBGts-*cWi z^zrs_7O<3MpaT_!LdbadJNvIod5P=lPgzDbznNdUAwjH=_?e)C>*@n;D4X?D*=ilA zweUlHFVF<@H*N?#t=t;3;{n|O*F=92JtXq{4CvutQt!#Gk4f8{3AGuPDfEEeGhl>f zhjM^xNPXkA=17b&IuCU_)Q!K_U0Fcc{q*>Ap^xNU*9RS##Zm9^1H==(0$xye+2)uP zx<+%hU{{9t=J8?h@QujOI-Nf8etpdT<}7@wGfrEyRnbMk)=pz6I+{B~^LEW5&Wt%@ z;{jp^E1>~mTOK@19n-w4Wjg?rFB{Yp$e*a012R~=5u1Y{ui#U4U2&Wj^13ci~h zYv{1sSj!m0m$BcHV=lfw8E+YDV|X3+`7L7}*xYN(r);ZPjvNWVrz?piIu4AHd2wW( zF{(~?L%LxZb%xVFlxNRk9%U%KVcj+hNx}jOk zt9$fa=>iqp-$1z=cs8>!+5a;753dt?bvXmIr(OzOz}MCMiPB3y?5IiU2+mU0GL1OH zw^Dm@m|7SYek3>mKXM++&WhvzG0>ahqAxWw4l_59(3u7XQ!qm>RC>=o z#&`K)@ui|q1?g+lE8i8fuVB1AeEyZem@9G*TNb@0=581OP0WObo{xH$A0p3>IqVT* zXG3$B4-(pl+Vovwlp~{Fga_`EaS)p>r3Yz)(|t^2eaL(wJnQXx^j5~JhaME|mwwL~ zc=VIn+zNa?F0)@NK54NZy>-jPsAVvg!mCzbo85=}?2m1hlG$?KD|;^LE!)EUEFDbK4WOfB}D(lQMGF#+R za5V3H2p!;0&Zf@twNWcL4m#K^b83Ex9b(;q(7fmz$j5b2dm_AI^%kW!DBd(;3o;x1 z|ItyJ*Hm*xX16Tly$>{Z*`OqET0z@VGF$qSSgC9=WcF0_253xVHaa%E3B9#FYL{oK_@c#rGqi4psUuv91-!4VB5FYz{)V`wUc)N_Z;Fs4NMAzL%Ts?XUvE*vp3z2<{ zx!`b0zuZw|3SU2?O9Icy20rI_0eDLP9iK7Mjw4^s@@K_|z|$@QpIOUoH-7*>SquI$ zzm^+Rg%{HoFyb1%c5 zJ%}w(c0A_QYy=kqF-P>j%E2)U97m4=kK2U*(x0cnV{o}}n%Fq#rBc_FH;bTE=}#h* zV0{31d`fReRdPJ1jVYY%5w6*);+i|sD@ zT9<#}-QUrtp0YNnPd>*O2R?G4r7k)9?k}N%?dXcs9c+s!AH$Ycm3;2zW6*&K-?Yc< zH)lY&r4AU_|Aunum9W}i)bnSVJk8^iD|Jgd2JOX0KlL8Oh5Fm|5E z+Fi`$;!CuPCIGW$;R#CC8pzt@c(0Gz@Lt=N@!SlZrN%oHfHw^gTt*hoq}|YA1@C(3 zoUA)j;~wg##$Dmt%$bU33$1$PTNrj9EGKe}1uMy<*{K?H7}{67ScyX!eqK zV=iUM{%-DnoP}+&Gb(e7-m{6W^P}WBROB+tAT+g7B}Xz}U9o6&rzZFo=#t z8An?*Yoo<{(kx&OKiRlZ6TYkHi8v$6J1a>`4)apR3}6WjDO#fK^ntc-O6ty6qd&ii zzBlEFiwfvGmF-8DMsDt-J-Wyc-q+!gb)O!C@Mx#(?-Uo_(}PCkj1XW$V|#EoW!czVlAv^=<_AQvWxA{Ws|A>xMgcJ7-SkWTj#6eeIKAPx-*zp0$fP zqZA)gaHni|m^ahXS-+LU;cjpj90u17)~W~D`+cZuj%7_h@d$10x?Nu{d!~n6Vg{I6 zWG)LjWbbY-*u=as`an7QV(?9P@tc`qcT$h|_zlI|@&B_AE3!*s6-xSehlo);bO&<~ zhxEjOvots&k$K2t8e()I9 z3GqH<9!>c$jWa$>_&GjI=e3GFdr?I+=?}sF7`q=^BBf7kTj#fe0c;<57`((?c$7U8 z&@HNn`=DLQM*`m#|EpKlq;v&k1BuV``I?So8{v(Cby3BaZ%+GuwUESA08{)m&G0r; z=_R7S3*1~;Cp`UE;)C%g`7HXzh#>wMp|`TAiZPtU8YWYxKTYJ=Lg*8s*Er*3y^8Kn z?j6}CJ_5!|_%r&(j&f|FiVl~yix1ev4nsFFj5R^#sQc^Uv$HKv@nCymIdXU=ddF6g z7bo5PWrdZekINn6MhPBAf;%X z_c;@=3^-bxC;%^JrF^BLvys?hHvu0W3{q7om zH|f?c;&0xm(TW0Ei8c0Er;Xo*veY_snX{^q_}a!GzKAy7Zx6~EK&xqz&<$~}?K<%& ze#&ESI@VtVjzul$ced`cA788Z9b==p8_^p~c=@J&0el6?c3^v2rjBk@gTDnBt>4)J zUFeJvYcZj#iWad=I^kE~vaTnBp*B0PItop+G3N#S zE-ZY$A%0@cL^#WBIu^4x)gVugMkTh}dh3|ps%bL?P8M^NuGuf(fp?LDQhamt4<7+A z*F=`7el9h^lQ1$zVqeiinD6i=vge4vfwi^ZMY7#yGwV!6FK?$mQ6q_KEm3fcwU#5m zJ8*2BN$gaZZ;7iirA&M-vfl%KFxC-tTGhh^&-AXi7h;hTD=twY+>S~gRbQpg1$s)JPC4OD z8b{po7U};30$XcRJ^Udb9Haj9nmmDZ!Hd{=`xLCC9Jj6c0Tp|{C_r2c`1N2gR5K1d zC=b@kyt=h>Eb9r!<1??v##@KJvswIGw1F}TOH~`($Bv?#1IK*j+hm?J+4o5J1pST4 zT%3w&jtr6OQrUxq_}2{DCf9Y~J8>JpgLbQREB1^U;ziBugg+m(7(zQYx;T2uHJtR14m)C1vzh8kn%vls{V61E5$yzMQ zxA$Oc5sMY97rCr#9j^?Y^c?VDEZqv?%ATnqt}MxuCH5-CFZ46~LilY{2h%|Cx_aOy zu}*sq#n+{IH|=4*LDp4Ee0n6@LD`CTx7AcY-<)sf0$;artn!TtPp~G9*W{VNTjp@m zutvU8hnkmR?=a?CQoIG&;oHRTk>V+Cb%3>)GDf^l-Bxu!;LO;Eg}0Do4rNk4Yh3XI zhk##@F&hztM{R*Vl5-np{0lO-u~mGh&|W@k@-EkE$^}Q7f#=i2oiw2fm;;lsUS`xb z+o28CI&EnQJCpYY4Sb9S{vg2xcj8uT*m3ZIM*Ifj@fEJ6ef9X=t|3;Vf&K$0_*bM5 zyr7*@r+jC%5rg0l4z$|Zupgq#7py(ULMC{ZW2b|sviA%;=^WOkoOW&(c!Ap{d-uvY zkf!LIt4;|`7Ukd{3pN<`+)nJ+<|yzao*O$GyUyi33;Wg>W-6H_^PKja=dnRMI;{3K z;#fwZFMyBGqP%OHO)>TdSMpnIRk4X9qwtqcY)|?Jc`j{m?%3;>wF2;%fZ(IFSNIA# zCb3cpWL`0Hb{FkJAD9DfAfr9j4l5gb(=KIS3(s&n1OtJi66%=*I!WqOoIFtn6W-Lt3Fs1ECKJ>kEy+0vsR#UHeRm$Px4&mIGsVz zu##)gOJz{2k@2EDky%!La{ZiJT~AC)y|jfj?8j8!?Uov1uIM*B1R6=oO4Wx|?ddj2 zzeRRB-)Bv?>@iWZPWjK&y5E%iux~lWn6uYJ**ebX2`If(=3I(oKM7f1f9C^nF+EdDzw?=I%$w`n zJ>}kc(-zJNPoF#E-Z>-Yhwr^_-n_Zrogcm}JbgCh-8*~k9I4=ZRC4Xw8^&p~=gib@ zh~1#gnKnloeeossrI%e^bj6pZecPEnVM>)-$-RO(_uYN>1w7+-!#P^X zgfAr1rf$FG-Wco5v(-F{rk;;HTPwLe{VsW!cRwqo>-eO+HdW6L252Qy&(%ugI-PpI ze>2b1_YS7pkZS*WzL#g=Ztn~ne&+r3cfIrO^;Zo&Q@7k7NgZ;pGS$XP`YzvJK|Y$Y zpCnH|lln_|?}cG!>U=r%TkeN@{f+MR7rtTI4PnM4eBrfoX3m~7d;a&rTKLRQjmO&9 zVbgizANVWjymG;eN3XlCj-U{f?FAR{iGB5AQzl<5Pe6;ZMJD^<};y{l&}gw&!lyv-G`^-;e*byFPY&!l_|* z)!%d1D__~Uc6;ZwgYW;{o6&TO!-s$T(!4LO|HbAX&+fXXp7~$-{kh97?RgJSy!_j< zd*9QdPiB4Wp0+jc@q4R%(b3`4+h2V;QkuAM_o{7gZ1w+n?XJw}5B}#H?|-o4tYO#g zzwq))Z~W%-+wK~6<*}7*Z{9UoUt94%YbU+^+=FYMdFhgiTXy(ANL=#fCHD+`Bl4$9 z4qaPy+n2ufOz4}ZI&S&GBPYLf_V=A(S6^KF^RIm8-Q9osmxea)2R~oE|K;gF7}xOU zTfP=99QtiD4``dus#lL>+OJjdA=*8`iU3t$d z-cJ^PZ`N-|UH`3pU*GiG#~yF~{gq$;>Q{g8>&w5`_jezi-ZpK~7Z$$uv;9}zQn&uu z8=}^A+5 zaM5>X-|H;6uz1dW7tWtM_iks_wAphmx#-*X&Axm3MWaTgp3a%E@b1~)z9^OP)4Ay0 z`P1drcjkR}hBL~M+B6MTuANQ#A9B(4clgTW&!N#|erqz1E>Gr_uO{<5kE^_7(Wscl zU&C3B$`>_Nt9-^E|0sEQ%4*+LIw_rE{p<;A{5gS_UfSr6J9gsA_lz5_`1&R1jXrVB z!Uu2r$}9Ii@aG%*-8cVfcuaLN&Fc1B?u~ik%(F2(dnfgL<0`FWW$O1*^1FHWvr@W_ zPs(dk^(>{_zfzuDr&I6uuSnG^_cyLS(>}Ql@xA2!Ztpz#v!3ttE>Etz*FWZ`XX=*w zhp9vERi@gQm#T9Ub-h5@1ze|}N&O|fm)ot*fvMkeKiuoD7keK4*jC#9YBCYh-}PJW zy|(Gh_3b>{n0o$m@~x@g6Ublt-DjtC9iNu3tk+5gZa!1zr@brB_V;>sGT+PnaH?(c zJGbEi+Rm9iiWAB?q}z%XRvh)Xx!xC82J0-ktg__j?URxc69$ z49~o8jx!&1=*wYfTbp+8y)(Wmm*FA9?i~`IIc@gcGp2tzJd;e_85u_Vnh_p0eb~Lj z?nQaJnr|lD_|0o4laC_J|3E7l`nP17)$O<3`}v_W&%VO5k*Vil^1Vlr&vuai^{=0u z(sg`VKED;68ZBK@4OTLczII)lc_S&buXo_a_?{o7Vo5B zz;s?o31zROuJkjh{~+(p?^b7J>bKngtg+R)UMP^iuB)yemAO6t{9S*k`!V&Wf5Kn# zg{#z`?-Tyyc^G{7Km9j9S1+0V1-)cZDxbjb^tC*bB=_X`_1)4^eqYf)nQkW^nMwom z^^)|p{FeK2UC=Gb{ZK(N`N=nqNT$j{y(E1tzvaGM|B5`Fy*#`K8L{P5cqF|z!lT6`AN}Kx`tfRgjAYLE@^5i%_5gHFO}{m zmsFBUmE@ADQfVc*q}8dko?OxkskD(?Qf(@2C70BYO1sDno8G@OPY{MlgTAbO{H1nlIEq-{p6BLQmK+$QdKIg zB$u>0mDZC>dLflIl1r*hrLE+W8d7N&xum_RbdX%q;Z!a!D_w z(nfMgwW+j~Tv9_S?IM@7HOOFEoN$H^sW#mN*Rmz0}I1IQ(XQ>lPl(&$vWf?QHG zm98O|G$EBHlS`VKO0&o%%}b^G$t9JfQYE>hs#ID@E@^ctttXfCLMm+}msFcdTgfFg zq|z>ONqbZ2Ai1Q&sdSuNk~S-uLgbQiQ)vLXq;M(~kV_h!O5)G{w7>tiKR?=muYFHa zN6Px9Uh;!)=p|qI2U2H`KhdsY-@N+TYd-~4pY^=^r@iMZCtRI7mGRTGd8AzVE!At9 zPrGt%Qd8>qPBK5v3jxwqzQvBU%^JY!? z&a`{)k}{d0{chpk`=Fot;J$g&r_G-|=fCu2PPeKS%)WOvK`x(JTTp#7cfpMB_IUNF zZav`*O}wF^KF*mtW$w%=bLLK;aj(JU>^bxMlU<~sbf5vD>;Hw{87qZLHYMd`J21Smv$Eo z(+FFAPW2@TkK|EpgjumQ#22)hpmvXCMpb*)lu&MIQ2Ij{)o3m9Abop~dvWfOB8!@} zHH*m0xF$u)*8-0+ZB03$%##RZBox=WrA%K_u~v&z@-87@k;S}AiY(bcm@4ls0p>e- z_aVu7mprnRcbD?c!@Nt1EZagk<=Ps;>E(E%$a2Zc^)=+ibFqOfj7d#E`>s)TnPzMm z#?e;&IO>V<=~qVBVvrEPa>CG>IZAX3p>upo7_f8wW|qMTt`RC5EF~0g18_76(W5Tl z7%5>}$^M%=jA5m+9gT#c>1y1&{Z7M-a9x&o1hpby=LUhD)~Kz~Xtz%LNf95{K5~O= zQpC?S??(b$lOjRdBybBhlLHHrT;OJ|)gmF@4e?F}?~)>!DY#|wjlivsQBC z-r-2+HKa&(Ipr+U)@1X}Eo|$VBl#kI4S5=F5~@wObB{j$qy4hX3G}V`GyCRFl)e!< z$~I0Bv$3mh(l&RZU$-VL(j%dA`bi%$cn-a<$!OM`t2m}0Fpe-+woE98hP0hL>&N(< zp`lOfNBp_cf$qd(F|{4q*qwy`J*P#UeR`l(mZV{!XTm%4)BXMXxIgc6+!vnL9rqRN zN{qQ$ZJ=nZUILH()?BS5(|8UZ9VBe|=XC=WzfsRN{|oPhgrCd3G!MNinz{}r$K$Ti zntFckuv``LdG9bSl}}CO6I8Bb12muH-EG=`m3LcutTRHKV`RvST6`?a7b@A-RIAkm zw+JikjE8lW&&8nmI@O-)ZzC%nys9a(`j_fDjW6_dNbBJ#AA)D+gNNt0lu!=g{?=vv zx?n{Z?UD5R!Y2sphaaD>1D|l@r>}=2&%QVW-cqh4iI>zHk(+8w?OqT}D}9j(0ksC2``w6ySOj zV{aPI!H=GQIvjcC^&yexLL>SsrwEvsxPC2FBhiZKB zj+USB`+Mp*Zkl(VsnCt***;-Sy&hj<5-RR9JhOwPkjFGTbc|(=ZJL#n3$LkFA=}Q$ z`#CmCcuy^%>w~n!f;lW(OI;&-5(_4F$d;yw+YXR#S2&tj6zK2*TSd<6UKz{&$mJL0=hBEWcj&Co8?)6(DpK&<+1c-v(8pf zY?)WjlDn5QFNf_F;;*v(-?pwk$d+8r_Xh-e_Tdh79INURbd*?dtiwaDCEjlC@XG&P zi*F8Ust;vrQhj(n*#|8_KUfApACx{K?Tvs-BLaGS_*hN0a5o~Gxu(5;uZ_zIUzav! z|M#@f4Y16F@scr9G9+AepWu=Uz9~+;_#}K3ilYV znqs}AXgd7UKPJjHN>Q((BC2=!W3!;uD$5i>FE(&|He75)S zk5O$+40xiW=l9pD@BfkSy0=UCR#)4M!|(`r?hjeEy^w9$s?1F1(Ll&uz;Z&i<51fV zhHGdaX((wpO94z%Pt0uUkZrdFN4(&xQQ5wr+Q;wmiQL)3+qb+yD;w&q~DR&t9k^p`mN5nYp|#lU~~>mqXk zDSqUaHW+K_2KFdp$crBBQpGH+NEc+Tqm3UWk*Ko*-o%8H2ScS2$`Aj{&K7vdUy43bUTg;{R3Nk zji7(SuMgTS%`E*e^%^%EWzrN!S(nDWJy(gd>`OU`-YOjdUAQE zEc2A*oW@RYvt9Wwi)_!xLW^##}~ zMZA;G)~MKA+*j@X;BB^3_je@bojC1QW{F(Siv%CZllt9E?9wc3$3As=vJ}znD?AH$ zunin=(cFJ6WLX%nSW>82-@A_E;_I@V{uyjtace9wPP->Q?w%}`XtQlbX|@%-Crg&& zCYMo(y^G!S3^Ho?5n%E<&r>kDs_`agX`j?LrKxX5z(2!(|C^f|k&g}c!)HT@?6okX>R=h>G z#+)0fZgzIus=JFb!PPp(r-*O%!AH#-)DlndM0^Humcv?sz8npx6}q9iT&oZE2e`x$ z-tQ=Qz{}_6PPimK={1&anttK!bHkX$;krQvbY%=<{D;N{!S@ECf1GC&mYx?cjGbqE zQ9m#K#kzsU$kKuFk+Ffsg~)~r>&}H%2P+;F$bzP+H(dLDjytE!+Vc#l1H2dfPvkW< z82NwI5>c}u&XO-H2(EX1Q*u{i_|w9(+Ep1-OU{jt7@MTq;Ya+fCAIxzD;as_(q3@Z z-#RsVwk$y5KbW=9^Y9mfcnF>V|J7 z1_llZoLH{c;4WMO9e{HRMwBPZ00V5-TJVVORxAWw6`k?t&^y+S2X89iMMvoGBM$KI z6EDzZyF=(K)`$B-Z+%!+NnXd15=XKrCnv&olJF#xzGk^*nC;DV-N`#9ZNE`1+f%=z z)A=2ASmHW2939ASzxthpY&HlHY@jNL@~3Xv{E1 z={fOHu^i)~(j2!qGuOG9EgHkx*Wh=4i(?NWLObTvt z9>+ZDeO$)K3f1*>O}(#W)@3Q2XRCi1he!ealI;TvU*~uY+5Tc5*KEZwYFZ{qYmMgK zNPE~?iLG-+#eB5MPk#eeAC|IZ4Ab2#J<}}%XDAOj0PHAF%93)Vj4<2a4j~OC4c9YU zwbU*EXlT@ttccbmw9z*)YXn;h7sq7l9+q_f`9ZM__JlCw9(6A+3cnk+7-Qk{`$P7r_q~AeeNGl zcXGsA%sScr3Urg}oxEM|&R2N{d=tJ=RpgotzBLB0f%kG8s?gi|o-|c8C@~-qr2On8 zT}}CUe7J4^C*=M-EwXwSdOZA(c1E5#nB<`;o~vZg%^WA)gGQ^)PUHq;ORg*_Q@)jQ zogMI)+zFGVe~NdDY)h5d#ly{cTH^MmpY|#*&lwQ-!I}2$`3X40*q3HIn^s64zfm&| zopaf^AF_3fZe`BXtcPT)y;^vWztfrc1o&Yl`8IV%o+&uPyW!c1JKkqo;O;!8s;`q9 zSRmg@ol=jqKXJnoC*ABm$iQlJMDTa@F3J*_d`1o=b~Uf=Syn^HIVUhr%7K@yO7h#P zOXnWmeiYo*g?EXZlx^E&DRHE5A3EicyzX)nKDRW^yGow&zVS2OT|Ay`di&fayhZVq zTrJlshu2~g$T6(g%J8k)Z$%28hG)g#Spxfpi{X20k9$6}t8<&eJ=Iu=O&)aDsYz|KX$yVyG!~achNC`O?Ox%s?5W*=UB3hCBej!qgT;JKihEq z)iq!6$$gpBP3lYNRKV3~e*2&*ulhppsV1pYJnX%+3U{&uv&1Gxc#1@lrMqlldiA?{< z=P`D)r`>tV)SO5A`m81Bio(YjZy7&uXMf_+tS`G}m6kX(;!D_^#v1edbJcrF?>ur2 zI>ozEo&`TmENS7`I($Y;TY~bvRs9Yy`5w0Emw>Nn*t3yO?|emDlXI#=;Z2bC^*Pna zkzl9YwsSRf(IC9XaLSw50;d^1uAjRm=T9Bp()y^>DaTY(-=Cx|=P~$pZbP2bC-o;* zwj76U45`;$$W|ZBR~Yrs@~zjRTfe5;?@8U)Q}PyNgwoQ0uYf5IkjtxlP-}zJT`U;)?fwQD6DMRW_%e};PqfSYAUIpVTHp^-$ zFD-+**)0r@Ey4roy29smWsVfDihx>LqyMFTt?Y_TfyKjH1 zSG#KmA)kUS-}h+qYrjdg8Q#>@=8autb=g`I8lUgk=GwEJvylB=ZO-|5ujl#bf-l6s zh#pUPY0kFl`P3(Rli@C6J34eE*+wQ3ey_)SR>oq@`GdTx=UC;kwKB9&a3m9+mZNBB zRGsiYuDjEXTz99NBhXFlJ%-w<1U)9S7~Ku|_b_;z85nJu=TiU6x~hA~e*iCOC*vpW ze64;0$8Q49q4Xz&-GVNPo@be{zVg0ju3$Ts->2vVypV4uH~k1c+A98r^w_)EEzvV~n`{D0`$3AqQEOgh0llqU9l?6U83kdBb$8kM6OD|mB{YDZe%ETYf z5%pD7|AR67zQSd`?~WHze&V`ir>qciA-k_;E#;fO$fuz(zk!d#>#9d|qtM6kWej%q z;q4Fhz=^7=1?Zo<&*02<`Wic9^Ho*N=ee?llltlQvN*b>bNdT34Rm?oKdvA7@Vn+K z;3dlL7adLEj`9(tb+j|Imbj|%5_ikdPWhf=Eo0u%9h&E_jyhwiu2J_EWJbNde}QKQ zv*JVR6t8l&?AP1{=V*z_;AranxPDyG0&aeyevBL_$(MH8=AZBltcY^7Ma)`^oW2=d zGK02H4pW}+%Mth(HYWL87mwI?#^1A}S;`t{jX!}ut375f1aB7Ymv(DxBX-

&3fP ze7iRvb?$g#D)jYVhlAT8 z+2Zhx#Do{#wAlK@8B>D}R5Hzi&$`S-&n2Qpc#d;N&3Qtz&>ZqV3;c&>*%B&E-$JvA z+yAX6&#J2WCicb4@saS@q4QVNO%xqP5dGdW#?i1)}x1Wf)73h<@ z%@4eyFvo6H#o$NLv<+rwgkz!$q{?ixfTNQ~d-kq+hxRWOeF=L18~PV|%#m}fKBB+m zGy;DkrPuN9o=tJ|24&yv9lQy>F3R_>B74z4@Y6N5VMil(+47nDe=>doe@gW|S?`V8 z4ACpQ*&JyfNqjAS|8@2F3SPwDT8F>&b^NVIvcauZDM$L5?j!9y(7kN*)py)Q%)3OZ zwlemAaN)6*xmb;@;)%i!yT%qdD*SDC)BK*a-f&sstiV&?X|{~78;X5F_?C<@W&T3x zqreZCG=0nlK)1!8mh=JrJ>6E|z072*z9)1!PEz0;@gK=r<9{T}x)b|B>Aqnp|K()) z6*Htxu`w8*lgaVf{+~VDTvZ5vdQ@m6NgvBU5dSOV!dyq5jMq<6*WuLldi)sRdtc2t zRCAZ`cCkUkF0=a7&t%Mgk>>Tz%`2je9ky6X&Wkp5r;?Z$0~&J1~(KSk#^F(Dy5QzSD3v#k_Yf_96W=s+uHnaE?_D*S^yBGgM+J6uh9yjG-Sg3Z82)m3?`Mjq?j3wWeY@1W zM4l^o0REogmCGMZl|8!m_wbs<^e2aTt4q^xN!(sNFbNlPI&~isdYADzql+=`pck2v;V`Somb$-{6 zJ}Evm=+vpq3OOq?LPAgO($nZpqOXicUzwcJSFTC%pnb|la<=Wyj*nwrs{A)Gi}*Uh zUyFD#XJQFN~-6YuxEhYhoLE&TAYM+8lMRFX1@AKXbHh zR-3aV;|_Q63AVRg)h^{Z*DTiYw+58XJ9+UZ*g^$ebtHM@juekf*&tQg4fx4s%J%{@ zDO31l;`+rYTd1O&E!3^OwL4}?Kc!4*8*-{GIS%P^ORmAbMz2WAhdqaSJs-#OJEV*= z@2onEPUMddtMdc@;11_-#&Y$eK)@5W=)m8KGs984W;zj2`d|| zj1bS4@8p{#U(FR-UH(Q7{Qrs2^kBBXP0Z zGw2Ap&JD&G+7V1FJDN$3f0KEiy*DImlCI(QB9Hq5P989K+89|_U7K2}fZPL4|Bx{i4pJro&28g(R8 zW1hYe-%2PlR1d*pziJfdnZ~GCW_(m>rg2f-nK_#Rop~{x`5(R?Qpfxcb2nk;fky3P z{$?Ni0DHLm{LS782H$h!iq2dRvXF1%1+f5lp!8Sj%&Jj-*oqmpp}YIcl0|^~DD6%aIha)qJMfuHWA^zZ9;6 zM;(!)3Tay%cKS5tnl6@p_iCf|y*O<�GrmR42ZL>>AUEs=3Opxhh~2ewseN*atg> zxv7}IArvVjjTD&W7OJt(UjJ7$F6b3<9p~7`xE%lZ#Zr!LBoYhmGJZiU(`G)>7|;Cg zMWva>@Vd-MVQCPM|eCh$u^ZT^!t)1t>>*E*J z1&s@1ros3bU#v5c4?*hnIajT|CLW0e<3scya1SV0q~R=eNj>Q?`&il0J5(71h)of@ z`*gnM{3z=x@IQdQYsgl_UiqIiXDdA5p>wr0%m1?@@#KO|ui~zl%nQOhehd74fX*4+ zJL5OH^VF%~@p0fkwwqJlHbHnNea6;hUr# zBs#6k`-raCJvN2;AhFTT=$^~B&utitJOl3pr+|akQlmQ)_fB9=X-s@1_xw|XUAXe7 z`Q`37g8jHVDPQZ6uQ$HlvuzW`CEK>@d1;&AdAfb#mqJGFOW`TLu6aRkX^Wzv#C3;{ zEC0gMfm+YHrZlDJM1jdm!cXAW+T4ac??7vvp8tiY^Ty`j$9zPSpYR(Wvn_k;P4D!y zElaAtoNW7^XQa*Xl-?x%7%A)1^j7D##$Wb?L&IgsvIalZqpZE#pA^3deR&ri8gR?8 zABi7O{B+By&iH0%J9h)|`}j?kuVou*Vjwt*QQk4Ou-$q&bMpcG2!Sd?V(%PcMb|86 zoA1L@el2ChH?K=y|3^1H!9;%{eq)}(JHd0oHDK84mYt{k*2pxyHCn4;NhD6f(E^u8 zm~(~yoMNsK+{wkkP?ys~hnP)F(Kw^_lj)@!y~_C;rF*t6?XbrtRP2})O)z>yT)brtOk*e?G&b(NA!jQ?G_N<-EC z|Cp{aemdibFXzT%LPyA5!wnwJiI2xFS<-Zl(6z+a$~?NWyG65!xsf1g_?3I$>&zX>JY{)vG*Uu*i`m#0IpGt! z?h&6uY|v-nPEXlSyiUV}>4Hl=@kkFOe1V^$=R(IdG12)HpKVz6N<1wKB+jH^Pb0js z(fJXwQ)2$;i^ToWk7en;xyG5CiJ@eeo4xydHo#Hn` z2gZjZb=-I?F7atCra#jEG}nWdr};I!=Ag?wYZ4ZNUz6A~;`#^5+>FBEGWmY{&Un*i z&3Jxn(0KCzM-Xq;jrC*YNP&%Rdy^b5Li|nXxX3xwhrb4&km&|WssE92k%IHb6Z14q zjzNeFuQMa(PGjuRxA5H%+a~p*w}B6W6U2VDHsov<|CDn(b77NW$O_Z1V*Mshe#wJY8}i#93>m}6z&B*h2m3Nu zOW!w9MleLX(5Fh(dJNj5V!Yip%2*V{N6y%+Fhf$7RZQ8`5$V5Q)sc8Cc+zS!3!t5F zB6|bJxKK7eyVlVSBcwg@jcOC^kv1f9gU7A55lLDj);c~++Vd;bp2SnZHeeP?EHT@x zVj1uK+!q*NTQiod#T48+5+CL_oMkdre&Bm7S)W$bPwI;l_?Zi$oeOKw_3-P3cgQzK zMGuo>HF}o2=diI(V&^OJIHK2YtQ$`p-C^Ci;b2gX>vVzvpB<;KLthQW*H;V>f1lmI znIi&;KYcd;?8wkJb6s>T$G_8;oG)*IUU>i68r2^)re=K}$HeE+hm-NO^#juH$vVLZ z*S+7D{>pcZ!AFsiG2Qs7J}?qKADsJw&$wU-WuXJhu^po>#^3Y}{9M!UZ`?y0OLgMx z75{f10yaXA8PL+uYr&JFoz7Ln_&+w3`K~A6YkmCjbz^d!l7#`~*IBfQV=69XO;R1c zPk7qU7lh-rsM^8Sb=c}`x1p75VIPHf3>b5hMi?yt#{*b73i}qM;?e0(9ZKd09FNu?6070S$ ziBh)#M51oj;!aE4Z*NHpgj!o-7ZOBkhzAm|)`XU1BtY_ef1Wdw8`$;x+kJii$ScXr zInU+ueD1fWKUVsy>9MC5XN2YXndC>1&m`|~cIQKL*73VxP-^PD&!CC=eX@=rXcu|_ z7Luc$sugku@P7y`L$~(UV1C&&;Axtbv0Y2h-H6w1;d;##ZPSg?YM-4&e#%a4tu36} zHu5O9lySZ3UgCp6-M%hZ;7Bfn@-)$ z=QpSYOxX;3`MV#xl(kG8V^)8@(U_^lz*#$km{qV%w}a#Y1@9nltAqRszh0Ikf89)e zM_o@{z%?oNW{Bl1PTf1z#8Qs*qank1=BqDBdLsoFNKr_NwxU-o9(0 zb;&(tZmAVXRPT(qH*7l}tJtgPR(Q6oBgER@b1jYg40rjlZlO_Qu0H^s{6XFyyLZJy zSSLI!Dr2SLVAYrDT%-I>Xx>h2Cb?E6Q**1$Zp#FgYkQzcU|HP*FCOK;;L$tjv1MF= zt?W(UDRATaw@2^_oRS(F_yFfxW7w6ZPw)<2=u5*_-buq1|A+AIkHqG-X!0C6SLY?R zuJ)d)31j?2;%|^KMOLxjvr@m)v_z|98bbSG!N1bU3W6mkO#_2eV-?O?ubj1RvE?d0bfexBp! z`^;CP=G$u&{|dX<2Tn5H4#wNbc)J+y`OS=13eQt`@E6yeo{#naT;bs*)+T2odQND; zS>by+d{5B|d{3@Z$LD~Jo}!!dx$r-^-H*tAf=+e+aH4vz4<4;s#HB(aqeVW~EH--= zReoCGj~0L?_W>LBIHwqz+KGNr9dtP7-sV+5Vr{@#;fa#JtU=~X*I5mP=FQfPXOROb zJ_morkH z>!Pz4xQ;#l6pna!(D0n_7jOd^8B=jS+z8-!EZ8+=nU-mAlyT)h)-$iy*Cx>Fi%kal9jyh-d z4u}1lHEYFQ)=Qn-RQUG|XA~W&y+zu}W4tH!ublx62H4-C{6%SgF%icEr_!)W1S%um z7&DZMvX0cN%gJ*qeS#Jeu}9lnQ^kw+z+?BRwSglF zj`A)xuG)KuSSshMv;Tmck?h;f&)|CPYHAM~BU~%acyT@ljwS4Q=YGxNJYu_|)bIh< zohLN+WXD^vr=zo!-rraF614pmd)Do*N{v7yVBggqblO|l`#{jD?MAo$sL*zw%%z@P zyFXyn?g@CGcfJ#P?gTP{A9Z%jI|Ap1<8t2C%94njb9hUux;8MhW1pM>c?*%t?7wg4 zszdg)w$`+2A27W!8&2`v&E9vVy0x~Q@Ajv@>+G>IDnDrjkKy+z>=}z|$m-t%8^wPo z%Gt4U*i!m-V4>97IhoL#=-oT7*NmOiDT(gAADcvU5c&H&SKCcHuK2xOTdMca!6tm~ zbMTVtp!>bz3$f?O8GbbD{H5r5L>>jGEkiz~>6+gcl#L)Z0XD-pyFjk?OW6!g7QBtM z;v*Wr*5c2ATMv2bJ1}OgL*80Fn~vOUS#RZ-^*&jA!MK{5wft;ZuMhkjXFIUo5xc?i z)+}}L>MB1cxCB}ie)P0nww9}FwPuwMAFAsnFB)C1w#2hxa}WEB4ru#)qy&--BKT_W54-h zG6yz*wK5o$@$41+f6p{;%nm+(0Gss$_Nx{=?~So%+!$`YH=p23S+1^yuHa5)tjJNz zoU6wwIQzg{&3@b@Cx+{@kq5%JEczIz-zmK&wqh>)rV9E)k7-55)lbuv9#en~jz5C9 zwI($hiM{9wmv2_QRPm-1FC7_+F$@_?##!c#W6zK=R&m9etOYv*KazE`@{1_`#$J`) z6RVgjxN<>o*Xc;Y1F(4omy2ejQ+`NKn0=8@UB4#JmBrbE$<^!Fj-f}mj?K^5{s322 z_8>pMsD&PtxlRll73d*;;y}PR)X+2JPOljXJ&A6A3EX9Fg{OwBV;!;zJHeirWDV%} zVxyqrmm$&f?~%&(8Ug-!ZoX zuRGz**!b-pzF{Y4#8z?^CVr32!3=kEtHKXp`s?mG|MjjGeE!l`@C&gC&_Cd5+$J{>ZvCnUl{(_2jfphb|{Nv+!&Ocq!c78z64q zr~HCe0NxX<)}25fKF4};0kFk7yfrAi*ov=rCb$v|4!ge~hHwV`PVyFy)MHc0d&P=( zdGO*YUg`bRgDjkk{?mcnp4v3zR96q%>-~zSdS}qkI>mb7A@GsVBR%Bgvfe4Iml_23h7w{I8ai1%G1U5q zyh_uIcK9s(S9*_0FED3Xt>S~B z2CkKa7rJ$O$gx|8A0#svV@*~jdCB0JijAEr(>b3V?$pd7r=EGR*@=_+t;aHT>t^(w zqL%mEyLFa+2jt48*Xp*Fo`Zh+l}t^&kBmMqHUl|H^xg`nG5PEdf-C%{Hm6S2y}O6{ z2b|zy(F;z;Du7>#w+a40Tj-Lr6+PKcnmI)mT+IYDSPsAUO{cH(y- zJ1T*>sqi%PJ$T2~0eFY-6Tfcf>OBof(FIuXX+C86AripFsBf#c>4p9AvZwUI+}7oNSM4;d@%ai8ykmTltVYeDQEY zjqR@#-}Sq|-Sg31!e3#n1J9~W{O6vZMdfvp@8D#KjOaWLE@t9$f)*MJn&9z*@AlEs zLc2O^rtvhswno2ZXY~iI_)h3zF*OzN^+M$7%;XUHJ_dfezp~pJ3mC`G-}pZAO?!I( zHH;MzJJ>R};deNrWLaqEaV_*D@@&^}=wzE#rsj*YN8%T8K7XtS`(dZ#>|YUkx*9kv zMyB^=SX(Z`kG>b$3?h@0ntlB-K`gGASQWTby;q$8F|UDCe&Y=&bN zgQEgxU@SVzlX2pxo#%kFj-3mP%ME)*|Ex0XrPeCglk2(u}?o@Fr8Q6r7i{fDRO{%Q+~&9RCA{SKO?uBkbq((ee3SJwI{$JJRF-R{3h) zbNt<7$Nx2WMNGzvU5H$c-8xrvOwT?kLa)Pi@p{ML%N!He;2rGj?bLYFE2eMNQ^uiU z_JCZk@zay!Y{bd>v;n`^9`^5ySmr5oK58vS@U&;hzW$m_C;NKp!x!V*IXmp+Jf~Y5 zz-N)Z5Vtm0RGyCAJ`{ z86UugFu`-iz%QumE6$er;D_kXz|;VDp0&t3a*ywSm%q;#==tc8_?YQU3ht_y#}obV zsJYOa@KNG5{v`bAi`XZ~Q1lEVCELU<2|a>M|9In&=z8*u*l6kR(cgXU*2W<#K35lA zWCYIe+*rpLT^zk3#GFcBj0Y}ymMg)(6M81~y{;!YZ`1hPTs^%$aKQ`@-lJPHvcwi4 z?~(kvqS=|~{w6p>9^YsAeZR?fCf}LXZPY8n1H=a_eKH+ni#=s9ANt)*t>e7dZCB}u zHMe0CEW27@+1q#HYj$p6VbjgfMa{kkm0zsy#sm1}KO)bj*O3^k(4DP}eU$q4&4UZP z=QeeaOaE7~j$+~mgXkg^dF^5wrN%mJ=L9lRbNxWAO?-iUo1T|AN#dG1&7pUQ z*iV*OtP{r>4@>lVIXh+72rMsGHc|$%J_B7m16;@;renghP3&y)^>mZ?T+moh6$EdB z;62cMO-I)N%5YkxMjqDwPw-R`rW^!tf}gZEKt zYdVV3XYXd2=&$l#-XDyL?Y@K`JsovX;&Obp)eQ#Tw#-jsXM(TTJC?r~d3**t>y+f& zoUy=Thujp$B<~@p`|T;F&wg-V=J@f|T283(v!0E_Dyn?e2K?RMJ>?5+J?pdL(6>qM z<%o>nO7-=>@>3VfoKf=lbn@2k^cy$J8ctzj2Xc%KzBjY9#2?5R9H%$OheJ1*%HhBL~(|+vT>J^?}Le;PGY@9ieb&1+TiXOqK(+2B} zRkQ$8KX_0jxgn86nfO_;SEth3s&CbPc&uy17wfSO&Y>DUl!nve$3>=gdH9o8Lk-?r z9{z0F?Pdhpf#G2*81<|3I@WO3!KWg8z%p9`#u1@;)(!o7Jj&D)@m9?e9)(S8JwOi2 zta~mnMz8T&@d$p0&p~5XP!IRHY?QXOmk?-fhWba!$#yo&Q<#r|;zd`^mknA|Lk=YGk*=CtfhcCieXKDV|UbPbfgQ zq^=FT_-L$SbbofPnNfBdyddyWiWh8(qf_J?@B)M9()=JixJ*wB;tQBDnBkq%!7X-1 zAm4ucdfkg<9DEGkfZj9)PQU~{p9Sq^LAzPdF1f%hnb2+~w3`X-WGq@9^jg3cpQH!uhc8?+f%cH2H~w3~+!irbc40r0JE%2hDwufV47k$+?^xzv zI=6JW%v4$#;;r zbEp^_&eY*0QNx}R^x2gI^T+Q8IP~4PEnz+zk$fGa6nJc`hR*4W^xScuweC2&LQ0>V zc^2MQW%M3v*kjy2+gFx_+yzF?j2u0&etp!+-fmb~gXj)jK_~Oh05onG6#P@A z11C-nYKe}x;d~c6@-=8G7!Al-SnvzR{h1|jH>(1%!=^Tt$JllIrii}bAlG>wA31&Q z(DQ|+MX$hD9|@ag)Tt1Cqg&~)Lg((RyDxjbI(A=5N z5@!=R&fLM-fi!#)Cy?>@4V-#pv)H`YVGcI5^BDghMkk0bmbDsV*Xi-XfuUGwi@;KA zTFSW6TkoNsh``Q?!}AnBd@aonx4{n$V1=JS@k3)AKb$H2@Wy^<{kQKeHyU1czq;og zCw^|&c^tSN$zZ<%r>H@`V(|UJ=_4236ZVhqqmMbTe@N<93QxM<+%x3F|1dmyhN-#C z7JMC?o1BT#0b3*F?nD)TMF$lA>YYg$Jhs6dNB@dX7B(Be0eNPidue60*kqUQy%_IB zkGdq@7yiUb`9tA-J`4TXF{8ZSrH|k+G-IqhfgdC2o#{(vuqmbQg{J6QnBJFm&Op#H zPiP?v{~12ISi9#(@@(f0WOe_L&{AkB_^-|^Dzg1G(Np1Hl84~=@39&1pW*k3YREOA zZ|Z*wy}oBtPHX9TWlnecz`LTaJHZpkU+@f?Bge-t_-DZI@q+h&No*Q8e282z z)(MZ>jO^^ch&S=>s7&enGx>j_Gk3+F$LII_5)y}N#Q$K#<_7#u2KmxOlc&*dS7ga7 z2E1LEQ@9rB6r63u#}#c(#Mj%m<dLi1iRLi1a&W9}qAK6zS5;%&vRVB>U= zU!W`d#e&Z4$^f~eOZ;MoCF;@bc5og$`?X&BWQB^d{7$AGbl{Wprx3g5n8(8lA0j_o z3x9YUzN?*_{UXivC8s)OgxrtQz}WnJtYWrlltv4btfXdJ`GlwAUsXJ}uo9Ubfp(CQ zrwgD5Q|T|ks2OYb&SV4!9&ZJYx-vrZz=1R_y}>vI1v+*l@wWnS4qI|s3(uU^%07qO zob>Altqp&xY$fzV2{Webui|ag?^|8`r!s?3o+@HzDM1Ep(r z3?f&sKaNg|xKIA%?C5jAR`s#Yd&kL&P7xhk_T~h;GSc)#@1nO9e+ORjjKC}n3pIbB zDzo?4!BZAzCG>YOOxEDD7oOc>B1@>_&BKS$VtO%@;!#;M{j2Z*&Rd-U&(5H>s&7-3 zadXQI>MCbCInhkZ-$f1Bo!L$R9AP}>J&m0;!xBDTOYejCipy2k`v2Q;xw=|j?Z0tOFVJe`y}UW#DR24+ z6u%9)GwIH3ft~0@BWHxKRQbcvkGvS}1L$Pf{g0s|91eIf+(XFfF)>`{Yo(ecG2F_4 z7sJipHEs+y{{iek=?eg!kK>7A1EN!ZUrS*nL~8ksYq*`LQBVU}|yJ@nx_#k{Ae(@UE6 zV*l5j;4xy9l?H1h-y5I!O#@$#Eko{TO}v{_&!KA#$!8AFxo23TnXmdmJ(Y*t$G2mV zqe=`Oz5`z^szVo5G7|sdBc7a`(^a74oh(CuV$D-PO#i>cMpQ6>x&<@&t(?Xoj1g{FA`N3Fd3=-hp?3_vx6#0_VVc;9GWE z{oA5T-PgD24+4MuU2mq(_Nh(SRh%#HylG(nG?l_*6i3Ou{j4E6lJu4lneUK$>11!mZ*tmaWl?kOH^3*+Ly-HNm(rQ&F9QAh zS>q*iqegN{v0HBL3WNv9x1dHb9Ken!-}W(ZD^u>tO6|jcv3xBXwpTP`ZxDBfuiCG- zf{(#rXXR4<$7eo`yt???>=Ax~P2k0qdgPvp40Zq3mZii&+9T+lTDbN%iZ{hp215yC zPbfc`wpF8cbAb30Itb$k-%jhBqHEey+kNhqbNH*l&G*<}qFQ`4*dg)XOtEgG$5sBB zcVZQH5*O~uu&NnzewFy~v@(JF24H5ECh^;-&*W@?9lA8|4p!w{41-p5Ue1HLg$|91 z^j_I#&H|+iTN^j}%4YJ6(kV6Fx_u_`zn@;EbW8L>tA<{AZ4Jb-u%YCCdgs}ZzLMUy zRlj$y-*#S|C-Of19rF9-QC(5=V%|U2xsLo%YRt$-K_B$|b4w~ER?$>U9&%ChhmiNH z!OiEbocpJ^kDA{P&8w2XgE_Zu>EU!*Z_{`B>Bs zwB#mgo{7l)FZSnm2V*5s^opu{d_PlSHw!;1h4++qH;7hT95Bl;$-r36EH@>NSqws2{Iw`eLKNMaV@-a8EBead2@4ki~D10=d zxnt>yv;B?ea&rFk!3w5)v}16fa{RlpThH1-h4Gxqt8}mrRJ*z4>Ex#w!w|mM~Iz(Zqc^t z2lSm7a+mubRJuXD^nz7}975iQ&9{+(oc$VPQI1)e)*Gn7Juhn%`OkVQmCci~W15zO z=WPvJ6@L_)Fq3-utl5*C4Q~(Qm&tXKYp548p=YrdE^QNWzS*J&QtNj{{_btt^k?{$ z$5V+VzCV4t;sX37+o-6@cH(7P=!(->#QS}z@r3uJzMH1LbEhKrD_U~MRUx);XSRLv zJoqoNKAL?o-j)vIyU7Kv_!G-7xSP-X$x*tR_((SWOk~1+eK&3b?}dKyV$=wX_3_z{ zAAm>SnJ@WYMny|5aLGqE2wobWI-jxcE||nP3h%+YHihp-SFWMP_3&NrIvfn@VY3_G zK?>*BNIc?;;JV1?Rj*ia=4&&VYO9m`aBww~}?y3+To! zl^aLRF*=vQSbh~p2n6!HctX{baldu_Y^b;@C_cs5WB8(^mrK!XiL(Z!Z=>Yt*e?bA z$P|tH96n_)4*22oT2qqvVo^&_@*K)~h>sy74aRYrz*~C$IVQEW)Le4+mFRIn>^gX6 zppx~NGQZ?Rhl=psQ1glZSz_Tq^*(%0-jnC$J=x>PJEPC2u`l^d$3A)9%R7=>z*O#$ zlPpzrf#{{g?mo;M)C3TNhQ|>PK)0EYo5mO_4+c3Rvfa~d`&RuiHn$4h3fmI>v{#E{ zdo_Fkf7vn(K1A)+^T+Y;k&}hpKn+}ms#z=ANlqd^^N$lR4*C;4r78z!Vr^V{PAh2^ z;nSlYwsqL)Vcq66)WfE7H;Rrk7C-YPPS$xQcG+=nEq6q|A$@veJ>c`80er1Bt;5O& z7W%=@4-H68)&+c-l9xM@8_s#F{EKn98!y-G*UgWk0~FfF8W%ciYOwFHZRUO& zJA{1sUC`joHv=!~6Q(tiC)b2t7AJ4@huA2GpsiEN779_{;Z&4FB<7NMjC#~BHRUIg zCnO)*=R9^9ewqE`gq;BImWoV5*S(BFFuwp9s?9_PQer++PHT!sc<#W0^V+fxF5{liHe+0esM{s)p1%0}a1?GiyD| z_=?Vz{~vn%i?zYTFNO9}IsRG^{2d*pj~tbTZiDfKSGrr`Z=_-E&YXHm>Vn6@!u@8` zJ5s|Io4;7I&b>5jf9box_PY@aJHlR`oDzF3N=+2?O4QZuGCXJ#QTp$6YD<*osh>@b8|F2 z2u}&5=WV9H4r68Ye=HpMS~QWhg!*Fg7mEK`ciy5WWWbv{wBvKS?JNGU;GF%ZyFY9Q zewv@b#}OYE9VdKG65N5#gXLNn8d9}4#jV5~2R;&e?lbT;@|YU+S@u_(II|akPs^3| z15GnR&%ZDedYWPNOjYkE{F|8@UrkS%?#@Wf>QZ&)9R9QlU4bzlNTP#NBXnhs!ay1nx3H0GSB={?k;rJd;%P-kshx3A|v5> zuPA(Q+_P}%LS~&RoHQuv@ZR22=n#Tf;qw^f6#tj}{)bHV(R=LP~ch$=dImY-^3~+BV(Q7nFcpe^Eo{c*d1{mTcTS>m*5jhiU9Y=S%>4qhL>BZ0{ZMPndK=n8&)k0{>)$VQ!2bu8Oy9vhN!(|l=J^71 zS3sA{%uWvkCv7NFLkBbBFzo+f_0yOTb9i>Y|F z=vWDpd#kY9<$bpn{Z!t;7b)MB5zBKPui-uT*1PmDr$3u{lo3RL54rt-lllWy!UD;u4y0!3{Ch`o-cOCAKv;s>s_y7I#d3UoZxrj-b zM}DANsUI1sBSrUsPG;kG31G*Z);L}VIM=HQ_?>{FEeyvyH%`lHu@AgmFSzr zQB?~r`jYr?t+mhycaB=dpYf$hz2|lG4W-s{;2teeyPx_dQ%}TOeTmvy&3Yq9zTVw@ z-byYpe=~n*;mINEP*8V&@%VY$M}1x?I!fCazY|AB)U!tPj?fNd5jMK;zOj0&^nJj8 z#eTiG3Tsz7t>`O?&(&N;ym3{xBxfdaqc`F%=O%U$)o<#qQ9Pl+}D%5RN@ zNs;I>rO3U-=)?HeF0mHqBH6T3uPl>1%i7>G%2p1PXM-ot_Lggl6fDzqJ82jS{MMo$ zNzWF6C%p~A*S+hLoKB^8gD=t-QT6{n(m_6x!%^_!Sk0*@DQ2bN6#ziwU8yX zKj`@L(D&y*rpC3~mt{Ixn}JytK5BCD_WRlIHU%fvd4YGk1fKG~`)D;j^Yz@1x}JFM z$Be|fPe&7(*XXgO6W?igLuxj>ch*VHmkz#;tMiewz;_7j*+c3qEdNgSQztxRQ1-#^ zGZ(|`SdIs?=9y*Y@Njs|3HHGlJTEzIQZvcldKtApVfem$CNfiMH2AD<^s^;=woE>2 z9{p@CpW!Q|2P1YQ?_Eax&a1I!Ob=h6MT_~I0emFYL7y`q&oTG+xEp7HcPoSNab#gp zlFz+*LT8Jq`lzVqws2nHxvbIQ-ZY#4)%rTX+X4KA>VMYOv5f!AQvb8Aj#B=grrA%* z`>C~UQT$D;nt0^3n9xAb`@J)(s3BD>k1&^hNfY{9T^1s%tIC=!Q>SW??2VdpRhgf5^ z7JGWJ7OuWZPoN_uYRLPbZ!fer!!qC#)8T;`mhPB_W`Et%#h)j=vD~+(4OF$Gh=nBLGeEihXlhw~$2E1Byx>x;rcugxd2S4$o5!-pK+)vJPOSSOX zy?j;%&sw87IDf)DF8BSsNgWgZ8P1q|H6v`6%5Tm2fAH0u+%uRkxq}&Ao?ef8?}4O! zT_v&!*+yK%&hRtufnjIufnjQ(6zzoOsWZBWe$sT~7_9q2Vj9%A8I3+I_5=QpeM)L$ z;h$TO!>lEFP?NpN+KErYV~JDOmgrVTP)`i(m%XtM)&>0}?(Se8|2bs6Dr^4t)S9u= zfg8HTAH$m-i&%L-AF{r4aM;Sbk_ijEpnYP!qe_SUlv^AKCDLU@0I9B$6AR&kw0!i zqxXV?=9Y*PZyHkm9eF3?UG{%Oc&qB)Xf!T{9$xax*^E28c}tW`32npwv#nm`O2mLw z`rMRkNy!zpCdL+;6CJ`GXdS%hvv*q0BX7=LjK_6XdU)K1Eh^^_fR~{A1(Ff&=JdA7g^onetkmoWPWtE>F>LHY7c}u*p%c2b`-c*H_VaFA#QGZf>Btx1+43Xf z8l^#|xH0{t+_g`TprLpUkUtQ0A3d zV*8Hv0^zmfSREmkY=-f?Uyseej77GreV052d@OU(-Jtu#1Jm>b_lzczm&xylEiE-LMi;rZZiA6 zljv{Kn#|_&B2VpGcB^xfo`Hg6%2qwRBubuxZXb~6kb{StBJeF>Kz(=c7&2R$YyI9~yRDJhft!cz)r$k@1HS?o=fmP0xtn)rUruP=Ya7g^-bA_%G((6XYWP^?ur1nPlhXA zRlX%P)&uCltS7-bSf3ug>{;&2fv)MjCx3hMoKUb8o&j7`k3yyMh>j(-eMR_@$NHA( zQ7HP5r@KpC@1_Ry@qCB6*D~O31<8-uQlad?@B>>kXE6C4;ep}1yP?MxSw0NtN(kWcsd1qM(EORp2odjmU>XyxXNEt~mw|!6sS&ubd}-{a+Jt)q*6aXG${xcP(dI`lL4IP&~l>SHePPOKz|tfCLa;hQ6(6FA5aXG;lwFnDV% zxD)u1X207(Ts5E>&pB#H;WRveoc0AewPBOV?{9&Z^Rw_yKIdo7D>{DRzfn14mDp)TEmD7#rQn^*xXdTL zlr4tEFtGFz%>y6ZX(~e-nmihw%8}XNg z=AH2id|0EhVI{7b>T#)jzzT+556+Qi(zrCPk7`=4PWiEjLFUWc!dGN|sm)e(wX;m$ z*zreVn8+We78`{8GNq4RrBnNaU59?k+I;s#$oB{q@jZG$r0o+Dr zOss(ypfgF$w8&ZUzuMnx4N82Fm>c}98(n{i(zUGMQf=b%ZlyUKYlVlj5_{i2R|{#- zKK;I*(z;bWc1Ul>)(a{+eoFU#JJ6lMJCe5|yh-I6PB#@lk$I67+`af=kCvY_{pqzz z{f$uquYgY_)}R-tb+@W@yVXrYv8CwYOFM>R%X-L{X^coeXge$D3xBu|U7YhIFGKKK z_3T|H<1qgNWyEdFA$i^kB)wk5fsWMgR`om4^R$AU;w_Jx#DnoWpJ@%28TgCWR#xKY z?By=V3@djL@lg0l{BF%UK%H39)Dubi6n)P`PY~GdN6%cQVCnw-Z_j&t8DEbdzO=s| zf5*V^Q=2-5q_?gWXMI6zEycU1NgrtipKuU+EQ`;9-BX*yc579ir}$(S{Kl)@ky;(< zbS!%2dOZeSL8qX`M{0Ydu19Kp=707|cmXv(_j`3c)bv=BkgaWne~gv#9=whGO{wAS zG3ZGinx}JLB0mfGS*Yh)Yl!uiZ1>U67e9FpeSFc0^P5*Xfq4cxOl+AS z{h(gyO^iQNda$ee)Wx4kPj&~LUSc3t=C*)?uhPlh=5sb+56Sm&|B!vxS-(Nfud?~$ zJ=k@kd$U*GBQN=a++*p~Uj;6dDZ4ze4jX06z2`E9yZY>Txogp`_D>B3ADJrit3KB1 zPVbhfz?-#DD^LtP<|w#ucjJ7X2Nvt+Yt}zsFZU$n+69^PR=hRpF4yeX())9`zZ;vB ze6Jw)eY~H$`fW&XZ=DCY^I>an0dUF1_k{oJOS;$pJAH3smpTW#x%)Ob3+o%#!y~9uujan$rFFO2 zhi=uKb@ck|?EW|F$ zFTY0c75wx|ADa7$DnAJyodxflWz6O5$+5<+n+4Cl694p-_zK_E8x?Gine6Vwg&FeS>n+}$!R}2=#PY#$$A{-1<(BC@cjopTaksQ!BszN zi4+JfpfA2IzvaG6?6B7aZ{32s4htT_?~YJAJ9-yzd9%;W51f(xAuA3$Yu1Cif+w=K zN^;GSF9DtVog`Of4ZJBn9D5oX+y!rm$I-D*1D`qrxT!lkpkZi(JihtzyDFPL{`_vC zuKYB4U3y+f?)PXbYz&obC$>2M4P(q5W6nKU`SuD!#`Dem(cg!6;3Qr~PfpZA`S9ggt=k>Cl z3HLulRUi3q=3MG<^wGP-7EUJr1vt%r1((@wYvP1v3V`vd8XKC>C;mk(2zOIB184F?r?96tL7(uIfdT% zk*Cymb@WCX0OpZAE8|0)J^U=VjhOhNV7Ld}J%CKEMefkQ4u2+h%$yiXw6^-(Yu}(o z*fcES7*FNlKZw)I@wlmTwtww#e~0*_jQyNn<$lF?g=ZjBv28vJAHYXs-Z{y$y`q!E z4g>$#zb^a+7?BT@)4{rWSeM4#VJ+M#avnRg%9p-F!x~Kf9Jvc)$|?m?qzr69^aKufVU>}0WARE(2n#yOI_;zO!xC|jlX9pk{_lY@mJ+tnN#Kw zKIq=?#GsR#jXbSadK>q*GFJM2P{u;OyKUW1j$eEELh=Jw%Q*1StugqflCkho<6pt^ zb;Ox`**7SiTk);tLaDhE-y zsPq%FS?+iID6~Z`fZUrJ)xG{?W{etl_|q~aON^^bt!Wu&9A9>q%#R$Q?+kSImHFs$ z=m`EwQ|V4(yVU-%D9pXn*5J9MJ zWWaGO+|cXZW<956E?FCU^0^z#pq!ueUUy!rJFS%;HtFrHGU%>7vw-*g!0)(><$Q&) zlG!qrf9zO}`A}+~Fjh4&o%z3fZ=w;wlO$JeNM6M5)q9Uz0}UsKPU)J<*vD)+{huk!Rm#s_EYca9#B z@16P&fb&hB4!N;|bN63Ry6>NklJCE%!!dXJGejNd*MW_G3djj{WTmD2b4D(=`* z`|aZ1H&e6so8J)pm%bi$^#z~Y8P5ISNo*Eu#YsMEJ-VjwRL)z@54=3?Zpn#Au7dk! zX_OwE##83(E7WsJZ$<((T+coSrO+2_cqqPOJ071)x*+mWSqZ3i}KH!?-P;;FpXh7ucEBQmFzEqA6A zz9w_on~@>;`O{=Rncsc9N9cz8xAiNWHD`h2fLCXD>!*S1%X;{f%)Oks|1c!`R`Wi| zyv#9r7dHA=usrA<-ST9tM9*^qdKNkpy4NdNjGZU?3G&60Xb$?y$(tG4SYGn^2hdiD6NJ{7Sc$?_U?#tba4fF=q z;j_y-?lzD?%mGhW%Uu%0-jv?mK^*SLkQzhj?B4tK%iRy~Jo(GyQmv>v08AARcYn9# zTH)JH&T4$@&FHcIVfU6NBJ4%ZC&jl}%S68YI=$b<$=AvX>PTmd<=NoMx$ru4`|_fn zTg)f&)?QI!N)4Zr?ae1WTy#Ct6`O4{OG)2_| zGKS16<11Nl{larHULwx68-}}@e>i>*kTH7!?~hye%Rf%78+spE_lqNAS!o;g z_IC1$qNDagr1_KdM#e|xtr@=1IN|%hq4(Q!G43?Nc6{DZ{k*Ve>Q(u!; zxyz2&w+HDdRfX>Th~M%f5B>0AIWr%!JqMj}qbJ|h9n`ly91uM5*82=HdBVB9@jGwt z_{CHXT15LW^>GF2+$P*XE#te_KYY&0fLG^IqrHK5Cc$%yqxk!De_~`Fk^LDL!{w&- zy7BvtEOZM3eWP%>@!<*IFW~!kM!(NDfun!74@47Z4iPu2kJvZVU8g>KAS;rX^aqJO-VjUOfobnQ zjvtCT%m;(eoo?_P`sixrIYREb*wQ`F!%28QxIC677PsFkYYdyOVMA|;gw3X?wGNrH z0v(Cm8+&CDW6Ara*y1mGv^&Fzi)=f$^)LS;Su=eBlHwoO&w1C3aMel zzlM%-;~~3z_hC!wF%~x=Gb_Jh!AB(CCb>UCPwwZNsOg)EPS3iyCtPxPCEgV(@y4W& zv*zCZ-HG&=4Nm?xwg4VdjNc>^zXEe0SI)uXMgP#P_)_GHIbHNC^tq`bS4Ad<=sRP) zioVsC=jmuk`e1p_PDR)7;~&N582_xNU*!F9+}Mwr`D*O7rn2wVxKE%9+e$a%1G-s@p>!>=1&hD$i(ElRrVXspi)Z9qjUS!gKr3<1TFn;GndM&yp zdeefjdXwl(o?htbi3(RAHi;EKp^L9uU=re<^{4!g$elmTNlI?3C-x2h3;g1Y0^ey8c5%S4Yy{}%hj%5J?I*l_o9N;coJKVl1__;;haCtp6qJ?ft| zVpmn+@4eGVWYe#*xWzE$SNUV}Tl|h6c_TI@cXiuqb28|G&fQMbd2Tole-*yA48C?t zimzRlT2q(O7w7>$Rq|ECwLgwp8-nn}c4Qa7S5~4k$-8mI6BSS0v36uXcJrZY)nyPYG52+sWywo z)61$u<8dDJ_BgyvP@ z>v{zLI6nP3A42CwhWjNq1l?gUJHpt8lhv1JZ9som2c2pWWZX9VQ`i*}f0sPFa_!@G zW#)2;zp38n7kwY0UM^rfmA8|eo6DJtem%KagR%Ya#~H5+(E8v--VADv0~)HWc|fH zaC>=s(0)8nr1Wa!ccQx+zJn|}*6`^2mE-me?bi!Fs4-+7d?aakpO*EO$icy}A=jeEyFU9bQjoMMCMc$3tP4ne{ z?5MQev_SsHj#_v+tM`t&Oy!%tHgJ>J*TBVZf4+X6@H8tsZi_#L_eNqR);9)|PSzsi z2R~v{i(lkeL(hH34*r2LJ)9^Kd6h@)F?d__NPwF07nMKfdU7X@4n~DfP8^pt_?;Z$ zeBZbkUYQ=Z@Xh;<-Prwp&t1;kj2wkL`&=D!np$%MPg z7d3wyI&Bu13r>&dShdI#lR87Ojku#YH0M8{fwXRfoduq`zv%dx;JcF%S2`r;`crVH zhxJ|*tcaz8_GZQcdmb_rYrFBN?%8}DL$++Nv!U_5?n{{VhJFR=08a&SNJ z@W;JCpex3HSqo?$Ye@5B= zTY;nELutGNzyFOa!?vrQuzJzncFUj7L#MC)MVC9p$ z#?|LZGm`pDcdzf8lJ?gsA1!yJr}+lFVNlWk2wgYqDCV9OWcb6 zdiRze%R4lBPM!azVrC}2lUSEU-!pGbMWbu_uVQA~xThUn`(A6J-_U>uW8nU{d5e0M zc$arZd9RGe6YaxtcLj1R8N>c%%_%zEG9ZYQK5ggOqYx~YCn{2~OZT!2PN7JzpaQ{r&PH;^0#CzszPhm5Jio2+N zToe=;V`aBYwSU_b1O4K0cLJgLyg#Rf{tLuai|8S{u#4Dg7qVzVY_+`Z%e=40$OmCOpMl>L*&DFw z`M|!#7n0MY;u7&Ml565~YA?_)EQ(F>NHA3Lf*G2>-3%?L!e=M4y$TxtkO^!|u?Ip6 z@1)OS26#p8S~WE_S$XKBm44NCNMKmh0)IM9&fIC%){+&PcPDY+56QmA$A8PqSH)PP zfgi@oIX_GcIJe0(B9ap}G8Qlj6>VqFFJ$A_z#kmNe+=yA^Rqx;7NOq~^%|Ah7bFJj z<8aAEM8#{9na7A7R`($@!E2 zTUdXTe(_xyy{k2N1aQ0>UU5}4D@?9{^c0GHuqw+aXU*DCh!n3n@RAP zjqIg5Pt|m}`RCu^3{1!1^9M7vvdp1Rj_k8&GG|$(vDN_VrniX>Uf&PA?k6Adv&7Cn z8}#6LI-p=G_%sflv$K24^DAN>XbBo0h?)jxXI$N4Vjl*X(`QGvWyM0#U~G;a1m*z+ z2lg(oQ}f6i>GQg1+_uGPjOpa|2_HBwyedcHKz{Tu!>NTY)V`)_0v-1K)TUpPTSc59 z{*|c2>ydpmq2j0!5<2Bh2g#Wa|MDL2u#KE0WKmyXD|8faYQZ&P{tC|f&ke`+A-D4P zNZ%Ub>ASGAS|&O10qJX(W9%QGR)lzZ0DCJ?XGlG=9o)s-dx-5cyenhG_BE#ePdYVM zDjgjh)_Zq%{yY1@HV#N_)F(p3D0$~6V|a|zUH^yhVv!fZi-pGTYgOC3l760H1^!=kf&fz$Ct!ehq5J4;(lZy zaUqF8)pkchmE=*F?UOi5AI}f`WnQZNgU3rZ?HSKYBg_9sd1-mu4aWZ%FKwIp{&?xK zyM&jbi~g&^b^KfW{ffvtc!c2u`|@JT@T08lm~KEvl2HKf{$K? z-a{V9X8M3G6kgf|UwHvP&vxW+74kW%`U&zke*GSDKI-*xva@Z~n=+5oPJzegz&m8_ z9YN9O#CG9)Yht4BiLNKUzP7^Ob8fGX%inn)I5nRC(D%8#+$T6T5x?N;Z;8wy78@10 zBX{=Q*Vgb;)vG289|3D~h=1abd@a3J$t{t!j@wt?rdO@F(&Zb0fgbxe^i|@?_93Ak z?$O2P2dyFlWKTDqRXu5nE`Xb9TyAhg7kBP4{Z`!Mj-~Umjz>Z%or$wzokh@q;Bn`# zVvS4WZk!9Dd3WM}n$Z79>Q>VC=W@qHh}vzdz7(Fq`Q9RaX!-_S%^64?1~nJ>Z|HkM z{_yvSBkx2PzHNcz?@^aY+@07VJte8B--<1T-$8nAiccrM%`g6VcgoGw!LdK&szGf< zs2Dyu2fu1DG%WW#T?NeNSLv}acjy`msw96~)uF^nAJVLEzD&=Mcr;XePW7Q7H;`WV z%-z>H*qfi9$KD4G)~s^=`WpH|a|C}zY6$*F-s@!cJ2_NxKR=VFu-_@@3R6Nwvn}M) zWMDLz&nENPVM_kp;$niv4eYcUkdt~ zO3B&Y_32>Zo+L45QSn5MNEkHG1Jiq-Mp=F+brX z8}Vmu!+z;76@u8X}mc;VRa-aQh&$Z+7XmhHgc1HDFp-1qNUSSXEUa!(=_Hk27L5Up(iT32iGq;hP*yDrHIpJhniZVq|2 zlXRcp5^F{0g@5F13s{rtz?p66Q0UO6IYiGte@tR8)LlxRcRIgT-r@TTvAI!n7xp60 zZ<&ki-ox(#^(^aD&$!>dKrEje>GS6Y>0ewBqF=n4Tk;f;RhFOr`f`W$cdmwKQCqlS z0qaH|_|9iU=Vh<>|ELeLr{|G(8r2dTAB(!z?#_%Yc>#R^7;FeoKf~Yn2}9fd09?^Y zcc4F!2O65w;ST0vF+j^bVr;M;_n7OXH=f_n_Aa@44lzQs+E>EPOcw z?nfy6C2{X8S*NVg_#ixxdsi&hDsYDvXV}4?-|MVGj3qxR{C9>L%S{mIb& zWaDama_s7sd}u#Ewji2sd_d2SeV`=|K49G=wLk&%dWVzNu*!~L7 z$Umo#u6^h^d|Z>Uf_PO=V!6{Q=0pd`LkFF zKtR77d0wl#B~&7MU*}sR`=HP1xP7pP*!PzqV^aJ0*14Zs`0EuNNUcZp(VWEH|Ds#x zE+p-`;BEGkS&O&>_S@D4bWOjW=lUlpyH)MSPn@o=;m-+pg*&tQr(W)I!!KlAz);0K zIrjqo_PcA%kE(S@jC5X?X8d015yVKxJiA$9o;>@Y`B(C+dhTD4hqqsdO8;H=HuGe- zY@43Qm6+!{5ldp8le)ln`k+nP7L{`lnse)po^kNgySW|R4ms=e7>Cd4c@DWo)|zi= z*5CaN&!Ol2u`!ivbew!2_8bJqC59k5KglcUb!L`0_*3lMWU=HLJ(YK6i1KZ~{G9h$WzhB0_{08RPtgxKY^$D@^OXO+zGzEX7j-6bKg;Xpj)dF+$KTdhJ|l43;k|bSe|K&d z8j%0p$IWfPoO4w==Qi&d^?ZZmw#onAcMac@zn$Pr`ggS!iwqDuUSR2d>B5uhyDjtS zg}0LX3yH;0^QxXfKDhsQ0eh-*YYO*#Bl(l875(jA!Ci2`uW+%>oNE13c9H8h;Darx ze92WCQusAP@JsN{&F?rGE2*N67d%^Y0lAaPE&IE_^>WJ;u1RiL3fIzjrtm4Q@QF43 zES8olx9olze9_(ZA5C+}wXyOf23rY^mMVEG{bD7*!G8T`0hMn9zYlG@8a?8*6kmVE z@7~sVp4fH4c%Ghj44(3g$O{?Q%Q-@}!J|cH$UN?WpPf_p$<)x>k6c4=qFJ3@a zA;)fOx(Wa3x5tlt<5BV^cF5QwZ-n2El|}AN59=k9sT`z=#tz&G~FZ-6ZF7RY= z4Y0)*+1I&I;(Qi-QQ*S=0~6-^wd)H!3JyEtpDXMkrnYG8v&cdNxFM%E9U!lwPSz-E z!}jvUCdg`R1@akN4D1QN(%I*o_RIJRh9f!yavfcRxgQj~+2kF5E0Es5WlHRo{qNY7 z!j&#y@W=D$trKuB?_bfs{v_ke7z*#y{;_qh{}yzFqety+4SRcly`l4urK9qux6#9i zeUHQEr^!2fTgGCqZ>fC}7ni+o-u=cHoht@U!UjU;l6w{MPC*;jj2|m+JL@|s>r-n} zx*>X}!s{D5)A||j?nl<25xFKj6gVk)4^H+gKAD`P`_kCo|NPa;! zzE9!V59bWVmQJG9@a}WS_B=OuZjhXM_Ex9a$I7UK(uqxP7u!{ASYLQ!nz!e53I004 z8im8shn4u958#E~S)E@kL4E~qT(|QjueU)=oaur;8Uga}Yo6jS!Bb?jwF>*j)VXoc*}CZFAnf*b?;DblkRV zxAH~E8HXqR5j?283>@qfegr=4QTmSDky%pZ$B!Ba74tKvOUW|!^aIfgLn2JCoj0okwR|LxtJ zc|G$Kao*$$rs<4%ej|Ew;Ysz~!zO;^l%BkCR8QvJJ*3gqEvd1` z?7{mUeEPXlBYN$sjVgBiX4yfZ&x>g~@4J@7aQhmt2VO|YEbQGeGK+OQqV}s|)Aaio zyB73F;PK+b&r<7a8rc`mjnV;kG>?n{EYujQI>z#i-eZNoV-H&euA{hfERlO7Uv!ck!{9CTZdFS|GZ;sD3z)=C0#*3< z9$6ZiH+xxV;pC+j{3uk?Vur4`6Wy5@N{KEygwo}OFNDN*ichEaSm%FAZj^m{fc^kc zbavAZzNo(P^j%l|0b)fh%doGPVOK9p^&(itTCNk@S>}~qDZO_TwqTE(cl`8Pl{*6H zMIdrEL{9~LUkWBF28Hj#3Ye^Ive(06(LvhZVKe^!>|tRvw#<~d9CQ#X1D?mYv3afV z6L>N6OWuX8W%xY5m-%P!{*1sGt0sdU#?AA3i`wRv-2&a12mWkj9QZTmI;6&v^FUt8 zc)fj{XA?F11pZ!sE%|I)-Zt3}aT1?VvX3(%_l$nyQjc%n(HeAui>Q<1`#1Odr2k-O z2S3@u|9yud@B&26sXpWrYZr$!{#s4N}C;tcN)!Thudbc`e4e=J?_p8tc=>4#$ zu=Y}8@tokM^cC{fI_D8&4sfWEF;g%vDm?n%fw>x6#!8)SsA#q>Jzvv(E=K&B8{i%E zr=UNC>K6$P;XA~aiS8jd13rzwz!8102A+M#LE`>spK4&2e?0W zulRj3s3i*G6E^Ud0UN*HuI*2~zv@(I9{pYCE(VWf-!f+5$1WU|&%Og}&Ra$czEbYE z2^!EDaGKXeAA-};hk#h7(Bhrs0j+wHHAqhnzw$wO{ZYAq6`UwiKYT~&21`meRuekH_&vLHdqfh`+CN)b6OB=+gv zm516^XsbYNk7yT9OTktY+rL+Xd4dSkg+O~%@WI2@T6mhKP2{tX07OM z2f4xSeS$L`@Y#BsK|Wp)h`&#~drVS@1}WRq#Y6 z`$3|{MC1r;_a?N?$y_h`ChIcj5@qO?#JY(WHL>nXyn{#;GUpm%UJQ-MIbp@aOQ4hN zMJB#EXPBNT&MzUJScEw!dH}Yu?G407o_e}Dw(U!)-s8{8c+lqnW2j;(6`yD8_wlRi ztX*?ShRh4ji~)aqy2kG_#d$TviyN-niAca0J|SG0uc_D{lB@WGxvk92#xUc2l(ACh zVHi(U4U} zDc(7Up30n7`gKoQ8r%QKKQpgoZ3dsb%Z2w)-`TjVsdTO7d%^##Slmul`3V!LHLov=zbA+P^J;u6Qw<)yz*^~pF> z{4_N7>2cs%3GiD-Okkle$m^5Wb>ge{dBFo|kF-n92r*^NuWw{%@yHU*7#7r2+rIj@ zPE%xR)xOij63@ssIa7o_3^gBQ?c;ktyNuu0unrI{{>(VeB!DhNE@sW(V%84E>dv29 zb?c(%6Yi2f5exR>1o2?7wbHNFchD~cw~-CRhpZ$r%CnWwzLo1<5I<1X21l#%!uWA( z+rIA6y|&8qStwTNGrC*;bds2C=-5xe`^TV1iLTy)-US@_PW*5A_B#f1OU*%I=(<^J zlEgb=+~hmnrEf<5Qq9WxqV8slO~!|Q9$KD}m4<`Vo65~NZai}iJe!Mwt=tp%rj`<~ zP|=0;;4gKq-?fRgeaxfaNJ06L!HLuyS>`7DEb&069b1v4LS)P5)6)qKl}e<$8~tMG?39g#Ii z#dFi^g6Iy?2EiS{Z{YJTdo+%n3!@beV?*Oic6`o(3E$&)801WtP3YLbW&TO%t-#Ei z18z+#^yyr<5H~Z>q=7^5%G9*=tyae8lE?=s@sGC`vgcM}CaZA~JV<;&XsiJ(CVouP zl2|Cl32en08oQ`q0$_sZhDJa9_ziT3@u`<+QoV7od3U20bLB86uO;%`xY zKJ#ozFX~v7w8GdbR2|!84jxkTPfL7N@SDExDOP+GoWCXKn84FiXQdovPPDbrkE8Ni z?#I_3<@@RHcyM0#w$MW8j*=@clxRpPFfj&C!O>rKTkC+Ak) zpcmnX9zecm1J^VoElcRS7XzE;w7J9KxzPG%t+$!V44v`Hs{X*cxBF8fGYhT&%hN`+ zo~vYPj9 zj}kjPs$0>1*y#ku^eG?O8;R)3p1ZjLU$cpw@n1+@-Wh*J^4iY$vke`of$e9^MK!>h zZ|^2vTXrO<%0QQt7-mLX%9*IS;Ufw5qtLE8_CsBxrDp77U-=Hs=cz^RoXD9?W1$^Q zlyg{U#s_LX&>v|FG)VoH7-ry(#JE03Ci;juvHqW#6V!7S9S6A`8AxOzk%M~rx%7~Q zBrckLKW85!{|H@XKlFAd_#eRX7TrFxCfjK#q0Q{4eKnYEA03*5u7jM+y;HTF)&tk+ z_Dx6oI}hkD8yg=0_Fn~#v*KoJW2Y7VBeZpbI&0kS8y?`a)^P7F&hl)$-1k=s7$ZwM ztz}DDGx-{GikR6FlkpC8S)M7 z3n$RC+Em?U#xi7$dvyCy4YJf|UHF`w|7pKp&6vH*H^_qM*YW&!(aCE^i%jH1vapkt z(3d9lT*aGUZ6W@I{4RmkBTvz<;!W3SR^;ai)`)>Ca^ky<_~LM;&J(AQW4`L2b9CB= z>$(l5vH?ziU*2Uc^2qt)0?lZGUx-e8PCQuby%+c@DV)}IW5LRtpSewIRGn@q=NrvN zpL*i3SAS`#s@pqn=`=F#;FHwRmc|iykgV-+HYe>_898u%djwA`@FaEpf3ipGzlN`U ztS0ucusu{8`!Lw1VJh&nB9CZt7FqnMzjd7vB{-{W=Csj0L_dc-0*>xq$vppSJXQy; zjRWr(zae!R_LV;9hy0c?EQJ zgD(klN_@aLSHgPW73?EzYP_K{2RUnp`M{jaJjJu?Sm(yJD}4O;{*BP3*So$w4-W-5 z1%_#SOqXdNsR@crD2Rhq^bPzTA=ivwuod38415iWpJJVw zYs?MW^Qhoy8h7beos<(FdRUba&j&~H`99DZWZsHRO4jVR7t1>Z5)*4Xy2BY&N2+)I zsrX1WS9mXrJ;Ei#c2)HYy?rfSpvHl@jBc*%QS!S)%6q9tdsflDw?C|WJ6cbl(tTLB z?<4B^izTr|4QvbWVY_#vcV#)TMa$dT)Y+aJ*(dj-R?V_oHTVAaHTUiBAv^r2x1C!b zHS)*9YsX*s{IMTp@f?5CQl6Jy_kW%^XdzqKct>x>kH}da{Yq(ANf(H85&P~ zdQQFEACG;cUdfWG>`*E9O7W5Gd9Rv#)#$tR z>Rc!9y%252&N!&PJuRz=9YFCs;*Oug^MnVc`Cbp6=P-Y;8TS>N66d)fyOKWzO@arq zW_FS2kX?E*I-ipJMNeKO^2r{}*@K>(`Fz4Hk?Xz*D>4y18Gh4x2wM_zLt0NRLrxJH zTx?H8oaH8ZGUpPh@`(A^e0Cn z_?9yi(O-t4n{L9+z#boq@kCEXM|ukVba*oU6#8Y5GeMr_9H(E(oP(|`A@)Nwqa$_i z&yLI9WGR!m0(_;+6=$T4=zguLjdE}D^mU2{#!f36yXZ7&Ju?0j>kUuf`>t(Mxr|3o z9{EvvEaT<*w0gFizhk%Yd{4#a^nAZm@mUq$RPk9&`=;WTiY-E7FnjBmjHP)IS%@MdL0&#Vd}3$|B&M=uf`NY+W&gAs}k)jgll5jtxOq?nG3L_U-xzHSfvk@J+d z=g68$aV>m#StKUD1&+jDt!Nn0({I$u8k4lM#tbgbUmPsP*C8JJlFqqa$e_^mArCSp zuXp*4nioB&+1n)kDz@B-L3m3!&ksuZ0~}%v7f-;}nBn<{vQDk!4in!{Cu=403cjHw z?AKWWE|#NT%sCS@WNk?KhYH{K;;+hgJU?sXCz*$|nfFa%j&lY%IeZ0qReX}j?}5}z#PA1ddV-S-)EC-%Q&jntfbuf-;|7}|q>!P;zS zaZ+Ck~1#C%$2Mhd<1+S(b#L?evI#5>;7K$>VBm%v->;f4Zfw|Vboss><#XN zYm@f4=WMt94CDJC-#!Yg{kp}U=PQ--%sZ~__V3IpPo}O}nPFh>w{IwccV*GH#WF_J z$r#}$CAa{5gMt^xO2k`T985hHnNmFU6?k?eh;8B~=78_t$r%5){5yqS5k zq}C2Y*b>#8pZ*N?lNRX@bfCU?IQDSPWje>FFBoL69X@@_+w;vb@Q-=Irnlkb1%zK z{+i+kr0^JDRN^k!d)DgaGJN$|R~P?ND@*yGz9IDkFMK#N#0PNUIqjegM%sUrc2M6- ztp9s`6aUfWJE9itFi+w?+BbZi{ZO=k*hcf6Grs?5Nmjc<5k34z+tPJOy`0~9Twq1r z*pKm{d?OckH5?m?^$`dBmSKfZ*YU!3CLf4eNnsuGjyJwzJ&+>+1vnl7C%l@3h)~;xu(8bjIXYh-h z{!6c{Yo%QFuM|4FGsaL=<}2n?V%k3?=3oM7$nUWE_%3Y&Li#O$zfFLZ~s zDdN~3pT62FZ=00&6TvIWd(0pA-ZJij52;l(2a4HCpvJ%L3_QT|)s)zOPTs4!hXcN^ zCOFVF{`mH|%gWU_Q|_y2TA%vnbH9Yn>ZvKuv!_%1@6nlq$R^ph!;gJmH{z{|Y+z=J zf8zw@-zYwZ@z|r#(7*b0yecR>F|}_ev0(I|Czp#Xvg>X9!RD)S`CjO(@Ef61Qb+2Q z38$S3;ce7)5wt8bs6n@x1G10sLHbvT+$8nwQwCpDx7gdS7nsMvNr+D*BsSGKSoP8;Em z7q$_e=<%h?MV1lS`M=^_4t|WOZ&t24mzJ;Cl^PgYEwFO%y#_ZPI`8>w*l*Eyravq= zLH?q&)84nGmx=F>lf&3uB>NW*8m3yCdEJn6=**?S8J*NDk#U8_LPyoO28nI^R+-3G z_~^>GE>gBbkH-qU%Nf^QK78Ouir-AC#((lUHLiT$HLm51EA^*dDLZ9cmSkTeI7K`t zZ{NGrEp3o-rkso{=mB)+qKJZ_SNH#*?(VU6*rTIlt-Up#AFI#r;8_Opm?3>c-u)f^ zsU_()z{ga*QoqL!CwF>Yb*Y@MS_#^ea~;jZ%B=?;;FmNEra8qId_D*cl{PP zoaz1^`wDwG&;51<-)iXK$XSzDN7(<*9P@E=SyrcA|EPzX*ni{eS-W^*i;wFE8GrEO z=0oS<=89jjme@i}I1lcWD|nLH`RHlZwsjTLMwPR=yBy$X?`?#C$lOfhc+S)KC*m(8 zzM7N%p89#TLim92eeu5&8Ut=E@oAdkY1qn%8<)ZPhLN$w&^n>#im&}ettCC6-o@Wi z_#bjsZ+Hkk60(2d+;@eqL1!z@YtMSScj!p*FmEgr44FIr7#^UkM0{uxdnmq=*vnKr zCf2!%M=nm6r*-{oQ({ZM~NZSssRf61w_*INa3kz8BE0( zu7W=E@yqQ#K9O=MZ8i?JI6nAJqf zeuiABp5?r$o|TAyr!Q|V>y|gETl|g1FB9LGbHDX)am6lK*F!$QH*TetI$HP|u*2rq zvCQaUq{gu!9&*F@PWj?kn0UnhuXiy+MIm@yat~@84uApM2=*9mZWVU-QVqc z92il|%o$TYTzbL+ng%?Q%8x_dOAR`4CcZu>u#C$4 z{@G-5=3E#2E~pcqE3aPa%w*jiymP{o!QKdgeODVYKfDd%Un^~pw)A{IHGsCnfSuoN zX;XYXXA<^ki}B=QZ%w=;#QN&-9M)OU6{?ZH{PXAJo7f3{ANSX`yY`27nxR|3d;CT5 zHI?_9HDn;QcCHcIhIz*`fo1dUIj7^Jo*IeYjI7~O9{s|un;Lk6HFISLL>^z<?zEXTIP5=1E0vFC@i4wA!Iso_D7sszvUv*r zr(w{u@9BH8ekpxa�R^6rRWP@l|78*0ZHBwvRr#nslk2lN%^hChcKUgpNbxB z!LPjfQqgtcvq3qtRqSX6HaGmMklT8bH{eGLUPT*%%71lzL=%6Pp75YO-7o5Ui8_vwF;`F|l^?cdY=-MZt{an9Piq6f-0FLDxi zC+8DtllB6m|F&=U0&UkGC(1l8XD;B|t@uqbHm6nL0u=+RY{E#pwK}^nqH~U9Be5Hg z=U9cc*klVe>&8ab7B*sM;5*Klw#xY(KAf<#4r}fj);k-HXjcDv&7Ik%Sq^dXr$u$Q zp`T&qMs;&aSU0B{oNdnUQgotFYrt6@=8X16&Azu1`z88WDKYQoM0ESEO4=o7!$ovr zWhdN4d4_2ky7?_bx2EP95|_bB&8F@~bZKz=o;Nkim;)W+`&pbtyzot~n>x*YA>Eo; z4ZbpmwM2Fy`m-r(=JPhbZ$^s52JwNNOJAVx*0kDy>htYKRG;mIv?o-gSyMOD=SKWJ zc#kt(@i&xu6LxN6rdu9CN5=+DKhN!Bp5ZpoPQQOvb}K$jwDaCt`qQ(Ywe&gN&)Vca z+fSiYh4hcH(Ni;yw9tO(V~f=v8)RLR8k6)mNt>-%j8j`b!^w-PH8b2{ z)oo#{CXSRbka$=M2P2i>5;&N9nAlCdwbZfJW7hLKG;_SfqZ!;R@L>G))PY9)@dO_0 zPFvpsZ&z<&trI-C5u6;vb$t`(XKxI+YrsXp<2h~EoD2^xQ-Rf#ja~R^t*8x{=oj|A zO^oMkd~xX4oY|Z)-lUt^_?2+aiZmtNyEX+l_t*Qbtcf#MJvh5ft?*g!p`#Z1JezCq z_6Xm%)^aWWB9z%LTQAJkHEU)a_c}VQyX$zjHsQAJ)66L$-PxC|TgKji8?Fz?yt1Nu z4U!rsD_n2b3mLO;jqXf|=vG+`adwF#@ks5?O6E0dzMa-0)=*`f@AyD-*KXxI+QB?Y zbsTw1&Xt13y(+(fv5d=G_%f-nR6L120QMu{Azk$1Om$YF0lm0)R;Fpd%NM@PI5Bqw z&SkSSV<);;_A%};e~enzp=x#a;hCCsbG7dFp9QR6)ZDz8npqE=7wrg`4>O-`q>uHq zZyLV^pJvgYXvnZnfn%KYYB!Qvylb~CoV40%I4c-@YT(`a8sJhFF#B;m`-EnnY5;be z@7PFc@vh~3E30m{>a&_RhEE%2&1&&z!}JzK8{jMMv|OVwO#j~BK^zY0U#_nDSAT^5 z)m+%W{*+@9K|tjh!wb^sG>9oI>N?#;&et-2M~p+Bx7fum;8gbF&V*3~s71nExX4 z3%um4cykIk<>RY5v%35+?UOM~x6iwlI9xJU%t6_@c{AUn=SEp|z&%`}+o!6P&zjxX z4|sdm?DdiMHK&f&9Vryva)$YxExZMMhPPC*U&wm1QT*3Nx)pPPY4lv28B}Z7hqh?; zZIlskbIa8}}Xn)-*Z-f>wE{~D!ISh@cO$dL7UO}^uBqRoo6*&xS56c)P-O>#S zcMUL_3QarQ1Rt3RoCm*#ta8?A7>_;E-@hPx8pMAAS<6{fE%J)z*C)OW%ID#S#H^aC z^iGLerD8(t*{+#yonZYR9|X=Rudr+M`5FE|n6Wkt5>_Sf11PR4F*9HpJ^l9LH{Rf*<)`*XVc&d)Tf?-KBH_cnLw z2AKmb&7&t@i9Jr%J=xn=de*)8XXqJ5CyzE}1<=Jt0NA`d_ zy~MtVpSb!h&y`Gi%x#{bv!6R^-`~}~e--)CDfioWJ#7r{)}0l!v8**=J=~(ZQ#h04 z&_>N2e42T)dz%$fbmW+_gOA>QDc{r1!Dq};+S&J)*uND&IIHTqKPTYi5Zfhm{5~_R z+IZBVO~NxwWk=cnj`v%ht2Q378^`GOBR?Bs-_~-4x%wn?u`hhKg?$|tYu1cL&3w2- zbM~&#-6Naf&B$+ipJon0pWt`q)SzxUD>S!-dpXdDvTVcoSrA#JP)D``Z}W5~ryX7l zoxh8*%{c-u&eQQJNLV*-3YdNQ{s!J(#C13u+OgA@bq>M1;5EE!!fUg&iXjtJjn%Tg!NGg&J{X)9i!TX^)-JZiZ_C7S! z$$`$|*I|`ZfCI>8Gx{3#EcI-NefyKhVWwuev!RD3_=3D9Z7YVh_vM}7OwDN?%$zxK zl|AivZ?o+_%8CTcwoY(5ft{olcudmVt6Fup1iYm#bIn5Hu5k8VL}SlvTc_L7!uO#B zbmcxf(U$4#zlwK&%j`CIFLY_mM7=P~oal#NoWI}BZKK>9tD&)*px2Z=8$UqS3O~|6 zDHPEhcptRb+h?+MN*&2N!0eyzC= zzXV-{Ph9aFd8KC6J%yjzA|Hlr-7qYlsJVr0QXX~FhrCkFz9tej^Pv3=h1l$P_eN;G z>d)Z6qoZ|*eNl8Uw*j2wz8$Wn|A()(sM}r8rn^@i*WD&ytYp^x?S;q$@}Bff`a%DW zr2ES?x;uSS&lNpwl(`_|3B5be#os=}H@%C$#qizpefAV@6Pk^$x+Ad{(!4E9?6%WB zZws96?MzMcwl&}jeCZHS$u9@&Q&Nt}hZQ6-kr{PcV z!!eq5s9cp{&7h1>zirN%&w2e>4R32~1h&v??5}3@fM!j&2|Wlu$9a4E7Ruh6)%ko= zJyhxQ8^hSS;QPXRvU>8jZC^LxZ;tS{SCNGx@V5+jM|l(cZK&=}ZBhQe*2P1KMG5cd z^~(f&>4WCciR;ecZ+%xOA2H!?s*V8sP1dS+!S592K$9Z)h)l`Q%{L>u z_2YLmw~cuajiZ;H47e*=p>OTF=-nctS<7b;Kfhf^FVdYixYvF}v+jn*O~;nKR%q)X zWI6KkGGx3Z0W0G&!_9t&^BB38@h6c%4g0Ql(D&g<*e9HuGjw1i+R=puPFJtoEA$WGJ-v7Z}7AL{8}J}`iOUnw-7IUGPX-@^UE;mS5-Z4Kzoo*;9(Q4W))E;yyB(#2$W~S*>kUl6n<sj^Af3YM{RDs!e8YtL#$_ShKf_-Si{(EO`1&q>Ijrf2~;(q&?th zIkY_-qpc0u4s;s(E-{m_gXA{6FF303S}Tf5oI7aVS&;|9*Y`YHUPrr&jMUdQo#{!- ztvu*y{U+v4rP{BpVju!D>xOe_xuf_YeYyZfB@%-XTE0ioas}@j#wcDw-OWPFWuBT* z>;Y4OAGDb@D14?5U+v~nH};Wqoze&BMth;~Bcav8H$7Ue=3x3BeS0U}U+KTldhD(2 z9m8+>*W=ksR?69C)mmXWWuS}8*qLi1y7O2_H|xQ>R_0Ixe&_`u7K_Jg#;8((cc;iX zB74eQcIwboRyGE#ra7{v1V5)7cp|ZH@G)%&Vh6`A(!?`xb@o(&UHZAQP1&m(0`{sS zhTZ1_!|r`^z#g<0y1pfVF9Cd?GG|s}uMFw3ACS7ey>*J0P46tejCK`x?J|ZTH*Bod zD(Q=|1tD{oPMEnK*43W5&Gh%#Qq8&xSqu3{`l0mu8&7yPRCGbkJj9P4I5#ohm@mSs zSv$=#*-MD6G;9xO<5?f>H;`t_e%20qKsdAK`%Yz^^j))>`{>q!{iFrpVNMvIFl5w? znoaCd;uk=#v6XY~pX4^{Tgv9__s^cv$eBJ}ZFwUo0o9Fv=CsXgvWRKr;_8sm!t;*ACTR5MVcrXfw zPWgS)@0-PKMk|S!?^>uat6W=GkBgs9h`CTIOie! zhBHoI5915Yxe$+YPQmMb+>gg4R(Zf$xE)!mMYp5DK6ZHfP~ka3Z-^y}j;C{OeU%~W zU&R}1krg!Z@u1KO^V=syju>PYHH|LJM1Q$$Jo`S9 zAaXoe>)~4ClntJGPhr#*7={8B56 zH6wb|4OOzP4$P_F%>}-j>rO$hW$tGZ(}%KmvtFz03Hb5D&q`H&!?}l#7XCB%K2U!? zza=(En;E9wvRY(2{OjRuZm1R-j*KlbEpUqusnk;StsPRI%zuGraRKxwyHc~TnYnAR zhtJAojddq*tCe?75SIiUL`P3=1f~%MtJLa-j>7GXztVA^O7PwR=pOVB9w;&b_LtNn zk$tAJvkcxZe8yCA>hyhX)3<^9MA9aqpM8YhHOD3oI0uGBVc^pR!{CR)F!vl72D@Om zzV3_%!$hW?DZXv&$%#$w>%npVNqZ^xO66W}(}UwW;E0bztT(YD1di)^!ZG;qaGd@R zz;SvSj=}TcSXqk$x7z5zgCv%S{GZP~VTt*gvR}X$u z@W$Ybh{6yuV;DVlI=uNNWI|*n>)w6f{Lz3FJ{GVlb{TFd&#)a}^JXvZbi;0m==%DeQrIeMHr(<<0jref@J*Rp)&uJT z*c-G$mY9VZ?W_8 z4nENC@}ZQ$_44^jb`iO`FsN(zp9alv3-jRhfJ?jG_8pq@X11Kbg{!&#Tn=ThS-pyfwzV&Q#C(R0N2IeP`iIM@U_+s6?(!|cpw?l6Y8*%Q1Lb_3~IN)By*lhVLF&1WO zZY5{SKX4IiNp<*VEC!cOBkNTK-3;LH0A>6qWf!97G@aJmD?hDUzgwb^z*(G;I3h%l@KO_M)uv)1BttOx?{| z3;rBc`lZ61vKQ4lfR+6x!>kirb_I86E9)bXAJe8<-HI&2_5|KV4y&^8Ee=R|)`=$Y zuo0Sh$Pm01TxL$wmhAzxt{~+I4lXTG<+w|KqE}u-Tb7^2m#S8G{!CjVz-kfiZOzlI z%wKr;hfZom2CyD*khW0o=YOFamjd&xT=!Z-J9@znd3NQ$0NVk`-Bp|sljL1sv=zMi z!vEB*=eds1c4A_yan9Pr_J{xyLYXr2O)i8MlcF9&SZ3csr4Ku~ipdZQd9m_V=kymzWLKom0`H zr+Ie9%()7$tSIm;{dZ+UbxUug4ERmPw<&{nGp^MuDZ`-*bLlDc=4*6o$uQl$bE57% z41CMqfqs#%Xg17gw0mib;g*ac9n#(4T6E__wEHIA{BsaEebsAE4&}6Se^C?f%<%PZ z>;*Zq0#@1YX$SNzyjruuwdmwG&56| zeQ~~Sz4#h92)vH%)UArYGtcX=1<=<}srZ^`)|gVwDrFp^JFty|V`HI7Wo4}0)M(c4 z%5-ZBYfmHeh&B5V^lt$;46J9p3az-@aF@(wZoY50_m5>>eNW9(w-q~q*d`XTKJ*53 zrerm?`nU1>)A3i-8Rv&J_qU|E5|beb?fN~>AL4hRPFcEx-%EVtZ;)T#i?~gNI&x4L z881Q%59B*=ATkk}Jr>({JvKSyyHUjT@Z>vu4;P1(o{NmZ8Efp5tm0l08JGQkqYmO9 z{Cd#IMKAkHIOeQrld_Q?t3saaI8pP;&IRtRp6u9Fc2!8(L#84hQV#tHDVdmZ4g9ey zC!zz3j23Cr?8(Om$#dtfMXWo8gU(%T@TdgqTs7?TNrt43c)`J-SvV2+Hz=4(yJ&kz zeoMREE%?U_r%vX4e3a@(NVR)6aenYslDcI5(QAuWztsKV{TWMtz`ZoA`c!r(`8(Xy zY42?9E%lgF3;nXHLe82zYz%pNJojLjXJSw1?2E;{%)B;F56F9u`-`<=`dExEVsKWQ z#Ce66hoI4!__lNInfzWHDjt!{G&pmOcryHEEIwP6VTdl0&+n1R4C7KgqxjOQsEM46 z9+V}11L!VNHg@3mf|O~n_ltZnXXZ&>#oq4sf(|x9J9@gnLH@A=r$;!*JgI@F8{^13 zan8U3Ux{a{V?Wq7B%Xf>a)ur>dqqSKkurI%%9Jzxq)c$*J&~7BaZRin@ekhr_W5Nw z5s`@p9}`;$JS^cv5)u2AhJj`sa=ntfG|kT46cicX={HQX9}6Q(;O7R8S>cTdD~c>% zfX#(?Hwp(v5esNaeTNxIFc&u^L~gSuuSc%Mo}?8;%-rFuaq?|hHF%GXAIXD{)=Jw> zQf5?Wq%k~l){@hy6m219o!?H0 zl@nj@wKJ7lOUxbS2sT8K`vvY!EA!!g=0huUWO*aLz{!wPE^~orOd#|jwSEyFA@gf- zNPfph9t>KA3U@*l_$~O(7=_F=wZxQRJkJ|%!2{rf9IS`fLmcw-ROkiu2#!0e>pea7 z*`^LpPX*r_1os7}#HKNLx8Sh>Zd~(aFp}ELcroZ@F$FJnxPxR zCO}Wiu&F`+MlKGjwZFdju8+DzVs{3y7kIj}=+LoSL-7LkAB{K|AV;PXtu8vX znWM9gQKhqgUX3%c7dk~}H>Wlze2PDD0v+Qe(VwvsBMT22r2I>yPUe`LWr^K@c^Tt+ zDAy9Vcf{kwA0p+`_I=>Z%^l#5&RTgD{-9ya*jUr)l#!$!(bK`}ID1}FefEm&ARsmk zv12IP0s4i#a%aFmzBKZYL(#E~VO1)ZwNLimYUcHWz|%d+)Syv0_D#2pGcHT&#;C<2 z1F<%qooNh9^6g^wT2%#H{1@HBb-H^PKc>fktBQMikbYDN>7dgHho+-bdKa4&7Fn#4-qz1XQNzrn*vR1*(tr&z{1szrJ!FCb^H??h- z3ogjmr-C2gn+pxuQ#k-Uz_)`m1Q-bpYegf{@7cL6x#zbL7#Yg89*hLdwl?%T_)B(! z%qhd4Q-Sm56wlB-yg3!{=M?ganp3jB!%%bThDe~0_Mx{k|5&VSS$8 zVut~DXe0H9t9(1qN6s&!QpQrvv4a6ed~^o1$T%9(2N`$9Mdd=fh=)M=;Lu25F@jW} zv#y0-xwNk@_zKR(bKg_^!Myk+GR_ic4u0_TJDzum*#ATEC*=2H1D+LvH-+L)$?qy` zXH`LC#NuFlBfm!^gYc!G_?#4DV`Bb=h4=7Yppdv-3Lgb`8K2beXE!<_Lv!}s=+XJG z(E0ihXIA1nF$(*1DCmTs^W4WLDQT6?0zX6i&V|-bAm-b~j#PbhhtO6xyFO{cKkV=B zj+kZHf@cx4AM_aAEB%ewJ)lF_dGw(8`W0^scd%~Ede=({cXg|7QkT$F&p#LX9;!>4 z#?{dGjm$6l1n;p*H$oq(u-8G~!}UqGZVR@V=R2H`(D!XdasJDl#c}%7C!ckREt>0m zfNnSqdFn3U`G#scbP3wd^YC7euG61X|1Cn-10G#(rOfx(XQiuo>4qx7f96Jb7vCVa z6#OROUeTz_yc4-n{85o}&>ME57gOi+l|s`5_X6$~=1(K{L~lb5793Dx4xQ&Lq}}J^ zpTd7|6uJf8w&{Y8#Tz5g6%QAk`W`Zz#C?0{1azeeKZrbqzi#0HcqjM=jh8u{o@=ST zEw4bs&%r-zE`LC7Q}jdVjvX`#tmVrS_JCk!aY0fy3ZOHbgKMSGf$R@R*zX1zs$A(W zbOrkOIP;(JKE7_dY2Xjj-@wj;JOyuaOMx#m$SObK{oaCp-3V-<*H#2sJkp?aGv!k@ zn{hAwwa5z!c2X{7zM$qT^D`Ab)(%gP*x#LvOr!G-iC8BhTQKgq>G$l%j$PP3&H|RQ z3}m3fXcQg~eJB39l$W}$_OxkabItnF^(lOX#LmP#xUM$jM6=mHm96%Hnu|Wb_QITC z{lm}|n&*@ic+K~?C0eaPOk0-zb!7pM6B{?q+zbNRgH`dI<9%C(I z3|&Tl^Tu3ke=^=O)<%96_qmoa4^^Kx=F@jpu7Hn(pwkuj614+kcwR9)&lpvuJ5k** zjVi;=jrO(Xu#Plm2k8iOE0}2yj9xJI(3}5>pDA;60|~zPNJzDl_6dK7FILIE$h9sw z>+!<$Dca6lPV>=wGNl;b#<4%D_NkN z`&%e?3(scNd;Kq^|Ij*_uMTIRo-3C!FQDsc{Y1&7A9PguGJ?H~y-dTq>6RaJqv%2! zwXVay`IZsILXQLophxzq?5tw!KL&DBvB*n}jKi!gBxI(6VIOA5g-Y%@$oQ@}F1A$U zsW5#_xMh2j)|HI6i_O0xoOFcmq01uIB%Lk&nG>^^L(eAMvB${sk~T47tZe4o*g-Nk z5*B?IALaO{-#`No$~cHl=gUFb;B+5TSs$>T2+ew{7P*!2I!6vl^h>{I4{Z6cHa7#G z3widdvrn1Cqc?Ayk}wU%QfSp`bhA6*pSkE}KF^l>Zs~@EyS#?=U1(T2W1)ChD`$g2?S=hVZaSJJWa!-A%ZmX$i|^E`E6{_N$o*Cj>6ELa!;WeH&Yc9mgST-FuWbvN#K^Mu zj*hFH^i$WJ3J@;I-Ha&gZpL4l7>k=Cma}UVA*lEYI{S?SE$u>_S$g?r>{T*%)eGR{Xh#jX?({eAAY+-kiz&oyDFZ zkJlO-symIR;h(GG?1P)BSr_A97F>bP#xQIPPiaoEsqk6sAkxNo3_VYH?OxV$u_aoC zlYv>I&;-S64S20L-kTE^wAZp^Jgb>!{&+`2(5C)^%kaWkw3~TY&bux$C;QISxJUb` zaaZ^@YnGzfGFRQQ8pa=4ZUo~mW6#)m&1Sf{)5-3uxvU!%Qztr) z!ejK&ftKB0cjrTk*)QcDgDyiG++)XtKlg@iUx?e_nw@K`H-nT5Z;}`X_5hJF57H*) z7d(+=@5CQGS zvV+Ld@Xdp?M;9K#`#LnT>Z5%S9_p0%PQ^ld&Y=;&(F}?Vk+aMmcrN^8e)A1yw*PnP zT5-nB2KE`i{_+Ohx@s(T?QbVUPPP{|=*~+QYi@DBq}$xATmN|{Yd?$&`wrynW%C8# zmQ$CoZo3VCy-C1c>i-6?{|0@1-Eao);>_ustTgQ1FMk;9DId67bM~@k8a(}oLjZO zoq1>|9|mpZK-Sd-_S{SQ_a=Xud)Qf|G zZNr3~W5cvxE$?fUlqWoU2>QqPL+BE|Jh5|A&>@|(hwuq8TM1B{zIkHc9 z#&5+26ZGgT^2YEm_8FOPr3vL@IEOt<5uN@tkfRGBPl#M&Pmuj8deDm<*(x>y#!Kik z^2VMrbfNMNhqj9i*g+3NHZhDR!>m!~>SD9AOjprhYf2e>couTU4&fK4oILMcH-dbz zKH|~o`bM!C5^qn_g>I#F?F9#>?~%O|#lx$N!t?A(oGB*s6xu-Y=Q{6mCSWOW7*BiQ zZ~4Gm_OTB+$lCOKLZda7QjpiNx71;8i&e3P@H(`yioUaFS@`|FO0FApb1(ikf2q_8 zLt2qJ{zRvR-G#E$K6F{Lsucg)`Y^VLR^D$5%N{_pVXDj<{9M~~{856GM{GLwUxZF1 zOzC&F9tge%g`{-){3yC-i1bn`- zepL}E6Pt^~d%zCHK7vkjO}^ln-sSg#FH&l?_>fg8e_mtg;(*xvoV=6hrj@`+*W-D= zk#o5MzU@yJJDzX%)6@1oW#=23?25^5G7o@Ti}X?TRr*|@`}}nJNoVQ={GMy1|Mv-O z&8fA}hdgkM`qO*z1l9$=LC-s=U?t@^t&R67-}{mfelg(J{o!ck1n{6NyiL~C&ARdI zC!C1QycQjA6Y@^A*tci{WsF#?+Tg5eK{f}DdCIoQI;q$9c86Y z1_}PJ8MIA)SAp;NZ2%A2t@f>0Gb`~Ijbx{Sp;N@E0B7+F)3gcfS1`5VWoqxQVaR{$s_P(zKiQ zu-+j1s>MG&9_yfNMY~%o%bDMtZ|4ACr+&P$jS5XLr%u%5nZR4taMG}bzfy-|;V(NV0}9HIF^6*ny+KFU*(?4@0AB zm>=HSMz?)I);4yC?UcEf$DX{gT4kBwNF(rk9KVwWi7ztH^Yw}(tVkPkgS}2QO)-1g zfv|y%(ZC)gxZq6Lfet$XI#7?@U?R4{jkK>8yW2JRYV4-}zzO;l9|2y_PN`GAGh6XN z2!;omt*z(}3DyfX4lv;f?pXA6@Kj>YK$8ZrH|31|U4a+49U*qFoC6sVnRDG~nUjS% z*vG=V4QoLsdTe6?c;e5Eo{e7T@Scf&YYdAho+ay?*8FGDK|4CkwpRRDMjnT(^1VWG6I5nPusY-)nGl)=|!AKCOn@*T98 zvA|z{bvZBzb1g7qe{NQ7xG-D<-Vu+ftyVKvBXib|RrV)&E^D0jAm*^*Ys{C5uvRJK zMR~%r%sg-ZoU^7DpO#u_3wzj4sJ>fGmH1rIZ)gZ}#N(B!59`{}ZIXTq@3eoyo^FXT zQMpOk&(ywOpMO|4o?y(0HBq{WGkQWwE|oQxLWw6K`|EEze8%zi*Pj{x&2LWn=I3M6 z@0>qx?)>k^<}X+n`|-?$vAI9EecsF;%$&b)=8Q}&{m#d}ar**$-t;?ezje|4*o*}; z@0dS)VeF1OZ@+!Pj~2#mip`iyd3VfRFkdRT1d&|3_WB9h-1)P#>yy`O^KYH6jsDlm ztua@OExht`w|>u_F>@BL^thM&%GKk>Pmp^B^Y5HD?=w8(dUt=VXmXC1w)eP}d*eRU z{cJtY68`g%d0NqT)9;eUc=zK{x{eRaxB2zlldBcoa+#OOq22GNzdJwgS^vr*-F3_Tk<=mgD*QH9(0BR%O7hW^{Rnybnbcpzd(XwY z>-?R6E%#&R{f$2FFLwQ{*T)!>*rnIbpEY;>+=V}mX|e80jmO&fVKaE+ANVWkyy~u* z4_$X%(N+Dv_J^OZi7gF$^Oo(y?!5fAZ|?m0!~z z@Ls`}E}EH_b?4%;JMa3#HD90gNc*(Ozq{ePGheOwY4*lv*I%4^a>Ag}%A*}?KfUrl z|N7v*laHSM+r7W|#?@B@3iaQNoo6km*}v?aqCZT0!P%T_pL}}Q_iKOn{g=L2w{chJ zwS({e{hNt&i(|(heg5{(ZvIvEqjS6Nsb|5L{_yGLW6r$?D1P_i#pmDC5|3nEa8KJ2 zy71omKw@<4%&wOok1tMLx^LaiH+BU7x^ZvjjQjui#?klp^ci;Tp-ab(ne?q^cYc4^ zRVUWAzWM!W`o{AAwQcHK8}8ru#PgT`Ytx?K`>D&{y!?j)--!SD@?+PoyyZhkZckxf`VORg_wr9ThgSYqn`QLW8y7xS@{?PAc+%sYKUvKT~0NHRw0HR$cYOm)xh9{CLibqrUc? zgJ0kN;;M%m|8UjUzx1VhUKsn?i++Fh%+6a&KDFr8Umm*Z#;VOvU7s*-efiDL&b;{T zX?c~ezjsw;!;`mv>70Mg??3Jk#0UdA##L=eEwGPOVex(9US>+HviG zwoNP2`1{JO^X4tEAvd-?bLNbh3dt^;v)~6aFZ2VuhDiyzJ$-wX3|SWK>e)Z+9PCDU|$)RRexNo;du-=qJcm4%+^^dh#*(C%&I`wN`YX@W(GFuh!P@ zEuA{%uB){j*U$bz)i1BszVy>u4oy3Fbz<4`cmK<;`;D92{C54J0bd>WP~F$VyN(x) zYrXDZZQ#=9$Nl!vKmGXXyN{0h-IBd){{4+dzG!XQTo-S>_H$p_)H3dD&W6h$U9)Ug z^o8#XyL!PhGYY~xGSl_t%?~~Q{8neeiIZ2oV@$g8>zDt_=#$qhy8osxzI4ZZf1T9t z&V}osF%NrbPLFH3H*QV$vvEB8i~oGX3UCejEGxb#AAw z=P0{?-|1&ke-ZEX?osDJ|61;!N1kKnk>}B?YG`|vmnwQ(%e_~B-~H^nJlpC&e};UA ze?6J}Rp#5rrF0!1m9MSViUz*iUFS!=E6)y{_wF>lm-{ilZF1dv=RaPa)E_&q%q+^1 zd%gYp?S386TCL~^_qOpn{Y>hQaxdDW&UyZ|-2b?-7#W*&=X`r1;?U<~%x&$~JMNhI zBl#H{GVG2av01myoi}sF=VPps9@}zHFJB@r4Y2k6L zXz1U(G^fY4+ z7Vy2?pW(MnuKTwAHkN9Pz>t04*<=$~07JuECiK_vLp%k0ke_`CbZ=Zyn~P ziUPeT{adc(zWn|zc{<5`O%DVN{?elnI`1$1F@KSN@-O;-_^-E*G{#T9sp3Q#-jNZLXK1$AC6~0`Pn*dlJ?E#b1ANRcHr|0{tOuoAJ48~8>ZYTAYYpGt-0^0uzJm%KR literal 0 HcmV?d00001 diff --git a/benchmarks/new_opencl/bfs/main.cc b/benchmarks/new_opencl/bfs/main.cc new file mode 100755 index 000000000..138ec8645 --- /dev/null +++ b/benchmarks/new_opencl/bfs/main.cc @@ -0,0 +1,297 @@ +//--by Jianbin Fang + +#include +#include +#include +#include +#include +#include +#include + +#ifdef PROFILING +#include "timer.h" +#endif + +#include "CLHelper.h" +#include "util.h" + +#define MAX_THREADS_PER_BLOCK 256 + +// Structure to hold a node information +struct Node { + int starting; + int no_of_edges; +}; + +//---------------------------------------------------------- +//--bfs on cpu +//--programmer: jianbin +//--date: 26/01/2011 +//--note: width is changed to the new_width +//---------------------------------------------------------- +void run_bfs_cpu(int no_of_nodes, Node *h_graph_nodes, int edge_list_size, + int *h_graph_edges, char *h_graph_mask, + char *h_updating_graph_mask, char *h_graph_visited, + int *h_cost_ref) { + char stop; + int k = 0; + do { + // if no thread changes this value then the loop stops + stop = false; + for (int tid = 0; tid < no_of_nodes; tid++) { + if (h_graph_mask[tid] == true) { + h_graph_mask[tid] = false; + for (int i = h_graph_nodes[tid].starting; + i < (h_graph_nodes[tid].no_of_edges + h_graph_nodes[tid].starting); + i++) { + int id = + h_graph_edges[i]; //--cambine: node id is connected with node tid + if (!h_graph_visited[id]) { //--cambine: if node id has not been + //visited, enter the body below + h_cost_ref[id] = h_cost_ref[tid] + 1; + h_updating_graph_mask[id] = true; + } + } + } + } + + for (int tid = 0; tid < no_of_nodes; tid++) { + if (h_updating_graph_mask[tid] == true) { + h_graph_mask[tid] = true; + h_graph_visited[tid] = true; + stop = true; + h_updating_graph_mask[tid] = false; + } + } + k++; + } while (stop); +} +//---------------------------------------------------------- +//--breadth first search on GPUs +//---------------------------------------------------------- +void run_bfs_gpu(int no_of_nodes, Node *h_graph_nodes, int edge_list_size, + int *h_graph_edges, char *h_graph_mask, + char *h_updating_graph_mask, char *h_graph_visited, + int *h_cost) throw(std::string) { + + // int number_elements = height*width; + char h_over; + cl_mem d_graph_nodes, d_graph_edges, d_graph_mask, d_updating_graph_mask, + d_graph_visited, d_cost, d_over; + try { + //--1 transfer data from host to device + _clInit(); + d_graph_nodes = _clMalloc(no_of_nodes * sizeof(Node), h_graph_nodes); + d_graph_edges = _clMalloc(edge_list_size * sizeof(int), h_graph_edges); + d_graph_mask = _clMallocRW(no_of_nodes * sizeof(char), h_graph_mask); + d_updating_graph_mask = + _clMallocRW(no_of_nodes * sizeof(char), h_updating_graph_mask); + d_graph_visited = _clMallocRW(no_of_nodes * sizeof(char), h_graph_visited); + + d_cost = _clMallocRW(no_of_nodes * sizeof(int), h_cost); + d_over = _clMallocRW(sizeof(char), &h_over); + + _clMemcpyH2D(d_graph_nodes, no_of_nodes * sizeof(Node), h_graph_nodes); + _clMemcpyH2D(d_graph_edges, edge_list_size * sizeof(int), h_graph_edges); + _clMemcpyH2D(d_graph_mask, no_of_nodes * sizeof(char), h_graph_mask); + _clMemcpyH2D(d_updating_graph_mask, no_of_nodes * sizeof(char), + h_updating_graph_mask); + _clMemcpyH2D(d_graph_visited, no_of_nodes * sizeof(char), h_graph_visited); + _clMemcpyH2D(d_cost, no_of_nodes * sizeof(int), h_cost); + +//--2 invoke kernel +#ifdef PROFILING + timer kernel_timer; + double kernel_time = 0.0; + kernel_timer.reset(); + kernel_timer.start(); +#endif + do { + h_over = false; + _clMemcpyH2D(d_over, sizeof(char), &h_over); + //--kernel 0 + int kernel_id = 0; + int kernel_idx = 0; + _clSetArgs(kernel_id, kernel_idx++, d_graph_nodes); + _clSetArgs(kernel_id, kernel_idx++, d_graph_edges); + _clSetArgs(kernel_id, kernel_idx++, d_graph_mask); + _clSetArgs(kernel_id, kernel_idx++, d_updating_graph_mask); + _clSetArgs(kernel_id, kernel_idx++, d_graph_visited); + _clSetArgs(kernel_id, kernel_idx++, d_cost); + _clSetArgs(kernel_id, kernel_idx++, &no_of_nodes, sizeof(int)); + + // int work_items = no_of_nodes; + _clInvokeKernel(kernel_id, no_of_nodes, work_group_size); + + //--kernel 1 + kernel_id = 1; + kernel_idx = 0; + _clSetArgs(kernel_id, kernel_idx++, d_graph_mask); + _clSetArgs(kernel_id, kernel_idx++, d_updating_graph_mask); + _clSetArgs(kernel_id, kernel_idx++, d_graph_visited); + _clSetArgs(kernel_id, kernel_idx++, d_over); + _clSetArgs(kernel_id, kernel_idx++, &no_of_nodes, sizeof(int)); + + // work_items = no_of_nodes; + _clInvokeKernel(kernel_id, no_of_nodes, work_group_size); + + _clMemcpyD2H(d_over, sizeof(char), &h_over); + } while (h_over); + + _clFinish(); +#ifdef PROFILING + kernel_timer.stop(); + kernel_time = kernel_timer.getTimeInSeconds(); +#endif + //--3 transfer data from device to host + _clMemcpyD2H(d_cost, no_of_nodes * sizeof(int), h_cost); +//--statistics +#ifdef PROFILING + std::cout << "kernel time(s):" << kernel_time << std::endl; +#endif + //--4 release cl resources. + _clFree(d_graph_nodes); + _clFree(d_graph_edges); + _clFree(d_graph_mask); + _clFree(d_updating_graph_mask); + _clFree(d_graph_visited); + _clFree(d_cost); + _clFree(d_over); + _clRelease(); + } catch (std::string msg) { + _clFree(d_graph_nodes); + _clFree(d_graph_edges); + _clFree(d_graph_mask); + _clFree(d_updating_graph_mask); + _clFree(d_graph_visited); + _clFree(d_cost); + _clFree(d_over); + _clRelease(); + std::string e_str = "in run_transpose_gpu -> "; + e_str += msg; + throw(e_str); + } + return; +} + +//---------------------------------------------------------- +//--cambine: main function +//--author: created by Jianbin Fang +//--date: 25/01/2011 +//---------------------------------------------------------- +int main(int argc, char *argv[]) { + printf("enter demo main\n"); + + int no_of_nodes; + int edge_list_size; + FILE *fp; + Node *h_graph_nodes; + char *h_graph_mask, *h_updating_graph_mask, *h_graph_visited; + + try { + char *input_f = "graph4096.txt"; + printf("Reading File\n"); + // Read in Graph from a file + fp = fopen(input_f, "r"); + if (!fp) { + printf("Error Reading graph file\n"); + return 0; + } + + printf("Reading File completed!\n"); + + int source = 0; + + fscanf(fp, "%d", &no_of_nodes); + + int num_of_blocks = 1; + int num_of_threads_per_block = no_of_nodes; + + // Make execution Parameters according to the number of nodes + // Distribute threads across multiple Blocks if necessary + if (no_of_nodes > MAX_THREADS_PER_BLOCK) { + num_of_blocks = (int)ceil(no_of_nodes / (double)MAX_THREADS_PER_BLOCK); + num_of_threads_per_block = MAX_THREADS_PER_BLOCK; + } + work_group_size = num_of_threads_per_block; + // allocate host memory + h_graph_nodes = (Node *)malloc(sizeof(Node) * no_of_nodes); + h_graph_mask = (char *)malloc(sizeof(char) * no_of_nodes); + h_updating_graph_mask = (char *)malloc(sizeof(char) * no_of_nodes); + h_graph_visited = (char *)malloc(sizeof(char) * no_of_nodes); + + int start, edgeno; + // initalize the memory + for (int i = 0; i < no_of_nodes; i++) { + fscanf(fp, "%d %d", &start, &edgeno); + h_graph_nodes[i].starting = start; + h_graph_nodes[i].no_of_edges = edgeno; + h_graph_mask[i] = false; + h_updating_graph_mask[i] = false; + h_graph_visited[i] = false; + } + // read the source node from the file + fscanf(fp, "%d", &source); + source = 0; + // set the source node as true in the mask + h_graph_mask[source] = true; + h_graph_visited[source] = true; + fscanf(fp, "%d", &edge_list_size); + int id, cost; + int *h_graph_edges = (int *)malloc(sizeof(int) * edge_list_size); + for (int i = 0; i < edge_list_size; i++) { + fscanf(fp, "%d", &id); + fscanf(fp, "%d", &cost); + h_graph_edges[i] = id; + } + + if (fp) + fclose(fp); + // allocate mem for the result on host side + int *h_cost = (int *)malloc(sizeof(int) * no_of_nodes); + int *h_cost_ref = (int *)malloc(sizeof(int) * no_of_nodes); + for (int i = 0; i < no_of_nodes; i++) { + h_cost[i] = -1; + h_cost_ref[i] = -1; + } + h_cost[source] = 0; + h_cost_ref[source] = 0; + //--------------------------------------------------------- + //--gpu entry + run_bfs_gpu(no_of_nodes, h_graph_nodes, edge_list_size, h_graph_edges, + h_graph_mask, h_updating_graph_mask, h_graph_visited, h_cost); + //--------------------------------------------------------- + //--cpu entry + // initalize the memory again + for (int i = 0; i < no_of_nodes; i++) { + h_graph_mask[i] = false; + h_updating_graph_mask[i] = false; + h_graph_visited[i] = false; + } + // set the source node as true in the mask + source = 0; + h_graph_mask[source] = true; + h_graph_visited[source] = true; + run_bfs_cpu(no_of_nodes, h_graph_nodes, edge_list_size, h_graph_edges, + h_graph_mask, h_updating_graph_mask, h_graph_visited, + h_cost_ref); + //--------------------------------------------------------- + //--result varification + compare_results(h_cost_ref, h_cost, no_of_nodes); + // release host memory + free(h_graph_nodes); + free(h_graph_mask); + free(h_updating_graph_mask); + free(h_graph_visited); + + } catch (std::string msg) { + std::cout << "--cambine: exception in main ->" << msg << std::endl; + // release host memory + free(h_graph_nodes); + free(h_graph_mask); + free(h_updating_graph_mask); + free(h_graph_visited); + } + + return 0; +} diff --git a/benchmarks/new_opencl/bfs/run b/benchmarks/new_opencl/bfs/run new file mode 100755 index 000000000..7fa690ed3 --- /dev/null +++ b/benchmarks/new_opencl/bfs/run @@ -0,0 +1 @@ +./bfs ../../data/bfs/graph1MW_6.txt \ No newline at end of file diff --git a/benchmarks/new_opencl/bfs/timer.cc b/benchmarks/new_opencl/bfs/timer.cc new file mode 100755 index 000000000..3e907f4c2 --- /dev/null +++ b/benchmarks/new_opencl/bfs/timer.cc @@ -0,0 +1,78 @@ +#include +#include +#include +#include + +#include "timer.h" + + +using namespace std; + +double timer::CPU_speed_in_MHz = timer::get_CPU_speed_in_MHz(); + + +double timer::get_CPU_speed_in_MHz() +{ +#if defined __linux__ + ifstream infile("/proc/cpuinfo"); + char buffer[256], *colon; + + while (infile.good()) { + infile.getline(buffer, 256); + + if (strncmp("cpu MHz", buffer, 7) == 0 && (colon = strchr(buffer, ':')) != 0) + return atof(colon + 2); + } +#endif + + return 0.0; +} + + +void timer::print_time(ostream &str, const char *which, double time) const +{ + static const char *units[] = { " ns", " us", " ms", " s", " ks", 0 }; + const char **unit = units; + + time = 1000.0 * time / CPU_speed_in_MHz; + + while (time >= 999.5 && unit[1] != 0) { + time /= 1000.0; + ++ unit; + } + + str << which << " = " << setprecision(3) << setw(4) << time << *unit; +} + + +ostream &timer::print(ostream &str) +{ + str << left << setw(25) << (name != 0 ? name : "timer") << ": " << right; + + if (CPU_speed_in_MHz == 0) + str << "could not determine CPU speed\n"; + else if (count > 0) { + double total = static_cast(total_time); + + print_time(str, "avg", total / static_cast(count)); + print_time(str, ", total", total); + str << ", count = " << setw(9) << count << '\n'; + } + else + str << "not used\n"; + + return str; +} + + +ostream &operator << (ostream &str, class timer &timer) +{ + return timer.print(str); +} + +double timer::getTimeInSeconds() +{ + double total = static_cast(total_time); + double res = (total / 1000000.0) / CPU_speed_in_MHz; + return res; +} diff --git a/benchmarks/new_opencl/bfs/timer.h b/benchmarks/new_opencl/bfs/timer.h new file mode 100755 index 000000000..b142e279a --- /dev/null +++ b/benchmarks/new_opencl/bfs/timer.h @@ -0,0 +1,101 @@ +#ifndef timer_h +#define timer_h + +#include + +class timer { +public: + timer(const char *name = 0); + timer(const char *name, std::ostream &write_on_exit); + + ~timer(); + + void start(), stop(); + void reset(); + std::ostream &print(std::ostream &); + + double getTimeInSeconds(); + +private: + void print_time(std::ostream &, const char *which, double time) const; + + union { + long long total_time; + struct { +#if defined __PPC__ + int high, low; +#else + int low, high; +#endif + }; + }; + + unsigned long long count; + const char *const name; + std::ostream *const write_on_exit; + + static double CPU_speed_in_MHz, get_CPU_speed_in_MHz(); +}; + +std::ostream &operator<<(std::ostream &, class timer &); + +inline void timer::reset() { + total_time = 0; + count = 0; +} + +inline timer::timer(const char *name) : name(name), write_on_exit(0) { + reset(); +} + +inline timer::timer(const char *name, std::ostream &write_on_exit) + : name(name), write_on_exit(&write_on_exit) { + reset(); +} + +inline timer::~timer() { + if (write_on_exit != 0) + print(*write_on_exit); +} + +inline void timer::start() { +#if (defined __PATHSCALE__) && (defined __i386 || defined __x86_64) + unsigned eax, edx; + + asm volatile("rdtsc" : "=a"(eax), "=d"(edx)); + + total_time -= ((unsigned long long)edx << 32) + eax; +#elif (defined __GNUC__ || defined __INTEL_COMPILER) && \ + (defined __i386 || defined __x86_64) + asm volatile("rdtsc\n\t" + "subl %%eax, %0\n\t" + "sbbl %%edx, %1" + : "+m"(low), "+m"(high) + : + : "eax", "edx"); +#else +#error Compiler/Architecture not recognized +#endif +} + +inline void timer::stop() { +#if (defined __PATHSCALE__) && (defined __i386 || defined __x86_64) + unsigned eax, edx; + + asm volatile("rdtsc" : "=a"(eax), "=d"(edx)); + + total_time += ((unsigned long long)edx << 32) + eax; +#elif (defined __GNUC__ || defined __INTEL_COMPILER) && \ + (defined __i386 || defined __x86_64) + asm volatile("rdtsc\n\t" + "addl %%eax, %0\n\t" + "adcl %%edx, %1" + : "+m"(low), "+m"(high) + : + : "eax", "edx"); +#endif + + ++count; +} + +#endif diff --git a/benchmarks/new_opencl/bfs/util.h b/benchmarks/new_opencl/bfs/util.h new file mode 100755 index 000000000..425edfba5 --- /dev/null +++ b/benchmarks/new_opencl/bfs/util.h @@ -0,0 +1,72 @@ +#ifndef _C_UTIL_ +#define _C_UTIL_ +#include +#include + +//------------------------------------------------------------------- +//--initialize array with maximum limit +//------------------------------------------------------------------- +template +void fill(datatype *A, const int n, const datatype maxi){ + for (int j = 0; j < n; j++) + { + A[j] = ((datatype) maxi * (rand() / (RAND_MAX + 1.0f))); + } +} + +//--print matrix +template +void print_matrix(datatype *A, int height, int width){ + for(int i=0; i +void verify_array(const datatype *cpuResults, const datatype *gpuResults, const int size){ + + char passed = true; +#pragma omp parallel for + for (int i=0; i MAX_RELATIVE_ERROR){ + passed = false; + } + } + if (passed){ + std::cout << "--cambine:passed:-)" << endl; + } + else{ + std::cout << "--cambine: failed:-(" << endl; + } + return ; +} +template +void compare_results(const datatype *cpu_results, const datatype *gpu_results, const int size){ + + char passed = true; +//#pragma omp parallel for + for (int i=0; i .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/guassian/OriginalParallel.c b/benchmarks/new_opencl/guassian/OriginalParallel.c new file mode 100755 index 000000000..6a899b688 --- /dev/null +++ b/benchmarks/new_opencl/guassian/OriginalParallel.c @@ -0,0 +1,241 @@ +/*----------------------------------------------------------- +** ge_p.c -- The program is to solve a linear system Ax = b +** by using Gaussian Elimination. The algorithm on page 101 +** ("Foundations of Parallel Programming") is used. +** The sequential version is ge_s.c. This parallel +** implementation converts three independent for() loops +** into three Fans. Use the data file ge_3.dat to verify +** the correction of the output. +** +** Written by Andreas Kura, 02/15/95 +** Modified by Chong-wei Xu, /04/20/95 +**----------------------------------------------------------- +*/ +#include +#include + +int Size, t; +float **a, *b; +BEGIN_SHARED_DECL + float **m; +END_SHARED_DECL; +FILE *fp; + +void InitProblemOnce(); +void InitPerRun(); +void ForwardSub(); +void Fan1(); +void Fan2(); +void Fan3(); +void InitMat(); +void InitAry(); +void PrintMat(); +void PrintAry(); + +main () +{ + InitializeUs(); + MakeSharedVariables; /* to make SHARED m */ + + InitProblemOnce(); + InitPerRun(); + ForwardSub(); + + printf("The result of matrix m is: \n"); + PrintMat(SHARED m, Size, Size); + printf("The result of matrix a is: \n"); + PrintMat(a, Size, Size); + printf("The result of array b is: \n"); + PrintAry(b, Size); +} + +/*------------------------------------------------------ +** InitProblemOnce -- Initialize all of matrices and +** vectors by opening a data file specified by the user. +** +** We used dynamic array **a, *b, and **m to allocate +** the memory storages. +**------------------------------------------------------ +*/ +void InitProblemOnce() +{ + char filename[30]; + + printf("Enter the data file name: "); + scanf("%s", filename); + printf("The file name is: %s\n", filename); + + fp = fopen(filename, "r"); + + fscanf(fp, "%d", &Size); + a = (float **) UsAllocScatterMatrix(Size, Size, sizeof(float)); + /* + a = (float **) malloc(Size * sizeof(float *)); + for (i=0; i +#include +#include +#include + +#include + +#include "clutils.h" +#include "utils.h" + + +// The following variables have file scope to simplify +// the utility functions + +//! All discoverable OpenCL platforms +static cl_platform_id* platforms = NULL; +static cl_uint numPlatforms; + +//! All discoverable OpenCL devices (one pointer per platform) +static cl_device_id* devices = NULL; +static cl_uint* numDevices; + +//! The chosen OpenCL platform +static cl_platform_id platform = NULL; + +//! The chosen OpenCL device +static cl_device_id device = NULL; + +//! OpenCL context +static cl_context context = NULL; + +//! OpenCL command queue +static cl_command_queue commandQueue = NULL; +static cl_command_queue commandQueueProf = NULL; +static cl_command_queue commandQueueNoProf = NULL; + +//! Global status of events +static bool eventsEnabled = false; + + +//------------------------------------------------------- +// Initialization and Cleanup +//------------------------------------------------------- + +//! Initialize OpenCl environment on one device +/*! + Init function for one device. Looks for supported devices and creates a context + \return returns a context initialized +*/ +/*cl_context cl_init(char devicePreference) +{ + cl_int status; + + // Discover and populate the platforms + status = clGetPlatformIDs(0, NULL, &numPlatforms); + cl_errChk(status, "Getting platform IDs", true); + if (numPlatforms > 0) + { + // Get all the platforms + platforms = (cl_platform_id*)alloc(numPlatforms * + sizeof(cl_platform_id)); + + status = clGetPlatformIDs(numPlatforms, platforms, NULL); + cl_errChk(status, "Getting platform IDs", true); + } + else + { + // If no platforms are available, we shouldn't continue + printf("No OpenCL platforms found\n"); + exit(-1); + } + + // Allocate space for the device lists and lengths + numDevices = (cl_uint*)alloc(sizeof(cl_uint)*numPlatforms); + devices = (cl_device_id**)alloc(sizeof(cl_device_id*)*numPlatforms); + + // If a device preference was supplied, we'll limit the search of devices + // based on type + cl_device_type deviceType = CL_DEVICE_TYPE_ALL; + if(devicePreference == 'c') { + deviceType = CL_DEVICE_TYPE_CPU; + } + if(devicePreference == 'g') { + deviceType = CL_DEVICE_TYPE_GPU; + } + + // Traverse the platforms array printing information and + // populating devices + for(unsigned int i = 0; i < numPlatforms ; i++) + { + // Print out some basic info about the platform + char* platformName = NULL; + char* platformVendor = NULL; + + platformName = cl_getPlatformName(platforms[i]); + platformVendor = cl_getPlatformVendor(platforms[i]); + + status = clGetDeviceIDs(platforms[i], deviceType, 0, NULL, &numDevices[i]); + cl_errChk(status, "Getting device IDs", false); + if(status != CL_SUCCESS) { + printf("This is a known NVIDIA bug (if platform == AMD then die)\n"); + printf("Setting number of devices to 0 and continuing\n"); + numDevices[i] = 0; + } + + printf("Platform %d (%d devices):\n", i, numDevices[i]); + printf("\tName: %s\n", platformName); + printf("\tVendor: %s\n", platformVendor); + + free(platformName); + free(platformVendor); + + // Populate OpenCL devices if any exist + if(numDevices[i] != 0) + { + // Allocate an array of devices of size "numDevices" + devices[i] = (cl_device_id*)alloc(sizeof(cl_device_id)*numDevices[i]); + + // Populate Arrray with devices + status = clGetDeviceIDs(platforms[i], deviceType, numDevices[i], + devices[i], NULL); + cl_errChk(status, "Getting device IDs", true); + } + + // Print some information about each device + for( unsigned int j = 0; j < numDevices[i]; j++) + { + char* deviceName = NULL; + char* deviceVendor = NULL; + + printf("\tDevice %d:\n", j); + + deviceName = cl_getDeviceName(devices[i][j]); + deviceVendor = cl_getDeviceVendor(devices[i][j]); + + printf("\t\tName: %s\n", deviceName); + printf("\t\tVendor: %s\n", deviceVendor); + + free(deviceName); + free(deviceVendor); + } + } + + // Hard-code in the platform/device to use, or uncomment 'scanf' + // to decide at runtime + cl_uint chosen_platform, chosen_device; + // UNCOMMENT the following two lines to manually select device each time + //printf("Enter Platform and Device No (Seperated by Space) \n"); + //scanf("%d %d", &chosen_platform, &chosen_device); + chosen_platform = 0; + chosen_device = 0; + printf("Using Platform %d, Device %d \n", chosen_platform, chosen_device); + + // Do a sanity check of platform/device selection + if(chosen_platform >= numPlatforms || + chosen_device >= numDevices[chosen_platform]) { + printf("Invalid platform/device combination\n"); + exit(-1); + } + + // Set the selected platform and device + platform = platforms[chosen_platform]; + device = devices[chosen_platform][chosen_device]; + + // Create the context + cl_context_properties cps[3] = {CL_CONTEXT_PLATFORM, + (cl_context_properties)(platform), 0}; + context = clCreateContext(cps, 1, &device, NULL, NULL, &status); + cl_errChk(status, "Creating context", true); + + // Create the command queue + commandQueueProf = clCreateCommandQueue(context, device, + CL_QUEUE_PROFILING_ENABLE, &status); + cl_errChk(status, "creating command queue", true); + + commandQueueNoProf = clCreateCommandQueue(context, device, 0, &status); + cl_errChk(status, "creating command queue", true); + + if(eventsEnabled) { + printf("Profiling enabled\n"); + commandQueue = commandQueueProf; + } + else { + printf("Profiling disabled\n"); + commandQueue = commandQueueNoProf; + } + + return context; +}*/ + +cl_context cl_init_context(int platform, int dev,int quiet) { + int printInfo=1; + if (platform >= 0 && dev >= 0) printInfo = 0; + cl_int status; + // Used to iterate through the platforms and devices, respectively + cl_uint numPlatforms; + cl_uint numDevices; + + // These will hold the platform and device we select (can potentially be + // multiple, but we're just doing one for now) + // cl_platform_id platform = NULL; + + /*status = clGetPlatformIDs(0, NULL, &numPlatforms); + if (printInfo) printf("Number of platforms detected:%d\n", numPlatforms); + + // Print some information about the available platforms + cl_platform_id *platforms = NULL; + cl_device_id * devices = NULL; + if (numPlatforms > 0) + { + // get all the platforms + platforms = (cl_platform_id*)malloc(numPlatforms * + sizeof(cl_platform_id)); + status = clGetPlatformIDs(numPlatforms, platforms, NULL); + + // Traverse the platforms array + if (printInfo) printf("Checking For OpenCl Compatible Devices\n"); + for(unsigned int i = 0; i < numPlatforms ; i++) + { + char pbuf[100]; + if (printInfo) printf("Platform %d:\t", i); + status = clGetPlatformInfo(platforms[i], CL_PLATFORM_VENDOR, + sizeof(pbuf), pbuf, NULL); + if (printInfo) printf("Vendor: %s\n", pbuf); + + //unsigned int numDevices; + + status = clGetDeviceIDs(platforms[i], CL_DEVICE_TYPE_ALL, 0, NULL, &numDevices); + if(cl_errChk(status, "checking for devices",true)) + exit(1); + if(numDevices == 0) { + printf("There are no devices for Platform %d\n",i); + exit(0); + } + else + { + if (printInfo) printf("\tNo of devices for Platform %d is %u\n",i, numDevices); + //! Allocate an array of devices of size "numDevices" + devices = (cl_device_id*)malloc(sizeof(cl_device_id)*numDevices); + //! Populate Arrray with devices + status = clGetDeviceIDs(platforms[i], CL_DEVICE_TYPE_ALL, numDevices, + devices, NULL); + if(cl_errChk(status, "getting device IDs",true)) { + exit(1); + } + } + for( unsigned int j = 0; j < numDevices; j++) + { + char dbuf[100]; + char deviceStr[100]; + if (printInfo) printf("\tDevice: %d\t", j); + status = clGetDeviceInfo(devices[j], CL_DEVICE_VENDOR, sizeof(dbuf), + deviceStr, NULL); + cl_errChk(status, "Getting Device Info\n",true); + if (printInfo) printf("Vendor: %s", deviceStr); + status = clGetDeviceInfo(devices[j], CL_DEVICE_NAME, sizeof(dbuf), + dbuf, NULL); + if (printInfo) printf("\n\t\tName: %s\n", dbuf); + } + } + } + else + { + // If no platforms are available, we're sunk! + printf("No OpenCL platforms found\n"); + exit(0); + } + + int platform_touse; + unsigned int device_touse; + if (printInfo) printf("Enter Platform and Device No (Seperated by Space) \n"); + if (printInfo) scanf("%d %d", &platform_touse, &device_touse); + else { + platform_touse = platform; + device_touse = dev; + } + if (!quiet) printf("Using Platform %d \t Device No %d \n",platform_touse, device_touse); + + //! Recheck how many devices does our chosen platform have + status = clGetDeviceIDs(platforms[platform_touse], CL_DEVICE_TYPE_ALL, 0, NULL, &numDevices); + + if(device_touse > numDevices) + { + printf("Invalid Device Number\n"); + exit(1); + } + + //! Populate devices array with all the visible devices of our chosen platform + devices = (cl_device_id *)malloc(sizeof(cl_device_id)*numDevices); + status = clGetDeviceIDs(platforms[platform_touse], + CL_DEVICE_TYPE_ALL, numDevices, + devices, NULL); + if(cl_errChk(status,"Error in Getting Devices\n",true)) exit(1); + + + //!Check if Device requested is a CPU or a GPU + cl_device_type dtype; + device = devices[device_touse]; + status = clGetDeviceInfo(devices[device_touse], + CL_DEVICE_TYPE, + sizeof(dtype), + (void *)&dtype, + NULL); + if(cl_errChk(status,"Error in Getting Device Info\n",true)) exit(1); + if(dtype == CL_DEVICE_TYPE_GPU) { + if (!quiet) printf("Creating GPU Context\n\n"); + } + else if (dtype == CL_DEVICE_TYPE_CPU) { + if (!quiet) printf("Creating CPU Context\n\n"); + } + else perror("This Context Type Not Supported\n"); + + cl_context_properties cps[3] = {CL_CONTEXT_PLATFORM, + (cl_context_properties)(platforms[platform_touse]), 0}; + + cl_context_properties *cprops = cps; + + context = clCreateContextFromType( + cprops, (cl_device_type)dtype, + NULL, NULL, &status); + if(cl_errChk(status, "creating Context",true)) { + exit(1); + }*/ + + // Getting platform and device information + + numPlatforms = 1; + numDevices = 1; + int platform_touse = 0; + int device_touse = 0; + platforms = (cl_platform_id*)malloc(numPlatforms * sizeof(cl_platform_id)); + devices = (cl_device_id*)malloc(sizeof(cl_device_id)*numDevices); + + status = clGetPlatformIDs(1, platforms, NULL); + cl_errChk(status, "Oops!", true); + status = clGetDeviceIDs(platforms[0], CL_DEVICE_TYPE_DEFAULT, 1, devices, NULL); + cl_errChk(status, "Oops!", true); + context = clCreateContext(NULL, 1, devices, NULL, NULL, &status); + cl_errChk(status, "Oops!", true); + + device=devices[device_touse]; + +#define PROFILING + +#ifdef PROFILING + + commandQueue = clCreateCommandQueue(context, + devices[device_touse], CL_QUEUE_PROFILING_ENABLE, &status); + +#else + + clCommandQueue = clCreateCommandQueue(clGPUContext, + devices[device_touse], NULL, &status); + +#endif // PROFILING + + if(cl_errChk(status, "creating command queue",true)) { + exit(1); + } + return context; +} +/*! + Release all resources that the user doesn't have access to. +*/ +void cl_cleanup() +{ + // Free the command queue + if(commandQueue) { + clReleaseCommandQueue(commandQueue); + } + + // Free the context + if(context) { + clReleaseContext(context); + } + + free(devices); + free(numDevices); + + // Free the platforms + free(platforms); +} + +//! Release a kernel object +/*! + \param mem The kernel object to release +*/ +void cl_freeKernel(cl_kernel kernel) +{ + cl_int status; + + if(kernel != NULL) { + status = clReleaseKernel(kernel); + cl_errChk(status, "Releasing kernel object", true); + } +} + +//! Release memory allocated on the device +/*! + \param mem The device pointer to release +*/ +void cl_freeMem(cl_mem mem) +{ + cl_int status; + + if(mem != NULL) { + status = clReleaseMemObject(mem); + cl_errChk(status, "Releasing mem object", true); + } +} + +//! Release a program object +/*! + \param mem The program object to release +*/ +void cl_freeProgram(cl_program program) +{ + cl_int status; + + if(program != NULL) { + status = clReleaseProgram(program); + cl_errChk(status, "Releasing program object", true); + } +} + +//! Returns a reference to the command queue +/*! + Returns a reference to the command queue \n + Used for any OpenCl call that needs the command queue declared in clutils.cpp +*/ +cl_command_queue cl_getCommandQueue() +{ + return commandQueue; +} + +//------------------------------------------------------- +// Synchronization functions +//------------------------------------------------------- + +/*! + Wait till all pending commands in queue are finished +*/ +void cl_sync() +{ + clFinish(commandQueue); +} + + +//------------------------------------------------------- +// Memory allocation +//------------------------------------------------------- + +//! Allocate a buffer on a device +/*! + \param mem_size Size of memory in bytes + \param flags Optional cl_mem_flags + \return Returns a cl_mem object that points to device memory +*/ +cl_mem cl_allocBuffer(size_t mem_size, cl_mem_flags flags) +{ + cl_mem mem; + cl_int status; + + /*! + Logging information for keeping track of device memory + */ + static int allocationCount = 1; + static size_t allocationSize = 0; + + allocationCount++; + allocationSize += mem_size; + + mem = clCreateBuffer(context, flags, mem_size, NULL, &status); + + cl_errChk(status, "creating buffer", true); + + return mem; +} + +//! Allocate constant memory on device +/*! + \param mem_size Size of memory in bytes + \param host_ptr Host pointer that contains the data + \return Returns a cl_mem object that points to device memory +*/ +cl_mem cl_allocBufferConst(size_t mem_size, void* host_ptr) +{ + cl_mem mem; + cl_int status; + + mem = clCreateBuffer(context, CL_MEM_READ_ONLY | CL_MEM_COPY_HOST_PTR, + mem_size, host_ptr, &status); + cl_errChk(status, "Error creating const mem buffer", true); + + return mem; +} + +//! Allocate a buffer on device pinning the host memory at host_ptr +/*! + \param mem_size Size of memory in bytes + \return Returns a cl_mem object that points to pinned memory on the host +*/ +cl_mem cl_allocBufferPinned(size_t mem_size) +{ + cl_mem mem; + cl_int status; + + mem = clCreateBuffer(context, CL_MEM_READ_WRITE | CL_MEM_ALLOC_HOST_PTR, + mem_size, NULL, &status); + cl_errChk(status, "Error allocating pinned memory", true); + + return mem; +} + +//! Allocate an image on a device +/*! + \param height Number of rows in the image + \param width Number of columns in the image + \param elemSize Size of the elements in the image + \param flags Optional cl_mem_flags + \return Returns a cl_mem object that points to device memory +*/ +cl_mem cl_allocImage(size_t height, size_t width, char type, cl_mem_flags flags) +{ + cl_mem mem; + cl_int status; + + size_t elemSize = 0; + + cl_image_format format; + format.image_channel_order = CL_R; + + switch(type) { + case 'f': + elemSize = sizeof(float); + format.image_channel_data_type = CL_FLOAT; + break; + case 'i': + elemSize = sizeof(int); + format.image_channel_data_type = CL_SIGNED_INT32; + break; + default: + printf("Error creating image: Unsupported image type.\n"); + exit(-1); + } + + /*! + Logging information for keeping track of device memory + */ + static int allocationCount = 1; + static size_t allocationSize = 0; + + allocationCount++; + allocationSize += height*width*elemSize; + + // Create the image + mem = clCreateImage2D(context, flags, &format, width, height, 0, NULL, &status); + + //cl_errChk(status, "creating image", true); + if(status != CL_SUCCESS) { + printf("Error creating image: Images may not be supported for this device.\n"); + printSupportedImageFormats(); + getchar(); + exit(-1); + } + + return mem; +} + + +//------------------------------------------------------- +// Data transfers +//------------------------------------------------------- + + +// Copy and map a buffer +void* cl_copyAndMapBuffer(cl_mem dst, cl_mem src, size_t size) { + + void* ptr; // Pointer to the pinned memory that will be returned + + cl_copyBufferToBuffer(dst, src, size); + + ptr = cl_mapBuffer(dst, size, CL_MAP_READ); + + return ptr; +} + +// Copy a buffer +void cl_copyBufferToBuffer(cl_mem dst, cl_mem src, size_t size) +{ + cl_int status; + status = clEnqueueCopyBuffer(commandQueue, src, dst, 0, 0, size, 0, NULL, + NULL); + cl_errChk(status, "Copying buffer", true); + +} + +//! Copy a buffer to the device +/*! + \param dst Valid device pointer + \param src Host pointer that contains the data + \param mem_size Size of data to copy + \param blocking Blocking or non-blocking operation +*/ +void cl_copyBufferToDevice(cl_mem dst, void* src, size_t mem_size, cl_bool blocking) +{ + cl_int status; + status = clEnqueueWriteBuffer(commandQueue, dst, blocking, 0, + mem_size, src, 0, NULL, NULL); + cl_errChk(status, "Writing buffer", true); + +} + +//! Copy a buffer to the host +/*! + \param dst Valid host pointer + \param src Device pointer that contains the data + \param mem_size Size of data to copy + \param blocking Blocking or non-blocking operation +*/ +void cl_copyBufferToHost(void* dst, cl_mem src, size_t mem_size, cl_bool blocking) +{ + cl_int status; + status = clEnqueueReadBuffer(commandQueue, src, blocking, 0, + mem_size, dst, 0, NULL, NULL); + cl_errChk(status, "Reading buffer", true); + +} + +//! Copy a buffer to a 2D image +/*! + \param src Valid device buffer + \param dst Empty device image + \param mem_size Size of data to copy +*/ +void cl_copyBufferToImage(cl_mem buffer, cl_mem image, int height, int width) +{ + size_t origin[3] = {0, 0, 0}; + size_t region[3] = {width, height, 1}; + + cl_int status; + status = clEnqueueCopyBufferToImage(commandQueue, buffer, image, 0, + origin, region, 0, NULL, NULL); + cl_errChk(status, "Copying buffer to image", true); + +} + +// Copy data to an image on the device +/*! + \param dst Valid device pointer + \param src Host pointer that contains the data + \param height Height of the image + \param width Width of the image +*/ +void cl_copyImageToDevice(cl_mem dst, void* src, size_t height, size_t width) +{ + cl_int status; + size_t origin[3] = {0, 0, 0}; + size_t region[3] = {width, height, 1}; + + status = clEnqueueWriteImage(commandQueue, dst, CL_TRUE, origin, + region, 0, 0, src, 0, NULL, NULL); + cl_errChk(status, "Writing image", true); +} + +//! Copy an image to the host +/*! + \param dst Valid host pointer + \param src Device pointer that contains the data + \param height Height of the image + \param width Width of the image +*/ +void cl_copyImageToHost(void* dst, cl_mem src, size_t height, size_t width) +{ + cl_int status; + size_t origin[3] = {0, 0, 0}; + size_t region[3] = {width, height, 1}; + + status = clEnqueueReadImage(commandQueue, src, CL_TRUE, origin, + region, 0, 0, dst, 0, NULL, NULL); + cl_errChk(status, "Reading image", true); +} + +//! Map a buffer into a host address +/*! + \param mem cl_mem object + \param mem_size Size of memory in bytes + \param flags Optional cl_mem_flags + \return Returns a host pointer that points to the mapped region +*/ +void *cl_mapBuffer(cl_mem mem, size_t mem_size, cl_mem_flags flags) +{ + cl_int status; + void *ptr; + + ptr = (void *)clEnqueueMapBuffer(commandQueue, mem, CL_TRUE, flags, + 0, mem_size, 0, NULL, NULL, &status); + + cl_errChk(status, "Error mapping a buffer", true); + + return ptr; +} + +//! Unmap a buffer or image +/*! + \param mem cl_mem object + \param ptr A host pointer that points to the mapped region +*/ +void cl_unmapBuffer(cl_mem mem, void *ptr) +{ + + // TODO It looks like AMD doesn't support profiling unmapping yet. Leaving the + // commented code here until it's supported + + cl_int status; + + status = clEnqueueUnmapMemObject(commandQueue, mem, ptr, 0, NULL, NULL); + + cl_errChk(status, "Error unmapping a buffer or image", true); +} + +void cl_writeToZCBuffer(cl_mem mem, void* data, size_t size) +{ + + void* ptr; + + ptr = cl_mapBuffer(mem, size, CL_MAP_WRITE); + + memcpy(ptr, data, size); + + cl_unmapBuffer(mem, ptr); +} + +static int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + +//------------------------------------------------------- +// Program and kernels +//------------------------------------------------------- + +//! Convert source code file into cl_program +/*! +Compile Opencl source file into a cl_program. The cl_program will be made into a kernel in PrecompileKernels() + +\param kernelPath Filename of OpenCl code +\param compileoptions Compilation options +\param verbosebuild Switch to enable verbose Output +*/ +cl_program cl_compileProgram(char* kernelPath, char* compileoptions, bool verbosebuild ) +{ + cl_int status; + FILE *fp = NULL; + char *source = NULL; + long int size; + + /*printf("\t%s\n", kernelPath); + + // Determine the size of the source file +#ifdef _WIN32 + fopen_s(&fp, kernelPath, "rb"); +#else + fp = fopen(kernelPath, "rb"); +#endif + if(!fp) { + printf("Could not open kernel file\n"); + exit(-1); + } + status = fseek(fp, 0, SEEK_END); + if(status != 0) { + printf("Error seeking to end of file\n"); + exit(-1); + } + size = ftell(fp); + if(size < 0) { + printf("Error getting file position\n"); + exit(-1); + } + rewind(fp); + + // Allocate enough space for the source code + source = (char *)alloc(size + 1); + + // fill with NULLs (just for fun) + for (int i = 0; i < size+1; i++) { + source[i] = '\0'; + } + + // Read in the source code + fread(source, 1, size, fp); + source[size] = '\0';*/ + + // Create the program object + //cl_program clProgramReturn = clCreateProgramWithSource(context, 1, (const char **)&source, NULL, &status); + //cl_program clProgramReturn = clCreateProgramWithBuiltInKernels(context, 1, &device, "Fan1;Fan2", &status); + // read kernel binary from file + uint8_t *kernel_bin = NULL; + size_t kernel_size; + cl_int binary_status = 0; + status = read_kernel_file("kernel.pocl", &kernel_bin, &kernel_size); + cl_errChk(status, "read_kernel_file", true); + cl_program clProgramReturn = clCreateProgramWithBinary( + context, 1, &device, &kernel_size, &kernel_bin, &binary_status, &status); + free(kernel_bin); + cl_errChk(status, "Creating program", true); + + //free(source); + //fclose(fp); + + // Try to compile the program + status = clBuildProgram(clProgramReturn, 0, NULL, compileoptions, NULL, NULL); + if(cl_errChk(status, "Building program", false) || verbosebuild == 1) + { + + cl_build_status build_status; + + clGetProgramBuildInfo(clProgramReturn, device, CL_PROGRAM_BUILD_STATUS, + sizeof(cl_build_status), &build_status, NULL); + + if(build_status == CL_SUCCESS && verbosebuild == 0) { + return clProgramReturn; + } + + //char *build_log; + size_t ret_val_size; + printf("Device: %p",device); + clGetProgramBuildInfo(clProgramReturn, device, CL_PROGRAM_BUILD_LOG, 0, + NULL, &ret_val_size); + + char *build_log = (char*)alloc(ret_val_size+1); + + clGetProgramBuildInfo(clProgramReturn, device, CL_PROGRAM_BUILD_LOG, + ret_val_size+1, build_log, NULL); + + // to be careful, terminate with \0 + // there's no information in the reference whether the string is 0 + // terminated or not + build_log[ret_val_size] = '\0'; + + printf("Build log:\n %s...\n", build_log); + if(build_status != CL_SUCCESS) { + getchar(); + exit(-1); + } + else + return clProgramReturn; + } + + // print the ptx information + // printBinaries(clProgram); + + return clProgramReturn; +} + +//! Create a kernel from compiled source +/*! +Create a kernel from compiled source + +\param program Compiled OpenCL program +\param kernel_name Name of the kernel in the program +\return Returns a cl_kernel object for the specified kernel +*/ +cl_kernel cl_createKernel(cl_program program, const char* kernel_name) { + + cl_kernel kernel; + cl_int status; + + kernel = clCreateKernel(program, kernel_name, &status); + cl_errChk(status, "Creating kernel", true); + + return kernel; +} + +//! Set an argument for a OpenCL kernel +/*! +Set an argument for a OpenCL kernel + +\param kernel The kernel for which the argument is being set +\param index The argument index +\param size The size of the argument +\param data A pointer to the argument +*/ +void cl_setKernelArg(cl_kernel kernel, unsigned int index, size_t size, + void* data) +{ + cl_int status; + status = clSetKernelArg(kernel, index, size, data); + + cl_errChk(status, "Setting kernel arg", true); +} + + +//------------------------------------------------------- +// Profiling/events +//------------------------------------------------------- + + +//! Time kernel execution using cl_event +/*! + Prints out the time taken between the start and end of an event + \param event_time +*/ +double cl_computeExecTime(cl_event event_time) +{ + cl_int status; + cl_ulong starttime; + cl_ulong endtime; + + double elapsed; + + status = clGetEventProfilingInfo(event_time, CL_PROFILING_COMMAND_START, + sizeof(cl_ulong), &starttime, NULL); + cl_errChk(status, "profiling start", true); + + status = clGetEventProfilingInfo(event_time, CL_PROFILING_COMMAND_END, + sizeof(cl_ulong), &endtime, NULL); + cl_errChk(status, "profiling end", true); + + // Convert to ms + elapsed = (double)(endtime-starttime)/1000000.0; + + return elapsed; +} + +//! Compute the elapsed time between two timer values +double cl_computeTime(cl_time start, cl_time end) +{ +#ifdef _WIN32 + __int64 freq; + int status; + + status = QueryPerformanceFrequency((LARGE_INTEGER*)&freq); + if(status == 0) { + perror("QueryPerformanceFrequency"); + exit(-1); + } + + // Return time in ms + return double(end-start)/(double(freq)/1000.0); +#else + + return end-start; +#endif +} + +//! Grab the current time using a system-specific timer +void cl_getTime(cl_time* time) +{ + +#ifdef _WIN32 + int status = QueryPerformanceCounter((LARGE_INTEGER*)time); + if(status == 0) { + perror("QueryPerformanceCounter"); + exit(-1); + } +#else + // Use gettimeofday to get the current time + struct timeval curTime; + gettimeofday(&curTime, NULL); + + // Convert timeval into double + *time = curTime.tv_sec * 1000 + (double)curTime.tv_usec/1000; +#endif +} + + + +//------------------------------------------------------- +// Error handling +//------------------------------------------------------- + +//! OpenCl error code list +/*! + An array of character strings used to give the error corresponding to the error code \n + + The error code is the index within this array +*/ +char *cl_errs[MAX_ERR_VAL] = { + (char *)"CL_SUCCESS", // 0 + (char *)"CL_DEVICE_NOT_FOUND", //-1 + (char *)"CL_DEVICE_NOT_AVAILABLE", //-2 + (char *)"CL_COMPILER_NOT_AVAILABLE", //-3 + (char *)"CL_MEM_OBJECT_ALLOCATION_FAILURE", //-4 + (char *)"CL_OUT_OF_RESOURCES", //-5 + (char *)"CL_OUT_OF_HOST_MEMORY", //-6 + (char *)"CL_PROFILING_INFO_NOT_AVAILABLE", //-7 + (char *)"CL_MEM_COPY_OVERLAP", //-8 + (char *)"CL_IMAGE_FORMAT_MISMATCH", //-9 + (char *)"CL_IMAGE_FORMAT_NOT_SUPPORTED", //-10 + (char *)"CL_BUILD_PROGRAM_FAILURE", //-11 + (char *)"CL_MAP_FAILURE", //-12 + (char *)"", //-13 + (char *)"", //-14 + (char *)"", //-15 + (char *)"", //-16 + (char *)"", //-17 + (char *)"", //-18 + (char *)"", //-19 + (char *)"", //-20 + (char *)"", //-21 + (char *)"", //-22 + (char *)"", //-23 + (char *)"", //-24 + (char *)"", //-25 + (char *)"", //-26 + (char *)"", //-27 + (char *)"", //-28 + (char *)"", //-29 + (char *)"CL_INVALID_VALUE", //-30 + (char *)"CL_INVALID_DEVICE_TYPE", //-31 + (char *)"CL_INVALID_PLATFORM", //-32 + (char *)"CL_INVALID_DEVICE", //-33 + (char *)"CL_INVALID_CONTEXT", //-34 + (char *)"CL_INVALID_QUEUE_PROPERTIES", //-35 + (char *)"CL_INVALID_COMMAND_QUEUE", //-36 + (char *)"CL_INVALID_HOST_PTR", //-37 + (char *)"CL_INVALID_MEM_OBJECT", //-38 + (char *)"CL_INVALID_IMAGE_FORMAT_DESCRIPTOR", //-39 + (char *)"CL_INVALID_IMAGE_SIZE", //-40 + (char *)"CL_INVALID_SAMPLER", //-41 + (char *)"CL_INVALID_BINARY", //-42 + (char *)"CL_INVALID_BUILD_OPTIONS", //-43 + (char *)"CL_INVALID_PROGRAM", //-44 + (char *)"CL_INVALID_PROGRAM_EXECUTABLE", //-45 + (char *)"CL_INVALID_KERNEL_NAME", //-46 + (char *)"CL_INVALID_KERNEL_DEFINITION", //-47 + (char *)"CL_INVALID_KERNEL", //-48 + (char *)"CL_INVALID_ARG_INDEX", //-49 + (char *)"CL_INVALID_ARG_VALUE", //-50 + (char *)"CL_INVALID_ARG_SIZE", //-51 + (char *)"CL_INVALID_KERNEL_ARGS", //-52 + (char *)"CL_INVALID_WORK_DIMENSION ", //-53 + (char *)"CL_INVALID_WORK_GROUP_SIZE", //-54 + (char *)"CL_INVALID_WORK_ITEM_SIZE", //-55 + (char *)"CL_INVALID_GLOBAL_OFFSET", //-56 + (char *)"CL_INVALID_EVENT_WAIT_LIST", //-57 + (char *)"CL_INVALID_EVENT", //-58 + (char *)"CL_INVALID_OPERATION", //-59 + (char *)"CL_INVALID_GL_OBJECT", //-60 + (char *)"CL_INVALID_BUFFER_SIZE", //-61 + (char *)"CL_INVALID_MIP_LEVEL", //-62 + (char *)"CL_INVALID_GLOBAL_WORK_SIZE"}; //-63 + +//! OpenCl Error checker +/*! +Checks for error code as per cl_int returned by OpenCl +\param status Error value as cl_int +\param msg User provided error message +\return True if Error Seen, False if no error +*/ +int cl_errChk(const cl_int status, const char * msg, bool exitOnErr) +{ + + if(status != CL_SUCCESS) { + printf("OpenCL Error: %d %s %s\n", status, cl_errs[-status], msg); + + if(exitOnErr) { + exit(-1); + } + + return true; + } + return false; +} + +// Queries the supported image formats for the device and prints +// them to the screen + void printSupportedImageFormats() +{ + cl_uint numFormats; + cl_int status; + + status = clGetSupportedImageFormats(context, 0, CL_MEM_OBJECT_IMAGE2D, + 0, NULL, &numFormats); + cl_errChk(status, "getting supported image formats", true); + + cl_image_format* imageFormats = NULL; + imageFormats = (cl_image_format*)alloc(sizeof(cl_image_format)*numFormats); + + status = clGetSupportedImageFormats(context, 0, CL_MEM_OBJECT_IMAGE2D, + numFormats, imageFormats, NULL); + + printf("There are %d supported image formats\n", numFormats); + + cl_uint orders[]={CL_R, CL_A, CL_INTENSITY, CL_LUMINANCE, CL_RG, + CL_RA, CL_RGB, CL_RGBA, CL_ARGB, CL_BGRA}; + char *orderstr[]={(char *)"CL_R", (char *)"CL_A",(char *)"CL_INTENSITY", (char *)"CL_LUMINANCE", (char *)"CL_RG", + (char *)"CL_RA", (char *)"CL_RGB", (char *)"CL_RGBA", (char *)"CL_ARGB", (char *)"CL_BGRA"}; + + cl_uint types[]={ + CL_SNORM_INT8 , CL_SNORM_INT16, CL_UNORM_INT8, CL_UNORM_INT16, + CL_UNORM_SHORT_565, CL_UNORM_SHORT_555, CL_UNORM_INT_101010,CL_SIGNED_INT8, + CL_SIGNED_INT16, CL_SIGNED_INT32, CL_UNSIGNED_INT8, CL_UNSIGNED_INT16, + CL_UNSIGNED_INT32, CL_HALF_FLOAT, CL_FLOAT}; + + char * typesstr[]={ + (char *)"CL_SNORM_INT8" ,(char *)"CL_SNORM_INT16",(char *)"CL_UNORM_INT8",(char *)"CL_UNORM_INT16", + (char *)"CL_UNORM_SHORT_565",(char *)"CL_UNORM_SHORT_555",(char *)"CL_UNORM_INT_101010", + (char *)"CL_SIGNED_INT8",(char *)"CL_SIGNED_INT16",(char *)"CL_SIGNED_INT32",(char *)"CL_UNSIGNED_INT8", + (char *)"CL_UNSIGNED_INT16",(char *)"CL_UNSIGNED_INT32",(char *)"CL_HALF_FLOAT",(char *)"CL_FLOAT"}; + + printf("Supported Formats:\n"); + for(int i = 0; i < (int)numFormats; i++) { + printf("\tFormat %d: ", i); + + for(int j = 0; j < (int)(sizeof(orders)/sizeof(cl_int)); j++) { + if(imageFormats[i].image_channel_order == orders[j]) { + printf("%s, ", orderstr[j]); + } + } + for(int j = 0; j < (int)(sizeof(types)/sizeof(cl_int)); j++) { + if(imageFormats[i].image_channel_data_type == types[j]) { + printf("%s, ", typesstr[j]); + } + } + printf("\n"); + } + + free(imageFormats); +} + + +//------------------------------------------------------- +// Platform and device information +//------------------------------------------------------- + +//! Returns true if AMD is the device vendor +bool cl_deviceIsAMD(cl_device_id dev) { + + bool retval = false; + + char* vendor = cl_getDeviceVendor(dev); + + if(strncmp(vendor, "Advanced", 8) == 0) { + retval = true; + } + + free(vendor); + + return retval; +} + +//! Returns true if NVIDIA is the device vendor +bool cl_deviceIsNVIDIA(cl_device_id dev) { + + bool retval = false; + + char* vendor = cl_getDeviceVendor(dev); + + if(strncmp(vendor, "NVIDIA", 6) == 0) { + retval = true; + } + + free(vendor); + + return retval; +} + +//! Returns true if NVIDIA is the device vendor +bool cl_platformIsNVIDIA(cl_platform_id plat) { + + bool retval = false; + + char* vendor = cl_getPlatformVendor(plat); + + if(strncmp(vendor, "NVIDIA", 6) == 0) { + retval = true; + } + + free(vendor); + + return retval; +} + +//! Get the name of the vendor for a device +char* cl_getDeviceDriverVersion(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the vendor + status = clGetDeviceInfo(dev, CL_DRIVER_VERSION, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting vendor name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DRIVER_VERSION, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting vendor name", true); + + return devInfoStr; +} + +//! The the name of the device as supplied by the OpenCL implementation +char* cl_getDeviceName(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the name + status = clGetDeviceInfo(dev, CL_DEVICE_NAME, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting device name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DEVICE_NAME, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting device name", true); + + return(devInfoStr); +} + +//! Get the name of the vendor for a device +char* cl_getDeviceVendor(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the vendor + status = clGetDeviceInfo(dev, CL_DEVICE_VENDOR, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting vendor name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DEVICE_VENDOR, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting vendor name", true); + + return devInfoStr; +} + +//! Get the name of the vendor for a device +char* cl_getDeviceVersion(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the vendor + status = clGetDeviceInfo(dev, CL_DEVICE_VERSION, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting vendor name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DEVICE_VERSION, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting vendor name", true); + + return devInfoStr; +} + +//! The the name of the device as supplied by the OpenCL implementation +char* cl_getPlatformName(cl_platform_id platform) +{ + cl_int status; + size_t platformInfoSize; + char* platformInfoStr = NULL; + + // Print the name + status = clGetPlatformInfo(platform, CL_PLATFORM_NAME, 0, + NULL, &platformInfoSize); + cl_errChk(status, "Getting platform name", true); + + platformInfoStr = (char*)alloc(platformInfoSize); + + status = clGetPlatformInfo(platform, CL_PLATFORM_NAME, platformInfoSize, + platformInfoStr, NULL); + cl_errChk(status, "Getting platform name", true); + + return(platformInfoStr); +} + +//! The the name of the device as supplied by the OpenCL implementation +char* cl_getPlatformVendor(cl_platform_id platform) +{ + cl_int status; + size_t platformInfoSize; + char* platformInfoStr = NULL; + + // Print the name + status = clGetPlatformInfo(platform, CL_PLATFORM_VENDOR, 0, + NULL, &platformInfoSize); + cl_errChk(status, "Getting platform name", true); + + platformInfoStr = (char*)alloc(platformInfoSize); + + status = clGetPlatformInfo(platform, CL_PLATFORM_VENDOR, platformInfoSize, + platformInfoStr, NULL); + cl_errChk(status, "Getting platform name", true); + + return(platformInfoStr); +} + +//------------------------------------------------------- +// Utility functions +//------------------------------------------------------- + +//! Take a string and an int, and return a string +char* catStringWithInt(const char* string, int integer) { + + if(integer > 99999) { + printf("Can't handle event identifiers with 6 digits\n"); + exit(-1); + } + + // 5 characters for the identifier, 1 for the null terminator + int strLen = strlen(string)+5+1; + char* eventStr = (char*)alloc(sizeof(char)*strLen); + + char tmp[6]; + + strcpy(eventStr, string); + strncat(eventStr, itoa_portable(integer, tmp, 10), 5); + + return eventStr; +} + +/** + ** C++ version 0.4 char* style "itoa": + ** Written by Lukás Chmela + ** Released under GPLv3. + **/ +//portable itoa function +char* itoa_portable(int value, char* result, int base) { + // check that the base if valid + if (base < 2 || base > 36) { *result = '\0'; return result; } + + char* ptr = result, *ptr1 = result, tmp_char; + int tmp_value; + + do { + tmp_value = value; + value /= base; + *ptr++ = "zyxwvutsrqponmlkjihgfedcba9876543210123456789abcdefghijklmnopqrstuvwxyz" [35 + (tmp_value - value * base)]; + } while ( value ); + + //Apply negative sign + if (tmp_value < 0) *ptr++ = '-'; + *ptr-- = '\0'; + + while(ptr1 < ptr) { + tmp_char = *ptr; + *ptr--= *ptr1; + *ptr1++ = tmp_char; + } + + return result; +} diff --git a/benchmarks/new_opencl/guassian/clutils.h b/benchmarks/new_opencl/guassian/clutils.h new file mode 100755 index 000000000..51177d078 --- /dev/null +++ b/benchmarks/new_opencl/guassian/clutils.h @@ -0,0 +1,281 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#ifndef __CL_UTILS_H__ +#define __CL_UTILS_H__ + +#include + +// The cl_time type is OS specific +#ifdef _WIN32 +#include +#include +typedef __int64 cl_time; +#else +#include +typedef double cl_time; +#endif + +//------------------------------------------------------- +// Initialization and Cleanup +//------------------------------------------------------- + +// Detects platforms and devices, creates context and command queue +cl_context cl_init(char devicePreference='\0'); + +// Creates a context given a platform and a device +cl_context cl_init_context(int platform,int dev,int quiet=0); + +// Releases resources used by clutils +void cl_cleanup(); + +// Releases a kernel object +void cl_freeKernel(cl_kernel kernel); + +// Releases a memory object +void cl_freeMem(cl_mem mem); + +// Releases a program object +void cl_freeProgram(cl_program program); + +// Returns the global command queue +cl_command_queue cl_getCommandQueue(); + + +//------------------------------------------------------- +// Synchronization functions +//------------------------------------------------------- + +// Performs a clFinish on the command queue +void cl_sync(); + + +//------------------------------------------------------- +// Memory allocation +//------------------------------------------------------- + +// Allocates a regular buffer on the device +cl_mem cl_allocBuffer(size_t mem_size, + cl_mem_flags flags = CL_MEM_READ_WRITE); + +// XXX I don't think this does exactly what we want it to do +// Allocates a read-only buffer and transfers the data +cl_mem cl_allocBufferConst(size_t mem_size, void* host_ptr); + +// Allocates pinned memory on the host +cl_mem cl_allocBufferPinned(size_t mem_size); + +// Allocates an image on the device +cl_mem cl_allocImage(size_t height, size_t width, char type, + cl_mem_flags flags = CL_MEM_READ_WRITE); + + + +//------------------------------------------------------- +// Data transfers +//------------------------------------------------------- + +// Copies a buffer from the device to pinned memory on the host and +// maps it so it can be read +void* cl_copyAndMapBuffer(cl_mem dst, cl_mem src, size_t size); + +// Copies from one buffer to another +void cl_copyBufferToBuffer(cl_mem dst, cl_mem src, size_t size); + +// Copies data to a buffer on the device +void cl_copyBufferToDevice(cl_mem dst, void *src, size_t mem_size, + cl_bool blocking = CL_TRUE); + +// Copies data to an image on the device +void cl_copyImageToDevice(cl_mem dst, void* src, size_t height, size_t width); + +// Copies an image from the device to the host +void cl_copyImageToHost(void* dst, cl_mem src, size_t height, size_t width); + +// Copies data from a device buffer to the host +void cl_copyBufferToHost(void *dst, cl_mem src, size_t mem_size, + cl_bool blocking = CL_TRUE); + +// Copies data from a buffer on the device to an image on the device +void cl_copyBufferToImage(cl_mem src, cl_mem dst, int height, int width); + +// Maps a buffer +void* cl_mapBuffer(cl_mem mem, size_t mem_size, cl_mem_flags flags); + +// Unmaps a buffer +void cl_unmapBuffer(cl_mem mem, void *ptr); + +// Writes data to a zero-copy buffer on the device +void cl_writeToZCBuffer(cl_mem mem, void* data, size_t size); + +//------------------------------------------------------- +// Program and kernels +//------------------------------------------------------- + +// Compiles a program +cl_program cl_compileProgram(char* kernelPath, char* compileoptions, + bool verboseoptions = 0); + +// Creates a kernel +cl_kernel cl_createKernel(cl_program program, const char* kernelName); + + +// Sets a kernel argument +void cl_setKernelArg(cl_kernel kernel, unsigned int index, size_t size, + void* data); + + +//------------------------------------------------------- +// Profiling/events +//------------------------------------------------------- + +// Computes the execution time (start to end) for an event +double cl_computeExecTime(cl_event); + +// Compute the elapsed time between two CPU timer values +double cl_computeTime(cl_time start, cl_time end); + +// Creates an event from CPU timers +void cl_createUserEvent(cl_time start, cl_time end, char* desc); + +// Disable logging of events +void cl_disableEvents(); + +// Enable logging of events +void cl_enableEvents(); + +// Query the current system time +void cl_getTime(cl_time* time); + +// Calls a function which prints events to the terminal +void cl_printEvents(); + +// Calls a function which writes the events to a file +void cl_writeEventsToFile(char* path); + + +//------------------------------------------------------- +// Error handling +//------------------------------------------------------- + +// Compare a status value to CL_SUCCESS and optionally exit on error +int cl_errChk(const cl_int status, const char *msg, bool exitOnErr); + +// Queries the supported image formats for the device and prints +// them to the screen +void printSupportedImageFormats(); + +//------------------------------------------------------- +// Platform and device information +//------------------------------------------------------- + +bool cl_deviceIsAMD(cl_device_id dev=NULL); +bool cl_deviceIsNVIDIA(cl_device_id dev=NULL); +bool cl_platformIsNVIDIA(cl_platform_id plat=NULL); +char* cl_getDeviceDriverVersion(cl_device_id dev=NULL); +char* cl_getDeviceName(cl_device_id dev=NULL); +char* cl_getDeviceVendor(cl_device_id dev=NULL); +char* cl_getDeviceVersion(cl_device_id dev=NULL); +char* cl_getPlatformName(cl_platform_id platform); +char* cl_getPlatformVendor(cl_platform_id platform); + +//------------------------------------------------------- +// Utility functions +//------------------------------------------------------- + +char* catStringWithInt(const char* str, int integer); + +char* itoa_portable(int value, char* result, int base); + +//------------------------------------------------------- +// Data types +//------------------------------------------------------- +typedef struct{ + int x; + int y; +} int2; + +typedef struct{ + float x; + float y; +}float2; + +typedef struct{ + float x; + float y; + float z; + float w; +}float4; + +//------------------------------------------------------- +// Defines +//------------------------------------------------------- + +#define MAX_ERR_VAL 64 + +#define NUM_PROGRAMS 7 + +#define NUM_KERNELS 13 +#define KERNEL_INIT_DET 0 +#define KERNEL_BUILD_DET 1 +#define KERNEL_SURF_DESC 2 +#define KERNEL_NORM_DESC 3 +#define KERNEL_NON_MAX_SUP 4 +#define KERNEL_GET_ORIENT1 5 +#define KERNEL_GET_ORIENT2 6 +#define KERNEL_NN 7 +#define KERNEL_SCAN 8 +#define KERNEL_SCAN4 9 +#define KERNEL_TRANSPOSE 10 +#define KERNEL_SCANIMAGE 11 +#define KERNEL_TRANSPOSEIMAGE 12 + +#endif diff --git a/benchmarks/new_opencl/guassian/gaussianElim.h b/benchmarks/new_opencl/guassian/gaussianElim.h new file mode 100755 index 000000000..5d905d7e2 --- /dev/null +++ b/benchmarks/new_opencl/guassian/gaussianElim.h @@ -0,0 +1,40 @@ +#ifndef _GAUSSIANELIM +#define _GAUSSIANELIM + +#include +#include +#include +#include +#include +#include +#include + +#include "clutils.h" + +// All OpenCL headers +#if defined (__APPLE__) || defined(MACOSX) + #include +#else + #include +#endif + +float *OpenClGaussianElimination( + cl_context context, + int timing); + +void printUsage(); +int parseCommandline(int argc, char *argv[], char* filename, + int *q, int *t, int *p, int *d); + +void InitPerRun(int size,float *m); +void ForwardSub(cl_context context, float *a, float *b, float *m, int size,int timing); +void BackSub(float *a, float *b, float *finalVec, int size); +void Fan1(float *m, float *a, int Size, int t); +void Fan2(float *m, float *a, float *b,int Size, int j1, int t); +//void Fan3(float *m, float *b, int Size, int t); +void InitMat(FILE *fp, int size, float *ary, int nrow, int ncol); +void InitAry(FILE *fp, float *ary, int ary_size); +void PrintMat(float *ary, int size, int nrow, int ncolumn); +void PrintAry(float *ary, int ary_size); +float eventTime(cl_event event,cl_command_queue command_queue); +#endif diff --git a/benchmarks/new_opencl/guassian/gettimeofday.cpp b/benchmarks/new_opencl/guassian/gettimeofday.cpp new file mode 100755 index 000000000..a0486593a --- /dev/null +++ b/benchmarks/new_opencl/guassian/gettimeofday.cpp @@ -0,0 +1,74 @@ +#include "stdio.h" +#include +#include +#include +//using namespace System; +using namespace std; + +#if defined(_MSC_VER) || defined(_MSC_EXTENSIONS) + #define DELTA_EPOCH_IN_MICROSECS 11644473600000000Ui64 +#else + #define DELTA_EPOCH_IN_MICROSECS 11644473600000000ULL +#endif + +struct timezone +{ + int tz_minuteswest; /* minutes W of Greenwich */ + int tz_dsttime; /* type of dst correction */ +}; + + +// Definition of a gettimeofday function + int gettimeofday(struct timeval *tv, struct timezone *tz) +{ +// Define a structure to receive the current Windows filetime + FILETIME ft; + +// Initialize the present time to 0 and the timezone to UTC + unsigned __int64 tmpres = 0; + static int tzflag = 0; + + if (NULL != tv) + { + GetSystemTimeAsFileTime(&ft); + +// The GetSystemTimeAsFileTime returns the number of 100 nanosecond +// intervals since Jan 1, 1601 in a structure. Copy the high bits to +// the 64 bit tmpres, shift it left by 32 then or in the low 32 bits. + tmpres |= ft.dwHighDateTime; + tmpres <<= 32; + tmpres |= ft.dwLowDateTime; + +// Convert to microseconds by dividing by 10 + tmpres /= 10; + +// The Unix epoch starts on Jan 1 1970. Need to subtract the difference +// in seconds from Jan 1 1601. + tmpres -= DELTA_EPOCH_IN_MICROSECS; + +// Finally change microseconds to seconds and place in the seconds value. +// The modulus picks up the microseconds. + tv->tv_sec = (long)(tmpres / 1000000UL); + tv->tv_usec = (long)(tmpres % 1000000UL); + } + + if (NULL != tz) + { + if (!tzflag) + { + _tzset(); + tzflag++; + } + +// Adjust for the timezone west of Greenwich + long seconds_diff; + _get_timezone(&seconds_diff); + tz->tz_minuteswest = seconds_diff / 60; + int hours_offset; + _get_daylight(&hours_offset); + tz->tz_dsttime = hours_offset; + } + + return 0; +} + diff --git a/benchmarks/new_opencl/guassian/gettimeofday.h b/benchmarks/new_opencl/guassian/gettimeofday.h new file mode 100755 index 000000000..8db1f7a9d --- /dev/null +++ b/benchmarks/new_opencl/guassian/gettimeofday.h @@ -0,0 +1,17 @@ + +#ifdef _WIN32 +#include +/** +Based on code seen at. + +http://www.winehq.org/pipermail/wine-devel/2003-June/018082.html + +http://msdn.microsoft.com/en-us/library/ms740560 + +*/ +int gettimeofday(struct timeval *tv, struct timezone *tz); +#else +#include +#endif + + diff --git a/benchmarks/new_opencl/guassian/kernel.cl b/benchmarks/new_opencl/guassian/kernel.cl new file mode 100755 index 000000000..c370e9b28 --- /dev/null +++ b/benchmarks/new_opencl/guassian/kernel.cl @@ -0,0 +1,49 @@ +//#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable + +typedef struct latLong + { + float lat; + float lng; + } LatLong; + +__kernel void Fan1(__global float *m_dev, + __global float *a_dev, + __global float *b_dev, + const int size, + const int t) { + int globalId = get_global_id(0); + + if (globalId < size-1-t) { + *(m_dev + size * (globalId + t + 1)+t) = *(a_dev + size * (globalId + t + 1) + t) / *(a_dev + size * t + t); + } +} + + +__kernel void Fan2(__global float *m_dev, + __global float *a_dev, + __global float *b_dev, + const int size, + const int t) { + int globalId = get_global_id(0); + + int globalIdx = get_global_id(0); + int globalIdy = get_global_id(1); + if (globalIdx < size-1-t && globalIdy < size-t) { + a_dev[size*(globalIdx+1+t)+(globalIdy+t)] -= m_dev[size*(globalIdx+1+t)+t] * a_dev[size*t+(globalIdy+t)]; + + if(globalIdy == 0){ + b_dev[globalIdx+1+t] -= m_dev[size*(globalIdx+1+t)+(globalIdy+t)] * b_dev[t]; + } + } +// One dimensional +// int globalIdx = globalId % size; +// int globalIdy = globalId / size; +// +// if (globalIdx < size-1-t && globalIdy < size-t) { +// a_dev[size*(globalIdx+1+t)+(globalIdy+t)] -= m_dev[size*(globalIdx+1+t)+t] * a_dev[size*t+(globalIdy+t)]; +// } +// if(globalIdy == 0){ +// b_dev[globalIdx+1+t] -= m_dev[size*(globalIdx+1+t)+(globalIdy+t)] * b_dev[t]; +// } + +} diff --git a/benchmarks/new_opencl/guassian/kernel.pocl b/benchmarks/new_opencl/guassian/kernel.pocl new file mode 100644 index 0000000000000000000000000000000000000000..ed4240e2643e5b94085f9c8d4dac0c92dbf111b0 GIT binary patch literal 287484 zcmeFa4RjROdH+ANvoo`LL6RBqVvIpBdclMcoVBeqr@waB;l*}bgHsH4;uB29F=^6Y zvC{<7r2R$mNLs+y$Q}%~;|4HqwtuN>XB#VR(gr1f9M=sZ1w?GuKn5fnyOv0hWL~WO zf1W!lA%UHy|9{T!fBxtEbe_j$R`y?gI+@6SK?XMdqRz3X58$J0Ma ze#+J~EygRsOa5-0`;qj#**DLb{U@_Ok)Ct?jh{&0I4?bS{_MF6<}LW-{G0w{!JOIi z=YDebEm{#bf8^dTFJJcMrT=s0=e$|p(6x=GrUi|r<(Mh$OJ(2Fx;4#o%rfmunyERB z^;bGgx|_6iqyp~iE~^_qZj7cm=AJ}%nHKLZ+cm0NYmY52tBVH^<*l-{+A&%k-xn<} z(_+i&J-{+HESEEuyxbH-L{wWo5+lllv(q`se5t0wn& zO_n^X=#X~&YUo+qqy>vD-MJm2>h@||>pC^JzE9g)&o#SD3!3I?-YuP)kuf!w?}DbW znq&3lg2pjgIMx~ndau*6jR!Ti;(MC3Y0#!`U8foIsx^P!Sk1V>(t-_5x--t!gN+$2 z+hyy?t}ZQmPlqP$I~MK#jP`Ad_D}G6EPdqL-$46cmG<`xwJ&|tR%x31)OIzNh#zID zZ%5a2ZuMnai>2M?*eONt);ij)w`4q8V9(Or`x)E)T=Tic%Wde?wl?tI$Y)+|(+>F2 zsBLZP5MbC7%2!1IIL`+&T2FYoSJ?p@10 z4{|Rr_o2PC)1+;EhH@2rIb}^qVruJo{@mkH;Tc!DX$7n_y^E0MV zcg9*eJk+wCom#SUua^8g&st@gck`Z@V~MQI(E_VpOWH5OM_bEg=nFo&bzRKSo&lq( zUh^wjHTa{ec^~%wAkW;&dd-PjS}nW_EO@6K(za^QtwTRAH^%1}?*^ZFxp6*oziaZD zmuo?j@XhMv9bVYH3*YP=nw#L>1osqhFE6(+&$mLJ5xy14JNNSL7ISYg_l)9RUT#Sf z?KEgxOStE@xbBw9dxO4}_d&inW?VC%fA|=G*Ks-a0>;+)UydzUBx5_I1&d^Chla)` zv;~Xey0f@JcN0yFlQ9(VJ^H@2pi}d%-xhPsxw>P;HNOcR(hl<780P1K4*j-q_}l9z z28(*rN%NqVoWrYeyXJ1&GSO)?Bbi5^musnUgX8^R*}wPymwmy!;j*uIS7$8OcA2%e z>2;22et5Z7S7>YpqpdNT`<>Q_3UAbRb3Vd-3Bhx@Citt~Q~A#o`OmX7b^R}^$`n@r zWmSaPe_3@`{__I$u51HsMA+S@{dck3(bGA%;xf&h`hw=qF|iXSc4n6@vbDTta?Y>N zHNPs2&bKOhHhvjfasTz-aW{WgeIAq-#yYjTXUT7nvnj~ol&(73=~;5xxpvGDua@^L zIW>5{;DkH%Veoj04u2}#XZKgQ+g_LocGZDHU+nIg{7%&7{#C6-buQlhC(B88d z-QU`#``hf8TluhNY_)a&sWvUSs?cyN?~7$uIY!oYba(2hSTL_YmtEU|P3la!6{liZ zyCddKKcxlZYP77~2k+%tsAX4;)3cdha-Xd`{x~h`-xJGbzNQ5mYqaE=nC{Ma0Y9Ku z$-DD-wdT$|re&>__!iY#7Hs7ESTNS*|3kF-f|fM7w_=N6qi)P({3Vl^+j`CW>*vbd z>Q+5~FGiKl{BMaFGtzQj%&%&ViC^Os-^_Pu!!hSX-&fVg!h!8)xfXM)Ux+2m@1a|I zEWG`D=XplY^4X|P>*A*bk5=)2y`CKPq88vAdc|fuhzmw*deBg=WgqyE9-d!#CTTvQ zg||PNgC-+sepNTBOxhf!C5!%A_pd6_Hl}psdDjmD6FF}z$bU}#%B}d{==Y{`K>_pd zVO^K;1**1mgXuEQ-ZSBRV?RdWJy5rKejQavTy5Vn!u5F_R z>G|%+XHv)9&3`9&^RA=E&-fp1HH;7IaeqcSZp^5U`yXnJ8z0h5e|p+9rq`Ruv56d8 z$gyQ0f5@eUJlcYz|99omuYo`4zVWoJ`89LU_eQW~592VANv=JO-L2%sea3ehbz|Ee z-Pmq2k6Z8`xM%wwEor^N=lWRIIuJ|7k)_J|n6tY-mt51JB^yqs+^O}kWW&ao;Dxgu zd2CpPTyPB;6T6&k>gBap`Nqix+AzV8F;!affj!9Jt+8z5Q?&Vl<~&}B+@3;?GfGaf z=JzAHeH0mPYTi+J}SJ`{Ov_rcI^|I|4eaSHnfLF%4*$@+|A#KWVIL{brkY?RXUQ_2d~tE z)i?6|3_a|5us3P`0C^p1Khgzhzu^hyYfwJ52UPp`dXa9_{iC~i8~pm)yzZoxtQy+} zbwyis(fu#Fo1cN6CGY;fA*V`4r@^83v5&Y=?^^;hz zvS0I>?LzMnGZCz?a?XlAbg2_8Z-G8umAtB3xZl>pdpZWZa!dKtS?eb$@kch2NXj-X z;@V!#wOfcAxu4folXqTQ;h6Ye z;Dv7B0~-!8sb7W8Ti6TniL3WZ9AUyI@iF5CQ-BiBEc^J8kKe z_@q~I{Yre%E1Xft@Lk$sW&0a#{vqot~&4WgmunYaM zuV~Ijaw!|DiPvr2d)bcF)=19kW-Hm%sY#Bir8Ae~yR_t>vMcZ<$G)wuyHj7cKC>Z42DW zQ{=^p;4}19@~Y684_-5P&E!?7$N5fP#4`3&Ctd_!7sR|Z9kcv-q66hx_=G)>jo+R2 zth=Z9)vYCdO?`>G`3risX#?{=j@;N|GLN(m9oD^Cuxgd&{kU(YQPWD_aq>hP`Tm%+ zKhd9EjvVU6(rz%SCntWTQ^>1evl$dN@`~b_Bmo50HzxWj`=(pp7?aM1P7hRrYpjQTdq+gky1ny137r)Y& zUVkON`IW|p^egezCmJ*AC;Bte6Y=3E;v-#wu1;1MWEP{-^jop(>mK%FdBv_P4e0~9 z7x@p%JKBwLZ);)7Zug0U91B?=dZzA6F1|K6)UVpOtL_T%(;d;<>QnLVx?N)ea96$y zpck2qci)w|+^=aAUpeMA#2M4B(IQv0(IM~77Yk#=937$qVcFgLMW*rV{@tZSh7bgA)?`qE%oVVSqkknjHp{D?bi1Pjp>`>yD@vgSVv>;-XXGrb1dDQmvVS&i>q zs22syk&|d071;VH$8IfFGT&IJ=E0rDxGGx72kb9$r|vi08OQj1412M(keAk-Dw_{I zy73V`1}!mYiZfo*DKfQWL6sg9>xDrhGDCaV0eDAy(w4L%ZB*!m=yf4-UFcTph22_u zvOldAeJRkqm>EL{MtT3M23*yQKy+y4sbW{_5ZxFRexW9pwU?zOm%wLueQ#WH0Y*(a z?v?j5#`aIh|B46E=Vi>3uCAG7@gURB8o{aJpzn04_(|UQ7IYnq>g(fk8M*2G#ewyF z%zG7C;5nJQz-lKK(Usb&zH8RJ-F@(U*EA<_HFFO(iXN2A!iKcPln>Tq!^hqn{(-S| z-HCy0TU#u={td9tHk`ix(ygU?`2R%CJ8tX9L2Y0y9Yx-eyg&1Y&mb5sn1%l50{d3* zq$TCpOGjnxezCEmvYCz)^2hk$e|0@PD$}yX9njSUU8$=WZ!Ej^JbqJsEO_~RE_n59 z+Bw_*BlM;$d-hJjlObH?9^_4Mqq#P)+hbb|ctflfteGJC_Vh@dYMvC1H!a#PiS%m8 zcl>JIfKS-{do_3SVb+wuKj?IyIvHVT9&?oqT6l>2hU;kaf57`PUcdJMs)N#N5}Hc)K^q7;kM^gwOfV z-uX^}raOiAYR&^C5=VnQ@tn8lapZ&ilgJ!>x=&5JfZbqr_}O2bzo@@aUYWTRB|K{G+^R%#}=+lBN3RlXsGN%cw zB_?o8{E+DngIl{k<4)TGW~IR_;eGqn;GXVIpMvh{#Oce@{=EB&2W4rv{D?e>yo7aj zQzSE+8Y7Ip3HjM~UhF}zvM?s}8#^szVy^P@uvyn}9Un?$Z`4{#sD{MG@GxDr2?^)L;{AtPLazUHzyXDBQ;?F5^rnc6C zKSrE#t@JN`Zy>pdFHHv{vGMUbTlqf1o2LJ)NG^L^cg~*c_a@nsy!E51i2)`C=dYlD z{fh9(;%UJe?8kv0>cN^(*j;=Kcr%J-rc)bym3M^!3mfU*0qS?ZAFMCf7y3 z`21GHqrwFD|4RLDmuueoujt7Amm_}(p9;Quov)r$?QQ%p^3)RfR1bE*#|u6cZFI`K z%YFVcJeLvqIHh@q3XW$BW~H4k%3PElkNOn7o*xSR@)_O#WngPc@0qV-!YARY%vt!5 zjl5weA6Th5-*_NrkeBpld=lP0pxO_wJ2ijc6cmuQo^pk{Px+mvCg4;2QrdIC({N4K zc?TKs)^=I)ytDCjc=A`orhfo`Z6o<-*r(V3P}^F1Za~S61${;5a^Xb#e9(7=hA(P? zJ%-oRVL4VOIIdr@we+=t?Ao@J^yw5?jC=BH(wDa$+%9V`m;R*x@UgCU(Hleh4OX6E z-uqY!Mwjon5#Rb1J^7~eeKUQ(H6Y_w{XR**^dY(`?K9qk#0{4B`i2=`bZKDfg^|Cr zzmT>|-Km$6+dmb@F7vs*bjT*pkk7678j)>wXYMcT?paU;9$@3KvE$8~1m_fvHtqeG zV7{_f*v`n`B}X(2=LGk|y`3L7u+`8J^X}~UGPrKg2be$}^*bjAq&;jJYb%EbR2##d z4|jDID?1SH2cMf?fu{=3!`C-lCm0?S-#dig;in$IjFPBy6^nOMRf0)gnhCCo*zN;D?iB73^onX z{QaS}hGMM+hn^cr^RCOi(b#^*AJJU;or}I7hcEcN{~`S7RYl(o8qpaQgQPwUmVH<8 zZ0$IF937tmzYTjGG>paG5$i7M`&+?jX4icNYfVC9 zkXViHhW&dGIW9D3IrbIU)gS7r@2UR?zl2VBA#}ddwji(z;AbV{Nf5W-i{j@wc6yZD zpS3rzw)WG!o<+WpcYDVZ;Apqx8wTe-C~2GT-DwrL74>m)Cvhi^-H(IsBF8a&pJII1 z2P6N-DK18yA2LOEqIrB8pXDN1e&gqnOf)E|&^af8 zT__o)Ics@ll&r<1;|4j7>`*`A8znB4m)XSGJ^St-ArsBbEAT(xxF9q87;E}M%-7uf z6~0%oa9$4@eSAyr&gbtj@Z|-6f;jf!$DJPoOH|x1KAMspl_MDR(Jts(c>SS|276EE zIqR&hHWAY{+ z;2&cL>c$D3N&7W&0~=CFyCvxQaiLpVessyZ!JuD!c*Ex|#!>IHkKcuU{r7ORx&6*?g1KAfM(B(9 zzvNu75=BI9{oe0k&4ps5wx`XaS% zDwy@zmMcYP(K+mYG4hYjR-n6i{T7`K@BG$C%xZ4_Q{sys`ZK`TO7cPlLP7|}G24k>fK}A}8fqa{X9Qf#! zL(kDouS5S@@t4r||HS{ok2y8LDH8vs^bq_v@_rrn9@*{VH>mjT=;Yn_bt#_z3APvi zgFIbFA8|BxcPhU1(M_)z&wx+)u}A&R|Cu3v<*=AzFh}C=An(7kP1f4Ux3-dR-A}&t zR0*=xE$t{jEk8!+JTbg&{MFZk28(=A^GnSA%K;ec*sImtDohkS9GY9~s9@q79bXx# z>+RQuqs?z4rzJAKK_Y#b;FioWZT_9|N8ukl>9`~Yz_%rz7Uh6G$hQ@KKV)m-UwPzJ zgwJmLRPomM!^O@Qi64~jTOsZLFlyhfl|CiLV1CX<^Rw??N7CFp9ejF3bR^P`b-$MU zEAzryN4d<`Gx^UI`Oi<2$3WgkY2G_6UlMGW7)0VSr)b{Cn6tkd#CmVxh7@y0ES9(P z63@Q++Fdm=X1$_jvQy%#IW3K z7_N8t`>RIY(|$SgcmK$HCa+dLFENEXt)9FOYeD3{-KkgO3u8y(@W1E(t?;jwe1l_&pZq)Iqh}ww!D}G?K6>O=_$V>4AyV_{tp^8>PNu#0u_f=5 zYw;vUeRR{CGQYI-miSO&d&LH$i*G4Bs4#xLTy_K|Z`_f7H}CojnBKCnalQ*5T> zGw}T{_Se1L*qx)3AEAF@(&2H3_($mramJwkG#F>h$oo$-@tck=_$K*8JxrO^5~n(n z2fcmc$CPhVWM6x6-0gh*Y=2NV3+&=0F|*_pjxOn6ew6&fVE&*&FmB0%D(6x0u-Sdr zf|)9(aZ|6#kBLrQ+%7c4gJM(5e*HF;rbT`oYJj~(b;ODCY)1JyYD`b98p1E{#|PANBInNB^Eqcx zAAZg&T5@e?%DcHv&p!Ac8hM*OZ%x5xgVkqp@TXte^KMv89ZH?4eBRqvzfUYQZK#h3 zBM;;;G9QC9YqyXmyGNcEo=Ka6li|&)^RZB7SS&P*-dzXok#S0!LK}9fFPewJcI$2+ zzQ(T@v=2w#x#;`3eE(T#6D}L&-mwewI}>N6Nhjw`LXAR zurZ4d)!m-D0~($az6Obm@-LCUe;g?rsXrz@-a-4r;>#o7i)5~i{N$3hi|+qJ;@fQ( z=$rpaP<)q+b^QpxHj(#h7d&>zDM;}Bx`R9u;cA)a>bjqgkpE{yrzihnNN4ywq%%jC z9J%=3NY|G9_~Prxz(p}d>gwf3OaE=q?*ao~9<=p=jf^at&U!uT50%Gafj!F-jF2_T zaCeJguqGqxHZE&6F6%GGu(cU{gfj0IV>WbH;X|hjc_+Wgy3f)1owEL-)<&k2gSlvJ zq`PeYe8*}n?!HT_lC^nNC&D^PciCkh0Z)r$ZN(|j3y4P(jIGGA^h975h~L5IdfLT5 zsA!F|KEUTz)@}5JJC)bWQ;8P){2!B>Nw}4I0*w71#x%Xqn3*p0XVw=QA8Ea?W;0D^ zT})^Fhv%oZvi`%`O$F;fGmo);a|}En9v;4ab98~h^SaOaHSNV{*Yu~QP2@oNuk=~m zqVlkIt*QxadN1eBOiO*KnW0+Ri9q;87*y z=u23eF2&Z@#fR3HDq6v)Q`Co^g0@!T^rfsdT`l9jh(_(Nd}uTz27KULj@&{?i*2OT zTIJAM6}+j~!kAwuB2Hm#DlL3SxYK#f5T2DymwD^f_J2do3x0)s_OD`%urgg_e5k(2 zfM?xhdJ*_pL|<_=Hxp}dZhEjNhZ{2+E z9eosUKp_^Jv9l5>_e%)ue({E*c?p7<}=P7D`=}+Wo z1V5(~bsybzZvfW&A8xgb52tN|`7=J$YGWTP`i*(lZ@$5I)0RI~x8S>}crnOl=}Y<< zoU=>XPJLFjF`n8KiM!8_)4V5&uSfn({9PkxqOPFnHO+eo40zxQZR@&!9SEOXk;`Vj zl$Lctu;X9ge@tYEIYB<^V~kbLT4J;GI6TE>rDNXl7l{S;H3SdhU-isyU=CRCYtMPJ zssDNPKjG!^T+lE2eJYMG@dNrNchstTss8Ec8fyvk-w$ozEp*1R#r?cWeSWyDh-}W}b!z=r)_qz3m9^i1^&m%T z9*||pu_;XYZR@`kWy^`l;)aVpz0Vr3*L+T}2tL4@4fafRFKf3EALnmV_&03rIqDzZ zZK(e|F8)>;+VAYSFz# zM;EZBG~1uSwfJ4ukgPmne|)%%5I?>V+1Gjabo2L*q;0|6h_+4732h?hgY-#W3LAMW zFQ??X?zOUOyOa)vH}$-$@(XJxY9sqv(lNLu3QxW#cmiH)%iE8NoCHawl>wvIY;kgT^cK zIz@d*YL}`dkva)aGp}=5a|M6SvDS#}l#yU)Ej5Pw5PwEZ(OhHK`|HaveU-JG|EgxA zqCH9b$ZZ;1jm!+yZKUHuk6?<64q%N6+Oc48iCbQ>dzxCS<^8=^jd0cWgXpnqf1Lt zb1C~>i4pNlWPcy^8|ypRXVi-QIYthHn#sy7;6Xk5nwEMJjsMAmcTuacQ6Hg`?MJ{F z_BN@#Tu06+%qIthZ@L*-N3ZX)#MVfzjB7s#s2}mhle@z$PW6$$>^14C125U|Rtg}YEnEZ;=p0QVJqO8p* z8E%y4_Z{>*_Grd)b1dWEPq2q%k8V6YCq_No_k;cp>UuQlZ|di|6X=h84LKn@Fzf06 z;koX#Df6g#n(GvpZZTl`h%72m|znK3-gE-}W@kL=B+t~KbOCbrIs`_*xIx12ugcic)C)w973 zS@R*jw01G}-LzpPpbLMhUg#OBqYnCLqroCa&fILU6VjHmjJD~+9eZ5$5w5q+I(_yu zbf+RLdGXzVwImr=_pJ6Bp+}xkG(nHh5SCf*I(;>fu2F04R|!4;py&y|ZS}#kM7YN8 zbC$_`ALqL8fY_S3?A8{DY@PC};)eH-%vBuz_D20_&10lLcUqjaAn07#g0Dwjui}6_ zb6Wf`ck^j&WV=UtjGaTw(*1a z$=+|5&lle>^1B& zZ6)^!rd6it3%hbvt8PrM*Zt|xf$!>n2pO4yjOw}}H2O6+YW|Md=q~G^4`t%+Q*l`* zJL}i19-rK}hI?<+vQmHlh#jM*9gO7unlpfwW z)sBOowq57%n1k=edfkRz@>1>Njhcp-S4e#d`;3AO%-d$_C^yuy4{JB;gO={yV2ur= z7DDBr3$!i`oRa#Y;@5Ar`4`z^E_LQI+Gi^JO|NPdeyM$?#xtfKmK2<4UlKL+=;dNwD{Ik@ zDxG~|>42QQtWWtn=O9<7HSdOInTNB}cFg=(^ON(zomtVV_beyk+xw zfAMamw}RP1htU3kwCvntgf}1U6dg6H;wF0XmOMXv>4&=p?*s=mLu`;4gCY&S2ZpuJBgICj1n>@%`IFa)nIE9vg6g zd2KYjIy)wE2NuQ#`76&1@|FCDVE1)?RjVfVQFC=(*4EY7qdge-Ae#+u3fHU%Ru}wDi>-sVxD!(s z8gAumFzXs}6AjSA8pDoZ{XIp0n`s}saJTVdU#I&lukX-bqv~(BQTbEi;utbXdr#5c zHrm@kd(W+>y&5o2>BDcOdvJWv|05-b??ao+jrck4j5C6JI=H8F1>9p_W}2LXJ~Y3B z^TJ$E+x@Wc&k7oPbL`2Bfzi6dx|E9z1*?gFXWQMg>TXo>qnYUB9(cnTr&gj<+we~s ztblp$-nHa=&<3BCoGAMX4brDN-$Ulzoi;@`p1}^}aSnVXk4HbqfWo*Yn>=E{Oz{^4 zlMUucVe(=8ACAFc-M(G-L{?xwh zV%~}Cv6)IYhV-EBR;7om35kB7w?C8j;V;ptye`dS9dY)B&wK9T^CuKPg^$B^Nc2Z^ zeGPbsZUn3KpLEY^zQ)*zyo>E<=pXPa=aG+}oeoa#&L!jPHD}>&=w+W=Irx3cX{ASM zY^nAIKF>+~>m|@Zlkv?en>C0R7t5H))F7|2W?d?3qxoiKXA-^CFtgWVCs@3LHX9mJ z!EyF}#xv?Z_o)r=DI@Jq|KRqqU-`KZdCRvySH?yRME%i;RQN{UX@7@)WzZ$^(9hn` zgDH30mPzpcG;5fnd49_MYMlfz6%J-6?o1_L1+E|E+Xw`KjrFmaCR1zFwjH@=V zPp`>v#(#|cP9}9sta&F^<-GXe(Q^KTvjU#=wK3mKS~&jjfbleaRpXmfvS%Vsw_AB~ zh<0~J=OFzB-snWRU$s{=pjp+KDlB>tjNPMXlQ9b)W!%KL3Ts@}Qkh?!@tZP7GH$Od z5i_b9*n7A$( zn04;5yDj>z zMt4>n;=8^1?~ZgiiMl^_tfS<8CU*^&HEi{Nz#E0X7u(t4cGyw=wmDPw+64vZoA}+^ zZqkfx>{AlodoMAG_#pEC`7`)@#}(dtO*MKKhmIw`_$*k`V1?hVe9wQDn&C$Z&%d8O z57{G=y=B;^LA~bvJryHJOhC*qA}%0qz$U4fAt(gfpp_iaNLnjDiEKR((f86YS_dLp zqnM7`Y@xSq7`;zczGr-hJ!@q}LT?QD91%M}?@-*}L^R7jR24e})*N(I@MybUyDFEH z^ABW?q1)U^T{OO4Q*{)>mK=;39q9IoaT320M~~x7Dqh5p+0Wa0Xj$i@=UyD~TsZdN zd8Lz9qwc7Fs<4H-IJ->x=u`VZgQiZ|AF30-#eM067{FO%rKLS@A)l9xi`wkq`U+y! z6U48Ybv|n2#Sv|UWl?`3FSRAQ=3dEbk`5j=;$M(oN-$p~139CEImmQz<{Ep3#@jJ> zlRXe_uyb;DT3{X1jSgarObwV(t+|ss2g1iX253{+QuHzAAFk1if48;liZ(slG*kg(!9rPYGO_d4EZyhO(VRb zALZBh3#-7Jdh`!JrVSgnah$IFn6bp*pLd-V@mkI{Lu?VqTHuiYfS%SL<23 zC*?NxYCiYXW}w~XY#L%m_u*dhP>eHf>fZfb*w4>v?jthp6FFlsen^~kAo%NU>KX{g zHx9T@;@iKE?9#WAQ$y%jjjbY1@Fw;{13tdQDERo~tl2l8Z@+Q(`?fE<4_0rdX8S7U zvw*d&tKK)x9_&xX!~f?PcRXlge;c*Fj5{uKpvKie?4agha!9>y!e3me%YE{_7q{^# zku&fepX%+vWDmC~|3mL>%*Ac#m{9k`9f`O5c%kHP)ff)dn8D)(dhm+^_JPS* zw&~e7`7XK!R%x1X2%H6fdV)&QGHjy863tw`I(kJgp zyVzL#d1M4WkHhW=y}Rwgz(Qv&txzyJ$lNV;3h>-ka_W8??0BLN><~PO>t6A0%jmdU z3yayev(oNS<86eujgg!<$kzwT%K8%n@+|gWlV{0^ooCJpiB-Vda?#`U-p#A&n|q*7 z&NC4G4nJk9b2)_X$kL^8W53-aWAe)2eL+uO)ZXbF_`NEO<7aftRycv}`K|4U>;>3p z2e}X)C_8y5qa~NMArl#8uO){R$x9>~s_l54oM>z(*S0gRd4;`}li7w|%w|soxIP(s zI)7z`K6$&NGR?u0%$K&+u4$mM1f;qaHa2pLOC~c)Y;yCiG6K zC0=fU-+^@({q=uS@~*;TciRE@3y*gkfWNFq_AL38&=l?U#LitN7>i8C8+5lh&!dT_ z>0?vx`}aNhbUL z!@5GwIgI2foY4Dfn5qcrSLN=ysbv%XNloz}55v7Rz2RpIe&t>!IgL$kdFu;`{hi1_ z2lFKIV&l(%6*8w`vwb|gxuQ$aVfE(e-FHalhVRZwo8ip`XW?b1u_G^6W5-^QsXob1 zPJTn~%lCJ@#jX?HmO6Gy$uDh}jg;RMHdUi`e)0jqe0Li%ZtHa-^D-Ccfs%EZ2bGuO zGkn+|78V|6Jg;A9pYPTE7q@@cVEaE)xtb4b|M}tV|0{CEnoP8IA$Hlnqe^_tC_bsc zuOoJe&W<6MIc!~nXNa@6u*aLTVtSTrH4aoV2JCucoHI$zq=Ld54e(-1GQKV4*l*(V zvDacqPP+!Yo31Gcif&?m_-t}JXL3RDv%0eudA6x1$xv5>4idk3Tqs&WX+M8;gDJ%!QNKkI34;sw?YR_kDlyPva^_ z1f9wM`5rm^XH4X-B`m+@OkgS8mOSzYuCw?v(wgyMVh9^Krw#IgD!yWF=?^@Naz_TT z^Nd5?QFwgsyZnFJz|Y5zB*)Bo)5xw`^LV@$jH*K41V>q~iT8s~pC>-ShT>-!dD|v& ziTf}y{bM@^RQ$v}5~B@%kN+MEpV&FzWU6%WMTYnc=K3AOd~y5+mp+xhm@zN8x1}xj zP50^i_qyKCeA~uns`NqnkOez=cA4%>D3n-)x<~5kDy9_R``gG2b$qw-exJ>EHs9IK zr`fLz21pK8&OQ#XE#By}W$5qo>~);xfBGstyYkb-1oJ*5yzK6o{~G7y&1|_1y=a`X zQ02vX=D$Q<{yMpLkdExx#d`1rZNJL?_Vs6HME9-hpqBolen%zi2WRm`7E=!>ZI&LA z*eKuDLC%Xzm=E0nzewlLnMB3>`I+=oRPf5ua~(~agFfuaup*b zu=NRi@dUDvU`@vcvu)yR>h*M+^*PI!QEwqPau#EO@ZNv53VX6xo!PBo-NOF3(1bq@ zE&HAkS_88_2JO%I3y*2W9b01Flkqs~;K+TNy)_*bgL5Ai+W4>XT0flb|mrN_O|cFeoKZ{o=I z6)h)J`-RV>{KfS#XD#{eZ@n3FpEwh9GU&HW?d6b-=pS&_{4_4-b2iBf()|bYO z+k}QUiLuRMql545LM{6iHG?Dk=1k6gvLF^tc>Fylb0cSO)N|&?l(@ttgIM5hY9j{k z9cbB0yxXu)`8m=4RqoBu2ewYm<`aEHrcN2q>o0DFr*Y(i760eq@g3n9# zg0Z2KnXUUB%tHe>G{~pNj*Cq_7RgU(BYW_E70J)K=fi|~2p%4EtaMz>*U?R90&*(S zuVc5G#$nNU=th4djI#A?rcHAMqlk%}71XdyTJ|1obQ`Z#4#{`&96ELd`{6!Q#MwXR z-pKd&410bK_=~R^iY>#jHha}J{XDSodlbE#{S^5ChelkBZ1%w+y=oM_*!KwgWU+~n zzJw1g&gUfJBjx_dt^tJ$)2OY+9_3@Tatqqvn(v+v&GbKfRRC z_fdOWPd)C#?2+99PP|}COdRF&^O(>8CXB_mWM3O{@iD*S!uY%rJ5l>-u)w@2j|J;8 z_!MOZSYUA9ARZ*o&eOAJ$puU}n~3IV-v%#XmU)leq(^HR`yK@w@SBG537&xSh3IY} zx?70uQVZN#fbJHcy9MZO0lJ$&cN6Gt0?beNA8zH;UA;)*C-F}A(aFcD3F$*lksEYq z^;N^i06J@Yqb z?PpR_uVd7}j|UsjbLwKBJsxvbAIDe7`?C|zfNk|g_t8x+8h1{K)fQrR;ZZQ5SkJCm zlXi->7*63?e1~IJP_WcQ#|>lgF+=U^B>oW}+&g(z%XVap;9JB)uc1>`+LXC)*zZoe z|KbSW?RwKcXluhU#%ta)TKtUwyUzXO$T{Z@KVNiO{0egQsibYEgT>-+bSfWK^gNt& z&wFm!-@pTC>8Q!u4~b1%a_)FN?u`1d7EXMIbvD|SzO5)Pk{_ z!V&tfaB}i413qBS416!GwuzYR^4*upz4%e@EBBLs=;ZUEU|-C^e-7+4&mYr=WEh<> z7M&oEVMTNLvTe2G{XKWIt|dA9(kt$>0{evKI^@sD(fNm>JW>=pcVMe~2Sk_La^zpl zSz2uSYvQMZUs8t<{t2>$M?M}{(4}Y!2Tj< z=o~dZagjdn0`3?1dk_$TKKS$2* zxj7ULH%k7&@T<&tkf2_=;<9l;LK9mu3H!oXm7H%WXINHDnT*{Td4{E&c`4^ua_%Me z<_dS(e#4#KN*uG4^_I)Vxw76?xt|#481)6ZieDV`%&Rl09i0=GI4rvn-|i{qV_Pr# zf)?EFRusmA0^JJ0NzSK`xaMet;gcVrK3q#)yP4e8Hs*eo7RLI^13M*Wv%_Qiv;N{K zwo#KFt868E-c?R`Jo#0Hxs&U#=_zyv8+mFh`d};n#Y)@$p=eHqWZ?((szQw z^l0(HWo&_UEHS8GoL%%Qh41i7?h&31@;VOX1ol-<42shQPW%{qz?K#TCNe^M z^m~dpYl0&<-o)7lAG9vl&=miFye`+=#Mw{jBBA}#F>cJcV|DfLb~CnN5E6d&QB8LiwCM500iDk=~BinGbHhyDZ{c&fGoVA19yRA2!{OUH=C|Zff z$d?z?=*i-p^qJDU&ytU@7ceJXoWu7f{l3XQy?)!99sgQj9c7KO&VWYhy~&Axs_$$5 zJnW9v!n6JAK76eKxfXC=cp4h*GIbu*)>7;~xgCcZRdQV54!By;j4!HeB>BaMBRe_u z*jQyJCoyhiC-?m$@!Qn=|7*z|RruBTyYtecgG@PV0Q5nAk-A~xj-#xnc1$$d#&Hd~ znqUtE)`Ga#c@{aP{$ z-wC@S-#Nsqu6CUJ%cJ(kvQ~p026hGXP)pL(r*to9qMZX9(Rs#-i|UQ-k7ezJY47KK z^rP{cNOhX`dMErnp4(c=8f5%5F~!1k*o2+Orx0Jli}kaguAX&v{EsHuvhUTsUw43w z_<78e22vWB1;VOjsLg)f0sriHuv-htnzd|3;e3ig0oUi-$k#g|&%v+gb7Kl!d-4$ke? zb;MQ7FVFnaApU8o0b?`$_e%W~e&;XYld&~+Y_wpU;G5`zcW2LZ>6ddNM+bKOXyLoy z9c{cI_%?_&ALNrz?@J$D3D;rIbw>3kd)qYp*_^WJ_%`aiF8{$|6$4bJslC0`5Fbg- zmJypDPI5&E+#W^KsPGW!`3t z;0ZB7w64@OK)VU`n~EFevJP@6h2N#^S-TGS1=|$`^JXd@xo!k$aR+ zsp-z06IuWJ=c|-&i9hHxa$a})Cf2fuq2x3Bdc9Onb$9!c{|Il|d|u5b_I~g??Ds1d zd`0n#dH(2;)zpu&$BcRu{J|(cx93(_t7xetcUiIPYV7^J$mVlS@qMGiN9^yo)9U5^ zrU2Ox-U==S)-f|&(|7V>K8Nu`#H~|G&<*nJ{j587K{uG&zUlkyUG9wjCx> zoV6_99cc~B`m+3Yt;V9(663ZlW&Z8QN}RcUIr*(b>ao~A&{~phd^#n+>E(U)dCRX( z<5$#|k^33#-zIoe1NK!TKQ9u$_76~Zg&y*~#reC!bLtu79(QuvfZQkdfF&`vOzwjg ztJQhGdGi--Da)E;(!|ctNba!7oZ<4j3&?Yy_Y&*QjDztV#y&)6MMDqqaG4s%;`%bL zuydx&y<-6a#J$Gt%pYsmNxMzubDTvsxmoyRZz&T$Olp+ECpoJWd{S#ZU zTI>+^K54&=4P@?DVvCCHxqqqGjiU(=?R685)&4%U%qh4 zs9^2eIr1_kLH|nj3)$$i#0&2q6EVL<;s>(V@3j2i-M;QO;8ldFtR?<#{`TVcz?ULp zaeYycsny&oP8G7=Ppy_}Pp~Kd-8l7KSdQIa+*(Yn3Tq2Xi@cNPk$7q;JwkAjJ zrUbr{Ph9X`xc>C71Ldnpj%3}xh)r1DGk+a&FZxsJvqxZfj?a7SB`|tvnbdz7i(5y?M2SsMOVm!hO7F1_B+R9CDvKD`9;x- zbLhIl4c49#-u-O}$sbCsC9;w;hT)2l$CaoKbH@c-vA`?A4AJx3BkYOpp;m1CPW-6| zSAs-@D}(n0tEPcZ3GQj5R!e7X{>VQ&jnspT*&=7${n+3)66E)!)Nk%q&ygGz^#?kB zcAE81@<-(2$x~7Ph^|lF&s;9~5wd{HAba?j%7>-461q4GX#O!(8^@kwd@h5w;%Xhi zG)trPg!<7V-sN`$`3*P8Df*9+iyHhbL4I3M&TW)B9q(l`j!n_{J%>+tv(32jf%;ir z2fz94p_FVFNhT_Lr#C2e%SqDApcjBZb+N~gW!=6v_ z&$1S7spr8xc~0(^=VXjS&s?}iwf(;LbnKD)qrHJr3z)Ax3i@l*zCip^*6yyQ5B3DG z1`Wos9)NFiQEeJ+s5%(zh}ibXx9wT-pMF(6z7?@0{%NtLKiB z-=ijrxPd)z3AJafVjDGyyrv&#z1WIpyJ}Po&c%D<2K#jS?Fw>w?1yd31zpf>U&DUb zeCsO?N9aMG&l>R8S=p9TF|gxVmnn6* zL$%><#S~S4F`{;y8bxFQzvz4Pe;2ig*x$Rs0y*3Bmo1ankIVjb{1vML9@bGOtN$cx zpP}x39{yd19N+N@_6ouWYGbM!nCG^f)W*m@+o8P#%g}A&F88S}cv<&1j@clw)8)Zw zp5JAU_ul!3vEACPZxD}6_Remc9dxXhefi{$h#l|h|HDA);+i(H&c(XR*z1s0Xs~_( z4Ri4cnuw8_u+2TR3C*9fKWbF&rbeg@7_|2V^JN;i@b^8zT0VaD#cg_q}HWJ?v+f?01974VP4s`Ig+u#@b z6UObNPOb&NEJNMuKM23oT{Y{u5FU&F{30AuqF+ zny?ed-CVIr__|}LCyG9^KYD=LGtF_X(~`!Yq4S2q4yOb?P4v!C`zAT?`5J$%0({4Z>7hntQ>Q`uf|cQh%o~He4kwnsDf@zl^CJA~mUm{nthZnE%h*5?*d``mvGu!DcquVvSq)mqp)n>~9L z-s7(`PGZ!Ra(_^S3|qa^ywAuO;`qeT7s`Y zn=AV9!Pz79#r{b_2YA)gZ#j)!mgq9~{6v21HCXva$Y7(K;aVm(63pAL@Z^My=^ut< zSB-7@<6xtV58Jw@9 z!1rsSdndP#;9ft=Qw-Z!1#*ZNanPUotuwXrW1yDVp-3wDGjTV4*E%$Jk>U=4nPT$BHul3OIt0se7zc+cb?u%DNh z@5n0#corP2Lgxg>T9Ch;wDl(UYzniDpV71C^Qqv`IlA-e9CAW~vaY2?*29Ut?Xnk& zd-toky{kVFEZ)QTu^lqE(fD8IyD?yRBIscKzbRuojVtYFY)7^#-K;@3Gm-8=(_UxX zO?o)m-YY!I7NT$H4||QFcT>Cj^nF)C|6b7pKJQaD{V9GYiQltO{d|tT7o(T-6G%Ub zhRFx`?lw=pqc+89>VSr}JPn(^6|7yG%dU9>eEE7hKc3wI_DRjlu5}Scq053(%;!t0 zt-GnKV-H6_TY@z#^sC*8h|NqrTKe%=xSa~hAgq%~f1{+{A zuO$|~IC~#7Y%9K;!}rvw2*%~Sy0(}x&t$JbN4`!EKTYy@_w>iS4YtB;@$s@YzgtDz zF3*Qe_^0v=xk&l0mbJX#u|}Q)x86OeexERV;`>}P_3X*-Yqg2oKucp?os)Q%vyZ4{ zGiIU7rj~3wNnXa*RUEdmQ%kOFq0Yd5H{drU9dnKr{>5+3hwE*rMeLV;RIF?+D5CwZcQ4RpDP9il+Zp7c@NX6Vk(}8g{N!wd zS{3Kiok*lhh($`!|lm-XrI)*vKI^zlSrg z`lG5{@6f!0pm04rD994Zn}NhV|T!8`;%2 zrn3dt=>FV`pV{<=?AeH(SuHhRI`TSVpO4H1xkGr*81i%B#J4e?X2FoNG7jEPU&^zi z#SzbTO{}$Zx#Y?djDt3~UuxQ9&m{lrwe0;#g8On!Y^Lnd;9AdxYje0ZPp<8{aIK1K zHR=pT;z*tw!}?vc$DTGLc|jK)`V0EVku+Gbpil0j?{D+lIDI@@XMy9`!is*bNBaqb z4YoQ*Mcuc7`9jWx#(>{V^Z2aj>p*V%$QP>5(AF`J&$ao_(A81H=W&|%q&%OeZG*x$ zMVIs^_#*d3eOCtOf<-+mToK%?E#H1HZRDo8ND~ zO3&gWWgDsc;M`txZ-Qfh6XU_agrf(xp?P0(bjjz**;wJ*<8n@d8pBCfX~9F}svo^d zOP0K(XB+=T^ZxoJ@<UKOYs$04~X$<;!M^!eHmc2_;kOB>&caE#2mab{f58odd?o@)ihU2p4rW{S}<#+ z7Lfc&c7^=j&o9}>M1F=jre4iR+BNd71%FDe=H!cKvv$Z>x)k;aJ>eH$>i2G_!!}{t zSQqgUar%8J7p!_I#~vu9JMJ_!N0;c&pxy)qbl=aK27BC$oiWY-dp`T0kiD_s=LYOB zwDj-OWUN9v>(gK?>(ouvy3=9l*}lCpHpT&6=uh^Z4#x5C1I{mm=I`cdCQgTM_!j?} zT=!_oDgDua^UZxZr*uBoHobYNytI!|@{(HcIVCTGZDJP&Wklo!8B+cla-wVmGDF-~ z>@2KI368=G%ip$|-=$!lRk zVE`^>8$X{45DsJX>*iKaW|9y*}PHJO8D?+BaebH-(EX;)|O1bY51 zdL({ko#w4L8RN`|oUsF6&?a|!2Gavu*g#N!t7O2XPYHgKuhOdPTM0mEb=yFD^7sxjuM{kC63)ma1 zV!xB<$p6LNo5x30pNs$J%$b>!jZENRa4SS61Vp5?M~&@m>x`Ghs<%?F0($)#?NM>* zt=M{{?bl-BWML#@1F#o7R_*l4VaW3X+B+2owL%{Us|THWuOOPj z&+Hnr?E&v_jaFlB=n{VF%y>zyo8$}>98}#Q4@o10Rzr=hxZ`! zvd+i?)VJ%ZF||^!5uT8GU(qAzcA_w(=#LeIPcJRhl)V93ZaljY`<_qZMedYytU{NV z`+nAySd4Wsv7@=fLRs@Sb~{_wadzO^ZfDlS5b{7bGD*-2uZ!7@jp!-QYW5u;pbwXK z7n^OD*fT#MMsyuEn2iBr?L=rv1LwH}?_Z?FwrmsV`+s?atHSdBw&=7Q@anQ;! zVmHnTyYqMbTI_>XXsQuib)AlW91~v!uv57bkkH3jTQJFJ{7-L zVLL)cif#B`3eLb)&bGM%i@tVyU@bBPvh5Dxp(5`p98}|6xjivD6CRdH{9Y|%>WoQ@ zj5Tb!23?4GBA+RrdRqXUK)1`Qz&rR)1+qk?^o5Q*EAL`~%|N*Hz=QX^iv8>*&dUl7 zPtooudOG+3OlGMwI8MX5U}9a_nTe-s&otKPXC>Ce&l0>;@vz7)t)-g}% zPem_S3!mo5vuPQ9re12Dfvu#fs??r`e8wDmo*%{en9q49Q51$(k%wZ(wK0(iTzH7P ztOz?8w6zl43B95@M;h^~hBV_D_Dj_iXfpD^c(a@QMkl?hx>!Gc9fHpM@D*S^iD&Rp zfAZ&AhEXgEMsliS-{_vXXT!}?`9BJ(l9w*W9F5L zOh??r2K$Hbd2-Iwn0qeqW{SMBy`N3S3IVr1>!ik+!UIci6P;K1dUUNmvh}8tRpsWncls~stFt*mRb0G|=<$hxL+fbR}Bm-usPWfU7)spPY>7SqQ+e+DhymH}=Q zxa<|tYoNu{LO28sAf|ns&e^cR#P`=i%lVAInd^K;Zqu;~e_h3pm7=E=)XMp*ECuge z+ND3qCFkar_3u;qD`Xt0K2_df(WPXc{@wkSUii8Freq@7)5{?^h_d79k*wMj| zz((w4(J}2ofe&L;I;{9rQ#me`4Oqd@&%xRAOd6N^-n!`|g-_rZ@8y{D0UP9yT*XeyXW_ z-~rAub$YX*1;|WtW?J~H*k7F|>ciq6#ODTmYev?eq+~5CJXss~e6rN+PSis~>hZnT zjnbkTd!KyYtF>lz9y_WxpzDRzK3=W+-!A*k;2nuu5!$3;4No@}J&}Il71Un5(BI3C zo5A#0<@}9N1g(Hhd9#rV)VS-_xRd2ICliyA!zVX(C#JLzFS9Nt`JkPwaKOH>4OyJ^ zBrZenTjlJXBJI%seZ}}~%#-rG73%bJ5r-O6-}UO-Yk6A1PSKVXCjMaT&h7Q#Vgq~e z+|p9)oUPP?%&>AN;17kKRNbaon>i=eY3g1ld5WGekrM>Ab;y}h6fBe9eY4A_%h-B? z(51S=*gHD9S1)NiDYRZK4ZWA1!w_he(v$l^>C)g8U%0MI^vVWXx@Xi z5x*&Ccv}o|l1Inr)Jxg&GIF~&fK zNlXbMA1qgL6YZZZIoMS_b+KoXlifw87axd~xhmvht8}wh1>A+`Lvp_=c+$D$XwV?$ zSLys!E$DS3dox$wBQE)b)UkAzp9?M&E4|#ChmO*x?zyy)oOQHIYArhD!J*OcLqny1 zmB(7u^sXHWycr8;1qy-3NCg*aH;(0bU@?EJX8q`LsgsoJ%FYbNRe0VH84!GoEd&2&8yYA=zPS$U75o&KqpIcW_M`x11FNzKYkwIS(S|;Oh=@&c;62tdPa<;+? z4}q&e#uCdHTtHrYPrjvICVJSrg15>1TXqN@Lhp8RcDA<`@YD@~WL~IU<_E9X;m)29 z?h2mB+)9Zxhrfh$>N`oS%4}#;Rd?cP_TXA*OH~yz_95W2bvAHQH9OeD>#hD zHhKK{uH{_$A>z99NOTPKJ+_Wm7cF`c-$H?wpnjn<6g?=f^j6Uk{ohkfeuo`$INV*Z z;S7EU)$hEmS4$l+;akzM_k^6KCj|cIAO~|Fe`G=FaD&<)(ZaZ4^r;Wx&dkblt}&#o zudwHX7Cb}YJN0kWdwJF8x#m=AKMxK$<+PKx)wbq+0b7@zt>S`%G-Lx>rqZv<_e%i&z<7V(p=4;Z^v=Cxq=5WcLs}xe~rZ{yOp)QDbKRNw2;> zki2v^XM|0|!jG{!g8iV1T#oxpowfanOZ^V9Nf~vlUum79yFxSIspvM}h7MpOGH)K_ z>t69eqKAQh%wHFJ1B{3V%4uX=EsRT}c33SnMY_;4%L3^d8rHGS-?DG=qy|-ATF5it zo9u}^V74{Dxq}>`m+t&CWxr8hw$Gl5RsRcnig=3JR9)B+FAI&7dL8T&{La9yPaXE; zjE;+BYy<1CCpRp=T*k=w|7IQbK%l*A@Ygz+tgOg>s&c80-Cx@WP2aprdxd58SE?5@=Do%SerYqCGs3&1z}RJzX6UR6IcdEnz3cZ1NG` zEAL95(vQ%= zW1*$S^WgbB{7ixDZz-8u(X9<5V1Vou zL_UYkw2fG#xk5Qd7xJqPtXnQeabe+B$Z;(;03Kr#@5>zMQk&uCwT0KPy?CbGDq-akf(< zhuUMRCK+(-3peDtj~UM)=}X4OoC3*(W?0tG`b#yhRZVMUhwb#|RvJ#uZ6C+`LEyJf z+H&urtDKK$nk{P)Q7@;@Q9JF9%SIJ+`;dzlmB z)}69OOLqIu3+>tRXPKA$cUGA1VQW;hi8%DM43NR|@2clb&P^@7m%8y&OMLl|_Q4tF z;I5r=-(7w_IA7z-kc%2w_uvU7`+mBMc>g7huK7|xo)g+f`we|z=9HR0?mN%KX!0JP zON~7>-@Vj*Gc~8q{ITG_rSx!u1 z6_W3dj+2AaSZ$tjmU>Rfty0T<#QV~o1ss#}Io|<}I15|C^1ikFJ=XI_nswb*fTL;H zcfc#(`>KKD>u0&Mj{?UI|D54<-vq92==KNF_m%Yh(Mg%N z>i2Q_rH|fP*vMbuso~_VWsfI{^oSeMvyhpPy|!i1c0}Y8_<4}M$p5n58qwqAe=Twk z@pHwYU6DNJcvG^33I6>f$vSp-HrI+cAiviD4E@V-+80?KAtCjnOLf8sy72uMZ=SC zExT0cwwp5x8~X<2*kE^Z#-lOjBI}c)+l*x(-F}bUZ~gdd>2%JKp4pdXgDc1FdC2xt z3w~?SpYU7fnj%xq@VVK3f0D!1xqf_zv8(=+zOm|n=p4xZhtS=&`F!HNcL(-2m7GRH z)OkSKkbb3o#Val!e_Y!0s#ssqUsQW(zSFD2P^1*=7ax}BZB`kb-aU^cxS-aH%#Q5ZP?}ge&c@QSE+Hc-+RXWYEN5M zT8F)%;hFy9-f#)Ik+G5aV}>rQ8*u;Y5IQVt7J#?sATuuV`Mat?ef{E);E6xpXW+>L)@}D&{@k&P zsTj1Fb|L5E^3}Qxs6j35Cof-o+{%Df=W<4SA@2-=<`%}W_vt~eryt?{8K=VK>W1q6 z^Nx*A=7-vP;d0gD0r$so|6uR^h?^Kyo8#Q@(i{W%S@xNVx%yr6*PLn9NO1|EFr!|F~#?w3F_H6ub<@;jJ#A@hjq%ut>oZZXj z45SmzHIL3=eE*kpLOYE!kqrrORmPUmak2NEDZFyCZ)?}#b$91XZba9h7tQ3 zGRjrkovAPFu;e_(#2R>J>0K6dMEq?M`y=})d1DP{`i3IYGcM|cOAN30yP`#Yo8)oU zk~jS4!2OsFPQIBK2MsC2Zjy;zfj;0X$D#2ef9O`#WcZ7Dy2w|^b3=u%3QvrZcgA=J zd8;kr%V?eC!SbISimVaDK8nuK|5;zYhG!JLN09|?I2xC;Xvy7X zxmR4EdpXr{RSPwx?@0b=m6J=!ERh5K@O#44IpFt(zViZx{b}BWy!(?wL$CFJX3H-u zSr4)2?P=PNF7>F$705NmkY(Waht9OJh0iZZ!hhhw$SI8-=(X^kk;s>OMzk0rFO5dV zRx;#X;#7DM`$S@G6}GZ^^z@gpCDvj~#6E`|;_f{wJB?@Y=K#<2oEd9}TuZ~*hue~O z`d(uU$Y!;MlC?w^+%uvsQ4j8`-zA%n|6VFM-N>JItHjMbMXu%7>^ab&c zi;nU&@E4inW8N!Shdn{n_;n7}{HS<3@&@v^=r30Gl#784wU<-8dB(Ckfwk@q>XikY z-H+eSJ{`cDSM<KJk#&w^+e2F^h-!>BG zmSOL`+3>Q-S6NtV7-P$ViLtdoHweEGoswGJ&fJ^~a-dV&iF2L{yP&T^*QP+%W~Aua zWvMakRq_Hk;D<`Qs$Kc3xV110O>BU7@ja~+nMvNQQZ!M~)Tie5%*WZV{Q`MU*(WD= zbz9Rqpowp2_QK|vb7iS6b*Ph%=X5v=Grupnb=e!8(w=kKJRLhoNb$rO^FBu=7}L{- z53}tlm?6W8ZE-^NKO+-fFYot-Tk^_zDVd+BV#*wurS5`C%UWRExpmR$-q)uMq#c#Mw>NzUGu> zUMc=Jl^gw(`!UYTg^bmaHN@PUL0{zS$;~>JsDnP9`OdLq#&yS?$1*P!-Nb2LeyJ;R z1hTx;AXmEY_=k){A$i3}zBtMC?TevTZ-i`bXQx3b2plfuKiv%tgmdfSTp z61X5sBln2C1)1a&-C|=iV;hlR-tP^-mwe>X@q(Yd?KQogz$VtKM;#J=*Q+;;mH+5b zX}xKj{6~)(e<-W@mF8<14X#e5}*WngIXc zBRaL%MfR+0xqHu+k7(1!i2~tQ5zZciw*?P{I5YmLvgce*?8L5PaiNm~+hq(vHwQo8 zkFSMRrrRCy{@r`7YW{oIUDn)*HH=Gc5AA{es#@jA?VE}Q%2>(kA1yqU{tl!i18S8| z*f58ExEYUE^zl?`}qwk|9~w-+(&> zoL4!!AMX4CShlTPqV91%RqiR7g}vRwzxNQ|-A1+(! zfJZPfsx}DhIUCU)vy=^-7@a*!RwXu_4Gr+=lzs6_J+#V0wrq{%-NQIuQF{#W{Vl0^rope+(OLVSW0@0g>OhIXCfsNo7BIJ|iX1U%jHv%9NPwEt`7q zHc#+&$<}^wdsgB1mVwuNSR)su*NEqO*J#9^fzKn$emY>h*NH!kee%b&ogF!)rT;TZ z|9=cPDms+LJMjCzc=jz1nzFZ8)a(f6u;NxrS>geLKwuH(Z8Xy{9i-^Lg)* zlj5JU`uL_?$u$;+{-pg=e^0&pRZSa>Xzl0vsKhs9XZ}ie9y|V>#AIx9$ezi{jy&;O zF<-xK`JTx3-gRpFnev&L2LYWR#B%NTKkvAM82U8AI89ag*ih# zi@(cXqsX@Yc%oe>wJYFboeA_`#+=%ROI(4wqPKl$xY&r@g?xI~V*%^2q<@=H~3@Z65=&)3isg16XvYGxWV4t?eF6$ z(3}yErSJ{Az^t&hutji8PhQ&ArF61|XKVf6ZQ7Ogjez^@X+6O;krQW*)mEc3L<{$F z_Hja3c#M@@JJflzCJvk<;!_oWY82n7(Z_F!ev#)~YFx~o4m*q6ui+beKR($#OI}d8 z2cF^24Mhj|EnBO#i^v5+9+#2@DrO5`pE@H@9XpLVWefh0D}~(RhlnYNXOp8VL(W&Q zhWsxh-#NC?}Vabd4FUr`4{l37LY@B{9b&kd*MX`e5+Hd@8EqsK|BcU1q|$_@ZOM< zk9>%}OP@J4qR&*B#l)Hf+{zQ=3yY&uJQR)=y=+FuK50hBm0`0J-d@HY|B?x8Owk9T z<8LO{>ZzYO*58q@_WXcSvAZPz!xJM9kbF##WmZ9dk2&;4jI%`}=>VPAy3= zMgu#HmDAOY4>-5RG-47H*3%X+iWWS{oL|nyu7N!`j{O+ejpZ{=U=}0a66ZBaweN@z z){h;`BBl)gePKKsTA6JCv)0nsJ>YgWeFfFp6zt6~WUXXv#(ar9xAMP?aXv)5Hxu(G z|7#h4oP6mK3}zm4zw z+hHG`he8Ubf=~V6c}{lg)V%45^J~M#`Ek==?TqtkP4vSseFmJ^s;opb9!`wZ!@xYG z;K1AkcB&ugBfVayw7YepYU6Zb`-Bd339ZTzKTr_)%Wx~93zhGxGl4GiUcKax#8%;F zsJbgI{(5*{MYJ$(L}i~+(?Me9?cdJ?54RGt1TShEQO`aKxs~7=K7R%0y5rr6P4KO} z^^&&+fBIVVtlB|tRfpuY%Q5OYI4gobJ%qj$sy2v+jX2@8^t~S6&dMXwMq<;t^#4w` z;tNVf2Z#06mzw^I`Jfwzx#bsPdx9P};pby7ITkG?j>2ph#99V;zT>ab($c9n`?Pe)`hK*uv^w_xl$K82`Yq%C87z#?uYQI$UVe?EF}-leTCci>ci`a|C5sZ#@jV*~LEy8fZ? z9DK2H;X6{Z@9wQDf1`5MWMLyctr%wk zdqD8G=?{r@lcYAziRhS{u|EySe+!A;LJ(15IQ*$yJ{hOSn52T3(Uus>4`oybd7Ok62Gm^p(I8x(5(C4AZJKbJX&~M z<)I-qkX-om-PUxhH7_s1+>aTIS;hRdt!#^q6#VHqL+}Z4uY;NI;Aqi3dD4d&Y5Xu*?%qvKyDHf|eqg}Dn11TMrUtD5YK%^Qv7fADK4=Hq8< zq^|Dk;Xuu3Vz$?QGwjXm#K&yvk|#{gpIIh3{5qSp=rYblaXtFf2jt+uzuH-)kqa*- zXI7jX^BZpGI_#ON&|g|&HN?mE5VE6JNRub_?@*-WEyIvqBkK^A(!d! z9X=8#DzUW0%@U7@4nObr?gH#DQ^ba97FpuUGp7ce#Vwcii=)jck2@LVqhvpVm*fgt zp!>N>hhg{mPjF)|{lG)SXG9JlXKP1*JSyZwMHXS5RbJe-l6TN+3#2~-`${Ut7QEXl zG2z1viQCN~&UTO<5L{xc$h^>xoK+!fP&GKS3Kiynz5FMTO9G3jOG1z@l+#Q7P1 zV<(KR`UtoplRky~L>y>zWNpwAyIrh)j%C7k7J!S;Lvlip`y;|VXld2x)O+mL0q-TJ z*2_8Pv3=po8c-i$#P9KYXURBajK&wCfz(~G7^}b?TAbm8e|x<*|GBtx>C`(slR9jx z7SR6ltYM#VaaJd}*v#tNersFFZ&*LoE^#KB^@A&rEewH)=4CIBCnwIzHaL^wT(eYH zd16^(+pIzkXMIE@(a1jOG=t<=y`B9(g#ACn7zKV5#)q)? zhp_htv-bzH_Xiv2>4OvJ)#kDH^Ah9YdB*vAUgG@P2z0=jDQAH~$jL875G3- zKC)bE0lL4!Gvd$5qw8#c4jY%mJiTnFyz<03GKQT!`)AgYt;8r!6L<*?5L%FYqUE*3 z@(;A+>*i~TB7lH=+4HhSf><5?4^0XxS!fvg$SBgx<(rMIg21o6|gt^CY`RwU0Z|BWBJ zyz+N4E?}tqo~(O5zk|uS=EZ6p;v*fiS2O;slP2UF|M*`ebfnvrEi6ImV%L zdc-Bx$eR5V&H7(o=Q-rOPu8VkjrI}m!<@t5xcCqx=BM)u|_nb^o0` z&^buoBUn%g~9_wj~7@b zZ$I(4y0>gBx$ve@zYt#xXI|Aa@Q390PoPgVJ(j|~Ku`Q6V?}(5S zoQE9oZi=pN3ns5`>cV%O-=C&O_CQmf5q=@<`Y}iFHfXf)4CyDi`L*M!o=gR~{fIU6 z+eK$o{NiPJ6@2Xanyay&>LwRlA6rcuLw9ek1h0FE2`7J@rwUle6{O|IPW!lwWzk zoaSdy<9Nn^Ii1xzr(J*UVqX&b2Vd!tGq${i{q-?5Cux^9RNtvNC10O$+*Na#o|?&N-LxB}Q>i)?FJBz`^%x+rkrf5(9S{+JAe9tMZq{?CnQ!KXH%@3Zhi1GvGb zmux1kqFTl%V?*}}BnI$mbOquWYYp^?ppx0g912SN3Why01AHA>gT5aWyyN?23xB;c zb^Q+%FHo^`@O_ERDo6jNZ+D*P639mI99P-3HlU}CX1yypR|X!z{J4cOn-r(_p!Y3EyAtapZ*TL@eczDunkY;RZg;1cb~+$@n5LX1=5x9YxeT;^#l z5&9RRe;Ehk5gSq_&y~Z!kfRM{g85v?|ddt7Ls5)eQ)yq?P)`e6!qg;g6h9X}!Sl!cJ>Pf#Y-w~#Bd4E&+rn3}<01CfTa0^T-*NZuo5&-K|GiW8O=@pr z%iqj+Vo_{B(IVmuh-Gmzk+aYvjPq-KdQ4n%|M&uMPw;nwc9D~tH9>S)$>EUp>sinh z|9)(CPkcc(wojqii*t@8CJ*AQ;cds^?U7{o_%UMYnOn8y>?!6Pl#XxuNzq+JhYi?^ z(zHFYSMb*jS125oJgoTd>XeN0GxngwQ~U61G59an({c|meTQ{cd=*@IQN=Trn=itz zW31bY31Y7L#ap#q^^~-cUK{$^t7w^8dtk2Ce#vVo`cc+nGe=f{=SjTNUzZv0BMzH0 zsUjy5kIkI0q2+E1tj^sVu z@StA&-glCy|>+98mWbo7k08a`K{HIhl9YvnL7|BQ~4#yL;8U zK8{^gn`*ny9Q^y>({J4hk!wp9Dc|+`#am>5p1P+a|7nR2w{0c*z{@F~g}&Q|XEBb4 z)O?k1ntUI9$ATOQJYF4mEj7NHo_X?YLb59$Q zbL)~5A&f3x32&=lPJ34FN|1k@+KOT0?fn|{7Iov9Nm4iRRkyRT8@%PbTiN93nY1Ih z1x$P=p)zcI4^57aIcG|A{NTwJ^e9?XYevty8QB>hN|7!ygp%cjE=0w4icP0=Pt)5H z8|B<`~Zo9+9~MQQ_!oYq;e5VVJw%4?kxREu9ViBM%1E@ zbh$xttxAmmauEohjgnIV+n0ig@ z>q*s~tOxv3+G}lVI_gzy68QW1wdC5W$SRo+ev*Juw23t#_KbYvavtBgsXpw6CvZ-V z`|sBUB>!OaDL&|Qz>@i6%j%PBLUOi6N6I?Bq&sc(*xzLBc@hYQtRLeXy>S)fxQga1cOfH;ne#jA4JEH-*wbP@L1+8ZH_n)9T^O^-n4RC zG++Kx7n^mE@qFG`c>YZpce2uK2bVjfPb(7}4gGTM2>q%t(cb&ewN53Uv4?r~Ah7AO zj9P4^)VK*7>@(mrW-oaN4oMyYe3`NrZzc|?5}{?mQjW++iyeB z1ln;!DhJD7Xlv#2er=^|+=?weZKF@;l}g#>#kZy6A+bNSYNbDPksF-6!_;&jX5ZWs zA(yyjbsmji-(>E{WZDMivoU0mn}k{@tRMB53rcSkJW^{FJe1B&d~u_7CuRnW(UZe9MViX}A>S(hV15UCvpLKHhq2AnN3a{=#uPIaYEusZaD!+ruDU5GJXn_+} zd11)OkIvUQn!Sdcl4D{ApUD2)s268zrLN?}kk1v_8w9t+@9qRLk&`(4e(!nMB(gQN z_h+mclNdc;^X8G;E%Vu!U9k>%{W-}?T##-r{eE&tW>?}_Xza5S!L@SWx00N|LSK;A zr>^WKR`08V2QnTRm(&Qc#OF8EEKH0m*Njm?O^xk}kGd_9snz(7lS@1^*P^Bfb11YH z;rsZ`qhI9zW%vOy66cclP3VGo20nu`@Eu&FyYF=9_Mlf{-n@6n1$#z}d@$HrnODaL z=of<9$OaNaR&I{>Z6%DadgXsh94NlQ397v?aopO5fAQ&FXHB{mie2%7?o~Y-Cnp;^ z_8NHq1?W+ttGArnH-o*U_8>WQy`1H7@*S~m@*dAJH=}62X6JlO_cAYv zM+?6SEzitJ!$JB@=4ZAUFZ6?FYXaEHHGyw(KKTk2U04PF(&wrz>+tPU&*(JG&aQ~N zCi?jT=G|Vby9+Re0$;|%S*VG+b7UQSpq%`aMY?n8k20Oj?-KXvD9lr@v}S2SkY zsth~(ytwGHPF8llKkjk5H}BlIoms@#$Lh|R#Ph=+`_cyZ%wO~c;txQ_Q+!C#2^F&p z?zL*M%M{E~c%#lc0k77Zmi!m_&O3xZq~#;=K`Ne`_6wpr$QT571iyjL$DGkP*dInq z9>Io2O?G0=feG&ucNnB5%sO;z;PSnr&|86-zX!a!4(L;VxR5t9(4v7u@XF+jRULNb zSK`PANr{hl?&Zv__rOCVi&6Ri`ZxLvgu=X+zw-_P3g0V>yE zUmlRxwC5k0zp=V@@Jx-o*~oKFfLvE|!$FCekUCGsByvhpJ6*{uj7Q`E$up&L0}XhF z@hUjT+|={_98X%IJ*hlcd)PBgC%pQnS?^-D1T?xq{7E703E!5{H8uMNRFr$e7R@m;qdmo*~K*Apu-6B*Hj7E9j5 zDFL@)QXqMI=P~xnA*bVM;#q*p_YZR(lkco>Zlcbwg)6>)$pMpGaaiT*V$G>z18kU| zEA>or!o=j_yCyH=DS6RW__Zt2ImpPRkmY8CyWJxh;0+a+rZT zlH@EoJ${BNb;gN4^sQM9QZ+(IS;+j4gL~X z-lRKS%X8iKa>mSg+Bbr^&WD8&bRFbmt{toIwijHkJJ)}By1PLCy|H!yu)hL0-WIhw zn!D}rAK}xJRjqMnX!uOGqmFC4sO8!G6gjgcC+C96y0hz} z3%u-On)B92ITGV3w#nA&$S)L6rCx=M!7{1A)!D+{;kgG}@ISl`%wqwkxqgC0&ff%d z-T7`kzD(I4LFfTET{H!~7W^7rg>Kt|ydg4{*a9LC`F%9~0=t})*;j`wH9;3X zC-py_PdBkS_vU29qqVB7F6ZjUQPs#ssXg%^2^Gd9{ zT(ix`V)%%GD{|t;&BWqRQ|GB;$T3%>>Kq+U!FA=+ma+ksd@9f4i##xJU7#5)@C(t2 zKQ~XVaUOP~)ch4rqr==?9eLDiS+4r@DyVNX6MgEb{eJ%y6{>H)-qLYo+!06Vqce>o zZUu71)b-TnWIWa8o`K^LJh8!(kBOeDxo2uF8e~uRH0M{mhcdXyY9UAtPA?Szvmo=<_7L+tn zV~94F(Iz#GS_C$-HsCe*DC;3}SM82pdXhc4fOm)`VNXd67az9V7Upfd?iyGJ#;KNRynO9RqZqcgF zPF34;E$8IE-=Wz~hvq%_sph@^9b|{^=Q;Te8Aj10c&ZcN8a&% zJWqIFn(y`Dc`o}0oAFSwDN)Y_*_H1#&?I;uKC?lhL-y#&=zL1<7d?5Y$S2!1cRPA= z)+;fuTz(IY+2$1VWcW?TK5R+I4QV~O5;;X=aIrm=Qp-*BWa<*B_Q?6z+IH2ur|8L{ zD|LJR#CUYq8RZ;MFOU3ddMxYZ$F%ykn@_OY__3!Fb2>0CRbo~p zHdSI))3K?@<%MQ}#1^=ce|2u-*uHV2cFqNH zC+C6@iK4P#f>?)W!Pj-_dLe^C*GDd5O}6#Kjaq{iY0d`8zltq)N)X;s#r?g~{+TYh zh7*&qHD>zpq4=ql++h(L>gH4jaSht3ZViH5hS_)v>@EQ!fd@u5=3 z?16L9ojCuJGfs2od=r~k8MKG^f)%;Y;<)Aws){?8zx2Nii3`Qw zXY2!fWg~wEj3oy1W$qCx5ql-ejTCVYUwb8MxCk9dYFvcbD>)Hj1X3}g1%Cqf3wZxe zz3YXSl>mw`%_?@>RaM@F0J{l^@DHr z#&_ma#gms+XByc1oomYBT{+CHOxB1#StH`41Q&pBQ1Aj-iF~VN!Q>O>w8Zq+;n`*o z+r;(kfmD1aYy3Zo?-Y6w+20f2N$h6ps;ipdg^HHA_&w!3PHX8F_!e{%ypWi;vOH_Nl}?J^(eKtp0Q_HgPl^^55X z203d-OyA-oMOG#F$3C}^E!d|zbgexxrdCUaLzj6u6|ra$v3=kRbTreTEonz^sVBCt zXs?RxOXC*f+vU#AB(Ed%bFrDL`cLO`T|0%iO%pr?{=|By92OhHc@q2RV&ie@bHt^IKJlZS>As|2>UXvYtmqs2F)@@6o7fXFgZOTWWE?`{5|6`I-s_6H*cg*r z!)@M0)x?CB#_ff>V=nnkR}b38UTN!=+&BUompFmsvdzSB@m*ebQ1*^fT3296tllv4 z&vo3dNzOUy-WlG<%XRAp$v?OKq}KvGS=)Xwp^MGoGJa=%$8fh|e@{%Px3DH=0bj*8 z<;(?hasQn;NF3!{^E(QL^l#0ZO`hg?=x3a}ABP`p+&9&Ff~Um$)M_=AoDZlAC;YXZ zqVDy?Pz=W}C2ON%JI_V-1OChfyg87{9OIUHkq8iex%SfWv|Asll-{r1$A#& zAvT*T>Rg^q9kwHi_6c1~e%eJ`WXbb>Ti=v+IloRmLH1879`jjQ8~NW4hyD1@wO%^D z^A34;Upl^Xja+lhQqHb+%mELAjDNNCA-Lz;F9ZhAo5#%? z1t;(w&QbV4tg!Wi%mOED%z^IUn<9^GTgfuNy$#adj|H!2?}^m9=gGPYJ|vgc?MZN! zK&^jg7d*g^)s)9|uygn&3ds`V-sZEv!=OOuKKSX?^nQm!5~t>d9%ZaHdn@ z@6nlq$R@e7;K!+0H}b8DY+z+ceB)#l-zYJM(Sn~sL;swjk_$!;`f|C* zB3s@k9_)LnUEUWuEBr?2l=P8&ee!X4uJAVc8U!uN3Tn`8_JEutT*Ulpkej5R-=t_h z{Rqv!y#5y93qFskKxRPRnflU7nTvDdD?gC_+zg33-9Wq^v8KD$|BZ2PPK`TFPp@iB zo*8;VwWD&|^%*zqCSPBVKb$ebA5R@4JkjS%Ulv(LWaq!cyIkTJlULU)?N7^>Y)PIS zS|+e^iM<9l9v*Q2PuOqKcS;@+oZ$O48K<**eYQpHj~ij_2Fdw_y@sWHGusTQLubtg z&gi68xvVQR7CNfdHArsTU6sgJCNa9QuCtZx(C4uN?<&@HOA0>lBgJp7+C=>1TU-SR5&x21xU@_yfU zC2mNqvEr#)`nN&(XX=j7_m*D(hpyiDv9EBJv;VtGcvnLQN6wnM%;fw(dn|>U3v;@i zhM)SliTyXa3g5+3>r=SCm-PofZrnEjH<$bjUt&8s;e5E$uHZ@X&7U5}x2>z3HmaRv zz3l);XJ<3~L-uAG$0N@YpGdrr#A;skN%FCbxxxp8?@Roh&=_!QUW%qEo`$WQym6V- zH#9FwKwI z$lgh<;U3zGMGNEDLy48dUZ(Of;pa+>D^v7P;*G4o6fddeMLFO1WwDKZZoH&6^_HG^ z$)0>n#7jy(rpJnoBR3H*dGwfbc2>TMmpo9+*%;y_$33Jc#>C0}!MYPO*-K-$95$fs zE_n!5-0V|A<0G#sSdBvl5I(42aMcmuPYqj;#`EN|GZlQ(G6QjG zAIr5g+==)4tS1IicxqY(Q#pof;g7SCXUVDmLVwxEy6+5Zyx5G3ShD`}@lW@RwU+mq(DkupRgd_& z_~LqGOhAh)8f=|a@>aCqIrKLC>p7u9crE7ykMUk)RYLM$jH)GHsiyUdkE|ZyotoDM z8Uv22yf#|3LBD&1-Z1XpDcn`Dg=u_5Ch&2$wJ$CTE)kE{$^Lk)cW@H}`AwR{Pl_xn@JPi^KFv4_ z*f+#T_8I3Jvd32LQ}-52JVW{(a;3T#d0X8pm-x<*L~* zE#lWhKA<#gwU+#__)owNn`576UqFsmc)R6|o<6wN2S0x|@Og0d553obH_y`tc2Hna zEihS9*%$V$dsa4aZJqF^UL4u7!RK|6-%6~b=!&c-em7zui7({$)6$1Jzl3kjxdvWC zOrWfX=o}(PvOe?DHjv);wmgXqL&eub$36t^o#WR7rY4~HDO_wx;(P6hEyLbq;2ReE zqdOaYrV!gvKK8T*Y;F(Anqc!>jPGz+k?tOCkGSpa5$DEmhS*;v?!e<*xwE^Ge9GnQ zIp}@CMr3z#D9}z+D~3l*)WzQ5WOU~EHYI*z3vuNf?c(;V1hHG#@O5l5s%~g1E>hKK z61$o&cVVBMuyQAISB8vL#v$WMk5i3}aU~~*$*(stTwKJSnpv+EH*+ovpO@6ujppw| zXGS+1xjmd7ce1Xry{G-yl4oh3*Z`SVIpae=U_NRt%&G5ul4o~*+WTH)EpZH=`th12 zpS8xe>;@M;5}kN}@430(x)Ar2SXVY!#UGU`UspilGh`i|Fg2!9a7h#*8xz;0Y>eo3 zZYZweIOMtH@WWlvRY8GehCH9DO(r$xdf(}b3(_YsSAM_rnT6jSymP~}5dtqNf<0|J zS)V@!iLaG0KwtYkpFESX6ac%_xMfVyRn#QxGZtfYneP)X58+>Ji{NKPSJ;I7m8w4{ z?-U&7e_P78-E%&?+X~$T-V-lMtf@TTszsIo7kuX$xoy~Y+!I)~&Waq5j$bp5xEb-` z(jN1|uA4mjFg|l-2Sgq(>xq|?zMu&w@l%{Hcqz{atdSEWR_vtOL%@wVVObk|aM*u7 ztWhzViBY^$jxA|uA-Y?IvUv*rr(w`{?&)jdzmz$ud-R=N+h`H7YWQV+TMBFYgn2CS z^v3fIu7gi1ZY?^J{hW%a=rb1L$~T=ax-NV+C^cKfj%HwUBfbi`EsyU;;%LFEjK-jf zUtMKt67SL%9*n0KCg1^ZK3if21y+o`2S3u!5sx@juFL&CbD~Z5O)6#~eP6vJwwcuR z^z%OK$*Brlo#q;U9tvNXCoosArXE1QIc3S5WRJ+4nA_*ii9LV}mD)Fq!~gG(P3%5PjtE&?DH!20{|M32ple1s*mgDbi zJfPX9H)!6ioto|L)Z7^vy4QG`VdZD&*0iv0O*g1*&i@K@qEJV`T^6QBd$Z=uslk4U zepW%w``b<3xvz$CNo_b&Cs%gNn?201EJL^c)zIzf!wkvGU?*qNcQd*)xPAZInr++; z9pe4ls6~A5+x%|nwoVJ__N|-1SN50d6k1ix{8$@3dCP%z#xHYhw@=3gxuZp`NqU`R%=T@p zQ|D=hJ1j%_%&cp}y0?S%83uf3GS5nATLrXa4r{B%eK@r~jjYdpwLV_BF=lt>v&O71 zYwR`foAW9OSm_q|l$-;sZ^tlxHxn0O>Mm>KRSk=K`}fP9`^ahD zak^TE_U54Ao_Ap*wNNkAif8Jj_Kx+eRTVH?M@|XT$3e3OTmlF4_mjIRPfH%`IB37J zS+gcdKAI7&0uR<-Pwr_Z9#7!0^0@u4;O(;Y_&ULpYr)Ck{BCHWe)igcw;Ws)JifgX zo0H+gWje5$wzdaf?IraA3;n{G)52J05{tvUZl6hw@fO|6C9Z^Pw%HQ*?pqh2?yvt| zWeYV|eK>n99q?K3;bcAZc_zQX+XKAcQO|FQ7opA5a`oa|U9)f9!L^g!_78XPY<@@hOb+W?^hI21#7OvCXX{K&h){$qIJQ9!Bzgfe+ z#^>8@&&G!;>->YyG;hVLyvI1$C&`lsc1c|+Xxtm}A6$}k*+ndqT1&-~I0N839v<04 zFS<766dTZsIk#n52E6><-?L8a9f5P@ZJO~Wx>)W(uCafNdi+rJy7$PfntkIY-8=m@ zVEvlr4ZBsd8i4cc%>nBX_S3b@v4Qc;;J@J0ZOkVlWH`sbF>1X!&3xMZ-(F=nZg6$2|F_h)vUki>b5{GtNYRGdZfo~xLrJ@$ z4e%9jM!r!TW`3V;CJ%?qFJD*lYdFCC>Q0^C>Ghe!Y{jic=8>9<#az6O{Q+;seuWQG z6Pnt-0q59y+DOmG|Ls+*XPtR2Js;kYXRSw=ubK}y-!mWoH+H(1(6eH(aSDxlAG^Au zaZQK46}N-az#13}%&i^JWpGoi!S`Nce}R|OinpeLQz?8^HLI)kGd@|v^!WVWl7~z7 ziZwh}w{GN}^xml46!7-f>CUlDDrU`TJ`H&Lzd7q8<7-WRxZ^;v@Rlz2cdqaj@EP7x zbGu$@zuhePyrCVp1JjKDI5WK7aQ3a&oI7dH+!XUFcEs!p4^vO^>6p+~ml|o{X|jfM z=4$>2@{jxTUwO=)UZ;D@z_Ytqd*EPAkLcbJa#;xu&e){ex4){nr$rPD+-cxoKF^+Z zE6=iLR{*PK=;vb2aS(UsoNNI9nyC#Xd$t)`*1&J}EZ4lshPZbUo^(3v4t@0+ngi|= zxw?0dPsI+-fe0-G*B2kuT}2BI@;>kvTF5o8;7A0dO67#uzEQJw9mfAp3)w~Zb(5;~tO1-!Z)OEq`bfs>cMm!Mhxz}vc+{2v@ST}U( zj)qN6+IaAAzV*ljJom0{?_a0Y1Zm@zM>KcDKf$+7+aUUiyZk)Pq|_@~$k`mtn>-)* zKNoXu>dY5jBmQc5&8H$ir+wDm2W!w%Gs8}4FsRNz#k2{oNxm#|gYI0}8E`YVV&kaj z_U0Xpc}vSR@4Bz(-Up9r_Rx9Y=hhA0{HJ9Pw714e$6=2Xzb9w=Do%K3Jc_;|be7m% z>7zW8IH3?WKySbq7kb7{EyIL^M=y1@=9I&x6i!DHG;-e;U6x~vMu zIrMq#--;icP<=fZ3Ahn*yM)>vu)=DLAG(Z5c!s6yC`}*u|K+|K;{m7n0^NE1(F>eA z+b^`19c3>Lh0nHguHy{NzNJ~S9x2z{olA7@z%%e>3}fZ8b!6yK{?fmB4%F{9gBr zg8?sCXV_+IzzZ}Rc6hmFHFD3a=iI<@VyXhrSNaG~(`o|n;0Hg2r%%^}R(PNNE4=N8 znsfU`Y%&pIkv0aMPtB0X0d@@^nL}_N_qw~C=DEn~a|6!%GXu_T8#QNmCA5_N-{)Dk zytP}Nx96I1JGe3CK@h~Q=tj^R%I6O8O4cAVK|3gULpwIep~N}R z*X=`rBj;HvrM;o54SPE8FlM1|^1f@DLeCUz?OPbzob5a}lvp;A<>meD{6@x=HjxqS z+n`6opl6N1cRK$wS_5`)d)$t62fW4ghMik)xQ+0X$QI_*0)4F4gh3OPm>Pc~<8Gv}FdY&TeozhMlAycwD7%uq>!n(@IGjiJD*r?{ZyiJ8Ex31CX!n&|&6P zYB*P}%d$jQpSUiJzdi0P{{=F}&o%Fn-$Ga66BoY3ca3K6SVNrJ>=X<;dtq2LMe~X~ zr9Jv)4#O%m=Q1;F4TJVK7GtyH*=wQsYCa=AK}S0&_C?Xbyhd=6>rQwR^WXo^Hhp_{ zck13HZMxS2jFrsVbfg%WK%SGi$vl|ff%JU&jqc9e)O|%yn`JM^dP48^^zgUOiB0d} zZw18erDFCJZxfnLthy_?7t*{fOzyVhDc%-1p65;UjGYUIZiKD06Dk3P`6PWC}Y6usM?RH^Bs{v z4d=cO(D&g<*eBc@Gj(tAZmkAA#a+_TZU5+~ZvXIzZZ*R5&fs1l1^P@RoY@c=!(WtMgK+aw1ZXfyp_BU z&nqui{LTw5=X_l`_L#T9O<&jaI-rNhpz^+*dn4mTj{VAb=Fq_Wihu$1`(mN_?BM{i z`FgGwk5;xJ`_+K%ZV$4zyLG7pZy$*0?yx7|iNF}0)oU51Tf^Y5#7S8<&e5&y--ia& z>+Zu3_+S%XcvDQX_fgx~{&o+#Uu!ZCJE{(tuJ=^Oh(0c5xoE;->`sb54OIAT`vrSrY6>Xr4%i38h zOx=AVq+1Q(T?c!pkvQ}*A)LjNG-G^)z`I-I9FaX`FS|R?RjQiK(DctQ}<849sFC$=A4?J zGp(7LP$9|tcOrSG*X2BMYarSD<_Tx`ZX@}A#tCQW>3Z_ur^l1uJKg{NVM*$2r!SAN z&d2f1^Zv@C$&YX4j9&@o4tF0{?P>Mx)TbpMjKZPA|KGt7p78%u7=laf_`$LgYXk>F z=*E-qhdzmall`(hhz;PJY+_iljdSbNxrHco5uzi%Ouf*DVWOL3#Vrz06;4d>9ISf?p@ z9f}q`85CM!U3XOEh~Z9Y%Y@=A^p`s)aqc4?qMlaJGHZhpLuqi%(=r*?vWwWq4cM9R zO>?d_`SAXe2K9&2W0EmP3-$)dF`!xCvdSw*ytON_`;xzF81i0SA^WriyURANbDlN4 z2Rl#4SY@Ndmf=>0kM+&ZY&SP*_HAqVU=PX&4?)KXTOkwQ`i7BicoMm=r^Vb3XvE@h z82d)IUDXo61!D-!b{`pyKDHCyQ4b$ZqBkWoEK|?$LN)l+fjRwq`M~#?9mk;8viGyd z=|kJv7gBN;as2SJ3f13PTq8z{_!(j!=>JUqOKy-(D@?zY^~iR_*TdVqP(3sp8Czsp z;1(TOqa_yj8qLOL=B>aUep^01);ED$y*zW6yd>x#I(m9DFf|pd zlFJ%T7H?$zm5#e6#&dh1d(c04pvVZ=Uy_fTyDepB8POzs#!_->$!@RZI^aHq&pM%> zLxkRuw+vp~2Zp6#;L`)c;OD|HzaI>PJuqCgqsxb3EX&D~*f!4OOn{#&yG+wjXFk^| z--ct!-vP&xG#rBi;aF3T3|uu#2Ume($qw&>>va3W zZ|e4)&#?x;aY)v7UuGsp7PyQo0IweLw&0CHjfmn9GGiD$wgldMJu)FOlRalQIR9b5 z4j&BIbGH~?1^2KWVDsiIZi(Tvo4U8)d5xIJp!*KLJ9+262KF+)tLioP8f-NWG~u^v z<-GVI`GoDtR>P~>7qBb158sr%WiMD6z}}!0Blp_be^lRY2-){=jpn{(>WR^D!|PZN zO@IzaKV0{!{yTu}LFG{KDmNLn@dv}6J2TZL&&(am_+}!@Z`Q;|Vue5Jwsx7ieWYHK zSQI{IcJz*p&FC|Az-=b^`8FEvpShNJ9r=GX-~UeS z&G`Z6UVi61uDds&Pb^&Mw>$5jbZb#pxBbeqn*D>-=pRpM;?MPtJfqtSzN3pB#!h6S z0~lgMh6dgowv8*$1!&`||E!A+;LUprKT#I4(es+O{vFK@Jp;^-A``^}cH#`(yV%0c z%)5m*hm9!LLLuE4Qx@p+tPOq6|0-|=cNT9kENOTC z>$;aU1DihI3*XafvT3X8c(=7POZReCfIlB9{ZiphEcE-;=XB$IVE!t;0apSt}DzfHzYPHeT#IqO)ze`7qrdp)15`_ZF! zX?8JfUibp?Huo>u&7Qhb_x}6Gj0G5d$o;u*;t#x@{@C|f+YRel+OPUO>o!Hf!<(A` zZ>O*?Ue$$HTi2T8{yx_2k+Z@6kLl>qGkiN^)*TA3>Q!7z8}OUV>u7^# zGry_V(1uGJ*8F4W&6nx+yivOMA5(Pq5#U?(0rZRSC9Q@vgK^JqH@xx-`0UfY;0ko- zeT@5h-Fi0&oUZW46QP|WT%X;-Gq#hE1tvZ*h}hO+yz*yiHs8VFy4SDWAxho3Pr$ z>}hc1MQn=f;|pIzhlj4*M|+EqA!yUieN9vL-Egz+UHBSfJ74Mbo`F4ZvBSQuHSKSp zM=g32{XSp!Uh&wIKf@02MSL{0amOz;@3rsg_G^Cv2Z7hYH+6gNC+zbb*aDbqs6t{* zH2Z=I&8}b_GB#ry2gfdgCRJAAyQ$OcUsvk(dVEh~b<>`?5BhgEI1H?BdjneWWy70y z2Yd5V!+Y=|_SJXPKJ_}V6Nqi%Ui?FEL1)UBVXJ?ixIdkEMV)niMDu>Z=MKrq5QldC zj{6VuzgVX&-6ifNI__oU*F&b)Qmi8fg^}@0a(E!$fdl3gX!b?ez8kQ~A>WNBuZJ(+ z5qnq`R(dWn1~t|=Ct1t2I5IBh|HkhnK6qQu%||bLFI?a*@07NYA8SLt>^Md9+s+5> z9lq??({^o0*+ZryAJPu<2q~GEb`9dOYo?$Bi;QM=YR=TQ;d0--Z#I6XaL~Q46CM@A z&sE1cpLpp1u=g(TRaJN5@7~$xoP82P64`+uA{;nLh=_^KrDB-C1g9!RiuB-A{3ncVMh z?S1mnYXATHzxRLd{TM!rb@ppLe(U#MzqOXs5iU96vr4A`|3(FKX%}tx%eAySxt;i! zG1LjohsUda_*J{>+2@B?C80N!fRCX-4-YvK;F}RXv zKiD}sTs#UrL-%n`uGk?`rkp1uWy+a;QYN@@QuO6B{ARBki4Q*XhyGGq^~zp9!E#5a$MtMFLx*ks!K!2|gG0yHPkW zp1pu(G;~^K6k6OG6}`=#wgJ5sf07nAts!HWt5#ug(n_HvFh6BKc zHTd8ucDB#5N>saz7yS4g7ZLBcyDKtcgl+|gYLS`X?4mksfD~) zh*V75-ve*H-3jjK%$3&?4;s*nEql70N|Mwgb~<<+X3a}#&_VGXWQb2g{20o2fPGSw>Ne zZ_8L~Rhy9{eldCMfSx=?9MdzvRqcCvgnrZt>O! z#WQRVm!>j2nnGVuG$rdh3`JAZ&5TmohuseSGvAzlR9l>~$i#1>-&>{hs~Iho5X8X8}uD20Bn_Fo=wYzq9|k zl$W}`?yP0x@SFLi8&kvziJu8NxW3Ns1anwFm7~^yTFXAd_X15Yf8zwf)8%_(k%V72 zz%|idL=OqSumE~E?&>``bunq1J#A;EWePo@_Y57QMS{7&HK@Kxp2(FLV{{(swy7I` zuk%C+We?U9n}Z+AyG{mlU@nR#e|(I1qF2ES>aN%wW3P10-h*8k!7ug@ig8{XaZ&JHcdVhqUSlm|3}426bH`kKe=^=O z)<$tH_xUYj?%&;S%;&sW^B8i(51(F6EYT@ojLb_Q^NjJex)an5%cwQ%A;J8}?aU+H zzL#_yzU9lZhX+3~_VAm3B+e8X-AqC*KJ8cSqfR zg8swngkBxaK<%rSLKpCLHGiV?(vLc8JRQMa#agB@J$%dCb0hvq9<{#H{`TCl3E@YA z1MnkzO-^nXeIEqUKKcCSoUDnQbDotC&;SYWB!%kxzjMyz>!sfIm5hIxDwCt-xgH z;60gB^GWOw>kfqGMdv_1ZjDA}z$-TFQF?>oO=I>Tv(f(_AFm}FYkFjM^D^H1v*tWH z!sShCX`3grr9Y`Blr4tLo{Qc9jfu=g$A&kdw{}D$l{*+i#`$IZKY@m5&o~eE##!|3 zGIR^!u`fg;SM?olhw&EtN;U=1b&n8NkDfv-xf=Je$UeqgaM;r?_m`T&*L!qH;5o~{ z=bR`3PwBs%VT_9;kgtP$*@@Bcv`fKf)^bOh{tQ3a0{$|;mKRXvDx4Yz4x=xK-fm@z z47=&gG#*4_I2B9Q>;M=1Fn@oshUcWG%je{<3z)F(qr0xg9ZA*0y9?Rk^OL#da5ct=qrwhhNdBzOvTUCpR<3 z{*N7Ksawwe;a{PFedvnR9cYg!AH$y4lzi^xW6*&K-*m(xZ!ds;7qVu^m9@s^-Cf4> z$j>!l*1;_x=8gC=-($pV6yaOgq&W#o;j{Qbq>bSacAm)EgUsdPON^9G17=Oa6O^nq zkhSi3Z;M9Yy^)BF=WgiC8}FbW-ZWHj8CkfHc0-3%yqiSlWZjt>_uybP?h4-)E>t{Q zXf;{6hw(?38_W31*fVzS_^tlFS<=s1ut<;W75;@Q6KB zPu>kLX1!GML-;bhA^G8lBA@f%+n>a3aLpcKY_NQki)@lT4(wr~V;-SR&=`2hxZ!z* zZySMF^6Ew4`%?U|AH}R(`hVXd&3e_s&pJ3JaV5!L+@eKR{vqa2mhA85{M#UGlLJwi zTTDLs^%#8xPh;YHlX%PwYyF$(Xt@FSS5#meiNGs%0OLRa9g8wrn>A~P#eCB3z#M+E zV}~YuSJ4x3Mpkl=OG`HMQpN&c2@NS)qV4p7w(oLv=WEfQ-$vh?bJ9Ttw4cfGp-Uq- zkI)`nWC-u;@W|TFjzM_5OZIn42=D2`BY>mj6CEOVl|8&K{Di*whBMp$XX<+FTrvmP zX9D}n8}-Q5SK`;cH7a_teP5&Qyn4QtObm`CPn^&rzr3HhAI61s2XgkZ^$XyZdmtM5 z?stjTy9wA!{oe%k-=wc^8P3RkoH?DForZn#>z@XD$_MU|+Yd5l$hAS?ge+jVQ}4Gt$N@@UUaBqPGU_z@d)i5dZeyS_Dl~t#0)UC$Xph5$ll$_KqK?U z=mVALi-EV{#cyYc-AO&-<2Mv<$N$ehtjI2jRfrEren^bshu>xn;zK?4Xb$IA9XbUa z8Y+e%Z)YC!>H>T2#k_m*T<+nM9obBfn?cn;Uhy^m~n{5!jn{u=Qm;e&wpRBZQb zXZ%I@l)}$#(NZ&-;Caeldn&ORxw$Q%MIJ?8Jg}R!dCVOi8w`(Ooe=L+W~=AJGkq;Hm~<3d!qX?--0HIeerz9j7`((;*23REhsS+z!ZN?6THn-dWq=o0yjt23D5tz z_+Wf4pGDso6Tm+s^i~m7F^0FZhRM|FPa`?D5c-7ZHTGm#ucG_hy(8PjN5FUqe@5Tf zUx_VL)#=c7@c}#7Vdy4?u`$3L^$=Zrb`dM7cyMG!C31KnddFUo7iXLT_uZt4ezC!H z`E)~*_zc-^Pt%2OrETrShv)2Jz zc*{EW(MOn@J}Ep}V=4uG9e>LK{B5CH<`CY3H`da3)+~#>f3Jq$jkZisX3(1}O!Q678KvHrr}7PX|` zIeJFq$6FM?V{9~M2YQ1EFW)uTkFUUO2ezkW>gYx__*;O{wga8eh0YkU78AOvY8Kn1 z3w{MI>v}2>Y>)UiM4^dx=DeW4JZ!x@VsOw!(1Exwdlr1G){3Rqg@r~L;wR=zgh5VY zTP(7x26@^VmDq0Uw{1G-LEI*AvY4ZE%)$O7co!}y!#79&@DTuWO=Ows=PDCC2_bVN z_7y#Z`Htia_8bv7u(lSwaNBJ*vCdTV@(%hFHC$Y4j)G&XwHyQ9fn!@uVy8lUOI(#H zW#V&@{T}dxv5uh2T30N1rgz7^5Q~&rD=}oXDxTLUEXxp|pHpxe+q4Ea>3X=}B{`QX z!}I;=;>Yv+etO#9r~G_{vF<(DE$9HawMrjVU!~6_x+hQP$XR5aVfJ&eZoMBL`D$?J zGUnQ2x|9E7+J2sDGcjqp^@G#7vL}?Ye~sqcO8jadGe|#j?Tph|vc|54eTdW=gv|3# zskLP@e@MLYQv%mqYx)|^x{b95t2k>&zFFR!CF>4K`6e>|sL(n78*oU@b8Z5*=4p5o ze9eDYi|oEmw<-%X`@;jlnniltsjB-}*$tHfV_9!NjOa=q>&s<-iR%BuF<<7)s|}}; zYlz+FEF${e!Fg1Z_2_9{i`QHp@ zIy|_dLQ9taT*bD_JZGc>|89XvY`{=(!31WDfU!@HToGq3Vk`5=`_cD+(VF?J(>O|O zwhuBMqGQod@HtEE%T8RlCHvG#zcV_p2`Aud@4yyh&T(kRDffMJGA&BH zm>Ux==lKb|<(*_zbJSu__|y#@%&#?N${LRd@$1sB$k8g=*wa?w#ddkK*VgIG(OjXW zeq)|->j1{Y{FeHns(r%Otb%3mtoVag{u*KtI(VOaeiiXlZ9+T5K5vp(c(rE@^rH3v zGRBsP|GS&-)dYaA#4}3_;EelO7kj^+?ASug&}!mXwxf4L6HD83o#}15bLvzs>rmml zW!NC#f~?JvIUIZ%63Z=dm-d=%viF7N>>i9CZ%E93=Ep%~(=m60WSrPjx`XM8@Y7I)5Npu{Vm(16{`)>#*L- zUhC(1qu@4s2vXNG*z8qm4by44POaSASsI`&<}NI$3qEGw__F8#=tbM3kpuAfb#?gr zTHw`f&~Ss$#!0m|V8^js727^2Am^$U+VOybgMz_30pSCz8*pu7>4TaxtqhEoIa5pU zIKF4J%52(p0a03eVR{vT#;7rM)tkZqWO-Dt-w{=j=u3%!4n)87~vaDf19x#e&CJ?_s`l{ z!9YY{Jrr2S;eUQ$Jyc-b9+iEwMAuXBu4bMZc)Rxw9Z@h}-V5fzG|Z9R3g+182e@y?fd|xmfJ8A4 z2Pavhfo>NS|FZCbWHYd*E?{sZHOR-gm%@YOdd?~8Vy|xEJxWxeJIP#lVN9Kc89BC9_=Q?a;kaXdK0BSI!q2K-FqxPzQaHlvFasQE!+ja>a996F885R-To=_BosYsC|hb(yqH zu4|F^#6F@^&~CN%GqRutK4#{meEu`+^@QF>Y_+CMX3d{rHQo}H`9HhT?-TndiA{u! zq+=UoD1Sw8M-1RO}iI?TL~;3Va<|0eMY zo_-GOm>*>Bz|+f}b$<3UkTK$Y>bBMm2F{Fqh_NdnNgTq=0``e$19reKz?h8*py%&F z$8_TZdVKT}AFx;EAJJdoaX-FNtEm(mX#$?lDjC5ZUBlgYX)_uzJCNC|7v9qxvS%F* z7|hcd%&7=2I5YO*2c8UnZb1G_LD$+s`|6mxno7K5J^cqx*Z|?N;05iJI^{d7eW{!! zHr#4&hxfyebG8h#u&a_+;_DXP8xX%=d>HFH&)KsDUf?BsT=aU&6dGB7R`lA^T;ye- z-iR#j!WY~W1)i*lnh~||jXJz%;UhMROkhku%_&;s?icXmc6M4F?a0{i*xcYFdWgIm zF&kr?v7u~W^*(;(@Ob8&XLMi#N7Zv_gZ=HpK3Q)EfAQhDKlQGI%114FzeAbHKm&0=C#27@@U|vw#whyO80tFC*t+dbaef!} zpPnq;srU!Da>6JA7cYgkuPedlcT7vJUyOV_h0m`|4{zA2Im?FvqmjhR$ACBE#avx- zVLRg!U_7?Kw@fuY(r@@pGixcboEdx6xLJ&&jA5y?uL)e2F>G#zw^U*q%UbL?N98*w z0DQT&)>Z)%mscWV9%K)lKxrTj-U+X))2y|P(885!4o99VzBvLK1}~%>&YaU~WV|TP z@l$4jy9V7s_7l4+ZDAjnHr4k?a}9CJ^cx-mjkxxv>ce_;M7K@S?;7-H`-kj{0zXgI zY*o6I+B?Iu!6LV`QFoOdso2UncYb^yTcQ%TfSiRU*rVpV$B-SeC)1&GlWxBGrklSK znsfi%cinOKouRuI-xvD9g8M>ud~eBJ3% zFJ5r(-DBqMV1q*ql*S**^*G`-?S?-nGeg9o|UBokfZyKS+3kSRDiC(|u z-q3=cXZP_e-+QiIsKqCw-z9I&|NNA$Yw;^aYH_(vr~dDk@H~C*m2?}t z_Wy|Qye4kD38>_{akf)zX{nL4` zyjPvo-fy`d>i0LH-(Tp)c{hd_lh7sC-M#RRyYIN~2O%xg^HbxoHmPVnZ~PU1@vf_v zE_nR<>*H4szTx$!)`eDP+&p(z(fyZy_vSaBy8O+OZ)`pKcVFB6cmK!3&pdwHT{piu z?ctLpUmLifAp8EZ%KMkTKJ^<5pFTBf+AGs%FL-0m>YObvY&bu)aq@_Ynm=|vaY6Mj zfB(pPr+;$xgMawxH?RFtMydYNm3KuJ?>V&U{rKxse&KA3otk#G=+3%(?tJyD2e$0% zx^CnHzj`~GZgHsPCoeC#c-uek{>dHP_tZ1rtFK@1=oNkM0g6}t{rvv-wCK~>pSY*( z^?&l-hK%Th(7AoDJsU1dUGm=gH-EF&_xmjev*thaAHVtIpZ5% z{MOmdTh3d3=F8{*&@Q_6vYkKs>i7Qe-tYddzCHP)pKUn$%KRTquK)clUk{Bf{7!O8 z;}hYlH^2Yo&13%f;I^?>|LuT3UthNQzlOfI=of3gJn5fDytHr4)%U!be163bZvXf3 zH~j69Z|wT_H9u~8{pxRg?Q1{!#g!Ki{MEFCwB)Nb2!W7L}W+S?Z| zIR6i`3Toaud39Ff#wB0t^WUkf|MQLhe`l}m>gwz|*LA9^rR#9l&aQZu)}?i7=d@E= zi*{Js36Ar3&AhwrT5Q8^Ya^`~JW5eW!nUa^1WBp)d5*E%$d(mfV}|wedJ@ zly9COFQ@EtxKW{9?g%;j_w|yV# z&{smxwl?qHdl!6PE<>Y>?j0RkIPZ?T7R>)jXd#)pGcJVowIEb9zv$kgdr_XQ<(p|Y zee1eeiR44Qw2eG{Ex+ZyT<7;na$nOk zG7SFGBkAw=7xy&hQj3>PkxSB+x+zF5DbGto z$t8unR6;Ikf|sr$mlXBVRB}nvyflkk(p)dyPA+MQmmVOO6!+3{a!J)*dV*Zi1}|+R zm-M2Sc92Wj>7~8olIp#5kX+JXFC8bB)Z(R6yZVHl1%Jb4ta!DaCm5@uC;H9g` zB}Kh7m0Z#^FU=yCG}lYFlS^9Sr3c6*#l5tgTvD}{o*OkN-k-dmu8Vm zn(L+8$t5lE(gWm@;$B)#E~(l}PmoL6;H7Qkl3w)E4suC5y|kBHQoWZBl1n=5rQ_t1 zTD)|MT$1(!HwDQh<#}l+xulSnO2{Qm@X}S}lA>OkN-k-dmu8Vmn(L+8$t5lE(gWm@ z;$D(@(9in&|N8U28R$YO(T_B(kTbp4%+}-6|BBSr=TEe&*tf2|?z+za)#p9${b~O= zmuc6|y$5{Iv?ZiG`7PCJ8goE*x|-6tJKX#YUXW>@$@A{M%uoJ#eB+9e5&flTM1PA^tI0d8hmdbo zJER^k+%s91QpsA<8=EwPbtYk+sXYyen{_G% zb4~{PRkaZ}TXBF`;FnpKMl3UBCIVM-#%QsYm@-5&rVj}EelA0h^L*Pg&(4C4{OA0@_ zhjJ>ljjT8YwT(Hv!#cxo zuH@zVM)EY=WDO4MJ^J+VukDv*PNQ#4pW8QQhV+d!1nh|$HXFM8CT(+O_;hP#xgHKy z(og!3$#dv^V`h_PU$cp|CzDz4z?trq(2#b3XM-7^9vb?rek3-R4R>a=#nj%?lMWCE zzF7-zdUm)~;aBt~^h}(8LAt+x8TXfbf&0SqdeMOkySTAf+vzX8MUTT{|Cu!%S;l5~ zbb$3MKdT+C_>Fot>0fv+DEwUR3IA1j)VmIO*Ap~#|K6fJ75jg0k>=%dy?mO=m2994 zmv^^o|D(Ly(qmoW(0Q!gd`U}8VlDV`&WO-<>VjLWFX&2yboL^VwV$=BJ?p-Mta#{} zb>R*Fs;<-cLSH!-ea=VV*(mUERCAniSUYWfX>d2JSWj^>{l4%CSuYw6jnaWnDE!m6 zLg7s>jfQu{;X~g&!M?d}nIk{!TP9~0Q6}rI-1TL>=#}z6=wCi(zK=T5a_D`^`;+C9 zHjVrsu~i>sY|%$2HpfQG`gaSyBXs3dvTm2P70q#3^T%&!g7=$*1{1QDtxsL+k5U(V ze*inyriaJU7uJHy8gM7zXKhUdWra8^_n7A5#DbSjXwiEZd(+qqKYHQWQ24pGMu)e( z6bf&s9c^que%;l?dOG^=pLDOZiFW_qYyaGihyDlK|Bkf(M9cc$CN8QKxVdY4 zWsPsBR?a1I@yL^Tq9Zu|-OrTzyB@RxlMKoEmb0q*;k>S&qwnmy!1}nct685n%AoJ; z=NdCu^UmI`^VnnQ9`<)CleO{e?eQ$Id5L`;bf0xkZI`tIxMvCMk@Y{TVqd;GJzNM5 zZZc zwb%Zjc@#T9yL)@Jr@c#^XGv~Pg6E~{v6zIo?I=2~feB$zm;1zT1T@dwL7(1;+M|w)(<_M>J=k zz;>tRJaVCyYWYT&>}OzQXS#4*IZTs1>{EY!3V2TU;QDY6Tqg)zqu)ifUuyWZ`B&U@Nsv_L1w)_-8HGxl6yzn?7oaevTR${sxI zVaa+<{TuNAsKj$_4mcvx(t3-&fwl2Ee!A57~p->mt zIPnbDmYS?7?})N@o0fXuwRYb92>7217uK?G_glz-Bglf2vKNoLFE)B=0y&6H!G2u8 zpFMWaE&RYqhKrx2U3w1db93llPI$bYV+RJZ$Iv52DrjaUhR9jy*jMX{ z(cw+q{x$nzj}bfehCrmbiM5`lUem<+Rx{0*T3f%gK?@K0le!-s{cb?Mah9^aba`NW zqBvV;-;43qvaRS68#G&Mh>Xz*YW&_ls`N%F&{kC zv!z^TAnVVOv9gC5d(8NqtZL4g-H_p|Vy`!OUghhw=b!c&tl@R{0b+lnttNO%Uqv=o z`aVufgl5-W1ivWdodV7i!M5hUYWJVt;S4fgXKKmmbI$T?(ckjJfz|m^zr$WNPBuP* z0k!#zp^{O+LhYRnTr}tJ$FheCuvj@(vwm=WFfpb!#~uRTSbl3PHCekSG5MZs_BCU# z$+8?Pa8I`EVdbuy7QY7e@pF6W^GRUx7SBDHT+?u~y=s8>O_}%282D%CFMl(geLuAN z2jH{8RL*a|ntbFZvDEc1Ugs>$%1b>FC;>J($*Oh8#@q3!6j1LI=u}eg5j7U}-lpj? z9@Y=9*KE!wO_tvpvu~`PZtuSpohA!htz~>l`Q`|G)Vwhv`yzoS>`^895jy`s&Mec$ zI>FjJYXJHKxRiRvd{@B(UcNYQ+U1FvZ?IPbdt=CTNDD*DBMj)uC}R8zVvLBox4Wy2HWV#Cpoh9lE2fL2E;9^}u4rl~iy^M^LN_~Ovc3k|6Q zychgW(_jATc$!guHS_ZtTy3lLAy~eBHMJIuC zYzXilkSJjcN=`y&u>sr{dKb(H{0AMsFS;%b-m@`s=p__>sd!x|6MEIThdxEc{k8I2!N9#<#5l2U2>1MXKH1*_ddzm(TXRKEvWM0{>+q=dcCPdA zSG~pFXfI~Seo~ar`g{0Cpq_mdnxh-lvugH$YX{~#ORV5!j6J+j=pbhTGK76TL8;CCgUm)X$&LDhC zcoy`}nd0AspVUMxbKbzD?0pf44P<|YDELSJfj{RgNE?&c?ESKJLzUj!Rc_ewHZK4d8^_U!sLK9K_Cn|IyCybH`mC>hWA9gQhp|UT+$$K0lS`mwl|W zrA+x&%C+~yWAdiWlKv^)Ewarkvzv#TOSIJN#-H{pFQ2`Ke$>;xL(hXljD1;-y=$%X z@tZX>&^aI7vyc7JbSrC#W<4zX)9-}$_`2*FPl6w&%eSdB{9H*7?}lfmzWqn``s&mE zfp(sMseCJSN1XA+66u}Rh*|;XC}h= z;IBDF@o(cI_Jl(p!%lfw>KDD&$p*LY91D*`#{1%?(tQLrmH!orEP7J6&YbOFU#SuH znt{dG0K=Vg7f`=`LF(!35@#jyWB)6=mgTua8ZoBp! z5jY84Wz15Kn&2C9^5JEg_4f~V85a%Ue6PO&b`PuaoxeZxD&JDxp%3Ic=T5%s7?6?T zeC*!erQh%QUhPY$`el80rL^arKJ~`?exDfgH~7Q`fcH}G5qv5 z44vW+Ql14rO|5KZ&o+F6tC|Dyy|wOLVDbZO(=P*G(}>)Ge0uk*+Q!_ooeFOPv~R%K zF3!{oyk>oQK{1!p!S~ooz!Kofp6#4=SzK3 zf9i?mQ_zhe^*YPgACq}0qYhfW^*VIxH}uH+Quhti{XwVnTh;qC^-_n>s+3QE4`4S0 z?046WgGc8&em%?8cizgP?A-9^^ZdwAIXjQ*vfOT&JWj4_(KTGz%zj*1Ct9Wz!4Ht} z$k?I&>xIuLK3chFhwywQuaKRtzRS5Mz4)B){nVbOuNcT`+L2+;Zu~xcowI6c;R(y} zyB}viA<>x|@wXmiZzK3+ukTYko3fQ0@NsU@jOsUlsp99Uch_DiJlx4%(#^k9H$QnL zeUb6;csOn8&%@vSQ$HRaz04lrAKIIL1G}@473k$RhhDR&Pvnw4z1U>`Cfym})(8G{ z*|&}N({@##E2r2e>AAh0%yGCn4C-aK%xKurS9WMc_HaKmSL?~;ecQX+ee-tH86b6eaC&55mwoV;pVICJ`nG%a zZ~L`-=LqCez~TEoZGPjIUYp@f-EH2{T~@cPHLc-=zHQ!lzC8%p-`(cipY?lQfG+rA z;$rmZs3nR{M$AN7geWH{yQ;f{_Z`^JSs@ArAn%3Pt@zm|9PT&ohh7urGp&w{71f3=Pd zTPysJ>)v!D*S+cHBy_X$9z*R-h8`1Kf$oOxXkyx@j}W^UH|A=D~Mdk8K_yS_+}vTsW9d<@Np!&>k-{3_(^=3DEn*f zd#DdiR97!W|9r0pXZF$8SdYzDU40+Vl`ZV*r~4`r=$7{E7Z)1n^1^={AM)W>O;^E7 zl-(~nn!+9BBS`CLJ+zj(rr~mDPivQa?=-Z>lCAsk#jlIn6RW4HdrPyT$$=lhvjf?Q z!dk_v>^(;{XX!94wLCC^IzOo&S2cs1pQ;}t2jT_N&WQOtd;@Ev%$>xn70Bu7=#uQ? zY0nB#p76^t_!xG$e6E{E9O?1*>~E5?hFeok;ajkZ=u=L?0@Xb zv#P7Vg?;f#VjMiS5Wi3+J`?Id&YwjFijJdORm|xyfPas;ArR5p2O?krTwc!UxMEM3;hc{=HxJIfaou|4Zz>< z^g7->v@3z$pzOQDBX^}cdJ=Ol6ecLsA(>At)5-t?v+dSx%0 zBkdzeY{BopwGLmwOZZ!B@wdK(zx8AexOGCxQF@x!N7{L;ciHHx?>gn|T^p_5%h>dzHQv{k*6Y8N8svWlJk62ub%L?;gm1|hQ|3P_eH8cs zlg3Zj0O+>((_A0WU(#&_-jAB>Z~vsO_M8UJVc*H@jlR{{)_1WVlyUT74L=6>K9K#vYwi@@ zE;fkRW!8WxI~cQnPV;(u`r0UChb`vGd9i2TdHULEqxsUiO5 z(nf)8nvVP6ble=?cRc6(SKof-4Nv9!%X`xuw(0V|@6?~q_&wD3oslb)&WlYEE-AzB z!(0&l@9^l$(1npBKKzO+kY8s8METBeve?gf6*6oEbfHDj12!OI(f5&0PDQ46qJG-N zsg;>yC4Rt>x$I9oufB^o5MyKRrcNvKeClfLO66poN$kniQp0|GCKbH(to?ZA^9V3UzRHl+mMq-}DoKUn%|u_B=&T-pe=?(1y?O zM^9{+YL{bwA3pR)rSl>~Bo0jW-ClfnB;|dIEcp~)i!DCt!|UId@ujQ}M28aDD>4{b z{6O)exS8Lde__Yf_Z_47gZMX&_u%V3c!%hx$V~BPp!@gN*X>=%ox>v^r+#eG-gbxR zN3k9|BdtHB?Tm4r{xlxF>F~4%6ZlV4QGc=6spvO8d)B(Elx{P?e7V2fZT;{}hwOXr zWRt{Z7N5f5IUS1+<9|r|4?@D@<~*W&9--C#6LY7HS3ZsF+mwGyXsUm?w87_O`?cf` zZ&5xDx7-#HxVEDSoi}kM{A42e#EBilFJoWI%)9Tw*=H>ES$pi2= zEf3(0k9%cL=>I*uW(EDpW!~zFG+a`%*9~{!QuQ)*e<<`Wg$lC>zOs^Jq?DGV@ZEzl>SLQ40QA#0ARG*$(-p#-4U+q`l37%V%&#}*aJ9^F=T4YsI)V?9ECm;E}hTo>$UYYrA zXT@puHD2E#<=InL==fXxO6Q%m;!|v)lI}WO9=YG+k)91wt=)*9Y@vKFFq1NcPo{2I z;n_k}y=GP$%l6x6+0e1g=i7O6iy2-@o<6 zjp)_b$6F}BmwkEYWf$k_e$MxG{oem7_U)#g_TBV`lRa1Zx~7j_8}#(rX-_m*nL(aE zc7ShOzM3br`q*#!;Q#YN(<3=E#-kaoyJ_a|oJ0NJb!lzRulnBy2m09*(aRPe&i&7{ z-USc*wdhnL>m3suSu~dUdgdPrkFbC5gn;k}nWIeYsxb`aWMtkZ%$!Y_`4^+t+zdKG zo_(V+k#+=9kG5u!SPB<2SXl>SPc*)_@!Yt}F~j!*yNuJHI6{A6_?T>O$5 z9{a2r9#>|M<0$8|WvMgneBJX)p;~yNCJ#x$a8uCjZs3fP36rOz)7z)oRqDkg9U zhR2e|3C!}ws>cfm}hHl2sM28>H$ zros3b7uTA|hXD0v*w<{Bnh3`NiP3rhxce0>(r}i#q@MJceWL8>w^bQKiA@o^`&@x$ zKb3tA`0q#GHRSApWaaNPdoMiT;S02lkNrny>glCj$*MbJGA{`4_;=u+AvnaCfFEVV z_SNdifXFP}2TYM!u?+j@c5K0Y<<2AMS1mV{GX~7})pywwiT`=$zkub@E~i82`=k$D z;+NEq@2FO{qa9o6Z=NzB7vJO3NXTZ~QSGs4i* zr_2G{)n|nl0S92S)*P?iW$xC6<4v0s|LZmP?ADL&)?L5H7e8wbI#j>#FV!z|zmd#e z>h?2dixZQgboj=V$D-59 zypQOLz2;D5K1gh|9^Lb?eT(ZyBG14(!71R7Y_8Ec!)O|FN)r>~xaXT2=*E@D&9C&v z5$wnJT=_Z$o$jW$`nGM_WVdbWUy!y5o~PRCkjkn7JdT1))vY6< zqh-!7q>RMwt?BE3>!l}{=r6=?EKztTcrLgG3{N-}7b?FsGEF}b-KkKC{8xsV zbA|t$Wv&t2$wTR=&F!N@+(AsyWMk*2(@QUUmARbHi`fX(M<^e>jUlVSneMoam`~ax zJSB_{Bm9NF?s5jAv(+Cu_}RJ&K55ZarcL9#j9$8m_SSd6!T*K2O8j!;f0eFMU;V&e zr>oSx%sAr9xv5R)2)S!GoU@adf?cw*ahTAx#MsI_y8T|W79r+R*1%ySqMOK?AL2LG zG_v-f7Ws1o9|kd#h0nqd%AnVn#FG$TQidPAnOKc=dLNpsKLnp)y@OicapL%6{T?>Zi>YT&CW8a)!_jr=wBM;+SzJ>3G*fyyby$yU2oFMk|M1Af)@lV;anG2g4LspnR73(*1m)HJQS(`J( zC@h;|T%=DC-&Ue9HifoKT_o|r)GOy5o#4Ffl;%I@_&A$Y&ZF6?Vs=$5H+nX0A#Pjr zRoW}MiLtfHur)KsD4-tVUL~$o&hoM20bionCwV~5YU5m-piw*#z9Dly*q4Ew^nDj) z1cI~+eX2~_(;Y|cv{OcT03SJHv(^ksS=J)TrjGECqpA+h+d5;ln9-QEj3<(uP!C;FQ%q#-%l4trJDko}a7sq@LmIt`0MpT4}ahi)6fya$jJ8 zZOvG+&Q@^iWTMDt*pJFs`G9YmTc1`vSn3Ox_?Qc#oy%&__3-P3_RBY|qKC;@lzq!R z)M9Lv*!ik_&U^G3Tc;35*P`1u9uHWYt!fAS8Ic5iEqpDQ*j6=E{C$xjO+hck&Sbwktd$$HQ**Ztp@{>pdY z#K&P-*YZ<+csMi)oI8)TEGsDs9eDI=_|Rqeo4$#kYaafMduU^sPMp2s|IWj}M(8mU zS}ME_JZbH+uOY@?)=BuDgs%2VC`8gzE5~sVNBM|$od)G z7+a<%#?lUS*Tlu($T)CRchBESjJb|A8WW*i=0P8=@`a!C$voMa#F!OBBkNZ3?sZyH z;_n|fGl*%2NAmudmHBplm7emQK1FQFDdq2NIn~P1ss%8Mh6@QLZi36Ggk29}MXB)W8r&Vj+|tI#X-b;8PM` zl-)KoF=H3~DJR~IciSg3zW^>5Po#f7gEgO?&9IB#ZP=x>rGFVC+VYI8nOXvzwS&xA z1?oAclvtp^T;jGGiLdbKr5(htn;j1(J81I|&Q0_1S@1u+fpH|(UeQ3M(17rb=mNoi zp>4)eXDr>dXR-#3wP?Ugtv%yRcRiJpc`mUD9(rxIHy zfmf}XJ+)fK;S6V#YN?y68Q+O+zbm12i9MxmSu2t(-xN*VxZzx4<}O9I!n36v()PdD zmaV&u)D4H5ghq`bpC3B;K)&zYcf~_!Cp<0I8xGdGQayE>`C+(Z6E>5aqn4?q%FQOr z1eVL2p-Es_-V879;lJR~hv~AVT!F3hP2eeT)s5#B`FM*1n~XVh1r>f2=$zK&g-0ZvlhbCkD<^0rXk3u`EE3_MTK z!)LZT-9Os@s=~uhX`76V=sDqWr-kq7@I6H<@I7?~D}1keeADB?SPm8*o;5 zqU0}akUG=rtkjvu#`DMlkI%th@#9esIG}i3rHLOgbG+ya!jlcgN%7=^=s#=$Z~4jt z_NsLOk&kjeHPq~^F^REc4(k(RFL3QW{vM9Fc(DGb!e77*WRQ>bhdxE$-8kM0ercL8 z3W)>HW?VB>Tz|XLZ;0>{ za{0(FkM9eboX%>9wU>)j#Xn51JBY=M^bC`qpzFYTH zauuE^IDTTnw9!V%E@V`Bm$U3RXJZo6UZFFuI2qnnK^*>`E<3P$Sa>vZKo1P1+y;$v zg@Z=8yvrz=gq^i0nkYe5SCqY$tXibru^-(w$OsdQu;q4W$&O!8&@-0J*V$s`3g{&tVT>#HL zC3x5_IH=k=et&1WovF_DR;PhjANJ+2Z*RzP8tCKlwT4w$X;?$AV!e}}I40)2gUh>Y z-@!q$Cf!;J%-ZW1?@G?DKGU)^ERK{1Q|9%-GiQTmGf*D3pIcpEMMia$#lvl-l z;8;wbH|^0Z#v`#M#u`50y6KpfI^Oul#OBxtrT4dt{57=wNBXSW_sJT8sNbI75O5mm z=zDv>s%%2HzDj7jMe0)TF5ly~D!2RH`-eUZ|MVC#fuzQcdb{Dg{-}(%HKRBx;~ZIA z$N8XJ7~9=42E;8y2itcwXifutT3%^dl}k;x%=Pc{>=yUg7~NXlz_UHxvqQ~RFn+Za zIE>$CWOHv^LstJD*eL$nU(WWH!Z7uv8aO+{WeS3SgwbN~@Yts>%E$xl>YVXs9 z9~&35W-V`kv^N9%>|;C7-fp|WYqP9FRcH4)fl1J+@T1Lo>GG~F)@`VG_;7U-ana~{ zmBp?Nn{yz;XoR+x7Kr_d9bF*j(Q0BtCKs4`DiAm)YZJrO+xvW%8gk&AqRBvoZmD{T zkcB(h&q&^FSL;BX$|hMKs$;+T5>f{?fHfl!lk)88TrVnc%WUNSQf$^^*sogPoLk2B zK4qlx-1-DxN^^8Ad?9Is4nM3wzreo~WV~`ZyNpK0psJaDl2|h|)XWI4H#<{nIpZ}j z8Qyk8HyW`qs>Z-GinZ{_rcODdl`@sy4n1Zh4vx``w@od%v`$Y}4pF+hb1S2%x-;-!i=_3|C4vM9rlvZS0TZaJK- zP8oAJQ&rl6oq-?8x<&a#6o2D8cdw(FMS?3I3+_6N9q<5bUcu$iD0Irp^rYDm4Oh2m z3EnHMqU|PUvST}jS9AUf6eeG%iC$=udO zpK52P>y!TQ`z-zTId!aWBi5IG`(zAMzsl3&Ffv8FZZI*kP?z`Qx$QI2DZw-NJ37^$ z96xKg{qmdk-ojYi63ei&U-miXv%qT}ycrw6!NoW1Ed05(3x z)|V*6x2)@ag(s@ak-tl2`A=E?!}w9)@8P|aou{SZQit+`bx=R@9K8emk#=cP zC--{vUhY4DeF8yUWkKeT(u7T7Gy>pj>zSE%+SQ5etAV*66lD;l)$< zdWVB6fk0R4SIi-_qTfl};=y&;RPtS+;$1Ghn!7C7xrqd}e@3L3= z6i;=>V0}&MDPJ0TWF46F zWs{zKpJ(npc$KCZ4e(j`uk0}=d%igZm5L9B*K>|Eyf9V0ofx|n_(3uQ3EE_35|<24 zsJXHCOLfNQxm12;r?ZZFu-Ta>^I1R6)U8|4cS5y)vG3Mt_B$X}Hr>`#{g|`RPn(jd z-uKApb7C_Plf)itepM#-J`h|XXKhZkTKAsX-`4H~CW>BgGBFeQdAv>V2iiiH9Hr>V ze%j17W-b6nfO7$IN7}o~%yI(IY(UEr9_>`kM|Q-4xvB6p{0zL~sdjjW@DrbIXYUFa zjq|lsHtTklnJucn6~MN_#S;ts`ir=-=2)kEi~QH*TYO^Y7_%&F6?ksG(D54kh85J! zJG4*sGZ6Yt-E69TIRx(D(kJc44zor2q|PDFY-xAPYwd!6FHiZ1Wc%Bd3X&Qoja&aiNwyjgXk#dB8D<2;RL?#;N>yeH|4S&ARE^lNm zu8_GZaH)Kk8iRy#%2^4mxHbI4W=k#-g)4UBx`=p|ikQ$Ib=D z(+qo9+lW%^K+P=0d#iyVZmq(oj zzj6=Cd$c2!if6I+nTt=UVQufEqM;P^D&O4+V%Y?K5>uRpVQSBqw$!&X{}?_`d>ZRN zu-9Z}C$@qEjf|7vi-|r1uaGfKRhvgsH-ws1I|6N9d-pZS*zoL(l$p9A^9-$+|8Z$1i&9%&^!e7eH>x;ipWU5B&{K);n^TV6KaZ`^A&dXRp z2MX6^9F$*ie4eZK`#$wiy<_lY_L|q=8|>_7S>w%KF)ed88v6_B19H8>$DSmoqfXX!2K?gL zh;LKWGT%q%W35Fuo;G*d*I$w847i^4;S=%gobGb6f2v!nz-Nwf_!f3N{wJWB6I&LuB_#; z9f_H*`;?D}c1FJUF+Tj~{oq|qD*uYpPC)T(@gx7md6vYunCx#xU%_+AP;)Yc=yWFd zNShq-=jgft?mTZ1ca%E1=M=wN4fK5UNPNufK@0AxIgcmX;88`;oA6QQYkVE>rv=z2 z$WZhQ!;@`dmxNbi(?7AbQ`t|vBQ{$4Ir@7>>Zz@rR#lNMx=1&i;kk*%Ub;AXLzp_1 zzF6h|#Je?hT@Qvi_*OmF^$y0{H2$_oPqzDI6;u|*3cofng~jI) z$M;S0drY30JTtA^Sg#BZQ2X48orr9)2c5}-eqUs*V@cw+i}d8O+pr0yTr99W(Q?!8 z>>U62np>cYib?yGU##V(z4+y?ly}qZNS>LgJ5N#eJFIVCb7q|T-eZl#(qEovEM)%R z47$in;sK@1+y=3Yys{41+5Vtc*T1N?i7&9_u@_{XBzZ+Od%M9Gv7aonP-h;eFD%jP zW$ct)Bd|PQ*+@ZTeGpwd2rdMf(=p-MCU!RQdb-K{T)-Gt765Mo;6GSOe< zyW9_qna^e6(WyYv9sQnn44A$Ja&jpaZKVK z0=my0WMjkqHSRrL?1_ z?XQ;^3&h(>>K8$+E85f5-gd=aq}y$6;^e|rq6Y!3H4zZ1wH9}j*llZ*E+o{oCAdfs zElE5;@S0#DBOxTe_vbk?xuIRZyZie7kynzLbDqoR`8=QdrNU>e#@_wYH+-RoPWh}F z?zc(oBOzy>Nl>FHN1h2O;N)(zBee215_0 z``pP7p0R4Kp!P-uH9s!!i(ZnV1);hYbnue{4bAAgl?y$)gvwv#*&616*Tt*%srv{{ z9XD8SY;hAX^@9f$5*reUmx!GeeRTr0t$LO;Lt|a5X09G<;~XlXLn%0IJS;r5)5D+K zDsu4N^zdixb~i1s9~i!D1*3j-ULAF(9PB_s2Q0HGU>uM;&$_w49*r{fcuk9D35`N0 zw(cT^W$Nu`7^B;GwQvZ(!>74p=aUcjl}u{?oIaGC?{RW|_Qe)oIHX&;6HRi}>Rxh8 z6+ zlicx)q%KT6>jlKt5_elPj+j$oY-fI%_~~2u|4w3WD~QLvj~v-Yp%YJ=q7!@eeD0*s zgi2__L}W|y+Q5rX$J&PXXXlt{B{xC~0#_z!!P**RiaY~aVDMau9)$Z!^>`n)fXRJn z-Z|}AZ>I(F?2T9Io-bq11JDNKrV(%gCeZl|?rsKmH-o!NEO1jgcQ>88o6g-$=kBI) zchk7LY0&(%*vFepOV=|MeMH~s?#Mq(Oh_+y3f^#sR$Mp&Z{&XE_u19nnz-9K&!hhn z)1}@;|NqLPhH*ouZr`6tEIhh+wjQwWJ#+Dxy9Xa#@XNSaA2AknqCXQms5Pc5n0Vv# zy5|PowamYDZgt1qN3KkGumFeCSTi(+^|UJ*=#6tIUIPu}UN{@D*+ARQqJiLo*fz$} zK#{j3-a-7%p+a;xQ-_vB4SRghXP5WR9K9dl(6gXEZayB7cpak{c&w@9o>Ld;iNii? z#bIQHq&z$26tu0v=mhTD+~saDIv&`6_oR7!Al-SkMc`eclqdn-ziB%ceGx#@MyH#*4h+ zz}I;m8##6Ekn`nEi(G-NJ`y&~sIyq)jiXA2m3!_^z3ogW@5jJ^wX_u{`G@GH4TGU` zb-$JUam}6bIDR(9mAQi#1XA#cAA!eXH*hwhE4|C9=od@{;Wn_Yyxw2McfuGfd89Heu`F+~iD@4A>GOb|9(aSMN+pps{uCsQa&UrTj(%IKa;gWG}6x z8lCLCooC~{$Wb4N_xZoIlJ-z&pU*;mcFZX6cj`kp%$+e79l?$f^v?7JGuV)n_d?@! zElll8JF7S7m`Ajbh5Za0U2MN+NAh&%HhA^P0l7<|3E;mvv#9X)S4B>Ren}jHXTL{h zz<8-H9&%`0=d=k~rY^12f|0{;cixO2q#_yzwg=;T=0=PX+A zAux$e0*ChzE5FeN~C(oiyygSTO+W$)YpUBLev8S;4JvEQO;VQ8|7_sRAzmrD1 zbiueuPMRjXWGeiHT9wqdlp2-=7v#ftM%S>EnwL_?lDe1hoAX06_8Os?P3SSV;vXM3 zDJ1^3!oBD?ox~UDN`JAqXLfmj*wJ}@(Zk{!knJ{c9$QY57gTnAs361dr0YQkI!S#B z(Q7(98lJz3_;4+JNj-oOfjtJ{S9{ zqPh9y@bn0G2OfERBKN^m@=Gvk#`b$>GK2#gTfn2vw9pK2AcaeZ7-!-{9X%5N+eB~< zU2;+r&m7lEz5?IOzG|Pf`tOvk1l>rtkkPE{ChS<)jFR$Hs7}rsJMYGAgLYbQLJCLR zVDF+BW4Q;+8=-%u7042Lxt6@13GUU@LRs9I=00qGRi1JDBIoH7vC(k{){KJ&m{R}F zp?Xc)ho|^*U5;E7a3gk<^(&_QumA6MQG@C!hk4Dp--8Bl z-s%i^b~3qDJ!>nB>zXE$S2@MWil$rsPIAC*&2$3b2;(vDarCUomeBEPY9D-#RrF0o$r@;Z!7xEpK+6(xM zjxz2Bo~h?vLgN;~6aD;+E!FlNxkhsHEwjV7+4t!9kkL8b{ewBxG4C8N^uv-G9n=R< z^=znJj(kkr4$dq)irog>$-XsHU?+0X&>3MXRrYY?BhQC>7cv<#{sYJeF9$py?ml?+ z2p_KV{bJ1$A8vWT^Wo-g9W{oVcNcn~)CB;~N6|#l0g3|uj=Zwao5%<19EHqNv`b6ys?lh~V+^!Ay$?Ti%!Mv6`g4Qc-a^4;XH z`5^k$1kT7bLRyRFUcc_JHBV~o!1t~@84Z7b3qFb_^fBz^>BV|DYa4S$H2Y@k5oQ@@ z(nTGJ=gyOC)k0HvfN;e#Cu~Czqa=Wu~PVs*1)?7^&GO+fPCigoO^;b znt7@o)aG3HKDHf;7*%Xs&>iS%K`pYV;*r=F@ALTNw9bi&PflguicjwOHTv7MRCmB6h={On%s;*VOhxC*{Rjblsq zY8MNgiC1nQSJYpY(m|wVbzJIZpx+IzzeKIyeZ37Ifr=BvhoBj5UgAB0ixbS#+?~De z0`KE7@dZwU_CUAn)(vlqEOkfE+P4J$*t=d&o$cne=vACA@4RlH|1=asV`~yiC4LII z^L5~4Z1#1I7aAw@P40qyQ_oD9mwJrj9kXJ*z+LDaV>~VNEk$cSOeP`TmpQu9LKZpK zHEKS|ZPSov>GJ?jN48P*x?*dxlnziBRlPt&Mv~ex!t)(sFP+Rsv6~$CSsCP9`wj3( zWgsy{jBi=WTS1wl%ls>*BJ=+5^q6{VmN>vQC9z1a4TKKbyan z4B87D(KqnBLs#wBTENHPptEQ`|6?d& zrxvdMlcG(rMZr)U-V@48q;%D&-59|CgbczsLbp@$rpTK1g#A8u{b}sg;O2+yFJ39O z8uXBwKaIC;q{da=iFad*Z^bX%nPycoCcQ*Nzb_HE)3bze6WDFkXL2^c4p|y_2P?A9 zhCvH5FXzGBau1EgslBq#tXWDHw$`lil}zCoB~xm;b<-65f4{p>$(G22Ru%QSTkG&; zp+m|4)XuXbJw@HEOa9_sS>LbD6Mmoi4*vb(u&gL@G4FS@uONPu95dokz#Y#%w`;HX zDjEujLoR6iB>a9UxcQWob?12Ze)GxDj0$@+@mS;!H08vrejbrNKlaVrgR!D0az#ZRwx98_>x3Q^L;H%rpB?D0i2>rS zxQBcnr2ekJoOlL#CY0YYAkWD&&=OxLPo85f!6xg2&Mmg+(Y$!zoG7|8Ys7X~9heoO z?*jPTYwyPI%s$xP0r-PgE1LD759g_UEUw72Gmg%dv$ui}0rXzudd?3YcGzrD_8e=G ziES1*nUCg)940YJ?!(k7g+3{t54IEw_#iK@^3GQNUhulIjY6xP%7o-bJtMR*<@HF%H? zJkbpnkRKs>0+FqU3cO=N6BhpBU$^r@Ps>h z7OVyD<^JTx$PpN6+@nY zIrB*-z89&z%U!_^>Mrm7^GDXna)NylAIkbpbJrE!!1t79-_w#N_Ctxa1Xl))5p=~! z(iJZbbL%i&2|}-gX2?Ci-lIL6f(pu{E4!tw{j2im` zpK04A&wFu45(}7&J#rGoDlZVZ6yMz?%t1~7K4@qh{s3f~F|lckq2gfRBf{G~*|ulN zJF)2%$X4i<$fw;}B-6{`3;0V)HRuqzS5F9>!$N*P5R)3U?ACRLxzR ztJV`HM$+9!EVSg~6%wyVZC^WW!X#rl-xG6beSa5gejq~6ok(crdFYMj>Go^p=a2#N z?T&4;on=+%cjz|LuRsqWUVbZg@Ve`O7x@X3wh<@SfLvBX-0CyvDEqiuZzx?TM1F^} zxF{k%m-qwZqkg?1FP=Ce@z6f!fpf6SG!qkc1iYIsJPBF%9O8+*|H+RYAofhNtV^}9 z@eS_0p=gJd!#zzqIZNd+V}p^Jo^t;2AT@3;KQPPvLvSE$9_Bns)p-cqRZN=X))e(( zgKkkdq}~~5*yS5p>nX-p_gvZkxsQJ{HyHn=+`VLszg7T!M~3MkMy2kk!T3Te-Sstx zQm}TXOn5``f=9x_{ZYfalEW68Iajkzzc6Tj{ine8rx6Q1!oE5&KK4YEoG9{@$i)q3 zd=3BHLSmm27ejWCuM%2(WgoN&deqkk?2*^mCpzjfdEUtchl3|)*k7G@p|!eVYHWHI zeTx4LI`muK<-43GJ}tRW%mwZo{cL#URnfTF$M^l3)Bi7;eNWaLnJ2#Lr%}$8d!RU4Xi6Y8ZzJ_}7%SuCXTyQ-N8=gu$S)>-q41w|=S^xt2E4gL zo4%skzQRic=j``xyTlOuG_S(OQ8OqqPWbi&xWhdUmT6(`kjlL&Y{BQ)dui;6tDtMd zF>TYQ+TUy7%$^25O&_!GYM2~)>gg%mr^!~=1oeL0zmB=F)pVuq-3iHAov+TE*n`wv z<-B$|iyr`wxo6)=OyIX}e={-7scs24RSf}W{iT}Rv)DFlZgS6-6UV{57JResI>P5Q z=r;HYx3=IbyaN0J_X}C~mTF?cYM@U`kQEs7t^_hTIYQq|Om*6zSJjE2Rn--gyUa8H zZsklRF7^xHV3pKx%@ZC8&D*Q!$r0JpGefwm_BQiDXrt^8-nw;y9@@gsrW3#n*ntO9 zBbWV_B=`H0wf|zy9h!q29X7XckJtmxrE=k*?-zT|=C_W5VR9Y|I1bNqm>f4~e8EW% z=d|)U-n&a)bciv=u3~^QhQN;b-nMfJ$EEPx>3aeG`B20@`VcVh(cH@uhZWvx5n_%+ zM#|r90eeeeuV7R6bgVcAKJ*USwYN`kmO=ZB*r3Gos{7PJUMhT9YN8A6aE~>-;8q7- z2q&O5$O-b9{B7l2BX$nxAGO1K@_*y3ls+8oFB;G@=jG|#IiX_>;NLdJdV^=`+<4Vj z^mt%<#Cc$zZXKM5O(=!yT2ye|opQ2Oa-n#3uR7aX5^2ujUF;v;A!qCD|24il2O6H{ zwBi4+t}(5uWu~{c_RZ>U7IQaiyt~JmnypD!>h5^6Szs2=;J$Hx$TeoYb*-VF-}y1t z-z@il|Mw`KzKK3b^s`X&e3iKub1#|4k$Kb_^7rxGb+&v*Y>HLg#u{3ZYpDCFvwHPl zeC0#Xmmfxx``PKhpVYZDuJvdXcUkBZ=eb*r^)=$^$l-7p%aO6vJavDcbzG*hzXfTez>=iKQfd@itNEXxd6LM06iAEdoTLW1BIOTHgY<# zX9h*a3_#1k;TilExft0O`S9#m_h248KB9G(mD4wHi(l*{#7^~D>fW>yPY({CM}B|s z27H)B&}L#Z1ITV=<=4150qRRU0BxEscyvnnX1C4r);p<~^&rz?V+_?J7uPqay|9k~ zktwO4pqCgrzTFDecmeVhGL^`ig;A9YF7lGtaINLsku+pjV}eQ*?YT2 zpHlLhOg&!H;)_>TYu2G4@p`xMc?+?`{LTEK*~bQ~eL>y*!^VEwM}A&0GD_CLoCAOA$MZZym3>5ey z&+k&aTHw`PR(q$=l-RaE`mK>LDG*tv7``_bc^LcJ2dssAk&v2XB@$;@U5yVD9vvvl zWcm1jgMk z34RZrzi5Jo5^G7#E2*`RA-O-u_%o3AXFj0DwfC2%I~nVMSq3(0V)2^&?6+RQ$*B&W z;oVMwr@ZgpUy03pCH+xX;?Mo85npjdG@gF39-BY*ow`Gkv*Eq7LSnvj@O4z4kDLXz zLtxJyl4oK0x3HgDp&@;;4}PCG8)h9@9?Tl2l$e8q;blkI2V?NO#I#AyB!BBApa`R!R*<^hn-22mhUyW6zi#zHk>U=5ug*3rPa5ageQbQ$nA+|};Ex}iXr{J;F5D=Z&zWOt| zRf8M>t~&I@6?~PR53y~P^n;#DAL0x3I5JYaintHz_Hy?oTLyIETxejLr8}mf**~y! zvFAx`Ecfk6gH{?bhEp%poO`iVKX9QI&e^TUtDdJ9^lt1(3w0|OS#99tgp#1HP z;HM^G#I{@}edu{^z7{^Ulg~<^S<5sB<4?HDrQgr%hB~9O?oaC8I0oy! z2cHHxZpJpB7W)tBZ82EvUzPn`Lk8gLQF# z;A(%y;+^o?2O?JP?+2`(>>0Fj7w}o#8)xH7>p2QvB4uAy z_#(7T_(BRt1Yf`*C9i=eibsGm=zUq%!orBqQD6~_ZCOEI3eIU6K2`aw7XG+!Ld4GS z`;Eu^JVXB;;hlW%RwBQN?+==%WRsq{m+iFl;1JE`y$_<>LQCDr{=SiP>cjYj=SPL! zRS8|K+atM9)RR#*5#jSP7BK1+*+kX5G;0)15#OAFPV7MUd2hAw8Q^vt9$cIpYgcH? z<9^wTjK>(q72JTA@dS5lTa|*JbHk!Yc;yx3w*${43{}k=_=A-`S=}><@r^w;k9Zg0 zd7xP3;&}X^cu?%q#33iRXL(lIJl$S6$8c8MK;9$w$h?I6MqOg=S?DQ~xaO__XT=`} z!fBt@jctA%{-#^sJ)v6@i?wid3qD&T!+|cwtNtP4r12hm6B)r-4qs=C@TA+3mj##T zhqVp;dlB+cM+-hE;>S(y=r_SZbA80AX&6xU9eF41efEDqXsfEcH`d1@0z5?=`$KnoFl&@5-%&X zCSKDJfv!Y{=t=KHMN>TZw+{&26C0fS&FlN(Rrf@ks%Mcaz=@Zq!&^1YK70>!Xu1}z zaakL9a`YZ0&sZC$Yw@+YdOT+6_CR|;)@m(>)>W}SS=%C!LUSrk<9 z!sIHc+GgRqSj*97@Zf;t@J8LEFB9uBFc{BGM6JZ0L79^raO7UjjXDK#c4B7&{}i7L z&DbS$SnzF#SE_hlWNXI{UBKQ19=+ktPbW86>3+w!Bfx%bBd`}dR^trIVaW4i#ycAa zwL%XEYqQV(t{{}e&&&q1=O^)#4O)ZQ*f0FlzJ9wpH>nvYIHq*fm*+)^bI|SG@*I5d z<%S4!3mA~!9qd5n<(!cP=x^8GU~1*@Hh4nveMOI;IkCckqCb`&KE19`Q}zaEx$*c; z?0X)K7r9gNSVbOS??*USVllQw#E#|=3uUd`IcT?TBX{7sL3`=k0P?^fGKoJP+!hJ9 zwV|gxu7$tx2KsR2V2RoD#qjNK5F@$`8_Z6hv2`xAWIy>_g7*<<2{j6YD` zlzphUjUjvXc6i{{2ypvic=0RBwxq_o3ptqe#90UH)5GUHPR|_fHMRHTZ*QI>3bsNs zfQzb8sAL|Iu_U*z06X$X+cGr@MIQ2GcggErTZcTJ=aBbW0=%st@iFTcD?KoL*LuzA zOZ-G=VEDG9+{Y&PTn4bJP%;+uyUoDwAaXA_m1PuF2Pdxhqc`7kkkDt9`i)URvw>G2C+EA$MO~!OncWSzRD`(HzcRK4962G#A`5qKL zcZ!=_^7}Atg@*HueA0vM-Um~+7_QPsTf!jFY{inUt|Hr4^`wQMYCl+ZH;XJFgl*UA-~g;(yRz6$p&R1n0j z@QhXx?D>hW_p=N*l*j8#Cr}@LZyWkz1E%pdIFX)SHZ93oBT5&$`H|1IRPTqIY zh%4DYKiXjCm5WSA+(cve2k?1n&NP@EUyR=_^2)wZHW@1b+(w*}T4xduEWu55Ug7JZ zt@^a?rMXXut&qI@(PJdfIJT{6n#i?suAu^aMvNopn!*8o_c}SmpVKR&#L&v6o}G0s zbA0Kq(Bi#m;6{PNT@k$oT1+p5zX@8-&+J?IoS$iXb?m~QS21Me z=xGH_l7E$<;GM&`%qO+voScf$bIN>$tRp$6syi&Yl-$#gJa73WA2@GPCXzd?{IbBV zJ5u{2JAw{d><O^)CG zEdD?24ELL9B0n`$|Kum+G9}{6pasZGk~1xQR_w3#BP~Jk58`u!z8yu@pQmIkD>z>p z`@CCj4#rxbAuagco2P3bjk{01@6Fm#m5&|L_oM3t)ji&$b24kgN#LH}p zNIhsfBj^iX(t|9{c@mc)bEulVr7{ll-&KO&#vG96tw6%7MI2~L{%%pf<6Vy_*eTkw z(ZnB&-T6dIu*AS#yu7>|J7+h&Ak(azIru}NCpEWe)^75|5~dzcP^ah-6FEU(+l-u9 zs$l7U`_KIzUB=eqhb}dr#NN?6xOr{cfYjEtYFJ+oT}#pKNm55z!6zIXWZ%PhtmqAVP& zA=XB8Vq#0_kp+!3O-ubx{ctN2dC_c{sp3~l>2FZPScUu)=+xQdXBI#7;^8wt-^q{o zzo$trJttV^qejTASSdCF?2hh3=0M#4wW#g?+6A#9Vt44(CH+vbg(&!Vee3D%Sjakp zOVJ(Zulr)}3#0 zOHcJnuSL7kKOq#nZ-UIP>R79u-c1vLH)|nRpb&UWQ*fbo<4m3h7At3J)<0h&G;2JQU6=@ zwI0!1_UirLL>5hRwO1~SulZTjy=MF0Id{?X;i2Fx;F5#w3H#UAb+7(+s&8YbItRP) z=#6p~)(@|QMv$joNx$m(wKv-PZqS_-)cWIm!e$9NaVI^{%xfivPjG}d7Iac*ti*TL z!pF!-{unvQQ;b;^Q)08CQ^-l4LQeAe(CK`2w`9)NI{N6$^X^5_aX&KXvqH;c zJr47NXMSS%eof6*c;Rty)z4ZY69pHL7hjX#(k~M|>{Y>AcjDJx7CeOB9k5g{H80k+ zyR6aY<^@j3{@@iaJIhvryMiaOw{l|5;V%K5{!S9BvJBc(GZ=e}JGd3vf{ZAs09TPr?>txL~T z@xLQ_@F+Z$xChQ5^k4N7Y0T|rJ!ASmL{%O6aQbxeaP;9`Vzb8){{oz5?geI@!0T4x z%JtE`xt5lFF*c(KJWm%LjX0>LZ2RtF$qO_b_zN)33TbVE)7kFVi;1ndJu0#3{12>` z`a|?mFzEGyjY;4(PX2ZT2I~LTi#aFkMSK>>!~aDt2)!ws-V?bZQ`qM=a6h3dy}kU8 zPL?74Gq(HegF=syO*lJ;ybJ6zkM$$r_0pH|Ko*A9)8^LNA?_ljXkAUzT`a;;t3Fh}8ZacZzt5reuG@ z{HKLRO1}>734UkbH=+-_dwuVvvbM2(*xkkrSIQb$|9{zs-F%+dZ>^!5W z37tchUT1-O)h1Nb+q3yBj$W`KSgz})&9z1ZDFZCgjfE$_3~Tz)hR zxAraIHaJ<4WA9uqupckFymN2!h1kK!`CUrgA+ImHBYk`qJqX^K+z;*o@C|KB^;v=! zzysWy-yGd%DUuha9`X0&U71tn5jyBz^I)HolL86ebb7tqPF=w}vr@P^5;KYWC`Gu*Rp%|w<%M(~%LN_G<6rTU$MFny=3zS9YNsyUVZP(}E1ra1lQ zGrxYmTbngSa&_!|^vI$2nCeLe97n#xk`lKNozfA!$MQsCbq&&&TY z>D^i5!Noq9ekh(kCu)6D&S>o+?|Gp;d;TK(lK<_E=4;p*6>TC8JtYIA@%*dmd6T@U zb>E|JeAyCDK4g4w#(wwU0r}q9@Nsay!IL4^v~ljkG9+WPIp%hsJSVh|@%N8}nO$!F zaO6H?#ne5%kRE$#zn%1bGc~)}{Gs5#)b+3{&-kQgIQ_vB=q%`p**xRz&N7D#@177*s=d{harw0_?1X*9^X=19VE!lfMx+MN~c&T08hE94Eo}!<>Irr6p z`0AF3%xPsx&y@UEWiERiJR~n~lFTRbyBoXY-q3$rKi^q)3OM$9d4@M!0bF0y!*9sk zS2Oop1F~;5@59W?9K*e^k-vgvLHFSLhhs&0t`pERkeQIZ_GU14uE;0wb3b>H|0{-j zM9-4{n~-~u7mE0qy*HzKY5C`%9e!wu9~$>*p>2wGRyWgQ`+&zg;X|Ixd>|=vd$di_ z$`_B$HIN%vhtDo+yUl^TaO`OSQ0e zH!xK++O^XxB` z|2la-PEN~(_Dw-*N>w0x-{-gd@IyazSkBA`Z_h$zT;uU~)r0!Rdjo8*N)zIWVSmo&@&8|1^15meiq-qJN$jF6Pw@ZUgyQ?wN|Y`oq!B*^6qG zek}5bk`t9)oRU9=amu}Vf5d5)JaFXi{k_rniGBFZHbm@eYA;ux-IWoEXTK%B$7^EA z9+-CXVeC-kVcrwu-suLx~vk)1H*c*FM0b|Mg#pvSCd3Sl_Jx=12`@+$0TBX!9UYv-Am*Ib_JRGs-)1Y~;FMW1kq;T3;Z zsK^_WI?kGV(@)3V$4qeY&#_t1kV5Px>DU#R1HN(^8ZYvPZq>|(znJHWe1$wWLHMfh z#1M67j8~AidU8D(EkPYD@7W2+8h-4f=p3V;_2i4(cSenUznQ1TUT!M=UXA-8vcOHJ zqLPc|9x^NAB?Wpst2U~7p(gbmsUNLsaw(Z5_t+@>o>O)=_}w^iU%+rQ&6|_=BX>gJ z`O(kpdB&3S5PM!n`w?`h2Su(xuIWRTf!`mWY-I|cU+cnu;K9f#ZN2EV@SbVNmmT?C zhR93B$k?BTw7qL&ow$@-PYe7$c8e3u$wnXf6*dgxds7n}+`Um5usYd|(@GL)<(x?o3sbF2m2SAQ?rh5Q#`4?CU8pyozucfymJl`M#S!1(QF z$+gIu$W60G%1t6Od2*pACn{XM*Th%+pf0vh&HvF^DdXc|Hf3Y%4p941ZUQY7n>(_r1SnE#q zuq;9d8GWDTv@RkZd86zz#gj!A5cwlED~f$Ls(bw9lk`#l zvJtzm0(xm4!`)F|)!So7v=d{O}vmDe2X1FV9M&20Fc+$n#v?4}BH7RtjCa zK1tUuPp+v`$qUqgpCIw7;p*Q+t<^zj;(mA+zZaDwGs(L(iY6+Wx@q~)e(c757t4FH zFKd4PptYzMn)sp?UVSuTUtO+CA8PmEtX_L{`gf$ZE_Wj#W<#Rtd) zGlu5yV74y_Gh|q?EzYU^5;Eb9^8QG;xmT}9$^8%k=8WuHNADI0;mZz|cExQx(@ z3T$2XVIRk)Kkbvq-0*O}#D*X{^kqgE+i)^^a;??K4=cE*S_B?fk9`WgLj3O%XIG|u z&Mr^CTKsRSHu_oLN64287@Kpq5OZ@Lb5XA+C!;Uc41JvZN}ro{!)g1W^vgsyv5#)J z%n>;PSzdaOE8TbYeMYPhzJ6g8|Go|mUSJH%`Fqw23`($N;HN-zKPn%$ui1a4;DZ`N=D|ji;`b?DZwViqOAJg$ z`)bbQ=$P|E#}B+7Lv9&9m-b$f^+a|O8A|k5;Vtz1oHmvhaW9c?<%~Heg@<|PgNLsT zkCppt;DRiT+#~iDWRkOVi=9VVTYijvzcvP6CL)*47W^C@ulHnCwn>bUT`VZCXl z{Er@$(wk<<|L9S(k7sn>Qk$-9)0YLV75y5x`0blF%uw=crunScV|Z`KS7QCJFX3d& zfq(ELIY#@}dXpd6lN)g&VksLqF*+S<>tnm7Km$BF75?=0AzBqjw(O4N-N`zhRd)>EXO!PD_IYI4_r|RE2JxqHPyQoiXGc!y8vTsY z{~rR5iVmgl4*dRCo_)!Yp6nfK+l9^vJvJ_a=g+S288e&ocu@Gh?uRbJ_oF`h#ExG% zYoMb&xlb9&kI_14&rN9d!FRAv(9bAw;taBqpZkXHru*a>zAxe&u}iYI1U_}n5Lo%7 z*SPvTVMdal>F$+1<5TupWuv7>dWvp98~W7!AG+6dTlg%gZ>RWRshwlk!h}lz#X1?K_Q7(+B#f#5WX9|BY@xbo#5Y`Pk-=J>BZwJn>tx zU$1X@NAAAib87#k@|l^`PGVgab#&vOYs_3EYTxHR$fb4nKK=KSVAeUh|gV5RN=zE**&*+}%UoJuZdj z*x$yQI%M!(yBFy$Ko_fMqs1jj^9ynUv&8J!_i*h%i= zoS^U+E3;{W{pW@#aLyH|Jo_@^)7}W=8c14Eq ziKbzH>I^-TcLJfAyg#jp`V06~3#cJGyA$7PC%kBkZ?&xU8@#W_hzDUjpMl*J-W#wd zA|ImfGG|tU@=KTv#G3e=>NC^}i=tE97Yr3WZH8t(YKCT2V6zk6UcnvzqzP7#+8hAzQS|vF(8M(-$<$hInNMKmd1bsSA%-nI-)|3&NaVvh{Ps+YW`+v*Imc&@2 zfgQ%m>L0`hoYP<$5s3*K8VeYO3La(8PiJD+z#bgMehlnp@-s_d7NOn}`5NWgSH%bG z#SUf=Q-=S(Fq#Ri%rt;mcX@=oL_L$a{OW89I@1g}D><7PpQO&M{9nO3?_=CsiTRWN zn^=F8dhwlU-AgrS1aQ0vT5(}CBTTG-)D((+q9Vg6YGRMzTlrha?-c>%6Ig}MCL0>F zhP_ngs+=x2um4@nz;p~gzb9QQNgw#)&^`;sah4StYYnh&YMbcb^_{@$PT~>2jPLx* zK@XnC0}7^sPov;@L1uSZ-s0HDn}Wv2qo%>x85cE~=!Ze(^x2X6j94fdj7`&nz&xPf zz}^LRY95&*bzWzU+d5Z`aW1iaLI?VVR%MAF$dCMGIMvXF>Q_}xpu@g5ul)~VtMD__ zd@CycdU#(|s4!}T>zP~Lu+1Kyi zF^awxwBC@UuMY#e5i;eTXTrke|N!$r9 z#4jX1sOqDUP&siF=KgHX(#P|?f18%J=6kes?T*p3RQt*QDlIK*y~g-oqou7AJ{m1; zc~)pCvgj`qu4CWg@4dqBpb>@>?8%LlVn!<@dTWDz~bmeL6JdeVME8x#jRZoz=vFmpc^RYo6#XDPub&b3+MLQsQ8_afK#LC4|Si*%6x)jWAO{R{-*F8e6dmC zJJPf7j@G*0saiD|*a%pggZ~qInz|72p+fp zF}7`<^u{?8nsF=kr!n=9ByT0vKbIa8A#%5^4aLwD&i8t;LsK{CBF;eaFvz*UenZ_8 z;)kEakGut0_{Lchzeipses_F_)RZKr{vmWJ><&_MQ*1hUt$wk`yW_7T503r8R}FGb zLWR)DY1mZ@xx*K7moEh7Gb{Aih#tDetO|+WR(U9~;#HdUqZg?eQWFgoo>p~ehz+C` zK6Cf9_jTvx<+AragEgy|zn;3D&@{oHp&WvDh(O7jBA#s1a*?Vx?}t}{7bQ37<-{voW~~;PhF+=YO~_QpWjcI^ABhu{SX$y{ ziAO|-U-2bp750}>v7wqpmiY3_GM{~K*JY#PXtOG#c3S0hxsTu_wZc~EUaite*nNHq zZgesaJVbm()Bv(udwtYVp(ZM_2Y2*c9usT5g41Z%M4As8{T#-pP zAwLlZ8k*MRx5RE2X}Q}n;XA9qMd%?lA*lV4%XiSyn&RYp+}APhxn(Vq=R9*Hd^rR9 zBjo=(e(wxfr>xQV1T>JoD;8@NxI>H6?BMTjjIVqmYF}3Njkrl4w)$0!{|nA=#Jb4U zaql%VMvmXzv*dT2pBk4q6V3YWRmc{Gz(k8@Ziu>bmu4E|q}U7B>8egFXB;+bki$9O zjpnxn#{?H;9o)?WvOZ#Yz7}iao+L~^HCDgQ{U6W$A8$+tKMJGcx%=a}`{TI#<9c4~<4w8H0qb_j1qvW1Z_kYl#o;2GbvG^{{uKVtcaoPW z{HuHWo^px96`VrWq6Vserr-;Frr_yD}LM0bnEn) zgk2lF(SA5%4n1J+w$31H`t@AbpRM#(wI4rzx}Lhf#-SDNl*-?EvCDOTkaYn=<@e;= zC-S%7U2c9_twVgIGdeZnFG`NUM>^u!b>j2n*?Y`S$+POYpTQ4rIun)pyY7wVv2aPf z9?ub<=erS0e4g2z;5&8Dvg@OA4sz$*+Jh$??DTF<+fj#@b!v=5=k#2MSR-rMk2UM> zKhJZ>dGBmX#u^-W7Ik`iyZ7Jc21&DVvRQEo*2M?kQ-jp5>aO< zerD=HbuVqFr`eKvS+l^=*ut9ElxnW#pC)|TUEVj|s-`{+yhp`6Y+rkvURIZev#TPZ z8BbG>R(Mvv*uvENA~y{AN`V!zfN3fE#B)1jo{}2!y-LlPhm)lWCzJf)+0ayABJp_t zc#-gZ_F5q0zb<%={19}5iHU+AtoaG=v+|(pr^ea0GDS{)-1$fDKq6c2z%4SDz;x&y zjKLrF|620?5W}|QF*#59->Zu@pLLOEBK=ujGdIPh2ONJ}-?~cRw#j?%eEx2KRPKQM z?`|~ffjQ@@WX^i;8TEXf#J0)*-gkA6$lp$I3iZ2M3WW!V9xt$TzkcRn_1*fJ)WTat z|3Z8*Lh+m7W@*tbMx8`#)>M);|0%_oq_KpW6S>j@4VPDg=-R9mc+Fbo+*5)QTW7~o{y#Y z%JthH17CD^|Fe@EVr{Hk@xhjZqs5BfO1)T#Z?Io`KA_@lp!cEri;yE;P15zfe)q=q zetg#xN7MA&4rt2HgFlc}P%AF+nsxaf?EUpx)3f{)$U za4q)JyGM_`;2?1mn`CU^H$v}6@*?-zvIk{PcI$QjLjGmauRLZ?D>LYEeEpa`oj<&% zgMaDgUK0BUUm21!_Pm7s^&zz<8J98C+{rz;FI<1xQF~dO+{;%+^S=2lvpim01#GcJ z_O!1NKc59%6u9tz@0j`i!}SI32Zx=}&*gXFQ=2pLS$LrV+~Ct|cN14pD{GXsp?mpa zV|X>X0`ZJZ2Kt0w$?P+Z`(=Cu!y%agzK*QH-1i9H@%w2Df4yC{~A}gvJ1F$ z;H7@fJ5B8^0Imq%rPmO)x2uP6iE(6amdFYL)+zB@&0jbz`?S^y{S%!**1>wjhLp~8 zmGCd*XhYc`z_m@_8M4zTT)V7cs>qmDH9BOCoKG(G`h}KqM$84xIw5j~l23d_4(n0! ziG9=3Y$dl4yJHkZ{r0uXZnd&U;9a0d$zM{R+*y@L+~JP{sr_4~_+Hunrmaa_=>!Jv z^dq;9!M)sHAb-6lF${OBA4#)RB3ZEY% z?(l6Hi@m<7_K9Cy_QH9eG)Bl=F=!Gx5HgqaRmgpVyK(X8v2q_}eaB>dYHdn3MDA30 zy`ViMpYd)py#9pnHKC!vN%4Dd@}#1ZiEPEsk?V+K%JxH7J}bOI#o{FSx$p^|KkeQ5 zk@sg$n_ZrJ?pe4kd?hm);C{Wtx~Gj?_wc>ReSr19cGkT~-fe98yID^pgbgTEM4SP! zEKWLd7J7v7@g|QR6W2UCz5v`4{GFp+YUgCk5nWblIHde~7Iek?KC)~mz919Zr_k(s zv-)E5v&l8Q?KHeS*A1TTBc`6c)oOM}33*UDzUfCrcNHDh7haR1?YW(TzfQ19;jq+U z#edhVWSn1e2PK}`gI|}y|MGcC?g6H+aL$Uaf-6s{c&1A8Dfo4Sb9*XA%+;uPtDXg$ zWQ^3=Fi)qVW$NsKxjOr`&nM|e#gNS$Spl9W@lJnRX1t3yY;saXP9z?iJz+!3+3VY! z)9JImb&-Y)j7%vq$DV^jGD&i5cb(a1BxOD)IA8R@4CCXeweIDeCDbj%*V>rdB)O-< z@=@-e3}ggqcb@A$lKZ5(|4G`I+z<6YqrTX5?CzZXD8FrE?ylH8RPTB?wxB6F_J}=r--A!T zcdA6LU9v{`u3s?B#sC&-j3sR&=|*=){!8rPA%W{K?ksrR+fzI8$3%hOHV^mL zZWa05qn&FvSnONm9?rN&=7~MQ+{zXwe3M*r&Q^3kku!-K=~a2_QWGJFE?*6At71r^H6tHxcW-IEu_}`oS0a z#O##1>#9CLte~kB{kjyrx-?mfpp>;-F1oYKE45O(Z^>^$AL)1e)LNAu0n{Q8J{zK@ z0=6#&6Xk=F+9&~&wT<&?SQIRwuMj%pf3t>#v8`!}%;g}1SZUBa#*NKrfu2B%nP1{A zY%R^_(U6+CUjK}$c~(^#HH;f)bQiQvFS(w3V_rUw`cLyX*8w%2oCo|;#_R5BKNYXq zCGhv^YsqKzx%ILi{3Jf3XcuQf>>2gOB_H3ur6uSD=a47I_pdkmr2b%N6F=y5z>@uA z%NkK@LTa{!rpY;O*X^Db>~C^*@_&F@y+`|{cB^An;cpRozXW-J+7EN`t3PNgo)g@Z zxR)t~bB{#a2Z^~6l>py&bE#&)(*A9?ZV?t;e8 zyLt1@$a%15A7`j)O~uC8`jhxI)N9vTkuLXwo-xC8jsN>&lbWd)SFGC+(`OXV4>lBO zs`iKcR`mxb_Hs9m207<9IV;ro<^j{2z6^Bn?pgD;O8MVf$fZ z1VtM{MbyW-rTsC3-?8Zxth>FBXGYOexzkF&9z{zRU|ag{(^B!x5R>;Q;XTZECL}(U zAz#ioVj%Auu1%)!>Q3~U3f12tRPd3&VE?W(s1Es?3!`c6|zJUz#vrwDr}wASGJ`09h7;Q!0<1Ej?+r0$#0 z1@k<72It{BxKwvu>D9y8&qm@aUZEE3c@gTtU~6Sxy>Fmj2yP=ANDNt}Ioq?9u)f;5 zf0H;+e1mh;ctPU0wZ^~m=w6~B)e9wD^`suJc|1x@HgxPQ@cxU@qeNFff!+lic~9bR zdG{*@cT3$tYUswZHbkj+#JS0PJj>pUqLo@W>vMWM{nBWt@UzhJ^sE#dWL|e-dXMqs zD0p_qfUSHc@O4*GuTas2dhnMy>-TKKw@*K#bF^?~Rn#%j&sVYU6D7K{3S%hnWj*9V z&DHJqx4{Q0sXh@&f`QeWvX#;%bLw$kx1JLmlA5uO+6|)TPb!(B!70i-&qw<}ASNAPz z|CM^@y}}<-@{#x;70*ri1<@U34T3v@-@xZ>ax~r@4WqRWU_+xPJ2B_Lg!hR%^wSe& z8#*>{`KMFRTY;H(2jb1W(5KOGp>C$HO9O}CmG1TRz2WrFM3E0%iI2CxN6xL(OjhS2 zco6yjgvJ`sV(Q04!%_|%LAtbpIG0k!_O?(gzf4W zbg5g}ixi$ksK3SdMclI$nar^)8V+KsP;=~*J9t>#KP_^V;5U2U7gKx`oWCXaU4f^i zdZoO{ok%3u$D8uMd>*QQllPar;la7?b)kjO9VJ(uE!*V&>VHB5)z~9s8#@dCtJGbu z+I6{wTrc(E=-D%|VWD159Jk&CX>P)HHKx3X^y$TMpH}$-?#{nzQk*tH& z!JTP86K{Ep^Dd!Y_b!nWy*gL}E!fR30Uw}))W))UscCHn2kdVr((E6#5R(V*God3t zN7t$;MYfnPHHWD&*aH8fMi#Y6hH3&+pHbB_{rV(tJ&fu8=p0pFOmYrXtuXrBxnG~8 z))i|8rt>c|Efweezi-BJr&T=-E?Df z6?)q)_#?jNtpRxFV(4=M-*p#qSsU_v3$YTnBO{v7VyT;0>T{~*`P{E3`nWI0?cT?T zX8|tXIY~YyzcYe4v1YFquK50?28_G$q^i}$nbXGx*swoG`k7<}iOI!xOY{D* zdRL@skWot^!$}JcI`5}ZJ3LJfr=5eHPGHQQilDuwsVjT#&)bMKD;*3yA-`(|Lr==@ zmch_dZ3FI<_xr77&A^&>e?Yyq9MiAHK$nynW=2THDb?b^V-fOESl7$sLtU=9Upquz z`EL62v>`F{f#?O@jtl}%yR}E z2e};?NMs_BgGR==jF5$-E}DH0y^oQ9gf5d0z0>jk8d%<<+x;7IoD-F-nS9z8{WA z^Vvaqc^>^#GG57N%&Hs=uU?7IsU=;H41+&x|RA6@|F5ubguWsXJ7-xze={&ow#Ot~L^=m9ugREk~; zeihfC+jb#uh>Rt+fZY4MIoh8=Zy*+0LoUJ()mM02#U9n4QgX*g9z9lm$6v3hSRGGK z9FpT>#V<17YUCT%7mT21CDhzj`f6m2AL;htW@M>3y6`#a|7pLsi!*zhcaR0quR}#| zqm#GH5t+y_v#^s@vX?IPy{b2XZy~fv{;!1ABTuof*tRRRu=!8~A2D!6PJH_)u{iY9 z+0=&|b5*j>(di^y>mIX|4RFbO@+`i{V`I++n$ZQn5S{n~>*N|2VK++dU*WW_8+X*^ zJ{a%XpyrHM(ckEH^r=lpy!orD)ZAXbrPIi``KOp8k-`zD3b~?e2faC2Pp#Q8c0Gb8 zVerJg<~QVMeK>sWcbg0TOV}Q6Dfl4RreG@Y44V&Y(u*v#<*lI}QG&C|X3iSphuP<} zhr!YITJHH@LIp2_YjeRn&Trbw8upd(=!g6-XIKR-Skgw1A;w(KnDjL264=PufY;!o zoQLdPjXQhY0C#c~?+{DEost+Z`bvaXy?}irq0SpR(@C!#?gMu+qmS>d#LtawSNM2O z`&Q`E-l2EL;Gy8Az%Yf6sWI(i&3>^7rSQ`2Z9Xs-F9mMYm){?nA^5tJy@B5|YhB^oCGP=34N6G({GT!e;tY;nT zd;NpPx6}5sr_>(m4*iX}UJFZYQ3KloeAv!2^BQWXEn1UE7)9`;t>nplr&kNxy;}U9 z_q6!yUqyEKPM$q+f0|J=kMHN5{rw}~$>MwBO{@66>g?|~s_*^S^7ww&+27xF{se&Dnp#niM3?EU4R4v7;>JZ+c}&-GAr%#ADY6d`c!-3|}Q) zZ|L)N0Z&$1mdHg0&Xv#QIb%M17Wo5xO5_pxaifz32f~5<>U-wp^Lf}u_A6OZjUA}s zvnpaFM}D@8&vv2j?pJ-CyypU}89U?D{qLt_HL(LIo=4sBQ9Mt0V2baJ;CT-B2b=K( zu_@8d1=*F~TcAnsKzwG|qC*bp$>@Aa?iW3IoyaHqG-n@ra>lcfc%}S3ArdxA(Uajf zy@#V|UMjSI^Ru16S+em2;z^nd`U{bCJ8i z>4GgPk62>6E#8ybCA>=yMf8{H=%(ASGmzsG<~-4p(UG=DjqGS>3;Ly>o*<9Y$LSYx z=b$SqsQr+ZKH%Q{V2|V`%b461;45RU?Uyy8`}L|d%4cOukk1<#^R%+Di%yf$BSTy8 zH*6yIUE_Ox%Xy6Ck$+2%<-EL@R?l|x4t5(a_Ecg{$Ht{f%&NquO3Z31HkG(kY!Om} z+4Ey^mR2_Biv3*rOAt4Bv$COQH2I19x}xDLdb#L8;wL2sBM>Uoy_nINIz9$|3Up*7 zei!m1v2Lrby2YuSd#m_VVlD9H)n)^k_7263TG?wfyHV<|V#_V{!&_?jzEj4Z>`-esHXmDKx)&dcpIXTs z7O|mDRxR=hv7wdZ>#P75YtS#g-tRZWH>Bc2h3|XySLHpvKVuX{xreNo=PhcE(}SGf z@D=1$iAj=Y+<|_2X9ow|9}yqAGB`dI+^gb4E#k2xCQHSKN*}YIT!`*O{!7*@&AIyu zY+@DA9^wl&=0J<1T0FZZYG1kiUkr&0#oy!v*(W^?tUxk0wBVQE2fngtzXZk-gZVVy z5i1dSHp9s+;yZlp)tuoPbR_9<5#+99uYPJ_e9gwFJ$Jhuuj%J}*YNJofOT?iiRZbj;oH`CuNaQ+%&LjHm)E8n*!%5; zmGG`C_EsTh#GITFaZ-W{z}GK$fviNm)e67+h`A`X_yu^j>Bly4BX=Mf-^m&Ox8gg6 zUgRDbiti+Lv%7vlJG_v+VVlD5Dfu|v<$K^;&`t0{V&2@1*;D1b;b({BxAl#5iSPW2 z;HNwO;B}@KrqAyu*N&LJd*3gzs=+_* zxrJ=OJ=LLWCt@?2G&dNyJf2k*2^A6B2fjc@(+$RwaRir!V*83ZRcv1hw^-jnXIVOR z9igB1nmKCzR6W*cr@|*hdE&kG=c=^#)cGe|jo`Z~iWKN)~ui zOW(`&+`TMA#cN6&kiuhPQK`FN@7t(btBKXauP*VY;Vcz@`jX5KyoljUml(kBjamn5 zFjDcOtb_S}kN@A>o5YXayE`q+I;>N~k4^|)Y2TkVgW5*_CWedOmCf(U-LcD?3oMD%n?(J&-XCdFbB?}uM*Qs! zx^?BHo#qwjXXM?_!jCrV3U!{~De*q^6t5;fpg9=x&bm~6HxxrL6~C06jf(BO z5ZMp-vlsB@SQ5vH!OZ`a`WxG%yK=`gp=-)sjbF!oyZ=e`-K0WnHZ}CQJeNLf?x;ybs-Q}LbOkaz30dgGiYcj+;~ zG5H@lu?6_0;ybs(_9Nb=nC(pi`6v3}0bZ=8)c$iO zy{LOQkc`y?2Zqj{*q-?68gZR`6|9qVh%$EKZAz;B}vDd{K6C6Lw}|gjPCd3a-mCmUMC*xpVYX#FLYM; zjnFBX!+l}?X=l0cHs;EPmSy-g=r(si@(9cLb0-HLQRArEb|co!xb6!( z@Q1TT_~Y4YgeQ7@=~E)hi0u4fyvreu(Y>}|-Dq0Ac8@zHuwG#05PJ=7+&|{~KViQ` z-&yj2-~_*)mv!2Qc4S(_{y4duUAE*ebQ+fO&FnR#51q9VIHQwVm2$4oSm>xaS3k9F z4^|^znZ)SIxh_+-LyyM_ylXhuJxTb$j}*UIu#5P~E7iI3{?NJBaIVbnzEIs~d?HF- zBREApC@=3_=9V?cIWtar7W4qRlWi&(dUOAnxrfi%AxB5aS_hiFIMyV;gJ&7YV}|Sz zdH1)(r&gxc03TEH%KRQbT=3VC@EiT^p67_aEf<`W_eZ`faYO2i6;ItWdJNh>(|3fq z_dEj}`iI}gzCteN=y%uhu7(bdoK?2oB>$f~mc-4~S%dcepLw{6{WnyP?_$%AB(8UI z{@};WhsWUN+F#;JJV8x35AKXBc;f!~XQ%OP>#C-W8fX3RIKa_9a1{O_cQb|KxsMZ{ zNW755YA$%keJE|Y@B!ib5`QN&2HaYaq-lz$VJoL@Tsr*?%}Zm@I-%!^uf3*xNvqVe z#9IpgL(a;BhY%wn`4gj`6}|?YT|Q<#^>$w1SZum?77B*ko#YvIFjgc~7{wk+tR(g_ zRgVcjS8P^=qK6W1WPMn?q?Q*Vzwc9G8~wm|$^W0dcY%+px)=TTo;@==2_Z?@AwfkL z8D6D`)U8H)+B#EtsK+X`2xz?`-8|I-Rs`$msfm*ph=^_k^jJZWhpqK!cO{(Gzmf`s z_Eyx+;i{$)9_iWJ^Qg9zx8{q-}kcb@K=GN}Ho4~B2kmmbQ=Q1imNc$dGX4u#pKfn!HQ()Ju8%Ei zao>NHwYYX26VRfI29M01_+~Wn3+8RCuV;t)BWu|wc#`jOS0*G5#(-+#m1ogX!c7CfS{*$813OrK& zldEZG1iHaTvQs->7aCh}Ks{R|{tW47=#}bO?px|vsrYxM^v#7G`X+UYzi|XS!#Aeu zw>~aDv|ZNq&<{8ocCnWHpy*G)4x3}Acb`L#S9rVq_4Ycr*9kv=f9Ct(>>oPr0dL-? z4(y=7WU;{Hq4LhKKeBJdUhb_G`P6|U+c)~MF85XOb(Faxc&PkNkW+HKTIx{ymsp!~ z#v*I*36$}WIfv+xjL-bE4MgTa{&(A-!iJ&zYobFQ2KUbM&jaQhC0VC%u_ejcYrAh5 z_9lb1VX;5D^O(={$97bJJ*^g-+x;>o*gO}pcDQt)?w)AObsHOVom;{gVt*CC1CM>> z&Yn8rDVIWX@cYOnba!GXP)<}ULPm_x#opj#G-vxZC9biBxU!FSQDasD-z{wTIyM<~ zZfMFcQk~Hxb~Rt`!ah5E#cuSj3~8&hL)w*Yr)nGRN{$K>UvEUXXdpB-twt-VXI~a; zUUIf>wBP`9X6A@ylD(Th?)WZu z4SozC`~I2}KRFWHz6V_RQ0ByEtUcFv85jJX5^GDoqWq6a)mm3T{4-=6oiJxirQnk2 zk8X@#ld>@~w{t^r<;NlKCHovd9bFj|SZ2uksk6!C%(;$lI^%-WiO-c^FLh?I?hf9$ zVai}{guuSN4OySx2Jx?zHo#xIyq`RqwnTtks@>A2=t|Bc?9>)xRf)eQUK(P3^=K~Z ztjrZQqkpB&pObGQ$N7CUwYJ^9KfKKf-3s30FN&|JynjU7z7x2xcCHcI2D;;!z>>4v zPDO`3GZeoWS;M6~`h{IL+50$a=E@F;K3>x9FDZ4w6IxlPIA8Em-Vs=%Cy1|DtD+&` zhM%yE4Qp`Ne?F*EKAMREd{c@oDZf8+w=!k(6#P%apmX2T_hkK2`ly~!cY1821MyX3 zUDmgyFt$&cCljkToo{d-d{TaE(SFc#%BP}JTktF2e7?+ek+VTLvsLV91~xbRtI*r> z$m{T<1+Oydg35n&rKyR(OJ{h{o(`CR2f(?v_zViHXnQ+;q~F6IF<^GVEotANIsl1+GqgmERAAuk;g`D_Co=G9bMMm6+ck6dC`-=J2{_F+fJ+)`utz??VhDwx8BWw&MTk= ze7lvrNnmqY5*}PNRWC*-FL9bS=M<=<}G8rv+j^)_o~&rDb1Sg5+{E`hVIq%G^~OQ-5M9xt?>qDoAbMjIZ>!7 z;4TewMti;H{HzN5CG)c~V%|?Tb!ToB?UJ+MOr2QSF>hX>VOfT5ec#aS@r8!OWw4Xe zsJouIG`RiKw=~Fr;hPC zsK&<&*TwAS0>+r}WsJRAuKC`ptmBM|8ukkyTUrZsV5M8cQ*sV5zDMmpC zRTRd(g9nA?K6L7L^-|-|SRWMJ^DeIAEYyp&qG@`uy=y&VRZ${iAn~vi4w_Zq5;$0J zkl0OmTJmtyVf&@6nl)15(eync@L>G)!LNjS zw%HK(=B^EJ?yvt{c>`yz`f&Cdnvk>LLu(EEc^cQ??IFHzs^MDvMJTgpj$V|bYxa~~ z+-q&K@7cw>H8HPgk7kVv>F%B!-8Oayyl`zm=*rI6ZIIMB+2LBlnZuZcx9ILTQ@6{v z5NDS-5>M1@tAegs^KG-|v4$$+eAg$Mw|oQN(GKV&*?Q<5IadlE_qzNB#xgGN;LD`O zQpqIt060&C`?d3n)0?x44EV**re;|NvV6{Kj1#mYa4w&!8QYkP0g1a`d531{%!ee|9aJA;gY$RFBX0A znhplMT?Z9D$eGZb?Hh1TuBVK2fBb8&Y(3-5d+Gl0jl63;N`F;2A(FX*k`W#f2iP;-~SaS?eSZ5Zz*^-i?Ig|*7#iAYay1E;NXPKx;=e^=Jw20 zFmT6#g9W_Xa|-W5v&(^1J^XVK`#A8sb6RV`zk1Gw5}K`tm(_9&&2rBxuZ?@H$fRD3 zJN(tFtq-_Q=IGvGQrRx{fe0@I*B2euUBwFz^F8nvUdTPK?3I|*R|VaBhs6F5-M5g# zSKZx|H?IjgZNY!BB>=4lyvp6=@*LbMXO7m`q;XHLO3}ErI}FajYeWt~?_NeP-L9yI z7ceeQl74y+9#In$`3}E=XCI153>@1$2y73^7{> zQ@3s;_RQ4&1=-Ue{tM_@?vl--ulRm_;@hBn9_}P&)p#}Ul(oqyC~x#e2X5_sxpf;@BNE(EB|6SFK#2}x?p#@Mz?z64@7zH*v*=I zy!k%s=F_^PVUv?G?mu2&J$e!E{aLpUuGOl7lri~H&F%XS$gQ3mWxnDr`x<*vY7{SI zZ;s}TS^)fi5p!;BE+~>%m8@4IYkn;Hb9&9%d;b~cshMG?I2crWpoTA=>6*mLGB@hZ zHO&Dxa|bq#vNmu2iI}&fRP%1UO!wY@OtbUngP%J#dJ9$y4KyAZo_9O;I9c~(Z(rG` z-dT?^UlBfw@2=EQnu(uK2pb@Cu`JHZ?lxSW9hG-v54hV+?2Gt`tKafm>7<9fBa?OZ zb7wgBx3_Py=$CFqs*Tsu#_$f^eTX)eH^uBn8+C6SXObLPqj`N#K{q=#+9Ab94l6tO z@Wr?CJ?-p!+A5=+`M<>et>nR{s;>KU18y#{T|!6iv%;#4AGowhWQL{eD0|=cf6H^# z#zRj1MY{9EV;4EMHC}8jJpnD|BWD}g*Kw9+Pp;RjM@uz#_d~jOXdSW{{cZQN&=C9y zd1s9e>X!SE<~4FJ7yeM5W4Mn6(N&6cbSv<-P>F5yPgMZ^N*&>GT2%lUeE-MD z^zoYT3h$HeBiruLoavje$>idTv?=I(Y=%S+u&YSYhu{I8wY53*mFVh~0q4DG0cYwa z&FNDPFNOX)z3Y}9X_NQuN;7T;H^n>{g4h+^T;>g>l|8(P&!98F=jM=?=ORm54S|h4 zxdJ#eVuQ@b&w;w8=L1Lfvy@AD`KkOK`qTh_tkFeY z*cpd_r;HJ?+875bqovJ0P8mHzy4TA4p5^Db}Fy;AU&x~ye$h`Yksd#1*o+2%H{v61gXG5E@TPOLf0-FrFj0GDaa$X@u; zve9}`7@FvbUtDUxo!3mcH*AK-u7zJy_B8weSu6ZP|D=$qxyU|vvA@rzj9B=l;v@g= ziVv2oN96`-Fa8+jaOTHN#Exg4oFjPzAF8IKidOkX_$KnRN!IS+OP^K+Gx(PKV%t%) z4G%!SwnNA1SFzz-vo_0;x%!B;Vb4IWBjY;J^Ctq6*+P73*=Rrz3UnL)aIpN z*xUibiqV=^)GXyuH+?89)0`{KuvG}}uPef4$GbPc^HqQP{*^getJoK14(8Q?liYX0 zo9X|-D{SiaW;N^Hx`@@nu`x)w;Fhk4m;#V>f9QvW@c%I%J!6yuht{8+mwv`_(tXbYoFDhSd_?)ZoiBnmp&nWhn)Y>A>Zo*}@=eyNx^>7lB_~9-r(~P|yOV62hTOpR>}bKDT4x!)ajqLqwQXBn0NEw}v+!&5 z`us+4@qOe;1$K!O^g-m>TG}@8u=1fFuHEIg51dB++Dnkn3eQ%2>PbEKo9Jq?77UF- zH{ipER$8t>Km7i{|1Hl&uJwJtC>Ne&;v+IHQ@7qSb^9mpYhE*SkP&5Gb|T^vp^oO~ku|)BI(L3#6 z1u}01-y`!%OO?Fyg3H)nSBgF6EpXGHYkE!aLv&F2-p;v&_M*pLHjF;h(!YVg0RDZc z@O)@EfNs8?`$dD4ZOGmb(A}LuXuD09bKvbmxw>2UBr*{gGiUW03U#Xx`HG*Eb<59m zYv+&Q0X4e&$Rn&>%=2-eVLU!n;XJFZkoCes&Vz!tpmPYHvc~2^Bf{%2tDs5tDF^Ino5XJVg?kqK`Hk7m=f%I)Dh<*eaI^y69*)q~x*QiijeVDx$=E>( z>OK}6Rd}rxXGokoc;2U?4}!19d|tkbb{8ATYu29b%**XU_-XB0Xs1f;*H$qQftfwF zD=&AIJfu&bfl;Z%V1$?NRJ>fld+bF@mQeQ*;pIZ7RtENf@xTw>%o-Fv(+98c3aA_V zNV-nx1AL>UNaT_5YLS~hFIO~}zDM8QPxn{)FT5UmD|^TAoBr34>?JGXY_rW;Q3Yi% z7niZKmYcf!WJtGa!Mi4Cs185$!66olM{35fGJ$uS=sBW$3N5?4n5!(V57-UUWlafr zPC3X#V&C9nS{K9)j$NdIXW;6z@dCT_b7h-ymevKFC5H^B$0vr9cT2$Ovm3s?K7cO) za-TA%Y{p(0(q%s&b^Ckklq{Rrmbid+4ffk*3_x#KvstU6FUl5#&SAM>Xg#c}J#*{m z@AGAvJr`XI{Yd(u=Jz)o_id=m1v&E&KYHNY0KGvkBCAM}h^;j2oZZZ`9^9`Z zO_TkstoZ|!}x@uqpr~$VwV!X0Dg_FoOAyqcUa$2 zHs@6ToN@J>2^EsKf1f6A^G-WYP6;IIw|(mL*<&Q%%lOpE@1-XXe|#!AvzLGU!Nk0m~JKS?hm8aD-ay~8bU=$7=|33#qWWxVXVF)fYvJRG& zct&tA#N2o!>!DAvz6rf73t|H}uNyur-HfkpQTrC6oQn|c_dU)r*m$7wISW*5uYAU#8Wu zAE1G~98IkE=|<6J)`!uL++{`LThE*XUMG9bduHm2XN*U#GanVc5gmA*8I5p8MZfQv z^iQtke7q3Xq3FP;g2F4T8&8NH(Z?xn7+#dc{N=Wh?E8p^I8Q5Rnbkq@p)}a%X_>TZ z=_Sx{Ep{f>rrFn;eB@xO!TH1KHc6YKk^Mnp3}_a(tm4YyZ|#cjzQpe;MBm%eA3ANo z?(zor+0Po@hn=Tsh&tB zJuc=p!6O!h!`L_4?23i}OE8A;Z1>SY%*S>kJ8F=_N#;$-49nCryigVE>cE`(y#nC7 zZr4fpwa|VRF?}d|@efjZ7k>Q6voclRP29sr3;!8>AE^IEeoJhSW-Cm+`Gxtwoy{e>KN_CdPaF;Ct{tWT5B>*k6)Qn0qW`XX(3Fx44w(csv30Qib5S+ z1&$MUdGFt-+aFw|+qeCKF#wJ|vUd79GcmHjWpn{#b>FuHZw$_eC<>u7hMC7sL^j`q zPKeHA|7;I9|3SbG9}d`++YPUbXV?y~d9xRHqTw`}y7#~@HGCq2?%P~9^Ud5^XqoGZ z8qFPxt>(VHtlJ%7zxXjpVY~c@;Z+<6*kwFNZVGML53C4aZ_tX+d+l!ju5veo?t8pS zbKf-e#Gtt0HLZsyzz3ur?t2x#4PbjvF;u+r&4z9K!LTc*rOM=;$|1CG8oK;eP1Z=P z@F#87JEm^8)M(<1VrQMLD;rSdL4W-w{J!C`4&qh(20JhB-~;U~>Q5P5FPf=z7tx!G zg1UzPY0wHcLI-aIJlgHGY}MSia&)C@w{Fwy1U4yb_x8fQhF6)Syh`RDtanwSyO-a` z{G(F0@zHhb(Dk$7o788OpBCStfY-d0m|rD^eb;LWj@C}*9Zg%A&ujs1(}>Tv$#DO| zy~H2U|5uU!h_g2r1e`fsXFs94H#47DxX>?m{y*r}gQwfDC2jOUBo=6;gsfGdX8?tJYSc&ihDinxUDy9WwhO7zO-PJZdbe)aCbAl z<+E55hF4S`Vy-k1nC>QDA^uB76?kNo!K;81eP#Xxj&=W3&F|d3%)?GRiyz-f#)di< zd;_?GJBzj(mXy2TkGhvN0h>Pg!gsZ*Zj@DVs?FM+rF+@S!JiM*{8Hgg`73H2z|MKw zuyzS9dxAT(mGu$xC$y*1LP48d!`WoVkVYznA# z1t~{xa6zdm$6Ii(Ue%qpEcz5*sv6z>Gi^12)jZzYP^jBkzwq&oIjL=)&3eFo+CshG z_=Rqq56m}k-EA4|=!QJx*`?919?`(&F(%m(}J@yw$q_;$vu849oL4B%V#Yh^?A z%5I%+Kl<&-rJNS-&uieF$*K7__JZ800lWP7v;+PYUaHyQ z8s_9A9@o$Q@Rve{d@TR>G&(^jPs+K z_Z!j-iOCR$cm1B{kMO%lr!3vY?BN+4;E!E3nmMrOXlAqKj5*pzp1X7B zvF;QOx^tV6Q8CuJwy@799+EnugZBrmqS3&=PQhH-McYI2TiWfd$3JEebwcyeVX7Y? z)$Sd{`N3C7>XP+Gzb$_KQupWg=Mwq@?xkVXqpDTu-{FQfXIoRA)MJe=N|jX|a+eii zV<^<41^dH16MH&mUzBvS3Y-0TK;bd&muLz4m|!kqa8{edc}13o;L%z5wsY>8{4NP4 zhQzao6Olzc8GbVsU#reEWG*t0-$Ub>#`$_?;{56i3q6^6P`3CDFn5u%u>&6qQl^2Q zI(Z3a=1E@7-tJ>T7aO6IacZ!O{^JBr4RO(VlD$vWN6~kpoPhVW{20r>HS zh3JUjeY#FBRK=Q(1`k^klYRHjsI39Y%diRtqN_019Kg6s-R9buSGxMJWu6_h ztih_?#`7WUj??gW+}vjO>7!d2y)=6~I6JL|IY5%O5VJ1TPKlKhUFo+oSx`gF9cTm_ zqUikscee?8xF33Gf<_kA;|m-QxfMbSJcEFk52^Kw=n$c=l92q44&5KLixlpJZ17v~ zoiPeo%W8-z!+4%C-hv0f2R&F1v4=S1&r{(S)FU|VF0J+Fsn0jG`twxqy-sjna7t_% zeRl{R8{oD*&BtLsuU5b1on(&r`kD0thu5nrz}++31D(>IKl;z$L7i|@XgE4hm-cQ2 z_fIC)mH~_NLdLU<%{pvTVh0%Vu;3`?e}#a>G4LnM+%!`+28@EAmSa^~Z^KR}L7w_o}zc3NHL)K;#}I!3k5{&_Xd#9nBV zIlDE!PT^DZ>Ep~XUX}SXc4BnlK7EvbiPQ;=$yt`z4WP>i*ZsMcxV=N3BK{C*AZ_0R z-rUj(?&z$QSK|*F){He<+T3!I)FbnB@H)z#mt>FKVmk345)o z10Md1-oahEcMw0OCxNSqd%B-~R1543D`-Fi8ZjI`X>%SA1%+rWuUy)f559u4(Sl=2 zK3Hd+K*yO6&%qC#en$(>6Z?NC`n3EmF_2jyWK$^mjQp;~c2*rUhLi-OYxq4R9z-q$ zQ~M!8&|g?&5AOwvh})&`QE->>N&bFXy&Ez#ch3zzpAQS4uMKf#CB75Guuq4AZU{cl zeSDJQcG*<$GsN!#c>O41zO89Z)^2VU-sV|~BZ!{7EUu#Q5=~It^tV^udJogjkh7-`I<^s<*Romf9@OGYu zcl&&u{v>;?7rq|w`Fay&9%G-CuISPWRe}G|MtD2lptlTuG2mTXuM6FYUMc>l=sC( zW3atwQOr3zn3WhD*NwsO8P37AlgxpfPe|DB`WUKQ=`VZ*{`eI1&v+kQvB@&q)6i*j-XRg|M05+ry&(Ob^W@>rwvV%br7Qy-s3;=?84rIa{<@Txyt?L; zW#n+p`qH&Ye1*i$1RY#m6LK?h*gut{_JLaSKEd_^O|X9BW`L)aTjO>jq#NLx=r5v& zM4z7mJsk4&o}8Mvw9Of_G1D@I9?*Mw4btq4T;Q6azVVLaijOfm4|O}#jlI`hKA5t5 z>WS4EpUSH+I#k@xk;!pG{ctPD|o8!bv*PJcPD>L}!(E;%A4am@Hoj&n?P2B$e zbZn|qPFu89(Z%4cZAO1|G`FAT?VgUG8EeMIefSPmLIe1=+}}gw^+~tqAj*1`d(b)k zN=`V`3LP-7I_k>=WFYuO452vZ#o-qP-}T2DI_xyoGRE*_=5PL(i|tRwTgKWLSj~N| zWz0jH&lvNG+o~Qyj)dUTmG~010Aplc0-0wFtJd8N-LQ;m!zswfx2Lm?G<_@S5PU0` z<@C<@%-F+k{sli%Xmm9Rx%fm#wUhRVd`B);%f86v9ysgE!o8{tk%iv+gSysw$s92 z3wm5Ea~b>K8s;O?R?2FZ;pw)n_!K!s+o9#O95D^}JL?wdH3D=GS+`L7Df5-&Ba9(D zp{NwxM&_i~EhHua@R{G<_i(!J(oe>9eZ5*&_vpLQ1uD3|o^sdoY-+9F|1$ayuM>K8 zIRmw;UJ6~n*VX!o(n~*StxD+#&O-Jw4eH=qshAtZpXE_2TAf>N9g+}!Bsc&+a+c&| zC$Rq*=uHXHm+BdZsq0DTOufS?n4uRcy=On;`_NIbrJ_%T>1)g@-yOFvWxPFX{*~dl zD{_yyEP74cUEd3umQ{hrgi@$=ez1o(VbX1_k|q(wY>>xOYL%U~>p zS1o03b~o~~fVo*pX3KrAY<0|Aw1xFucvuBvp=5S#Te9{z`$gdsWowc9BC}V9#aCZs zwyf6(FHvhJd}BMFFSAW#b_HuHYt0UsE%GTmoOeEf4zMTZP-pp?m=zuc9qf@cwV?P8 zvF|`=UUUxRTtLl*14fA;KW6fRE$LCE;X-$w#$)(y z%nMD3*&ej`?G4zkro`M3xX=W@stm;4i>2W9t+9G@oAE62b4iqaa8oq< zEd0xY58<;h0NcVdnwzi`K8qbh+8B*6&l6d@o3&hQiFVN#U{)_YLCIPJS?iDYx|j{` zwQU*C&CpqDyfZ@Zre1=}$ik_#8#=7uT@Rg;eP?RiGkU6VSNJw{s^Zx~t6upQ#vfg7 z2;(nf&)E6nzv9i$=vhbmHWwkAt?-dHHz!~7ST{;gCvzT!$IM52+fFatn+Y#wzm#_v zz6@{h4j&fzoCn|jEN+8qPJyw~3Q{hzNn#v0XN!)xpEf~b;3eaR)xyr&GcJB5-fwTv>;><|UCNU9ZtlPJU~aN2CTok{qHo9PD|i|g z+ne}f2CNm^(9v?k@UNJ_*tX#n8-Q^*jE+SajSZT$!D2mWIxvTyY}lX)-&OR4pONMD z@M+0mUCNjOETJJqOSGLn(DqHf?tBIM^IPb96OXy5fX3viwxm?9UfWz z#Xbm+v`KuYgz%m&JOVgcLD3;{7dpMW!cXX%Z#c94zf#vjr@b6tp9${TK+ayaehb`kcg5`6Zo^;iI$$sLe-GGy zkG{TPxP7;C=5%g$8us3|KM(el58UnPyIC_z@i7H=%7%w|Q!SnSTRskVg1g`_xNfjl zJ$yvlG0rtdvZo(^gr;WQuBnlj=@~9Q11v4FmIWOWyW0!bv2Kh$P>#MBehXgwR+h{= zsYh)5hT`qm|B1tj>=Ivv;%?qyd=wAg!WzV3J-H}{bF22YK!=9%VbI20$GW<}o_q1s zy}WC=hfTI`voSz7h)e%ne&fT?x5*7{Z1X}@VoSmX0q-eWpPD;kFT$o2eR_?S99Iv| zQ})`H#A@W`y0B(1LSNjqnZ0?e9Uklnk71t>?^9-D%7$rl*f8Pe*f5>fEAs8qikNQ? zVg51l0CS0yKCx|W&Db>@z}d zWijPrIGsIArcQtA$e9bFPl#UQjFSB-deDy@*(5ds#!L7!`o_+3=0X*%E^QYZu**CQ z-NZ0fg;}F6(8XqFTb|;<_PBE7@Kp4Uts*Z@x`qC`o{4_3()9UsZN1nGiMOZe!ne|M z?OFRK?v%X~i9yvy(HV9n&J+`V3U45#Xr1>t6R-?8jHJEDw}HT0_ObWd&)W1c;n5mP zDd_9iTXtb@i&V3Q@CLlGn!dAVS>*kmDz58vYd8Kk?^bE-TQ0Un9&fX;yHJ+ehc0VY zRpMV;8^#vV#QV)**#l_RjTgGX&$U^{A0KVdT;J1Uhr)BEsMpf8bfYG{Lt;=aTz_*Cr`OYaMdoM(ugm@^T2xOK(F;Efs@4=rEB&KdEi}ia0#|K`iG4Gm}?@-R6iG*;7J6TBfhWbA*^?JmP5A$!9;~P2=|nk;OvR&c$KDO^I#0)j&RTn1ch7p1wi7FWHsh0~ zONRm?L@-71&8X5g@C#_b6e0ec?4@#Q9a>v_f;!fCAozxW0o;vC|eraG<J|RUZ?#v_V!RpmBkuT7>=D=^IDMb+A!~dMeJF$yA4K|A{7}}!@;3)L! zE@@;Q3(qN3>j3x^_wAOO!xuNAzi4q^$JvWr0>8y@jp(q-F5s0RzgGhf_#SkP{!)bxrmw$< zpJGb3>K(FGbd$PPmQ10m8sMhr^KfEqTF;?9tj$Rb0@=$EjkHp>(sP=sDm2#3iQNNy z-P)0A9YN%$HGZ@v&jjAG-kFAV6#K8x0%J~`J=P^ta#(m}0y&(PzwWXS`<7*lc%Qnh zWj%p2V;^Dc29spHaeN{B!H)ww;1_1h;9H4-TaYFGI$(z_PSydp$~psbs*wGwmuOYx zf+O|7^C^6^>cCyIx9=Be#%!}0+F@VdmWGHkVPDw59&cbf61gcg zYiM5$HtH+!YuZ8offKSmIt09+ol>WKXEouk6AbsZnwp?9XeDRO*%tbfcL_Ev@KoY7 zA-~Ud&5fs>n+0CrC3GY5+ozEgr$l}gIw15?8P=*~yuy?TFSH8%y{7K68e~9?w1s#N$5r3$hAR9M z={GzC8u9f?)rS?$={8BfMJ77;68`}H>Q${(wq}XPLLExivTs0EN?ew*wVY=aQgb+2 zpF~f9CWu9G+d+7~#9rBZTH|lz_19l_{Wl^L@1A+bjG4DbX3m-u`N@1HFMCM$X$2Oo;~Zwb0RlICeNU}yJpOq zDHWW@6jrFa3(2p6hfi_l8;>&nkH~IQ1NNhvE_GcgZt&_sdecj?c^2 zrRtg7ODn$e9IaS>r_-75f0O6wdppu?NVR`4-^;U%PVWQe~`H~fsh$hDKMjW8yW^RJpY zb;isYbAA%hA|00+kF}8lCiBMM@K@Y+`P?awTzz%%pej6@+)VZc~6Tyk^Px_+Sbr#@2w2PhDT0sf9p@bS(b1Fkx7{v{V(_xSr3=5_ zurv5^^1`<+ytDV4(LY~!_^QP>UH0v#GroVS^@guJeDboh?sW!S@%4?*ee*}}?fLWn z*wN(u^tqJ>UY`8ZQ9J%}!?zJ){0H4WzPe=fUwiGD z_S+?wjr>)g7q>6D{LWXsXXpQ9`YXe(`N970ZhB?OqxFBd{JY=!)=z(X$=AC7{?pUj zCY63=-s`_SaQO|@>z=tbW=(qSt*=cv>%9quRc{=-JgaWi>~D4XujTSTy?*B3smt5i zTH8*ywX_{=+t;?St+-8V(^|FDT8nm6+ox^RiZ%YeGwF^yW;w7M$C)x^@)U(+7fhe^ zqbV2s@Qz6{?wWGJ@*Z_~G3%?wEYRuwkjE zGpEeEW5y3JNM&3)7u+>xvfTR7>>p2YhB;E3roqm&vq=9eKidC}UhZG^kNNqHejaE&ATiv}LQJ^Ko+cwf;^UW;F$t=wHU{-U{8Xj`wH_M_@wUZH*K;ad+(*ndTA z;Y;_N`>#Dmj5+dN?SZrZal|9Lz8l_tw0K0*)%$A#=f5=KH$Q*-Cs*9_!HAdV?_T!n zH=p>Xy>{KMXwy}feQRywh);7@U-G}$1hF*GUgFEW@ ziOb(NuDkTR7oI!(#Fg{zzv-K=-gVz!uIqXC%}>E&micK+r)#-4^s$a-LwU9-^?cP-LD0e62$?tSJ^ZiJwUb(+=Wk>tu_t7W)-ye0p|I4oL zoLQdy?p*)SUv$(h_jgm4+?$EQUs=-aXTqgF5t$2(+zDy6dhfKbD`7egp367nwR~#vM~8 zeJj*!a-8{aR``4t}CfBE1{`T^u z{?b$#>AL68SGl)41&d877*vu^KcN*DQ&;+#)W3uGZsvaa``M{$x&LKjtF^x{SpM37 zwO>@`b^Qyr|E2E7)t~-3fBp;KQGbEY`IF}n@ZrDv@8&$c_?oZi#doCgOSw+}mS>XW zo;<&(Q<}qdq`*%j$n#SvSg04Lf6KMpm*07vlH5N!$WI@UuS})cL-gYGZ@HHH^81NS zN$x*4g0_s*i#Me5{#>Vj%QH!GPo7tHN*lS>^gzJiFFlf>GycL~@@M`p{$>0(|K+6+ z&baq_P5-H`pVK{{$-Q2r-p{tRT@>_Rkb6T(-~3fuTVCp(|K#ug{~ZEJ<%r@fJD9l3dc5RGL68>DE-5PA+M7D&0dasW_D?$t5jLrRC(3R;JQA za!D_w(gt!#8&hd3xuhMbw3}SgzEnCyF6n40wUA5FruZp?TvA>t^&*!PNu|N$l7^?! zrR0)gsdOc|q%o;9fn3t9sWhEj((F{ahg?!|Dpit8TAWJD$tA5!rFGu;xum11)Iu&vo93qsa!Glq)QenFB$Wn}OB$X^my%10rP7t;lE$Rc1ae8YrqXnB zNwZVw9&$;=sZ>cWX>lqoCzrG`mDZ6USCJ*KTa=RGFC4h`!}Sv zE`Oq3#lL^WRabohsJ`rZ=TFaEml<Y_anlxv~7ri>^Z-4cNpMCYo zP7RQTNJk{yHRIkX2KkJcbENXmdrjIqC-qJ~*B1x?E*O43|J3EMAI_LL92hO5LvC3p zY_WWKd)`ap{}hrqoVT+=W|ftkYnAI!@naVMha-8#y$XBc2NBwwaU@R*9?9F-9pBQx zth{3UPQ+ir)QWoIUmM!ojlJWkGoG}7l(nn`KbaE!p-%?pac0LF{jP!d()Pr6mVL%W z$J%Du`in7Je}h!5$vaLtejC&&-%cxLfA&DyGrpWyx?$p_)2QMt+N$DuEn3o~tt#Oj z{x8bU`PzETsK7soXDZ%?_)wPhB!(+{sgL99QML>Jm6ueR;!m6iU&8q}134eOKr^ny ze`9Gm{%t0)XeyL{iH(1WH?Ui%4#-u8w{n#kGSTnW^ z;0&vtoaw~)^en^YDvbY9IX*P?oEf?WzaPHEPt>_)GyB~H*YNWTm*7XV9ypr#7f}~* zj206=vS4$oF#w-Q{J8Od#9G%E$SEWQ!Ab(5TT$)nl4 zo6S3%-Moqv%_*mxQf*ZZ@7zHA&Roe$^;P6)xQU-FexhCa__y}UGRM%j`Y-L9J5Ksm zi$A6Gt+u^y(l&QoP`AdH>d}mH`bi%$c@9pl%ETA{n>xVec^eX=)i|v zu`x^A7?POt_>`VMODoPYR>Pyi_*_0$-COY+^=#w?yq6*TT<)cL=FvL7QW?*Gnn(UrgM!Yk=(zavK{egdAI2Ogf+P)s@a^;;MB zY=;#-fXCAKC42&(WcV>Y{m)fLqQ7_}5`E^ye(ic10t$v=dM(>wc9q%W5Gc5Ry(3M-xo(yDV zLot5)at%%Je!bA(XX{#VfV$Y12JB=H!VvnB+MnQtL->Q1QC5U=oDOO}PE7ea`=y36 z_NK8Ke)RlPk?7NJ^oy>0F%n%_-OpHq{JNuF?SXMaBZ=EjjM$@l|B`C|Uueg^RQvb- zC)@vywExJ_6>lfLS}kz%{outPK2qI&USt|>q9ahhR}^Zy&kBz;B@R;#3uSKs{<`dy zCLRNOk!1hLAbeQy6=qK%F)P_4T&Q~$@A00gTZuyU_f5su_8xpW@sC{)U@!EG=mEzS zzFUtC#8-De{Lcy2+I>srcuk$qsi8+l52qizgC_T}_pY)d$c@%j8H z{>(0LF$U<2|5E{DXk6Y?`}#%iu(Ge=S=_KfBmL*>*G{&sKFK{|Fuh2O#h0KhJ&>I6 z(&+?ysX6^c0DUL5vpN?!KP0BGBKmLqQk)au(`4dsv7M`87Rxdh7{1^f+-Y z9&Mvdh8?<_y{sY1>`q+QpR&)Po3<>bgU=g(=Z3&@_M!N{V;@8#zl6S5oRYn!iSu<` z`X}dIyAOWXFT3@|Pwdb)^yIkzpzQwXvbFVo*}+uV#RsHp>32tahy$~lc0JQQ-JV}} z{U)|Qy7E`TZ(1xpcp`CrwPBpE2NOf%L4!D2iLX^lT%b^5NIYZ=DUo<~5<@5qO@$V=Bd>>D(6t2o1O|P`So}68J$__mMu8vIM z>Z1Z%_E>ZyHtgeC;@RvJZfFm8#ns|hqbr~DaWxwqwLAFw)wqwZ51z}}VOR0}P(69{ z!Q*!5ci?M#`93X3`K6CDUTOT)9#G|@>-n@${BO~f&j7EVr)VdxaMgGwO)FaE8Sk%; zu6&mEOlr`EMf%tVo4Uih_`=p)MlT$hjI-WDxt zRxxknebTBBIcZgpoV3ayC#?#QlUC{Eq*WR@Ny=ouT&}ycjJ>+MpxY38?RUY$*gv{- zP=*q@_JsTK8JUwN z20-&+AIC*X~^3=K3LcS5Zz>qftPy2uaeb7nIjSh{Ui%xoObnv8e(Miwc{<-L) z=UCmr;aj!wO7@qTN{2jB9Qz(Jop$Lt>>taae>u@%dX5wB&R+Kijbw(Il_-!iIkBZI z8_0Z}bDsZ5e8xfaZ{RgyyP=-FNTyy@&p8X@&A8a0yt(L-1s|#V(SGlS`te~8qG=|s_b;A>*g$DAqC^5j5Ci=I=*uQ1VOmOUrl6 z!#+)nfEO(LDtdeo@1V!e$BxE*)$Whq;Vg_`YjXC9({5$9%+>Ov;fM33e%UweW@8WR zR*jycD}4c2s2HBWMRWgY2>TR)#eyN4^^>bJ5`(I9oPtc|95=?3qqI8{qwdTmz6LRm zN^-35o!Jsg!Qa;>Hp~L-gk@PVM#1!fvT=N>bkpf`3N-<8Q{+qId1M z2R@sT%z68p-h)4nC$E0tDtB&HUUGSOFtEw-DwgRo9-_N37P?>Wel-@(*7~tB9@f2A zYYyjRd8IeToog45b#~r}9-jrSRx>_De6t@uYF?X={rTXD*n!dYbSu@0A(Uw?^F1BVgcvAr{ItHAp z;W2u*#9+o?@GXV??WSqy6KC zF-*@*42$O)7nJ0>)3WlMvBcMnXy1n41ugdBM}>CGTmA3y7SC|aF+tiEa!M&DZ}F|x ze01+Dy_?GzSsB&cT~qIFnbp|}=Q*cE#vwYGeo5@tA#ZRtlEg0B&+q-ni%D4|?MN)| zI@&{AJe?T8@c?ZK(%+EPjlGqb19UfA&vMJa8OlQr06WT)vZNd-BSH-Gex&}SfqK>v zE#5bw;z(u^e>_AC$Lj9R&j!I)HDX{uL&J_`N3}Ykjqb_mgNO@1EiSeMego^-#OB=3 zvv|+q(oCBr~9u&FZN!_tKV)tkK&>4hp3D1K5 ziB<7E_(@gFGADKS%x00X-Pu1J1OMnh@E=$LjEGl|T}QhbXjkm3^f%xwJdNH|5^(=` zx{dQWHrv)~Y8Uy|c&S}J|~ zo;q)8(U$A1Oij14W^2|15+`*dyeHV^jC&0H!2TpSN1f592Y2vpcy{uZ4~XgBrTyKV zywF_vR_c^`r2WZjA3N#hFn_IBhK?v@?WQb|$s&LDD>;zdUB9wxSvxYEvqQ6`9C+CZ zpWiONXx7o~jo_{>yi4Sy#N1Tz?j;UH_*i~txe1?JnBZL{PkGwsR{DjxF`O)Iyas$`&-O!20-vf1&ULCW6VZI| z*Bq_*xA9ftkD!k+Pq|p?7roaNTg2@{;E~AqV6mxmAAwEzUnBOk$8_uDsb;4S=b|m? zK9D&;Z};>$)UThDd?I_Wy8!vI^JU##&>gvpjsa{s!y>uZJW6|x`)w=^Cl@q+hc*U@ zq4<$&z7UZ6LSOv;(1%f02Je5MuFZVnX3WvS`yc!I5;_%db?V@%KKNAS>r@Zc z0mlxViZbeD+~vK*vwTlC`aE-CGo-_ZVhF0m2)c5UA; za1yx6m?amP;2U!Cfq9zsPY<*i*d-G~{}I?dpy2EN)5%x)mh$#~DBroa^IdbdK$3GB zJAapczvFuq6GipQx^029=bbL~7I*zVG3Xz$e{+tzs&~KU64yMr#blh(SG#2)(?1P( zj2-Q1cAhjf=aKFKYXQ2V@G-_)#t+;%kbETj8?L!nOCBC{nU$G|+4{V5)O$+rJa#rZ z#d}ho1wTzLXyDAz2r<-&Q^WVxvUh>WPnert27FD!o`HON_nX?P+*7RzZ^E>%+o?9r zb3E-fokPr-a2VcWIOTQ3FRO=->*uV>{d23guqGyTTHT0wakTHBr7mX)d^>MPzSJl6 zCzm(0KsSce>&_$Q5Npsz4YYjYRp{2Q>-PIn_chf0VXO38)%yhXQiss0luv(mF>eSv z?=Bq*kIr>NdX}&6ypcuOxzT=K!M4vC3Pa>~Np8DL9xA`9(KUS893A#X){&!Q2EY%H z@yOU-p{s?@DLz`h6ensx-7o9liIUngdIH9P@3 z>T`#PcOg1+9cwGQiM;{8?DTzdV|})g13}{Fk6ZjYFjf3K`R>w7gonG?v)lQ1^7_Xv zp)WE%DIQK+&g9|mesl&8kA2m#pO)F*Pu89UHq?L&9F`SpQ6 zZO%=@LbP4g=gX->GS5iKj5nAA()V}&d}e(^oPtmfDND+bded?*dG)YUQl3}A_{yAR zrIeSJL7nC;<7zi_l^xmur*{aNt4_(~?d#jyef@fqbqMN8!Rf*7ZO-oBeNMaY>Dum_ z-#(+=8~Y%i!Yq5?iy2s(8N5tNc9(y;Z<~rx* zFz1t&1hJh2tsrtg2!9tm4xsyFqq{!n>pxa@Hu$_KB(&p?<2rPfGjMs&n?6pI$r>xM z%@!~IXU6beh0A>3884*#VB_%G>k-{3XCD1LMn_rk}pvw#Yaf8T*-`8IX zFH!S;(a{v{C>udqN9&-qbhk9N$@iQ|9QPWrsRx(EoDqw!RQKj)#k}qx!n4EK ziT>4!S2Caute%#DaWtaQ!t8yPhN4xn%+^s;L++%+1 z6-78RadDhETP!^Xvoj-c(FIav)>^>P$)`R0R=i957mB_Fz5f;c3q9u8*;Y5vUvg`K zzmd}Gcz5rn1bTy--|g$W3B4}H_pc#)(Lb=$)ip7XM(*}Qx8Aqn&&IRxr&Qnldav7N zh+f%g&XKl}B-UW}Ut1$^UV=k7G^D>`(=ZSAai)ZL3%iDRaub=$o44N4e zNfv}ErHumHG#z)r>0+~Y*YTYA*RK7{>z&LGm3F2(=BAZh-`Q~%<9C18clyp(IxlmI z=-?9UKCA^{|Bm+iI=V1&B#2#cKJx2iw;10UZWi$r7b3&vLl^jOh#dyLhTRF=#%JQl zjxk?LF321rzC!MNY>c0IzW5F+6f#!jKGSMto<&`aZONRhlZh?aocaFt$z;Zjr<_MJ zua-H3b9mX6uE;WUY%5#zcjlzS9yZ|l_-kMT>#u{e=NTRP_jNxP_!Z&rO-w%YUuOW=e1`cmHK$db>owK!s<-nZg?8DGl!P;@Af zy&{95#SaxfDmL@auT&pQ0uQqkCnE&_p0~TO4seSi6_YbqC^jZT5khNNp*K!-Dyp` zZMR7a#J0A?E+kZ4lXxJ})|${lMgk=F{rR4mJb_*B>+b9RkynzLbG{Fs@8|j9`@w(e zCnDuyr&{6%y?N&qO1CL6FQ068TlWoR2Dq zwsUR(m(=CV{jSivoX?mpey&afew>(i&f0qHhnL3AnI@#-TU?SPCdC?+{&=bMkT={* zYKT#-H_`>aBfi^e81w*h7q^DJF#4qU)Sy#mQ(??`EH5VXoU1pJhf*C;I`7S!&tnTM z8l5BHk%zK8GHZi0XxHN>yHnm5n8}#JC;jU-XKkU>I9q7kdRq?NDf^T$Wo^i*!QdQn z<5pjdeT`m`lMh|*PWpZ&-+xBN7<;C10G%l8E^G}0|KN^l<$p#$zr8)??w>o#_u^MP zKgt`^=urLbiCb91a{^Z{mQwm9vibWHaU*dh_VHfEA7@{7eK)|lHvE(8+9o~!aqQbY zW9z%&Wv}pd+3WTRdTlJL*H%5&Vdcg6e%oQ*3HWNU(CW5dPr(0Ygr-ZlyF5!X{69)F z-Rrt0KO4~6x}Qw`92}TrQzS0EtGnozIlT)W`172s_e^kP!(!s~#2?CfxLE5a z*gC`C*K8QXWF&556SJ|2zZm0UGw2A#&h^G}))Dm|;x226_0$sg>As;?;x8&TvKSxC zq}WJ*@hdlQpKD?N?bX&sB% zEYgXK>BN6{e?cqpA7VG9#DSLfVEgvK53q;F$8Wl;4Bn?-mQE}PS;)KYqGSX-Q2Hx# z7B(wCtXZjYLhH|t*h`a=UmCT`UogonGVLWbCVYmx)Jsj`Ri?;T?*a74rRz;=3O4W* z`Uy#&kbKo^B|I8mDs6>F9Vb8ZxY*^`>FbF#T`K#Yv_|c3UDjxb4fw$65qt|%n@uC3 zVwIz@DqvH(n?1i!fSp2YDk*S?*^BvEA}}jnEa%p*z4CYJT+l1zf0v%UuJrExP)&gW z%=(M<0{CYEbA{E}l=j37IV(AvMVIE;3*`SA*7-2wuFnIv_`j9)C+X$e6YC#nw>jI8 zL9bu;l4O3Ic%-q4`0qz*@{RJ=e4AWKTYHDG?um#G)GCC=rvR@9(T}7DMn1ZA!|8Y3 zMY`!3e13nPR+%?^t*O0rh)Ag@u&P(us2QJc{-u8=O|H*r~tNgE% z5*LJb{2TBO2@Y{4;73h}z3SG511d{QLFAGGK--#VhPNjVQuhR))`6{X86tL88eanOn0st zxGfhqQL&Qn-Qc*c;&1SRmy`}Ns&n~MKR+?V*T#C|>EL6+n^ zfXg2!{##mn7dBbgu%V~(#DJZK)542@1F+d)E>+JGyA9xY!yd)|#>Jk4`O)1*=g;!R zKNW*6ZNKyV=9k!SJnsj(^Uc%c?n>|<+l{;6tAuxQPKD%}0nefxV?4j;)d@1Jy0}W^ zIdrf4o{LY1cLF;|bXtk~h^{y;hAQzOvC+nK&us_qYA->afp>yaz#-k&tUGJEtB5Hr zcbD*4`1a^1t~_FXY&?!&KfV#j*H!3rH@q@&ZB;9SwKYB`YZE-rtxxg3H&Rk3sn0#0G3H(~StG(-WXl*1Nem>#+dVlmBAJNT^ zhmCV=%gK7v>l1Cu>V}U6YwvnW*6e2WCh^C}Sbs`yb#CqWmx*v_zdRVLOT0S z_)XZ$oAA(xSC9Qj{($1A2TqT;`=RaP7pcj@Z?bJK_o9;nK_6Xt#yCK)jCx}85&Q^| z219c19O~Mh-p0N21MB`(#&GvH<^KQvI6c8ce<6S4ZiRP(=Yng%u-~h@I7?IHha_55 zE{WtxI9lYRHZfQD&uLZ;qDOzc?oS$CC(W}IA{)WFuYs6Q^XFu@BA8}^myF)hG1(LsV4}6{2p~NZcdlPmw`7LJ0 z6y!um=z2na4!J@98SYG!{p9PkSKT4FG!c*Vh#!hPi=GP|Hz!5sQ+&3)@yBjX7D%2+ zdshd%vBP-+*(o`H>_zha*vCV;y?MlSWN#B-GSIcvN?|8-cl`+X>Fz)AK5=g6kkHP+ zi1^LWf$`zU95=|yUo|KXMPqWP=H zd0HubIqdRQ)4pguXOF&x?}pqqnHRkcd=Q)<_p`sf=%DzgoZEVw=Sm9slyOWt=b zZ(HLB^Q8-< z9$bu#&#r%2dxfk=-cf5}J+cPBIQpJ7SP{?~xz_GNSCx*mSL(nIpj3DLu( zC(^`my9SIV$(>Klpuc+9Xj(-c-GJ^~|5j9bEj!UjDDJY?vY*D>Z>MI9zb`(sm;SEg zpYEA;pc+GBT)T8WI5#b1T(X6+(1E3o%u;$VExr*y*LwUL_prtqojiNR|Gfu* zjnHErv{ZHtcyeOIxr!YB?PbKf9*3_Lgx#j)#ZL9ci1O>y?xSzYWz?Cr;`@ZBl_i-A zxpGOXZY-|R-Nmc}-PQdNII;vB)r0;pZpAh9U|$aH5(j-K6}F!WOPp-Tt=K#}zH}S!_Y3Y2`nT>JzM|j4dVY0T83_&C!)obvw){*R=ayw zpt}*T+d*&S)$Oy5irSEqPkst@M&1t2Z5MfzJ1VKe+DCjasykOlXL^zgq5RN!TAv1= zlKi5=le675``Aw{`EEQrxRUq+xLm%R{e`KyJDjx{_VM>g>{8Y;d5n1{i;bo{%>`$j z7%{78yY58E1&ZEI-c~316=A(HL;kv%`Fc9TnrG72CyUR5|Mo7Ob?U^D9zJ`CPq|Giz^fCQ zb9IB9!%#f$8O{Gx1LwOu*mpg&F1e?5z^0n`O6{J6fBmjA?%I8dZiQ#dI%MtdyOyT? zhJRgmuh6KmFdTtSekbpb-@D==tP`G=91jO;XQl4nYQAeP+Jnv1MW3HM&960kEfZL7 z>VqbMWo;k4c#QvoNAKpwmT?8PvNwUJz>V+U8O1AbN@{H21DtD};Z&O;!8>?iCMm^6n~&Q)CtUJtg%!%`3EOYOb%mORF+u zOyL2|$K&PW4T?O27e=cU9|o_e9fns5ejyvcA;#xt3FjNx7wK;)`9>zuO}xQ;*nERFs68 zFf+=?125!U^Hg5{pwe&1??-n#=|#Xrct=0?0obL_C|V=WpWL?=&Ks#Q5(1y2J|7riS zhRcj5@Lgm_ZD!alTZKPj? zBkXTk@v z-XGOHm0X1<3XbCV*HT(4Kw)d~Gz z`$PvyoZi8FWIR1>>zN0cUVoTc4|M(`YmtZ8EXay-2{QPk0^V`a~0&^ZAacUI%;Jw8OCK>kVu671TRL$YUbr z9osbGgpW*@`zNe>f!Sai=UuP)vyTiL-)63Ibdxe_CbH|c%ibDYyS=wp@e0jB^56E2V*-Zx`R{e{S;Pb9`?Prf66ZlbQ$GoF(ZagaIZLKL! z$T`P%w5gu2_EY=i49HtZT;Tjo2fZ}e)24dUs=wC^#%z3>?`{gdtI(}Y9ej5n`(0O` z6|26|igx4oDd`)JYsl*V05*#MPL{Ld<*=pn?Z{H8we#|zH_^NIT&o#-s8bT%`v5kH z=pgd<8G0?wI;!}+Q(vL?aZ7Fdi_gMKYNP%)%g(ybk~92B{+aX9^Qb(EP+Nw4%F#8y z&ng>1YyxbC33h?>n#|e^UOv2ywc;b1xYn{yf?E#+>w9V3T8D$R1~whJ*|Og1aqE4u z?5y!2YSxMiWW6EqbAs)_dPnUB>CY*)1Z!2jCB5hhXjS;pxAe+Q^c~k)R6e}j&`Vx4 zx?X*GV8c#595Ong?R#g5{fZquOZp{hVne1sXVMQidPZs!?S>a8yywq6d`8h^v`)9w zJPVP9E!O*zxx3DX7U;|idqDdLgS8vZCB4JaLy$3*-VQy6+#?m5@$aUVzPC+J*UwbCyLTk2!%Ly* zS-N*Kyy9VaWka84H_jTN?&y@rQOjJYyK6c7$U@C|%p@n~*zl-6!`(CjE9Oypjk|gw z{H6x_Lyu`g#_gP?D?Mf=HaPwW;?|nfXr%X{D_po;@lwT`vb=P3EXFWoEE#82Fpe`v z##l$sKUoWQ27V;#Cgm4V{EamP_nNI;D7bP~aM$b1zyq*(1(!?bqf=h0r_F(c-EdNK zd9E_W+D-1J!FIGCraxeqvBMF1sP-X0Kd0G`$Xv%pjJ4)4E}!cK7JW>^C{zSt<}`1q`;o6nA4x9_~;3+%nk-y&!G63#P^*w!WI zwX<{c$$t3zH2V&FZPd4s>&w2wat3N&wb%~o97=rhbz|<@GF_gN@13xTP6?jD-_fbw z@gmf4N91qTdlP4IQ!?ZfemCryyMfnwcr!MBM}TkG$uV~gXJO*^*dC4f+uIa=0Glvp z8+Oa^E$ezjovj+P;81~;f@SeO6?`7;_&99a@lpid^{K#|k4)jOXrOBLp zHl`=%bUJi7(V2y3d%#QK?!E|d`vK(_v?B1HXf5{!4d8R!NiG1kSZA;Xg%?lY>zxa( zM581APlzF$K);i`#UnehspP#f#k&G{(MMhG^Xo$vPDB6cL~hS$9`u$zW8s|9y%%_-s5@nSW^}-3;lFa9q}=c5&8k;?&~Bv9DZJ2cc##~t&GCt&}>x87ar}U?m%`_19Ma1sr^lO#}k9_4&f(Z-6`A`H9GIm{6gw> zHkt!!zjeU2F2EBD{CZzp*?w$T-bMb?ciSAmCw7K2%g0uM=gts1-tJttnYnp}^~rq( zLf`(UOm#1Zz#UvV*KW+1sxP(blbbg%7>svf1pd9r52I&vE?0a4+4CpI5!~~T(H3|i zFi>)`Bc;VRw1E>T&fAqDsn;D#DITrIw9?vl#-=+5J>ur`BdwI=@az|W%cI>~qN z@v-cd;>?e_DdyhgVyR=F* zUy3~vzew@$FOwMS|wcid|vF9~s<@ew# z>?Kl*Oo!)%!JXcj$fp2@{2eEUI__Y=P=`n?m{h^^mHfgq*>6V{V;mXMs$>UJI=7q3*EKLHEQUl3+#lSDfqoqk!MdU zJ1-sPd>)*c(l?ydc~gO1#<}fO%rnctQGqis7MR(sdr`8cYnO*O}4mlgX8+*(xWv{U}i&ryej^Wgx_$N!= zkms`V9c?zN1-3<&nNax4*u@j^H-Svm$ek}aBs|~V1CE<|wcxy*1$3ZrUCu%I<@g^s zyyEBQA7MYQkB!gw>iNmz-<}))SISrOzT-bXe*9m6SHxt3*oDYt_m+jCV+Qs~DS92Y zOK^7#zRYoP4c@`d-c5}+cf|~>d)hcu#vYLCbz$x#IhFA8uQA{kyW`W8fE5_7!K#eDFi@=jgft?mT0W zcjO;E@E(7kFwpbSBk?hF-!!7ye&1vAoym8mbu0DC z@Bs0_%H78vvc;J`R1Ez-Pp#u3_ts1F^u}AU308ebVA(%#!)x4=x3u{t=%Q}LYULLj zxZx%I@*kCFbL&VCt<}9J82eS~+qVxb37*^5NiO}Lxt(Ri4~Ec1){+k>V-|IYZIm7B zF!x2qvUB~jTATO+2ev&Yagy|94VuT@A!0vSW|>YLXCf@o>*ef}T_do(P}xW^WPJ=> zJO(br@Kc-cY!f@1d_CPHJ{L8X)I`A>xr;GRVDG-V5P7mz-Px^d-TX{g)`ULJS`I!V zYxN>EA=dtkyShg+ZrL4jo(zYHgM;@;YHK=6b7$}8o9M6dUeWK2wYx*_dB-xZ!OjFY<>TVEeGZjv>; zjg1{CG&=d-%-7PtBWG}e-kch-pUeySa~?lyrLN)bjT-L!m>(9qB*zQv#x`v5lf%si zuy<=$2Yv}vzsj>I=0MiT-F!li;M8%0^}1_YfoT{#sFB=|M7mP^tk|nFjsxd)2jH>3 zm0GU5ot#50d?*K}$Bv3j?FsOwsE!)E-v;=z?Rh^I=>Ud@t!Of=&a1oel!s46=C#b$ zh;c+{o^?aN0gp2EbgE6Wghyc$TlbQ~GH=6K#^^U*D;ve{_-SbDBI@BjUBKNxr{BoV z_Y5^ZhupQ7jM|p|Op{u*#$S6TdXHK!cRvL>K*xm70-1eyl&8?`B|P&3s0DdZb@AmcyWc>IkrD%su`=i6gPrO+vg z4S0dUb2)wxA6liShwuf=8Hxqxba00gixfMLU8@JNjDwHD8_=7^!3mha=kuZ6d}ucx z+9embH4oa&gLdH&$&gbYtO?rIc^><}2HKQ&vHw54+c0kF(Va&MxN8Ahe2N}%9y)v3B-%rc-tbRp zb63Jx(}Vp??x5C^r(hC{Gw9Ebyla_%>f9QS``^4a6Tku-jtSokhc$ohGsM|Mjx%?3ek2E<^f6>SegkhOvRQ0i>@W`- z+Iy7$52F*Lmdjd=@#_qD;oz`a(JHXinpZNe+^zROUqWE#rQmsrAHJ63hr8g12C%}< zp!lINfgjElet5%4X#H33FE<)r_P_MvyI$(_i1!$9JrZNT0;i-wzGC!)!Re!C-xv0e z?WZ>duzx`6R!ZLTzxv{^m-^kv*coQ$GF$O=aBgxYN(XF9kh_yq{1qKg_-k+`mGIa` ze**n0K3URY00-omf$pVM)?<@hxbIxN7d`5{cwh2EE9(!1_k}F1s2eb1h*YjN(rbP5Nfo_S2OE&ONr=-iILj}+L>oyh8w!$M2;4Der_ zSyE*CYoe#Zza$SK@ZV!I;6KCflhlxFLf_Q?mIU{nO+T*X=9M}9*@N$izV1bjA%DR$ zXpS78u;8BspPa1woWl#=2PW<;aQG0pVyqJ$w;kDe@*Lj8yJIq?>yPCBiO$^PK8Mfm zxfLW1*NOkZa2H0xUW|O{(rL52m?pAh9`c2|D!JcM?yxMKUxM73c!#Cjc`5f;a_=Sb z<|2F1D~7$e6+31<@$qT1Y>Bs(y@HL?Lw=z3&Z!dIH)(MjoFDJ(x;=i6%|ABRG>$9C)k^JnD(ri@<># zF1^7xGiU19k;LC-f^*oCvs!uPxK{aTM_=4s^jpQ>ftNfZFw4P0%^#`B>+e4Jw#8Wq{hbSwjri<^XSbTj66$!1 z@L{x?K@6pAOxDc)59z*jK{pk zv9sn_!pG~m`{4cJa<%p0|LeG1Lp^stB@1Nj=k9Umh&@xMCYg0mr}rn;5&17z2QujY z(L937z}2E2NPLCLE~v*}sN|OT3v+e>{z7FJq|S~%Lul`qoS($2vFVPI|1bBgFy1mP zXUmW~4Gx$gJ9J#`ULa=F%eXsvW*2k`k6VpQ4D&a>R3~)oda2E~%$&!#X>^~V5j3cM*v{5Igul=TGyJJE|q&j??s@`s}z1u@)v z(aEs;A4Nwv90_8$hmh6dVz}OyD>O@DxYdy$hFiRM!We$>z1V?rF93KxfhUR$h)$Ko z6?o1VuAH-uz5fO4*sE~m|HVAYha@#);EI8NOK?SW&Hz`MIMZg$J1lx9xi=^2<2A48 ztQ7@Dicbp<>G}!!-JH1jIJWEz&d4-ut&JW7+mBi+>*w z=G}~X4qa+B6-8u9o@uJJLej0v-k|Wnqv-o z(WA(y0nP|==O&p3y6Li6ku71f!U38l@|N$1yt%%jm0 zsakVh?*+a`N1iSs1{pqqO|d%Z*CXfADMXiWLK*7mYKXI=f7COUdAIKTp%dPSp2sCY zf@b)|nLh|zylAoJ?;CsKK1Bgx$|BJ(|R zFTH}@_)U(7tbA&&!v^>ydI)l#^HMq!_lrRPVb*va-KdG2QtXzSdLr>b@-3)Qj7P8| zs&;)0+{%;QhuM9E&y}y0BhKm;>`hdshiunB^= zQr|G+##B$m9V>~0bR^I_wRrt66>oCaMC~-P$1cv~Y}KUG5+VMC4#GIXw{!ZY=$g)q zj*!3OH2!LE^L_T0t`%Pmc1Y@%)2&;%e9)I42+mgizTr*f z8--VUwHc|6`i}5IJH*_`4tp0l-vf>RtnkrHP90BIob4|~my`479;|5ANBgNU9H^ca z;6T@dVl%-9ea%3wK%#lsuqv#x>!2>$Z%;qyIKGMq)}ZM&oAD3()(qJ?@## z^EJVIk#Wyf=V#}u2M_XqC$_;2)JKS&fNs&g?w`4LV%Wbfe818SQWa;dO5_moK5o8) z4CL%LB8v*m>YUy{4el9PqsV{OTdi!KtR2&Q9eCc}_=Mt*ViV?3FP}euinsNh5&SY! zz05}Hg-qyK?1l5&M4WGd=z-Mwoshr#+qeA@eiiUkVu>G2-(Gtb{!(D9tts$Qm70Cg z@qFU_o29<~GZnbvPpmrY zZ$I$|Pw8snBiZ(EA`|W!xM3T3FZ5I7QX??l$LBou5)XzqGp8_)!h7(pUE#aYGu2Sz2KX*`9gjx!xY>*EAdB-GB_8oPa9!l{x>u|e^z}$I z>dxvZc7A1si4Tcg8wzqYIrCX2u@|{}7h1s&>TeqS)d#l8rbdS(Ka};IhSn9|Aodh< z?r)2U|4?!*!IcqX9A7cAd?m=k+&jisqVOx>8A9hb2fQbEhFr1PP3ThrU-4oAU&%e= zZCV6>it$Vvxmr50`K}*Xb>xFwuv_l9`-wr11nDQGdhV955FZu!2ReFolK3b7BYg4r zsmOnX))&0OS+4srxB$+8d+3--hb6a?b#WKa4LvG1j+$e1E`zbcDvl6|6b12wn&}gM zOCLdcxQS2EeH33*u9qOa1?ApG$Juk9(RL}m8)`oBKT9k;s@{k1$$Rp= zyeE4ceP`?$HTHR*>D({R2epBc3z*G4@-h{wE)czx*xlvKK}`TLXm}j)0Cby4xoM1{ z@?el7BHIJqc3|D_-Gw#iR@j#4r~O)@AgJMsgezBR@F8lio;!+vkDM&*25R79s%EWp z4>^haEIvxSI2um(Rj3@C$+dC0IjxLYicgPv*tQX`k9C`uQ4gEV-6%cESo|!OI9b;T z_kyFrT5eC=C-?Nodcfx)1Nd4S+eVZPEcAn)9~zLHth4wsB`t+)UTtjL`#8THF>i7Pt)cp^4?dW-=*;J9WA9+ z5IB$m{PHcV^%UbPI#>RG=Wqwcexgs+ju)TGaIzSPW_y#Rb#FLv&2o?}1v!d&QSj@36qy`K(mXKs8oeL1>2 zAvLQj)tQrfkfT-3YrnVlQScZ#`=6N^{MMZ>X1M3KE#lQRN4y;$)r8L6CVXx}XPe05 zfUX7KoO_S)c?#QxSmE9_Vuja$U*LWj>)umOPFM>5v<_W?G4IWwgHt2)#mqdf6Mj{n ziCT4iQK4m?`Kk1J^)~(`I9MllxE70ygy+4Y_{lNZ(|1O3SM6=_IW8L$Hn1aUiQc_#;-zuPxutDW4?Essb$l0cuF$PlSLkE7t|bN6{kbRGr51{3Ur}d!dnV?s-Ov7!9dfq8 z{$J<23*g}~uao$Heaf`zHk!fSx}H|FSpjXP0@`Cu2dr7w>i%@|fWR!B54}M@)Ecwi z#&-ML_gu{S4+tIb|3M|wpQ29^{VdcxpJnc~&?WPDGLKqA$sxYG$&v5KO|j}bSwmZP z4UOOMwr(9sZ+Qa#a$hpLpM4(kNu5i}wtz=L%fhEP&zIC#caT>{4Tr~Ao{XjDY5XPr zNovdnh;2!oS=XcTzZd-d3m5v}vt!=I%gH6=o}w+t0F!f_8bueU_CZ6oqRUx)Po9eK zxDltiEo7{UP;1bc&C^3q6F=UanUJ%?R6JXBytGN*D(rT7->*kMm3Qz(%6FB-^1R3D zcn`ky9(R~?M^gIO_xLQLr{DUXRv8-^Zmz4Ywqoyb_Yt{l#xiI*qQ%?Z!Y^a$$`0Gu ztHn1qlV@PQ=g~vbimcH5|MAB&{&rJx5i>H6{6M!-KQdZJitYiO%*XE%!H$LRK7{@A zXc_0-L`^6D%&6#?5qKFmOs`eD9K8nJ7yay57*iRjl|GljX&Z`lX}mq zxi^$r%fSsAy@si8GWB$-EtIaW*Q__9(2zx1H#7@?T-eEt5EW$Y z+9*c{iu?@t>{qf{;MHH%aF6g5x9MkLYdlO!MVF~S?kz_j#=mx+wLljcxs$9?@+|A? z|5n+`k*Wg5zpuYaTc%){tJ}%JP~f)-{YdU?5qNU9LHz3XLXy*|^ltD)?nPAh{~zfj zpGk5Nko`MnA@9NSmrd{xnLogtS8~@vzSRDp<1a$rU;L;V*XdZ5=jCq)X8HK2$;CSm zX1}}GH@v?-dX{&41fKG~|41!9^DXp8-9kL~V@7)OHOX||WxBg^@;i-hNX=Ow32 zY9{$xucY=T4&Rs0L}p5j2A>U#eYS$nR>@~AW1lVLGkm4o!H6BndlwMD3u^2cGr$*U z(PBPt5FbfxG~^A+bIkosdgBc8Zgmtsjw~$A@Oe;A=IwohK>d4N8yEY?$dm^H6HH)Kihl+a%zDcny!B>T+ z;IZ5xAU3me-Jk1L3S0tLy*&Kw;H&g}aGPrBx4oSH&6ntDbfk10c^}-{3+>IZ4EV%s zcwo%ZJ=4&fuUNYH^W<(U|D9PQR*W3Od6#J3L-?v6y+n&oeMwK({hQ`|^(FjBt97dg zU2XW}jLN94^a8PYgx6TcCp722m-5_?#I*E@gV-41La(bx_wO%4S0Ek`;-{WFS;Nd_ zz^g^4`%PGnZ*0Tn;3t(a+&x!u_b5O0E4BEkeSB64&)TSYIDg`OKK*{)q>c&y3};Nf znh`fE1i{Hn$J({qJemrb_{osgIbOWC? zzI`sfv|pg`B~kTRg)hR}L@wlTMDPV1Qu-QrqGSX(gWXqXtu9Ll9|aasch6?}QgBWi ziK)tG4ampUGZIdIIBa|?%ro@w5!uQ2el7Z&#Qxx2N;es3JnY2sqN6;U_s+++g_rts z!b9Wv)ceVcAdU*Zs}sK3cu;DgxKBp;L`2TZSiopdbd$;rdbMSyssnkD;cf=-3O^t3 zZ525K+>RrIE3#wlxA!~~mc7V$jB#AS4R{&PLSs#J3Vz-#YZCD-*HGUMJhLzqxgd0B z<;_uaCVBtvw^oqv0z8jYs9Kyr9#o8of0{hx40Kj(#a8If>Sczv`4-K496B;Dhu*lC z7&^0`Gs$c28}>H;Y&agfLN}ViI`U1o{{IuYHM2sC*S8U~HS#_9V!G~g2`|Qb>`in8 zZxeEzG2*i}pf3w9(GRN$`+E)gQFj|LDDuZmX!MKVpt&RArJ9G8e@EVly~qBK2ya#Q zHyTaLp@*M`@gae&9|*rIX{5qJr@UnG;DH)mMRmT`4zC!q89pd-;Ut2O7|w?f<*F=FgR z7c}u*p%eP`2Zqxx9^l>fg!N_e(~&R2v*ky|H7cT_myGF#=!L?sg?GxC#y9sRob7#c zJm4?$S>{S;_Auwh{Sh+fnUk|+KABhPpv)_^#Ln#+!c|vTASc?b*xX;)&!hHr>t8+ zj^`|0`h|OIqAFjQS|xS2S^Qqs(t7|rI3hK?Nx%0nxh}&a>7q>1${ZY#IjI3h@8#UM zr$Ek5{7m4Vl9TqL{lbR@-$rGn%J)UL_QLQ5{7vA|+y2TtYJ-*S_ZBn)?6mCE}f(*eTCw@h`lMJzP6dX%1W&-|#j$qD}Z<_JxeS%i$#*)N={mC*URE z%#27h_Z%=juf8e!PW)IC^N5ZmwSA@dk;nU%xua0@p+I++y54P#=;Os6b+47c+lrDO zvtzBY1LODZ(7d6{*M$eh@9c#hTak15z^X>+Sn%&ogIK5Nz2H=#QC=gw7n!~{k)GK} ze-(Jl+9u?~A>QrL(wB9^L$HaKz$+Jt-#9<&ZF%*F;vcjkRffIm79IOIA+ZWzr|wEX zUk`zo=lD3;tMX|QqZED;_!p>Cab-PW_Kq)}b%p$f{2^;+nesOo3r*ci_5D!Jp0)4u zwy!3CWe@W`E^_V^cP6W~0^gJ8;`c6mN7zV-mj6$|8MsPqn$e%iNiF%m} z8~N_yD+D%^;W7#j-b>dVgO;e56&{}D-8ObQ_yA1qRy8q2}bsZ@1*Gx`~O9DRNv z^)csp$1RT{tGI{a@J$KP2|Q$ox1$_C7`(L}+=+ZwbKdJDt{TydZ$mGsRq$l=fu-gM z_ZtnTQwI_glHjhjQVfBt9&XVE3FRZh@xYBsx9QrssPsH%lu(5EV_V_ zhpLV)Ya`7nSKs?py~Frlbbo8)jOa^ZL&ev=sHHDJcL=?x?y|AqQNqs@oPlj~SgTfW z7FoHE`&FPbyEKYl;X7Jobl~ga&zAi-ZyX4x-`dMv$FXpHa6htxv&A3b6h}ku-qwqZ zy)_pBe^Z{7@8o?iMqWwB%4D-yTrD~sc@r)1uOR2SbEetszB0W*^p!&sd@@!9xQ#m} zwazRaSc03_ydu}_z50Uw+t>AruaLU@iDP8X*llWEAbPEwt6fUWh;igxb2z~7L2oMg z=k&^`G_-2D&(3;?IX>}6c=0PSaHG_NR>ZD>7t;&jI6Q!y_9Z&CVbjR(Z-tlhvvfV5 z^RwU;9l!8js2s9t?6lHWslUos@Se)J%qMrrc~fg9&MEViv5xGV>fT|orG!pD@VwQR zpLgD@P9!v~;)uswjDxk|y(|C?W(3HUO;o-lmrz)AcagCkFG>l~K5b*&WZi(+dj-aSk1kyh}D zN3qB9`5f3iy-jSlHuZUyPxioXg4!La)uB$u;?CUQj)7OuDX8(0+8(Lvky@X{H(m@c zpyubEpst6S9%~A+wY}u`ZUyhb+sNOP8s0vGJIU=uI{gy)S;Eg!eX6yQSbzEM5cm1w zCokk4Uv%Q)mNg!^HewT#TS|{Cc%*51>VNJJw+iqPnQhOi{MA+THz;SUGJZ;R?%CvL z2|x7W;j=K`$&bXp7f3HXFIpAij*unpDtrX^9sMWF;dJvSV#13YzO-5zC5_dK!}Gr5!9L#LM*h?Tc1 z;^C|G3U-COt=L2IeJVWc+;J*wkn^i-{!|}!o#@`|mG{U?J}Z4Jy`7hU3zf<)PjALX z8Q1q*#_;bxbw+wEIn3&$6a|(A^p3tNy+z$((mJg^zOT^<2q+cLH3=R>^l|UUny%Q zuJ{!9f2+RM<9f?p*YQPk(U`CO=Bo6A|10TV|NLKh_tNv>iRcpGG8Nww{;$vL!TsO4 zzKuQV9GsTkTjeaQ`>usYP^Vr?zv`6@w>pPz(Y?*wo5%UY%}Q+I9(tgepOPFt!4dLU zuu0*ulHa+DcrAU)q?e%!9&xwG8wwU;mlaoCCin_|hUFfbyGpCCgpbaHcg`~wa`xm{ zW7o}tXJ3qe`eJ+t7gLjbF*V6^jU_d6-6hGn)FjWPCix=xbcv!ZnX|o-J~}G`x+p&$ zMhE?_@G@DC$GqTKm>jmXg;LF>f1xu2 zJE*w&b7CU~e^;6O9d)?F;jYq_3;8>&{w{9+l=Kl3xn(cDC*o{BEAXF>9?bW|BTK92 z8T1CR%aVpMuE&_SA-~vJZOB-E4$X%xWQM|b`roMciW@%UnN{?Do)&Rx8K<~CZf(98 zUzc8>@_)zm;8A!gc@La}{h##`X({SwJ(K!BB-K6g@w|of&(+6zi7lN*{tIwg{0cDZ z0bc9LE7vFX=DNG;N_SB!c%COV8hKEyQ=G3=NL`@eAzy%Tp{;caPEYYaUqNoohNR@C z^FOe@J8aWS!JyX*J|=T7^wf-FXNo>7x7u7nD`g9AoQm222T`;PT|aMhCbmd zgM<8!O_neHGoBAQuL?g#H{t9&>MrolB%W5^HE=iDATUo9S+Pqwd-z#&7cuc=(Rd%a zdjy$WkKEz@I_@!|$IP+ebX!}NYgiXUDj`4I6{(}^EIUY52&i2ne`ge#=$~eIJ zRUc4%S9k_872D=U_y9g4bN!US_DW6>I}H3||GMxSU_?GpVJGYAV_h1(!&>Pnat1rI zCY0-;VGU(|4BZq<532FJkY~U*p^0K()*hnnpiuaww`Gpd8~tU+(Nv=0ub?UNDO$6A zVM|^R9x44gpcCTGz;9e1cK_zVkILF6_hI*2c3vxMWc~kXA9nxcomVsV|6L#UyQ?lV z%3HDd^a*{~{X*_E{ZoC|548c8YH)z~W_=lXV(1L;PXm}+f1($=zov8VM7R}y44=za z$Kckr2iyiHYoyODU8_27Oo)1}58{;bjF!y&Z9q6IkB9% z-kFP-U%$w2D4Z*`I?f?_gYDVS!toQ4At$JE3 zKWrx0TXodmbYcnbhk@Tw8O!@?#>y1PSmE(wdFBJzeUi_<&trlZJGNz=KkHV>|4$IB=a)ISTAh!uV_`&e|5)`Zn<9MMf7}hCUmb?@)^5G z^b_QH7+U22nz0_yOXUAn^d9tua(G!X5{El7tj16}d+@&V za__x7PyRBwRI3|a0;YNyD-Chag#Otq3p0A2Kji#%5K*o@HWqc(ou3dUs#!II-U$I}*csaQEXmnY8wn<H+N?w5a%T{rYTy6*3dj%DR+*xNe3J#pPFS8_KpKC)oV@P(#H-~R=7zdh^H(+Jz~ zIZxf^g+0@-?l{l>lZszw&&QkE_Kb5|lslzr(7hiHTVdp37(Og#7DBccqBA}i$amF) z`qqacf+xXxzl}_ubZ&3_I@mjYF_nXs&@QJwZl*f7Nj<1#eE-^qPFpc}^;BxKxAM*u zcy3t|f1e&skIo~qKXxu$KGo4Mao>rh{>;e07+h|6Xwvsf`2O9o?~6QlVQZmt+w)&D z(4U3QRL<2;dOz;0X;AjD=pRZ?RCaMr{}{t5|GJKZcR=dE(Z4$elj#$Oh@0(9IM+8^ ztv(8$5?TdN=bNA$MJD=|1S;EqFh;Jf0?& zcYIOS7&l+ThTf5go6SjUGcsp2Iuf}z&YDujlJ_gH#lIKO@_0JV;*0X$HZp?{i5ji6UKhT zELLN0GL?O=#(f-J;I>mqsYUbOFl*D5rFy!sA*p(yX6+rhKU&?%rF52}w(hyk*5-^=Cx>ZQ?TrzhlXHh(E8p>ln7wH-i})wpy(xTUZP@8A;7e@9mxzB3Kg3<# zjTz$^;yJ)Gw`bNmD4*rv9KdbcZ^nPd8qm#J4W(;|E!bUhz-wVEnFg^jdUH^rj`_^(N7o0=+QM6BVvLWD+ZWTo+%rz{IA{`qSZu z3+bJXk8bG49y$o{`qiZK_?$2O=tucNc276^S$i< zrP=?Ru~Wjz7uHhpFLvoa0@Ht#__)|89|wQYN#5bTbxrsaRF7Zh-R8foT#LSe{w?;4 zRj}#`U_eC_J&ntGJJz#Z^2BwsaN|AVBpH40DcKz8waO*J}` zyqi)yQSsELHjVDbX*qP6yr=w=E6KYF+wJ`%e}QI$QJpr`)Xz zZDeFT=jzQmevpWgi8pUPk4~^?bdCUKhq5q3hZW!AvW8Ef6W%KCkB6Io-R7)LkQ%2G zj9c%%L-*#^8}!ItH#mW>)S9Ie>1Exai8xvOad3uvHfp8{5Ab5iX#x}Bf#42zpCs{1 z=GIvC=jbg} zY=%y?1Ttz5>n@9W^;d}B<{Ke$6+ zP>DWHoCbaTC2)Qg{xN)LO4gt219z_Lh&qo&N|j!X{7(1w!gr8G-HnfYP&w{g-*K(r zgBnBT!AFvl_c>W_i5y%`4or8~R?eh%()nTIN8WVNTgJ|%YfyAO(Vawx68lwT3q3y< zOqNB^CHk$LG3TW4u=pbI@b$5=ioOqA(52CP#NUEWa*l1Wua~uzxa|A&N%%4oy>zMI z=h%2%KX>tojoDGhMc$3sO^fA!?5LdGv_$^Lj#_#=zyJ1zJms6dD)K3@uYpV0`OMBm z!qcn*^K$XW@ZM;w#JX=NQGYnT!flg@t=eD`80r9*PAKLU43saKgh0e5}|EC(95sqd&ymG6|!0&S1V?{4z@GXSIP2j`Z)(<%e_8h`jm{_UE<5RlcPU-^sH~&xiUEG6usrw^$1)9FBN?%8}D3w z+*#A{(nS2${yX^noWLf4%fSP{BkV404Fh{>BTghN2r+eEjcmG^?K)|QsS3Ez; ztJ3I}{fXjxSjTr2t-)i^C!SS)k?x(};q&hWYi+v>ICV^1L(3hU&p17x=to}R?YzIP zeu6$9%j)x=dhCFeCpp;%_mARjvEc2t_6cx%M&b9t$)5$VMlZ^p5zmdCQAzjY=h0>V zFloKFNIVTX`9;ppj-Jvt@fl_RKLH#SAIjk!`28hJMS(9bAy;w-vS7<$8Y z(?jwM-GJ;yiT4MU3lN9nq8 z51(c2?VKEJxf;E?dputYWbd|NiBDPMVpE>nH5P^c5xdfph7#D?N| zKhT{gPJh;2iEj?w)2|;ambexB4f>XM7abZqr>=ieF*B39lUSF;IEZ^!X) z@ezAl`58Np2RM&y6US`%NHAu}A7o6yvD~;~dqbCx$odSyC2%9%*R1=iyTjBC-iKa7 zzUJ-GQ5^ZEdG~nSfOg*q=y(-8r{sw&zTp?RJDT3wCpf12R}Gv|Hrdv>+QfIeUd_cu z!2J_BJHa#26E`f@p2lXd%X+ANTox4>V->W{aDLUC1kObgQEQ{7dOSeD0Z$g zu7IYa&O;|w^BaFZG1=~I|EO>eJR_bPu_whX`x~^6au*2qxU73XDgUllltIkpFAA?;o3?v^7lZj{jQ=>{6W`1^g^M9&0wwT#2p{ zgN9=Lw^!T7B0b+&n#^~X*5n%>X&sBFF47BlCt@$={ROSuzd&5IlsjaX_7Gd`K^9Gl ztyVRBf%kQnd=SP98Td_+y%A?7`XTl%a~3v>J(Dsk$u$Xi^=G*+EQw9=aMUh;!L%3e zHti)f`0PZs*FfW!n!v^sd%#}0o_iK!;1#)Rwbaz)7on3@ht<790>jc)_|tK6=8m(r z)_i->dg8#B%DyMYf2*q2xvbH^4`UUc86gHdwb?Whk`p#M7BI3)ceCdg3h-;-4^HAg z26l`2St2k?aNiR38r9loB?cSh4(5|nM*O}kSpcsrFo0Qqb>bdyyMVdE>TF7TVuqZR zoXw(3x#w2?uVI}JGwynF{^b8w)}Q3Q_?}q*-5NXsIDQCTaY-^iPOgC5Ddc{nCf_J; zWsl%n_3zN%Ya%Kp@BlHJDe#yF*-LGas_F8J&%DbSn4ZDs_vdMqdBazZ?z40nXIZ1M z)(Gq7ZWA56z6W^SLq6h-#LjPw2Jk!{Q7{#JngGxF1^rdUYuyjGMvV_AO@p&DKGbSr zA4Zuo^AhfkA3Th^I%ew;~OO)FV65 zz0Cb0v7N^EWDIwIQ||waS9h_}(ZON8|M{+eVL#Z$5vh&(OPd%a@BDBakCD3T?+Y&$ zc_F-5X#B4Bb;SApwBF?0-0|WB{#x38OP0Sr3GBw{ln1{fz9;x`7jZslsTfB{YtaOL z^#yW*mK*P!UoMW5K_5si-tg~?PYyuRFg~J(T;T~zbrnw$ILNuk`PlGG&SR(GFg!wI z&n+H#3eIeNNu0G^AbAq^APb2LNersKH(^(kM`3nM;VeTuKlmqkX?4~5fR}E2aRM)` zZb^3tmI>y7_1UfMq6gYnX~%Y~Psi~fVcb^KfW{ffvtc!c3a2a4QP_)#`>&NiSU z$*Yxkx${P!7MFbcRWrrTf{$K+-a{V9cJ2XPD!jA@zVZTop54gd8su|Q-6zQ3`1Sk9 z`PivXke%)8-jsQyb_zT`4c;MhZ;y&TC$}D!C=H)(QI> z*!CMMrF8iwV4%DIguY5V**PTiLmypye$Xm1K=yRQDRn1J=~-|yhs%wg=;Gc6Gi;?y zdMurhbv$fmbtcY=b(TT{g2!DybDLI3Z=AFCqV@QnCf$D|bt}34x%8N@sol1AR=`s@ z-f@hpw@tM)J2+9g17= zfM$L5W$p|~CGE1)>K+<$1Gx*Ixd*z2`iqN;*!z&dnpMuV|8}|x)g}n<51TN$!tDfu+ znV&Is{w(OBIFmSICw+B)9t|~Dkh8t_nrM1Mh8VM{%ROOIe`cB7;g{*v>>boa@wxrf z+uXrHd^MBOxC<{KH7ib``Ila%34i7;?3cboGdZ->Py9pWU-LVRtOPGAzrf$-13$60 zicUkXRO}{nD)cfPxxmsR3JHOnoD<(X9>=b^rkUkW&*Juwn zF9ll>+WxEtCl^2j^dL}I4WbaW*6N;0+-_G%B?)yEypRN~a&aI*+7i^=Xsyk3Q}KR&al4kJa`s+3zwhIxX}mhyHa#s=8FH1 z{Xx!<2zjS*&3o{Xc=9_hW+o=TguVa_mWS9s!*~3I(e-};uIQv2(4WWyjgF`eTH?2h z)!l2E$ejnkMff2#A*lTk;U2uSe01tP==HSslGoJ9KIgH$;maCuKEm+ViF;?sIAx5+ zh44VmU9lLez#U$k;e=ni!CUrR-1*Kmw|XY$u&sZ9_J7S9_8J#^b&@O0tlsUn94Y#D z)=#xdo{47NbtSrmAu!Rrerw~&Nq6=$*puR1w^~PGS1V_N}N|c0NNjr zI6pqXxIiC}xS%=$AF$@gUZ4?EoBEMQ*+)*rfxPnvY zTGT)djum`CZhWBd=JtLfBRDk$+AdU1#TW__!qJ z=_Nzel_$@UG3@H0pF3B+O^)I;ftTTUmkzW!e6iGK=yi+e30$Ueq6VXpX_i}g>P^k%Noxa zu=iRg(KUm5BpK|l>{d0OAaS}Q6`y)F*3^W@q4&5Pt&_1xpg!y8Y=rT%X62J@g@xL)^iB<6WIW=YJm z|32`YI%xgZ$7LOa=8~&EXm#<^CvzH`TyoZ_F%F;8BQCi{)}mi()<1oV=g{;1R+-8* z+E2a@a}I;!5<`%jpN=n6>&z^0@uxUB9U~>z=&49+7x9CL{a{^8t);}7sRz|^=N`^9 zD_SpO7C0JDGv)`UYDq0PLgaLE$+3Y}8TDb1Ju2tn#g(6OmeoYNe`zc_<|XRUip&}= zzA*K^=nXxwQeZ_cU`Coh@!V$Vr?8y;UQ^A4kCRgsPWrYl`r0sHBKdefK2PL6bIq6b zKNdVke+VbT9l3%ZjQKhLT5&iTq{i9p{X|cG*8MRw(9vIL;AZJdVA?|mr{NFt|1d>A z4gPyy;`^Q_g$Cqza-F#zn6s`* z=UneUqn@vj+&1~`->Y~+zPsU})bFYrDKbFpc!6bd?#aj0y)|R0g*T1!3yHq)NE9q)A1`>e=p=F{m0R{t-}7_J6s}2bSqj(Ec&6~FT;UUAdNq-jD>Gi)2)^ja zhL`hPa&4@L#9)iT(a}oYO1)UgZ*V?*HH6JVe!0k;^Ux#yoZ{>61d})HIYI0?w=YkR z?1iU1Bl1Gp^>dDpZSZK38PZR3+pEXbc`~Kc_9NHOZx@?U$%~heRmia$s;kDjsPUHq?)syRu!w4wS=%_;fDjN`7F%k$U+0SA*WYvBd=nWj8Vph?G;FzCabX( z$Y-oJuqT2_XCL!vP})~8?9myJ>*yNveZSxxpD$U&>z!*h-=$=M%B4f@OKw&P_AhDPdFwrrsGHl3lS)T~?`h}OWM)U>GY85?0=_dgrhw&)=#JTa# z{z`8lcgHA*2c2sd-D36cg?FI>rGH6%a`%CL)uhG9gllG+zg?DQH*t%Ez5<0@sqvp1Vxh-UF=={Cu=$fj(qK6av?t{-8$vgb3 zw8dNxsd*9?m$|UsZALGhD*;c!214hOa}^?=KpPYKwiS7j@g0=$sj(^D5WQ33_0@aQ z`Wf%mBI{d4t_cqXPDB9KDV_rv5?r%GX6Us9cN=LagXRd?o)IVd4%zQcn001Xd7StHpUZ+;sc5nkY_+Hi<^m_ zg&kpBQ0?<$@|yeR7l3<$zvH!uPEOW%v1O%(LprW!!B_nIu|+-k1^w`S3eR4VeJnAh zKYI;lA4j%FlHudW$f;*;t2AeCA^V_oV$)BG?J72Gzd4g_q>c32 z(9b@_%hcKfbG7y>Urq6k#XUZAbOmIdRXaoCtjeE9Z$ z`C^CC*6P%6WykW{6?*m0Oo=R!JS^)$?0~;c?^k#ec09I#%-0HD^;On5P8f61{o&L3MA1iC;OTCqH;fPv+gt&_q6C#AlO!cb|IK$FZxcQ*HN}gMS}zZM=G!fUP{RH3f8{38 z&wbvxa;?R@Mekvak4Qg>=jdDc;zVw;*POK#+fVdN@1HVOl2&WiR~=?O0ATZn}=6pkDPFW)LNA@0;okGayCj$ z1$`>QVUb_4S>X3StzltQRu7fFTyzjC1D;2_i7|EX6L>NGOWuW} zWdwY`SNYbeSTNn9wOd`Ylz5Bq`y%uKYCnu0UiL+8@tokM z)D`l_I^rQ@4sa-yHq$WYe2@PH%vIacR%&gd`RD3V^EF-PqQ{@P9Ns~F3hG0sdXZ|* zvGDwM z8F#YGYz3FwrB5pp9}WF-t&@J$m}u`~_*#e3&!AzRJq&D4SVlFzQqH&u8_*eW8ncf& z1fNPB0%Dm$i?@&mRP-2QkeVJr<%9C;qnwcXD3-ApU$)&owSC%3 zpK&X`__U8cT~{jQo0r&@%7?`N(4rOp%tdc-2DEXe13CNV-UzkCHLK%j1pg*;M<>&^ zFrTd*2O@c>it%7Y?><7s(rlLpSySLzVZq|CSH;Kz&OO#<-QtKvK z+)Uh;dIx4TI_FwyUW_#5oUp{`68I#0k*ROa8Kx%^1truIGoeAT1MrP)ZKOW(^y4l0 zTfUVXF!`jc2XhXvhN{+7;xu1>3crMA{hCutGlecVGv*Xuc%Q zgsTcPRr^CeRsF%-c4)IH%sL;UEp;A-@pSc2S=V%&&S8v<-$3UH{&(9;@c?yx1?}QD z+_N7YLGgxY0rjzN-m}r*Gcjs09C zHt~Z`fo0!4b#11Cv?)&Y!-T&p7xAc8#!JZwX9t^%#=GFcQ_J!a!x`E`7m6+pv zUkT$|Rq=bt10^;%UbPn{k6YXFJ)iG&RHe^Cu}h!Vz4B+{)MUfPej3?70Xs@;^;YaI z;K+NDf6Kc+G@va-gVfOVve(9`cf`8MdpyhBjDlsFo&8PS%bXaGj{G{jJTp5D2kAGN zn|Z`|z7ITG62Mlj34D{ws8^`?!g}zRKG*N4B(~3aMuRoGUuoPmvCki1-mQhY`vA^R z;LCW}3pGi1IxCR_CDf-Z(4Fu6Jk#0sXYxLM{E#y;WzA&$6_1&=KEv*JUR-QhC#zqs zKkoB&Z|PU!c4h%%AFDg(kk5~N?9CgHGyiEUkaz$#o{~d~PpF(_aIZy+U8Z1`!W*^U z33#>Kyz*bDcit}YA*~-t3{uJ5bX*YILB=4sBlrz`{>mPW!+l}2@)3M!oXJkkIWXaU z@(zQX2~&xU4P53Oh2ILyd>Zg-+u=`r;X>WaK(hu8AuE$J*03jK8d*PT;TNOxebMztO{x3ap4c>5OKc#9|Jzc{_-&1rcp;=? zyXnSLrPysX$VXz$n?lIW>G0+WsT_bb>vFSK}R&<#ZotMYQQa>97xXXI0n6Z z>a=epp9Q%5w2l3kd}f7n61DzWxDxx98ZgOqZK_rmYtA_~z=rv`a-KluhAL=qK zIdeDr%C~VoPaS&aRL*Rg2=8d7odd!%K3DX>d}J)}AoW{nn1MS|*xNO?BffYW^nR>&_i@#4?3Gg75=y zx?n1HE%-IM9NV@TeM59C@dZR4^848HJM0E>p*8d(;!wv%eyVbh)*n@RM{gN@y8ceQ zUQ@X`zMa^k$0tU=Nq@`HZx~-VhMm=+`nEEcqifuwJNs+VrN-+b=j8lP=d&8t?616o zE{J^{E%+-odEI!?iCi-qKUoQLX;$}Dy$NCq(GBvu1YVCm#k>-gS7^5RXp9&!a79o2 zYZJLRoT;7yf!BW@{r#WkBbo0IXZ zGWVW79>EhEJV{>lZ|u?f&*AGFuFd~1VY|OB|BGOohN-~QHXqaEEVAg+f9W|RN^n;B z%o(G%pLvdW3>@9F3OfHNnqLpDO#<&&zY+Bs{*{5)hy0c`EQJ>oHFCxfZLXnB&NONk z*vQ&|*Wjb9hs<5IJ8pFsH2DDUkV^thNe&q2O4tv)fq$e!tv7sTA7|}A571=RG45SK zoEzV+$nhh4Ho=$P>3R1wJQUm%7^d+t-KKM}HYh%!G+rj#Yj>TFmjXBH%Qr;F2)=G* zZs7M=`Of+U+mVgS!PlVVDb_1mgEkn?mDqk%c4=TNcx@AUPdEwx1rd<)27XMj1Ns+`)QX5H1E$WD%14rb#JZDS^Q3n;ii#;X!2CuhAJ^Gf9VpqOn= z#ZE@vwC~54gx-+0lgrRkL3M5;Y%KDHdW`p;+B$)WG+_OeOw=-AcJ z#3b}Ca612K+J$Dw?Y4MNYM1aXXDDJHjlwpq#LvJUADi{WPR2%h8vAr~Jo+^DWsoyL zp5+{;UklB_SC&%yAtSRZdGD`|q}zm6fUmT<@`Q{L+pk@XQLbH6RH0;G{&D4F7n>$+ zM@F9}-mrn(cWsOMl=bK>BmbTq%X;}at-kN(Z}@He+*8RpJv}c~a#kfbRdQC-xvAu( z;){?P%zhk`wY2)PuK3U8dVD4XSe+OH zfB8B(5}zaalU%n4uH5UEOxhy~83m)XiRnzCvzj3Hx=Hf{W$Y7jsVp4T%k@{7{kme*IN>kNYQ$f;e=@n0el! z=D2Ov@rhhPUzMCBc_!I)g0r*3UCDdM4_y`>7!ALp@(u+QSNA(r zS*PBE-;i4h9%eYpU%1YDa9!M)^rGXHpJ06-9q2JubY01c$_ zJ6YrZQGTcJi^zeV{7!N=Th?E_2U)0iiA&s5_T#h^??7(BH^B?Zc}uSAKTOsedA3_V zty?oCzw;x(&*Z=fjj3G4_f_mLgfCIie9`mxPg-R@@PUTJX#C-v%hV^QFBoL69XWj~ zIt#2a@DDn-&@G@-9lq9@7*nk!!=cN(?9y1YfZRUt1wNW-(3Z3#xYU!|SFlgz_N8%) z@qOSf%A~F%{Bwnwqxw(RbKNwRyiF541^(oEs2Ubq!viGu(Z$E(EZIf9f#u|%9_=7D zzc4v88@yS?xtD98y=+6}Yf2uF!eeq#sk`9pT&G*h$<-sSF8QZ+w#q+!SNaEDq*D13$UaK;#F8_jc1r1D2gvO8Ux z=#@X(k?u?S<^0Yg0xSB)e@qVL!zTWO%pkFw0vU(!xWr?~l@CwEU3`qmx5G!giL1y7 zEsopEcgI}nn?BY59cbl9x75ZF*tp~gB-d;shl|gW+QULSPH}C%CAoTe)Sqj=N0XX! zoO@?@e_g9vH%k4von2lt@MLZK5+>|{R%*FjN zbC5jBCFYM54C&umx`;Z>OR>+`cYi){wDT`l>j|Ec?^CT+m9amdHk|O+daAnDlS46# zxRk7o%I*9Lx*zaoF5u0<6poXFIsCWkJHE-}vdtreuPJ{uah>E}Pdu;g<&DH=Q_eY; zLpX=6Q}I6Gi^Yrn0_rCs)~Q%?~3N#$ccD{CXa`{1yj-?_<4=Xc&J?^bN` z+c{fkX`|qn{Dx0F4gAviolna(*DPl5YWuz5L6Gr3C4C6)`Th%m0sQ7M^CrOwVu$xC zd>~iYx+^o^2^;sqcZf|<$M#6k8o#|Q(%vrwuW0Xy)VdFlbr*a{uCCpiU@w7M|Be&L z06$k#YX7-;Z|Xh{q;fUEfu8jzx5ryvuGX1$-%RuRyD@*blr>Oi!$vKSXKMoK5D8a~&eS{A(zbf=5>F3{5JfD7q=U-7b zQ{;j#qe{^k(08tRu|nqJ-1PEY(x00ld8b>**CW^TgU$cNxVNRoo#v-kHzv;sJ)zoB zwe5P1n|70LY$hJg7?F=>juDyY%cYA%ml56hKa*W9d5pGo+P(Few^60u4>w-cGjF~2RJ&r znvfqtn`s=6JWGBe`9hMbdG+6tk7g_pIUsUh^6!MlfLlvbJWa_oeC5=Q%jA4Rb7BHs zC;VK=wGUM+=>hdD`IaL8(6a_0L&%Yk{S$qk6}bkVU2@uZ);j}22NR?GwNNmGc2aA& zm$qWjk#YQ?rT$(DIU9{&49PN)FD)P zvm1oRM_y5|Iv*WCh%QPtEd)wDkOk*kJ#XV$fW$AIIi zu8p?Jpx?d1Zy5I<74E9s!Zbdj6Zp8>(i;~Am&nKKfIeP5McZf4_2xt^HrcJ2`J#g` z&ZknpIqz8g-XQXfHTpek3~dVz>|ihV&5HLrt$D=GZ`LG#Qgm5?M=F1EBje16Zpe}B zHO@DM#wzx!dn+WLA$!o`;)vDcp5GW<;jv0?E)x{I)9 zM&dil#h=!I&+UF$6MUX4h#jse(A}f05x2E9;@lL@5dW*>9eC_3cXl^YPq_q|gWu?$kTIaY6bd=gRMwKC_6sgLiJ2HbUSHciazPKk}Qu=}?bP=bxK=4wY5m=)qNUm6yq9Nc$p0KP9F*y7`hpJSL zW?~fYl;BGmG!ombRQWsw|I;w&J@@oAiC@Yb)jj%7uWht|Ts7jdzAuHfeZoAJ*tqoq zgX`dv%3F($fSyx16}`qnUU|(0V(TJjgK}o8_|Xh}Zsb>?w+-O4kvv-PDx)!|@>kcJ zn&i9mh6m$01rzW9I1iPaL4g%x@4=7sbL1lqlIwE6*PLh*x=H0Mr0=VD#5a?=o_^kk zJvCK~>XHHo%C!rCU6Lb6GIY9&HP${}$9R6>AY>JlP!vgOQ z(RBlf%B>e5i-B{+(NoWkm+|^^7ZSZee9?j{;6(~QPvxr?HJwTCMIQ?NpNUs{cAk2# z;s|~8iM=a+pnUV9CxLfzKH-sJqObjrbGzpsd7{J3fX>UI1#-KUyh-45S{)vvYG9R> z=}vo1PNAuDj${+H8;?Zn!a97ig_?bR6S0L&_!)SQbEfTbeuodloa_Udx0ZNk<3Y_H z(x7>>IyBqerMWXQbgyx+VdZA%*0iv0O*c5(oZqF`M4|S8yC%#T?M<3y9rww+`i{M%{J!3hj@QB zXAv)WkMGUh*5HtC&#D1mpr{`H0|KHA2cvT_uV{P>0%!93rU*_0q55Wgn->lXoy-qS_dp7ITG1zeP zGE~gWx<0IX^{h`G@SVdv%iwLL@REC3TQ%;s)cQ2CJ_pqLc;UvF-I2>0v%ajc*T8q) z_m(trMnxU_1&}RWc{;GtE$S&b2U*|tJia%P7h&oyYvh&Z#k~Uugy#O@G}RAL>(JU1 z6x{PJZsaV~i?zZzda+%NPP2}SVJSvXc-v@8kY$nzTo?H)34&!@6Gv{Y-3V3V5MZx2_9r&CKA1>2@ z)wE4L_-e1L3s~3}&b`fyWe&MG%xmr(&KPgjtsL@7xMrKpac^N|fOCKS_sW_%bJd5l z*W8Yr1s}TV;LmgT4&EN*{q{P(OTGwg4$jdFb9Bv~RnN7qZu@8TJX;s@+IMT#w2*Kag{!;BjxtZ*WQ07N z+&eqVGLYp9UT2-49f5P%Y|Yq?EtYebYtWBTM;xk7_a2$0**Deb-jLbA`c=)#o26L| zz`DBC)=NLG~S+7nLf35y^uPhw5J8C&A7<_8v*@jx+ zQXjAe^L*uIBE4ndKykYk2R-ZQ%wJP3#T=8b)8iirz z_t`e;aLD{}bv3_+gUqk?%=rze%OqzjZZ$HG)Lbm);7mndj2;;T?I_dW89^`GE61^YOpqr;7zOVwPx*nY1`>UqOE z<^t1T*UJc$%zYpSha7fcbnh^KrSh7YwMeWdOC;5w=0cbtoE!oAV z+y}SHu+duEHLmGZDH^wSg~2&^jmRPB-OCuF+vQF00@mdT{_Z&dkEn}@e1~5F_k%I1 zfn%Eofb9WU!?;(vG3KoWM$_SG2bz&1vw-vPx6oBix{Z_ZXQuWq$esqtUqIJ#SJ#NX z;^*~AZiC8s_z5+urYpNs>Qj~Cv-={Cns&(-;Qw6Axw#`(WR1kDku{%* z{+y0kd!IawothbTii1J52P&qGcTMVLnOk({yBz^H^KE<_rQP1rqcLxFiRS&_Yr6Nz zuQYqmQt7<9fmYF*Hxig&md&XBF`lVZ*8sk-rG5ogfu4Ig5 z?J@h2R^6M%nI!u+Y2NVT(9PRh?2zIkhm{{Zrj6iz#yR|iRmwOA{Tlzbk_RVMU-w4> zZiLz{p(FQMVKv4>E@KjzVJSb#o=^PWa$k+{pwl!#cOLuI1n0Kai>)6c@63yMUQuhu%gKS2B+x09o1b;%_S<{2M<*wAcR<1?h4`n%q`>PWZAZln`g44+@f+C~l{i}Q4H3S#z6l>uuI?_bCBi})VSfp=_A={oz7UC0`qwUD*` z`zGHH`|k(MxLXm?3p1e0mL16Z&TgwAqT3xibgKy2d++PIXB-ZA!CJ#MTLNC7$*{v~ zHLH<(W*z$m){;{dfWOj5c$!ufKnCCc88UskCcMJ?{QJnZpJ~qAt@vajau9hA^fh-7aAZGAnY1@ZwP8=^ z9mXvDP2P7+Q}~&Jtv!>m-Mf?L29e7qy1cxBF7m?8I0!stji}YeI#?N<-F6#o3=ZjD7te?4 zb!RT?IAH%sHv*p}ufr}`0uG>?%^YMnv(>#3&Ye%8hgq8K&4C|U;0yYij4c6gAH*}k zS(@829GYpn#F=qqfYosyZJ7b9qZ^!#;U}pB9#?DLCGEOb0^ZV>wRQn@S2%mm)YvoI z(e1Ui@_r}=U%AhTb!54FF5wyAGN%LC3tw70RWA%f6NAZ%OYOJwI%xO$8hC6a{F=7s zkOxSt@bBg)g-p#w_Q8w&eKw`k!Z#Hk`43leup}N82{K;x3Yp`vkK3sokDZ(&pZVla zwI5Nm$~(e0k)Q1nyN55GtO{oEF4x7kqi7o*fPQU<+L%|d;e59;%Mx3CQe~KUd)!<5 zJ9LcSYThHS!B>$J7r)47m1fsJO`h7K6bw5~!LWR)<`s5Gd-Tm5@=7)5GBa%D!TTEv z@!9e0_3(T(pW%PQM(Yy)qS#Q5-_bxf2d(FUD>8yJ?3(*PW zIhmWxgZUjy&zJAm?#xZySNya|XhGH!ez&(rzI{P%dXId|CwDKEv!`U6@N9C`U8%j0 zmTh5bw;fN(w!rZL?)0>5TMND*m-aK4voEGD#+Q8A)_8?(t$stvHtV{rnuToRe1lW6 zO>4__8{bDBPSEWA<*E&PCT)ZUZ*kXt&7aR2WLr}cu!Udaf3-69YW9>Hu!G2Rykpm( z!dZH;yQYA5Cbuhlep47f7jj=@Pj)Z)Hh#c&EaaOj^6gD@ArtwQiR>tEM!t>Iz3Hut zf30SpJ(60K$c}!$jv<#m=ZutZ_mOXdR;wH_k#DMx0P;;@RsBxMHYF!Swx?v9|GSrL zn}giI_v~oFpo+7MzvioMI5oEIjR9nr?A(mmLjwE8F33ox0fFqNCX>W>Y`EQ^zjS-FLaxc~G-| z29GPkm%UDS>wa`OKFiC{@sQXLro(~NN(9JhPVh4cV^*ba!VE+V0ln z9C-U+M0fL^KqdlXY*w#1Pq*@rujENtH{GjSJAVofsMFntA0~FO$j5=^>Eu*}2Uv}H z#0&E{4+`Fb&LMotx^56OBE0@>_M!ITQz7B?m7102Q$gmS_>|A<@hhPBVMnu0ZdoX% zc>QIKxfZ<3&D)u3djfPk1zO$8x*WOBUeri`Th*AX+;-Wg9I)qX6~F1<-Lv4^H)ao? zm;73*B*=Kc(QNq?vo*>YV8UyYzkK zn{w7P2AtIg4QJrzhBM%%fHQ0te0_6(Tms}iZO*E}Um4P6KOlYkd+U@eE9y>M$hgM% z<1$8}H*BiWs+f!N1)+0TZWvk*>uS&3GtBpeQq5k7u7!Ri^HBEv^=-Zn6v3)cl-jO`Hi8lDdBUAw$&WKmI)jGj$-|!=PtF_Se?L@|+S}>tBdqgrV)MLTaWwhYS?uvEV&CEJ%DD*95&y`!2-K(^J4GujBd6y4#aY&LXa~M7G1+LrLt$b(oI7v}XCV9}llz(U z!5J4%avs7}L({#n00|R{3c0Ww>SGW4-G$+f9v{ zJ$n;>_=7US1F>&l_zGZ|Po=h$5X)(7Q9x3d1q#(g@*b9>=?@IPdr z=m_{3YE#+q!zDMMYrS#OI-Cpw#fcsSbDusUz6n;nDGGuWt7#4?tPY(=(UkJn8 zJ}?aSz;J#22_J^BEGJ8H+t`yc9(k_(GJB3X%eYo5*J7p*#|q#mITA6}Q{Y(98;-&M z8jeN(4LBC1;TSv}j#YK&z~y;5xC$JL>b*~XpxcKo*X`S$V-0}gz^t9V&PfQst*2swry6^M7gLf7-K+AkDuhZP?@YUS6hqzq}`^7(%zp!1_VtD2I19mC*k()wW z_5&3G{0&+mdavE@Kh&oiLicT}(%g4VJuy0Nc@J;%&%1%hmQNZiiM$NCqhJDxT z3XaxJ?2h(r*fX`jZ4UMMwi@n7TuZ!x{{Ix8Kj!SsWdUaa-?Jan-5aqdmM{0)UHT2( zdhkTI{qnP#eb-ai9~(4@=X#yb==K9Y(!~#BCo-`C4Dlhu18)i2#+BFtwDI*zb+G}w zrSB3a%0f5#wdQSpU$aBc0P~~hMDc)~I9vC=YT;+*-I2G1jVRYbA>A0WINI zjfGj7SH;=#5A-LNR8M}!VsPm=x?XkA%LEP&(8j;fb|H37^Ks4l>X&r;4@-5iRorVC z$8AH2l`(b`duiDe-7fz);O=65%jOdkhF2^(h^cU!x% zbT4}y_;X0vmkM{vUR7}bJLi4Fsux`L1a}xK@e%XqjHyny%|-a0z&rDRYKz?BfV5|~ zHG_vu@XY;&;I-f~G|gDH22@-@+7TRFR-)SRmid#nKoFtd3PIv#zSWRHHi059( z)9tM1eEh>Ewas&g2kc`k^!xSabmIbG{tDmwtz{hjkcZs+>X(7-Iq2QhoDmb}Szz=E zc=e5c*6o-1ZZdXiVykt|u4Mgw$asMFX8yA7!H)hwvkPhS;^)z~xj%6?G z1sEOT{*vv)18<-|=ss(wVO>xA<*&1DQx!bCB?<6$Ds=IRF0$IX-lX>Tv2Kr=4ffsB zv7=}Be#Wd@6<*mHz_;{Yln>P_y`DCZH<>@64W7-sT(6=Hmo}_r$FQ3()9t0Bbnot| zy88(5E&l}m#plWv!t1jjw)1|*eS>cOIS8Dt^v4sSoldSVYUY`l zDf=6LL1cEoF8d?nfWL*;Xm+>`oBTS)!S~=K|6TZ`8|3|9xn?io`h68D?(3C&jtr!| zgl*Uy)$FaK&92}bnPbw=Tc2ld_ ze<;)K&BUI@>ZUzsKm2b#I1H?3zX`9n$ncil3T=L7c=u0)u70HG)N992AijwO#E0I6 z&y=jeSN}12e>(Y!I_vz1=KYSpTcsvL9NzUu?mx`$LY=mBm%Nwg`7fcrerkHng*tjr z7#+`~h6nl`IABhNXHUfU-GEOH{cap}J$(I++{49TW#^(}aK;+@B&)d=N5^IV-?)9` z2fq_^bFs@l4ClLRJEU#&$Lf%;J5JU7wsV1dyRSR;v|Sxi{*dYDhqS{yLP{s5U4wk= zs;St(qNAA|nsd#OVRGMHxQMt@IOs0yKt{!gbJeoXCmxbMqGR?2t-`6mzfr+l#>Ln} z@>|C3Z6-fvG<`zz(Q#@XAvNx|sq;gwlJq6^s^b9@7Ik{qoNSkt=jI=3d`bnGM#;2k$ALBclH{?C}5hmHu|r~C9mRm5~Oc-W?x?7MfSYzxp{hLu}@u7a&OiglO1 z&B~b9P!DX_gAbl!X9q27j2gG`LI}U(9P%A&y6s`ZbSqr&&C zS~=16{y3Alb=2H}M(`nu-Y;->+o6a1p@(*8WJMFX!10hj+!#8=V|LLcmRCRgY^)5h(o@e3csKq!Etv@gKww4 z(A?$Qso;B~;J)CL_%w#UEqH8z+x8qEhyBlL^;@1v=9q7u-Y;-?v#K22J$*dTDdTy= zzXuQMg_}ad(E?q@yA9kwmZ&TR7UzbHXIYzP@J)#yVC+MJqn!U00v4ZwKVfXsOx+kY z1%6tFPYwQe{^FpD{S6{_ecZWHyEBNtz_*>nhR(kw6dl9+tCstlfK4@4oHq~~!W&BA$VyA=GQTDtf2ksKzK|p*O z;>S?F1MCZD)%JjaerXh-hhk$JqpH=X#6H=3t65hK15bCxlf%YEoEvVQWLy~6jd6=b z2O>6}lVyyG^X_8yT2%);@)x}W^}2U}JfX3FoE58zKfz+Aaz)}psID_-tZ)hW1nONOCW!ABK*@SOy~O>N6X zf(x?t$>8VY=E6gER-FSLklVrE2w)^QtQC(cH@GXsT;54#=uC*C}0zczn>HoDLxKF@FQ z!+<-Ck^aNgDL>HPr7xpO)>6^fzJMz^I_I>?IvO$uS$Eb&eG2cQ9s=!yL+1mFvHXqE ziEEKpF5?>nzJjyS+)tH!u+Bb;j>!{{K*PgZy4>AhSZqrcm^0`CX0g ztU73nT^x*V;`i8i5V;gg?S}|Ke_@e5JQpaWZkNJG!ClrT`Nug;ZphHw-PikkJ}i8` zA;g)L zpieCXp6{x$!a`8xAS4%sYxJ>c{8cG~=ueO9`nOD|Lf{zDt#9lV3yGUla# zcX5+0bSHYHb^bP24ATr4U82Ak5;Mz%SAm?-b@ZSwHRJ$@?_zL{- zN$8*TK2ovOGRTJ+V&LaNpF*~IrN9>+WS6)3zc*uFHvwDtwQZt{n~lmgQ#oaGSohN3 zioT#=C+*Va?-k8LpULpyPGq|2+&BlFM&}v+sC6Q`1?!%he$IK~@R{S|EMRHNKnE(! z$Uw%!->JVY?Io|MJ8l^{d?&tiRgzpG@iRdOSJZ{vj2!k)<*0q2)}qhxy+9MhZ`=&< zbjh~3oe1d$xF-6G=poS;Wg188anK?*0RR%W$ZV9 z&Bga8>n&?-6jXDa@3Q8hn$y<2XnWO4+mW?;w0DnB@%3IAiVMH~&nYDKxr~Kjh+LAvI3MC-NP+SS|Y^*LmQqFAMjm zHbfSBn-A!6&2GkaEQ7Y8ecz5m*P6}u&wL3{Q`>;v{m4u|vygIbs^{cj6Z57y-J6 z#4VJ5ioKG2m^Fka6qbP7$eeWCLTVxapQSx>52xoY^JHB&H>tR~$J~`JP|o$uw7Z#m zvm5;Rmok5NozSbx8K`~rQs@G{uHq+3Fa5l$Dy1Vh%h}5``V`+v)!ZmPlSfr_IXB%r zHX-~-Z~%Vftj@_!;Qukun-ZcgHL(t}H}i+iG&G!o8G518d-k!uE02gT6@4nqTw`9@ zuDJbG*4xA9za$)YMebqCqSwUT%|oDx+0f7nF>m5wKJ(%ZHDc@>Xl~*#p^cc$+$Bdj zI_@QS;67OgvFTEJkTyI$$7J^B#1r9JAJn0@vR-}kpxEH_bI#D#FB)?T@Htavzd7fa zMLl}!`e`xCU@e7Lt-&_C8~K@wZI+VRa@{N481q)t65oY~m9rK~W;b*v8`{_}3ZE#g zMDB~sULTfReUaG`uMu9NVkf*~JDxAIO=NaCF_lX5l*|_S6dunrpF;=ulXK{^Y*Wk% zPk|10OH3^&xkKzb5SkaA1Nm4Pv!}r;*4HY%LGh;1wa9Gr|A)tEUSriMnccjI=RVWi z6~lbqw1%;zWVXyFxlY+)$n2ZZ8=x_f+348tCiK>hm|gY?YsfmkjQ=Ox5IeQbgH!7) z`u1XU3*oUZ#O$y3U2m857X0#>!sxpD$g4+BA(vdO`&eWjYc4pP(l2)wn!?vl>5{;6 zhJnvHF$O$k{!YL+-%cQ32L`hfBj9Nlg3s*bwwpeKpKJnuiLVU^t9BJmoevJ9FNof5 zWr_^DdV3m=;kz*}G$Uqv(BijW!GAR?=7zw9cKFqjK-|3;d-fo(t9k`o2*n-I z|CS7oTi`f)6nNY&{FnJW2_A#XV{Z`~2fbAKDtfOFT9x@EGm`8N0FN)L8)g~gylGl6 zeeaaKg$B~&Rq}Q?`~H!)^odQarRA+NWzIPk^3J;&d53J{JF>PTWKko_*%={b#Wj(& zYc5o>R&+x0{*bl!3Qm``(+((E>qR=^zN|fxX_ZHOS&Qv1`dW{F;p0Ctr@pe**C#i! z#-WogwA3SKKmHeJUko;A=}YP~Z;@TMVx%gDmnj2k*E=UES(lYM7u-7^NObyxT{d$!`) zLaSa`E$fdiHnTyR;?s-Q0g2h;33Ilh~rS z;@ffN3ZBNr_a^z60jpv=I$9(Q|B4BWZ5v+k3NQ|b(XnWwwOO-Xv4|(l1?KRRS6Z5@Tl$jD4%GJ70?a{2uyV(Wfpdpz}md5M3I%xsUPa zB13pyheuZb{TzgcyQRKULU>Of9swM!py&{h<<8K)@Duvx9nNh3pY*lzgqH*CGlBg@ zjk4!;ENIl-*Ur|w#NfEs(xTh{b~mvf)`fisa`v+Id*BwSkJ-1~M!w$F zz+U?QM_~Vt%=I0^9lnDzrz6>E*n8jpBG}VDaJT2~B4(80V+!uH4G;5XTRQurlt zcfnzB-C(bJxMe_Wh-*$}Pe1tx?H#&ZS0^>oGhA{82rUxJf)1(O?S&hO8>0`Dp)ZEt zgBQP-C3YwMh>zb;ydD2Pby$&IlB-bM&pS+x;^CW!K^)eTD{?ruYELJ0Xs8^90b2$T zR~OiGEuOko9N`*1+2I|=DBWloP@KbWV(-J--O!e9FH|MIBzzF?p3==J+ZlfmKBee} zOFnEc(sFj)t=oYKU`(RwkM*`m#|EpKpsB{Ho z1BuV`g{m%pjPS-#WlZtq>(ahoEkklDfGPf(CU~2v^b*nE1#YhF6Q21y@xcUrK8wCF zI*flt=&dxSat!CPhso5LPa~h$Lg*8s*EmyTzlt98Ye%+=kAU?O{*1n{vkY6Pyvt?m z;sbWE!_Z9(RerXcDCgy9&Ar5Lk`bI@7N~t;+UJ~KkJ$37wb)*Pd7A)&yae1 znl5}RZEMfpTeMU5P9#QG8-=I&l{iyO_$j=BzZ9+WJZA!y0*A?r7x`8Iyk#Hzh<(JS zKNTLW5lTT{$KO(qzb(I-7{WX7#%kuyo@J5uyQ}!#s9U?pzxl9AW8ZSIHMyis-#R#iufQJ%wx?z4=tfoeTY%9s^G;Gm13194qy7MxUj#nSu2^3OHIPt2JJ1Kq~9xV^OsdDf;NXa#lLsqTwd5w{a1LE^@^NwPhRsknnkLJB3=W+#7zCT_3cqzZ1 zp7!@CKi|lBPfd0UIsk61GDkI6ne!MuB~OdwEV8aB^<1nU+>MX?A#ms)h_%OcchDn@ z{VX+Ra?*6`=SL$_6UyDWT62Fu{%SZggLy=pz|kz(V^>8TBDDu0^XyKww`}?^$X9+; z;2N>6Tdi3)u=ij&XAQ|aOPaG}-$5bo*faMFo#Vd&hvYoxCSYqGg-5~HLVGp4=5pOC z%hR00^%+%j^kTQX?xeCC$^^!;-+&y^WkL3rOMQt|-*C;BIrD0Lr?;Biea<3c?j4** z6>+oJr&1Pdce4~c+Lf)FH2~ODv^iP455Dkzp}a47|E@_J@DJ`|ryYq{tRd%pqwC81 z6>To#75)WpY9(y|KM=Wc4ME+#K1h!IAoA|{%UB(-OUl5b%@Y3&xYxmhOG`Dczlk^7UtA>R2w?JMe3K8FRZj2#)rSz;FX)Xpx} zLv$?W2|j13y6ohITT-V^<{jw3CLE8iy#rg2nB$O+PXBpyGA%~Fn4c3a=lKb|(hzOANESO#qfEc>zHF*_Io*NLfmf@+@^*geLaEA zUas~q9hKk7W!t(6!}LY$!jit=W6o7Ciw=NZv^i$i!{gW1;qN;FuWo~e8-zAKRkZ;- z4n$OL`@pcAt2)vt4l6h)7<>>GKES>K-!_&xsF-P4c!b1EEy3gB+7S}pL3W&*EHm4a zE5e7ti97|96$ggNxCLHTIerTE!aCD{`?`#tgs{2v`ph zShvTdZkFhJ3f`-TQv+}R+Maz1=1Y3PJR=QrWVeDjHu|p0UN9GXt~MrPk+ZF>Ma|#~ z`w0dB^L6mZvao7j$HeEX70jgCC=bwT+JZI1Wy-M+4n1CjMpN170(* zr!QczFF7#CxtGF&bA6UZO?8W)s^B*=A9#iE3G^a(2|UGJyB2;@2`;T=|8OgNCy9lR zjH|OS?E{s6@`0bEi*%hu4a%yHE5JYkD0toG1L^)VX}3uZ(#KU+F%j zZ-^{*+nerF``5q&_d0a6`@wyo}l(b-GU>o)=q;eqAkW^bq>H`}*s zSjX;^&aI!)xy5E_>>`x{epLtDL}u?foTyCOKa7W%z0@X=JzmlLF4|W1PkU9lMm`NS zy@0RVFq!*}z?K|==~FeiC-Anxfi$e6#DxSWX`lL=lIuUKa4)}#xv(aJn{91HOKR@7>)__II9eZWMTdm+*1X>n&4gq~f^fwS^JnW%zBwp5KixxG4ra*%LJ_X5kxk zdCtN|Y>YC2G4nL1YWBPr@Z)xMSsm@j*m2n0;3Im7JZqbcan9IKHn4ggzjAaOG3RL= z*uXJ$U&i3vv^OaG?cnbr!ABXd=;ruo$Tv=6H_pLU-Nm@Z(;v8jo$FD5L;0z?Xmd~+By3|>e(oH?ge$$HVA8=}oTe-FBg>?e0u#zGyKHZ^y< zxr)4H<_!;lMtply&7lGv(I1n{y9)i;`2}@R;OAadrP8fb?TnNSW?$b%-{rbpTFE(g zA$%X3Vv@IjoP{Q+QFGe?WQWvb+H+#^wbx#K?bq{*?w)tYt@CcrpErL&{?BJE$iMZ+ zciu7U$Ft@wm^Cv?OF#2pK5^%K=Z>Pg?!0Buy!@H-XWcb#^n(1m?!NQR`9EEde?$Jv zTWRmETj$S{4$j4`rCom26z$e|v$d<@S84NZnWv5ahl}h97f&qw>ep`hku!7FY#!-# zE&iQLCrzFr*T&4d`;I%l!acq}JxnVeIoSVO*Xz4n8Kg(z9 zp#Srvey9IVe|`-2)7Sox9z$yU_wc^lYwdOY$X8B1pMLN3 z&))sd9CNB~xvtTcT)Qzf#v7Ttyr0cyaI98*C!gti(*JclSJJD`RjKcCJ^!?S<4^mS zf7LBl<+CRF7hFDX_O0`7UGVdKE&tS4joaGfQ8RhszwxiQ`;vvT9=_s=;!6g9_fLUhiZ#?kC!#CVWyO&L~Nb*O9Imsb7TM-T2k`uOp`-22== zUV3q$P=9IS9rpa%JbqQw%|Z#e zF8zls&wumBAMgJ2KfT@V-ShnV{ePHw&y=@6y8hewV@LkTo7%W8ddbF5zP54nq5Ga0 zd&v*`eRjp-jei@md(Q7ye{J$_hP||7^(8-f&3ks~&*#26?z=zS_r0yJu70HHPnUe} zTi?3p_Y=?S|HqRjw%<~6)}l9mz5kNytDkxLs+e`l>+hX6>+FwbPu=;g zKL2%I^46QD|2uw3cXwC!iSEwsBi(zuw{#bGYu#Fxc0%jaj%a(eE#Nr+zH`eRcg%NS zH;yxF*34N7$u68b|Hrc~{Lvk^+d?_pNu#yl~vO)ZKZr7Ts~{k1kAo@YT8St_3sY(vR=_=`3fQBfV)FtXw;rzhBCa zo_|L!@xP9Y`JX@VKVvKX&n2(>pSN|Y&*GACagBd(4|LRLN#k1eIqR*rKIaf}4xc+z?_Koe@kcLPbpH+CeC@9LKDv7F-Ir~I$NaPZ z_s##?-uZ;MRYhU^zO>aWssyQ!Ru_*l0|AE;u!~S!q#YM;Krq-@WRXRRogu;~(M&ap zi?`?^i&@NK7Fj3+|3F00EV{^|i!6#ntT3R9EP@0aBnuZoqhv7>zi;06l9yN$L9i{omAE-_q=eBSj*FN+6 z&#Ertdml}&kL&IS-ntWve?Gfs{yeUO_2ZvSYcqZiXEB!3HTH3hd`uO-jkAwoKgar; z*ms2eew~5ljL&^M+jAe!$-;f(cE0e)1?G(5EBZ+;iQJy@F8rS6D{zf_m*Ce}SK*V$b>@4KjffVH1v{Vw)( z12xm%di5Az_FAmj+qYhOc^9`sS8V*@tDku*Eh28CE)yO+|j_}xxkz;_orzthMZA;_=|Dx0Pd;7EqEDz zjYW2T#y!VyT657}=7!iG+;gn!P3hX0>mSeV3GSbtvwm^z%siemWA}7+Lp|7qH-E$D z4Qly0*1roJ#Qn2#nlrxawKbCs369BXGO5I7$LYyX{OZZT*C&4%-iB)@Bl3X3yp8w6 z!ye8#%S}CLxmkGybAQboVT^e@Kpyk67d(=%5d+v?bIv%|$LFUo-hIZS1Mi94CCl~K zoHNdKB>>~LQnH!HR8IS(W5q-!brZ?QFcV05q zyO#CTV-dqx97I1Jk1wD0HZZmZ5}%C6F~z)0_-ELGumfQS!VZKT2s;pVAnZWcfv^K% z2f_}79SA${f9`-|@SUWwCQtE&UCX@#p1y_eRsN;JzuL#2{rc0(PrUlWkKdg!__dYc z2s;pV;2-Qj1)mXQUsPdI6Lpw0L=z@0(T2&P=)j~W`Y;)Y5lqaR9#NRYL>wlzNWdg1 zQZPvi2PPSjg-Ko%U{Vxin7E<>lYLQzNlnyY(hyCUv_u;whoS?Mp6J75AVx4TZ+S#v z5)*Nl*dhUwq)5ReEgYC+L>4A_QGiKNlwsnE3QYDz6(%)Nhe<;;VbT(9m>h}@OnRaZ zlYtn)#FRavFo}scOl*;WNm8U>k`@k3G9n9;yePn=D9SK#MFl4Nq6(9msKcZonlNdJ zHcSph2PQqyhsi*UU}E0(h{7Z$;xMsA0wzh3f=OC9Fv*B4O!A@tlcFfY#1;H^&_(0F zo^MBRFZ4a{kBnKt|LJ{@v)s+Uz<6fx?&|9G^^J`Mty-23K6L))Tr%tV*YSQZrU+u3 Jv%E18^E))3#vuRz literal 0 HcmV?d00001 diff --git a/benchmarks/new_opencl/guassian/main.cc b/benchmarks/new_opencl/guassian/main.cc new file mode 100755 index 000000000..45261cc69 --- /dev/null +++ b/benchmarks/new_opencl/guassian/main.cc @@ -0,0 +1,411 @@ +#ifndef __GAUSSIAN_ELIMINATION__ +#define __GAUSSIAN_ELIMINATION__ + +#include "gaussianElim.h" + +cl_context context = NULL; + +int main(int argc, char *argv[]) { + printf("enter demo main\n"); + float *a = NULL, *b = NULL, *finalVec = NULL; + float *m = NULL; + int size; + + FILE *fp; + + // args + char filename[100]; + int quiet = 0, timing = 0, platform = -1, device = -1; + + // parse command line + if (parseCommandline(argc, argv, filename, &quiet, &timing, &platform, + &device)) { + printUsage(); + return 0; + } + + context = cl_init_context(platform, device, quiet); + + fp = fopen(filename, "r"); + fscanf(fp, "%d", &size); + + a = (float *)malloc(size * size * sizeof(float)); + + printf("OK\n"); + + InitMat(fp, size, a, size, size); + // printf("The input matrix a is:\n"); + // PrintMat(a, size, size, size); + b = (float *)malloc(size * sizeof(float)); + + InitAry(fp, b, size); + // printf("The input array b is:\n"); + // PrintAry(b, size); + + // create the solution matrix + m = (float *)malloc(size * size * sizeof(float)); + + // create a new vector to hold the final answer + finalVec = (float *)malloc(size * sizeof(float)); + + InitPerRun(size, m); + + // begin timing + + // run kernels + ForwardSub(context, a, b, m, size, timing); + + // end timing + if (!quiet) { + printf("The result of matrix m is: \n"); + + PrintMat(m, size, size, size); + printf("The result of matrix a is: \n"); + PrintMat(a, size, size, size); + printf("The result of array b is: \n"); + PrintAry(b, size); + + BackSub(a, b, finalVec, size); + printf("The final solution is: \n"); + PrintAry(finalVec, size); + } + + fclose(fp); + free(m); + free(a); + free(b); + free(finalVec); + // OpenClGaussianElimination(context,timing); + + return 0; +} + +/*------------------------------------------------------ + ** ForwardSub() -- Forward substitution of Gaussian + ** elimination. + **------------------------------------------------------ + */ +void ForwardSub(cl_context context, float *a, float *b, float *m, int size, + int timing) { + // 1. set up kernels + cl_kernel fan1_kernel, fan2_kernel; + cl_int status = 0; + cl_program gaussianElim_program; + cl_event writeEvent, kernelEvent, readEvent; + float writeTime = 0, readTime = 0, kernelTime = 0; + float writeMB = 0, readMB = 0; + + gaussianElim_program = cl_compileProgram((char *)"gaussianElim_kernels.cl", NULL); + + fan1_kernel = clCreateKernel(gaussianElim_program, "Fan1", &status); + status = cl_errChk(status, (char *)"Error Creating Fan1 kernel", true); + if (status) + exit(1); + + fan2_kernel = clCreateKernel(gaussianElim_program, "Fan2", &status); + status = cl_errChk(status, (char *)"Error Creating Fan2 kernel", true); + if (status) + exit(1); + + // 2. set up memory on device and send ipts data to device + + cl_mem a_dev, b_dev, m_dev; + + cl_int error = 0; + + a_dev = clCreateBuffer(context, CL_MEM_READ_WRITE, + sizeof(float) * size * size, NULL, &error); + + b_dev = clCreateBuffer(context, CL_MEM_READ_WRITE, sizeof(float) * size, NULL, + &error); + + m_dev = clCreateBuffer(context, CL_MEM_READ_WRITE, + sizeof(float) * size * size, NULL, &error); + + cl_command_queue command_queue = cl_getCommandQueue(); + + error = clEnqueueWriteBuffer(command_queue, a_dev, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * size * size, a, 0, NULL, + &writeEvent); + + if (timing) + writeTime += eventTime(writeEvent, command_queue); + clReleaseEvent(writeEvent); + + error = clEnqueueWriteBuffer(command_queue, b_dev, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * size, b, 0, NULL, &writeEvent); + if (timing) + writeTime += eventTime(writeEvent, command_queue); + clReleaseEvent(writeEvent); + + error = clEnqueueWriteBuffer(command_queue, m_dev, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * size * size, m, 0, NULL, + &writeEvent); + if (timing) + writeTime += eventTime(writeEvent, command_queue); + clReleaseEvent(writeEvent); + writeMB = (float)(sizeof(float) * size * (size + size + 1) / 1e6); + + // 3. Determine block sizes + size_t globalWorksizeFan1[1]; + size_t globalWorksizeFan2[2]; + + globalWorksizeFan1[0] = size; + globalWorksizeFan2[0] = size; + globalWorksizeFan2[1] = size; + + int t; + // 4. Setup and Run kernels + for (t = 0; t < (size - 1); t++) { + // kernel args + cl_int argchk; + argchk = clSetKernelArg(fan1_kernel, 0, sizeof(cl_mem), (void *)&m_dev); + argchk |= clSetKernelArg(fan1_kernel, 1, sizeof(cl_mem), (void *)&a_dev); + argchk |= clSetKernelArg(fan1_kernel, 2, sizeof(cl_mem), (void *)&b_dev); + argchk |= clSetKernelArg(fan1_kernel, 3, sizeof(int), (void *)&size); + argchk |= clSetKernelArg(fan1_kernel, 4, sizeof(int), (void *)&t); + + cl_errChk(argchk, "ERROR in Setting Fan1 kernel args", true); + + // launch kernel + error = + clEnqueueNDRangeKernel(command_queue, fan1_kernel, 1, 0, + globalWorksizeFan1, NULL, 0, NULL, &kernelEvent); + + cl_errChk(error, "ERROR in Executing Fan1 Kernel", true); + if (timing) { + // printf("here1a\n"); + kernelTime += eventTime(kernelEvent, command_queue); + // printf("here1b\n"); + } + clReleaseEvent(kernelEvent); + // Fan1<<>>(m_cuda,a_cuda,Size,t); + // cudaThreadSynchronize(); + + // kernel args + argchk = clSetKernelArg(fan2_kernel, 0, sizeof(cl_mem), (void *)&m_dev); + argchk |= clSetKernelArg(fan2_kernel, 1, sizeof(cl_mem), (void *)&a_dev); + argchk |= clSetKernelArg(fan2_kernel, 2, sizeof(cl_mem), (void *)&b_dev); + argchk |= clSetKernelArg(fan2_kernel, 3, sizeof(int), (void *)&size); + argchk |= clSetKernelArg(fan2_kernel, 4, sizeof(int), (void *)&t); + + cl_errChk(argchk, "ERROR in Setting Fan2 kernel args", true); + + // launch kernel + error = + clEnqueueNDRangeKernel(command_queue, fan2_kernel, 2, 0, + globalWorksizeFan2, NULL, 0, NULL, &kernelEvent); + + cl_errChk(error, "ERROR in Executing Fan1 Kernel", true); + if (timing) { + // printf("here2a\n"); + kernelTime += eventTime(kernelEvent, command_queue); + // printf("here2b\n"); + } + clReleaseEvent(kernelEvent); + // Fan2<<>>(m_cuda,a_cuda,b_cuda,Size,Size-t,t); + // cudaThreadSynchronize(); + } + // 5. transfer data off of device + error = + clEnqueueReadBuffer(command_queue, a_dev, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * size * size, a, 0, NULL, &readEvent); + + cl_errChk(error, "ERROR with clEnqueueReadBuffer", true); + if (timing) + readTime += eventTime(readEvent, command_queue); + clReleaseEvent(readEvent); + + error = clEnqueueReadBuffer(command_queue, b_dev, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * size, b, 0, NULL, &readEvent); + cl_errChk(error, "ERROR with clEnqueueReadBuffer", true); + if (timing) + readTime += eventTime(readEvent, command_queue); + clReleaseEvent(readEvent); + + error = + clEnqueueReadBuffer(command_queue, m_dev, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * size * size, m, 0, NULL, &readEvent); + + cl_errChk(error, "ERROR with clEnqueueReadBuffer", true); + if (timing) + readTime += eventTime(readEvent, command_queue); + clReleaseEvent(readEvent); + readMB = (float)(sizeof(float) * size * (size + size + 1) / 1e6); + + if (timing) { + printf("Matrix Size\tWrite(s) [size]\t\tKernel(s)\tRead(s) " + "[size]\t\tTotal(s)\n"); + printf("%dx%d \t", size, size); + + printf("%f [%.2fMB]\t", writeTime, writeMB); + + printf("%f\t", kernelTime); + + printf("%f [%.2fMB]\t", readTime, readMB); + + printf("%f\n\n", writeTime + kernelTime + readTime); + } +} + +float eventTime(cl_event event, cl_command_queue command_queue) { + cl_int error = 0; + cl_ulong eventStart, eventEnd; + clFinish(command_queue); + error = clGetEventProfilingInfo(event, CL_PROFILING_COMMAND_START, + sizeof(cl_ulong), &eventStart, NULL); + cl_errChk(error, "ERROR in Event Profiling.", true); + error = clGetEventProfilingInfo(event, CL_PROFILING_COMMAND_END, + sizeof(cl_ulong), &eventEnd, NULL); + cl_errChk(error, "ERROR in Event Profiling.", true); + + return (float)((eventEnd - eventStart) / 1e9); +} + +int parseCommandline(int argc, char *argv[], char *filename, int *q, int *t, + int *p, int *d) { + int i; + // if (argc < 2) return 1; // error + strncpy(filename, "matrix4.txt", 100); + char flag; + + for (i = 1; i < argc; i++) { + if (argv[i][0] == '-') { // flag + flag = argv[i][1]; + switch (flag) { + case 'h': // help + return 1; + break; + case 'q': // quiet + *q = 1; + break; + case 't': // timing + *t = 1; + break; + case 'p': // platform + i++; + *p = atoi(argv[i]); + break; + case 'd': // device + i++; + *d = atoi(argv[i]); + break; + } + } + } + if ((*d >= 0 && *p < 0) || + (*p >= 0 && + *d < 0)) // both p and d must be specified if either are specified + return 1; + return 0; +} + +void printUsage() { + printf("Gaussian Elimination Usage\n"); + printf("\n"); + printf("gaussianElimination [filename] [-hqt] [-p [int] -d [int]]\n"); + printf("\n"); + printf("example:\n"); + printf("$ ./gaussianElimination matrix4.txt\n"); + printf("\n"); + printf("filename the filename that holds the matrix data\n"); + printf("\n"); + printf("-h Display the help file\n"); + printf("-q Quiet mode. Suppress all text output.\n"); + printf("-t Print timing information.\n"); + printf("\n"); + printf("-p [int] Choose the platform (must choose both platform and " + "device)\n"); + printf("-d [int] Choose the device (must choose both platform and " + "device)\n"); + printf("\n"); + printf("\n"); + printf("Notes: 1. The filename is required as the first parameter.\n"); + printf(" 2. If you declare either the device or the platform,\n"); + printf(" you must declare both.\n\n"); +} + +/*------------------------------------------------------ + ** InitPerRun() -- Initialize the contents of the + ** multipier matrix **m + **------------------------------------------------------ + */ +void InitPerRun(int size, float *m) { + int i; + for (i = 0; i < size * size; i++) + *(m + i) = 0.0; +} +void BackSub(float *a, float *b, float *finalVec, int size) { + // solve "bottom up" + int i, j; + for (i = 0; i < size; i++) { + finalVec[size - i - 1] = b[size - i - 1]; + for (j = 0; j < i; j++) { + finalVec[size - i - 1] -= *(a + size * (size - i - 1) + (size - j - 1)) * + finalVec[size - j - 1]; + } + finalVec[size - i - 1] = + finalVec[size - i - 1] / *(a + size * (size - i - 1) + (size - i - 1)); + } +} +void InitMat(FILE *fp, int size, float *ary, int nrow, int ncol) { + int i, j; + + for (i = 0; i < nrow; i++) { + for (j = 0; j < ncol; j++) { + fscanf(fp, "%f", ary + size * i + j); + } + } +} +/*------------------------------------------------------ + ** InitAry() -- Initialize the array (vector) by reading + ** data from the data file + **------------------------------------------------------ + */ +void InitAry(FILE *fp, float *ary, int ary_size) { + int i; + + for (i = 0; i < ary_size; i++) { + fscanf(fp, "%f", &ary[i]); + } +} +/*------------------------------------------------------ + ** PrintMat() -- Print the contents of the matrix + **------------------------------------------------------ + */ +void PrintMat(float *ary, int size, int nrow, int ncol) { + int i, j; + + for (i = 0; i < nrow; i++) { + for (j = 0; j < ncol; j++) { + printf("%8.2f ", *(ary + size * i + j)); + } + printf("\n"); + } + printf("\n"); +} + +/*------------------------------------------------------ + ** PrintAry() -- Print the contents of the array (vector) + **------------------------------------------------------ + */ +void PrintAry(float *ary, int ary_size) { + int i; + for (i = 0; i < ary_size; i++) { + printf("%.2f ", ary[i]); + } + printf("\n\n"); +} +#endif diff --git a/benchmarks/new_opencl/guassian/matrix4.txt b/benchmarks/new_opencl/guassian/matrix4.txt new file mode 100755 index 000000000..abf30b495 --- /dev/null +++ b/benchmarks/new_opencl/guassian/matrix4.txt @@ -0,0 +1,11 @@ +4 + +-0.6 -0.5 0.7 0.3 +-0.3 -0.9 0.3 0.7 +-0.4 -0.5 -0.3 -0.8 +0.0 -0.1 0.2 0.9 + +-0.85 -0.68 0.24 -0.53 + +0.7 0.0 -0.4 -0.5 + diff --git a/benchmarks/new_opencl/guassian/run b/benchmarks/new_opencl/guassian/run new file mode 100755 index 000000000..31683b1bb --- /dev/null +++ b/benchmarks/new_opencl/guassian/run @@ -0,0 +1 @@ +./gaussian ../../data/gaussian/matrix4.txt \ No newline at end of file diff --git a/benchmarks/new_opencl/guassian/utils.cpp b/benchmarks/new_opencl/guassian/utils.cpp new file mode 100755 index 000000000..b0f9115f8 --- /dev/null +++ b/benchmarks/new_opencl/guassian/utils.cpp @@ -0,0 +1,204 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#include +#include +#include +#include + +#include "utils.h" + +static bool usingImages = true; + +//! A wrapper for malloc that checks the return value +void* alloc(size_t size) { + + void* ptr = NULL; + ptr = malloc(size); + if(ptr == NULL) { + perror("malloc"); + exit(-1); + } + + return ptr; +} + +// This function checks to make sure a file exists before we open it +void checkFile(char* filename) +{ + + struct stat fileStatus; + if(stat(filename, &fileStatus) != 0) { + printf("Error opening file: %s\n", filename); + exit(-1); + } + else { + if(!(S_IFREG & fileStatus.st_mode)) { + printf("File %s is not a regular file\n", filename); + exit(-1); + } + } +} + + +// This function checks to make sure a directory exists +void checkDir(char* dirpath) +{ + + struct stat fileStatus; + if(stat(dirpath, &fileStatus) != 0) { + printf("Directory does not exist: %s\n", dirpath); + exit(-1); + } + else { + if(!(S_IFDIR & fileStatus.st_mode)) { + printf("Directory was not provided: %s\n", dirpath); + exit(-1); + } + } +} + +// Parse the command line arguments +void parseArguments(int argc, char** argv, char** input, char** events, + char** ipts, char* devicePref, bool* verifyResults) +{ + + for(int i = 2; i < argc; i++) { + if(strcmp(argv[i], "-d") == 0) { // Event dump found + if(i == argc-1) { + printf("Usage: -e Needs directory path\n"); + exit(-1); + } + devicePref[0] = argv[i+1][0]; + i++; + continue; + } + if(strcmp(argv[i], "-e") == 0) { // Event dump found + if(i == argc-1) { + printf("Usage: -e Needs directory path\n"); + exit(-1); + } + *events = argv[i+1]; + i++; + continue; + } + if(strcmp(argv[i], "-i") == 0) { // Input found + if(i == argc-1) { + printf("Usage: -i Needs directory path\n"); + exit(-1); + } + *input = argv[i+1]; + i++; + continue; + } + if(strcmp(argv[i], "-l") == 0) { // Ipts dump found + if(i == argc-1) { + printf("Usage: -l Needs directory path\n"); + exit(-1); + } + *ipts = argv[i+1]; + i++; + continue; + } + if(strcmp(argv[i], "-n") == 0) { // Don't use OpenCL images + setUsingImages(false); + continue; + } + if(strcmp(argv[i], "-v") == 0) { // Verify results + *verifyResults = true; + continue; + } + } +} + + +// This function that takes a positive integer 'value' and returns +// the nearest multiple of 'multiple' (used for padding columns) +unsigned int roundUp(unsigned int value, unsigned int multiple) { + + unsigned int remainder = value % multiple; + + // Make the value a multiple of multiple + if(remainder != 0) { + value += (multiple-remainder); + } + + return value; +} + + +// Concatenate two strings and return a pointer to the new string +char* smartStrcat(char* str1, char* str2) +{ + char* newStr = NULL; + + newStr = (char*)alloc((strlen(str1)+strlen(str2)+1)*sizeof(char)); + + strcpy(newStr, str1); + strcat(newStr, str2); + + return newStr; +} + + +// Set the value of using images to true if they are being +// used, or false if they are not +void setUsingImages(bool val) +{ + usingImages = val; +} + + +// Return whether or not images are being used +bool isUsingImages() +{ + return usingImages; +} diff --git a/benchmarks/new_opencl/guassian/utils.h b/benchmarks/new_opencl/guassian/utils.h new file mode 100755 index 000000000..1e901cedc --- /dev/null +++ b/benchmarks/new_opencl/guassian/utils.h @@ -0,0 +1,84 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#ifndef _UTILS_ +#define _UTILS_ + +// Wrapper for malloc +void* alloc(size_t size); + +// Checks for existence of directory +void checkDir(char* dirpath); + +// Check for existence of file +void checkFile(char* filename); + +// Parse the input command line options to the program +void parseArguments(int argc, char** argv, char** input, char** events, + char** ipts, char* devicePref, bool* verifyResults); + + +// Print the program usage information +void printUsage(); + +// Rounds up size to the nearest multiple of multiple +unsigned int roundUp(unsigned int value, unsigned int multiple); + +// Concatenate two strings, creating a new one +char* smartStrcat(char* str1, char* str2); + +// Set the value of usingImages +void setUsingImages(bool val); + +// Return whether or not images are being used +bool isUsingImages(); + +#endif diff --git a/benchmarks/new_opencl/include/CL/cl.h b/benchmarks/new_opencl/include/CL/cl.h new file mode 100644 index 000000000..32ae73fc5 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl.h @@ -0,0 +1,1804 @@ +/******************************************************************************* + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +#ifndef __OPENCL_CL_H +#define __OPENCL_CL_H + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/******************************************************************************/ + +typedef struct _cl_platform_id * cl_platform_id; +typedef struct _cl_device_id * cl_device_id; +typedef struct _cl_context * cl_context; +typedef struct _cl_command_queue * cl_command_queue; +typedef struct _cl_mem * cl_mem; +typedef struct _cl_program * cl_program; +typedef struct _cl_kernel * cl_kernel; +typedef struct _cl_event * cl_event; +typedef struct _cl_sampler * cl_sampler; + +typedef cl_uint cl_bool; /* WARNING! Unlike cl_ types in cl_platform.h, cl_bool is not guaranteed to be the same size as the bool in kernels. */ +typedef cl_ulong cl_bitfield; +typedef cl_bitfield cl_device_type; +typedef cl_uint cl_platform_info; +typedef cl_uint cl_device_info; +typedef cl_bitfield cl_device_fp_config; +typedef cl_uint cl_device_mem_cache_type; +typedef cl_uint cl_device_local_mem_type; +typedef cl_bitfield cl_device_exec_capabilities; +#ifdef CL_VERSION_2_0 +typedef cl_bitfield cl_device_svm_capabilities; +#endif +typedef cl_bitfield cl_command_queue_properties; +#ifdef CL_VERSION_1_2 +typedef intptr_t cl_device_partition_property; +typedef cl_bitfield cl_device_affinity_domain; +#endif + +typedef intptr_t cl_context_properties; +typedef cl_uint cl_context_info; +#ifdef CL_VERSION_2_0 +typedef cl_bitfield cl_queue_properties; +#endif +typedef cl_uint cl_command_queue_info; +typedef cl_uint cl_channel_order; +typedef cl_uint cl_channel_type; +typedef cl_bitfield cl_mem_flags; +#ifdef CL_VERSION_2_0 +typedef cl_bitfield cl_svm_mem_flags; +#endif +typedef cl_uint cl_mem_object_type; +typedef cl_uint cl_mem_info; +#ifdef CL_VERSION_1_2 +typedef cl_bitfield cl_mem_migration_flags; +#endif +typedef cl_uint cl_image_info; +#ifdef CL_VERSION_1_1 +typedef cl_uint cl_buffer_create_type; +#endif +typedef cl_uint cl_addressing_mode; +typedef cl_uint cl_filter_mode; +typedef cl_uint cl_sampler_info; +typedef cl_bitfield cl_map_flags; +#ifdef CL_VERSION_2_0 +typedef intptr_t cl_pipe_properties; +typedef cl_uint cl_pipe_info; +#endif +typedef cl_uint cl_program_info; +typedef cl_uint cl_program_build_info; +#ifdef CL_VERSION_1_2 +typedef cl_uint cl_program_binary_type; +#endif +typedef cl_int cl_build_status; +typedef cl_uint cl_kernel_info; +#ifdef CL_VERSION_1_2 +typedef cl_uint cl_kernel_arg_info; +typedef cl_uint cl_kernel_arg_address_qualifier; +typedef cl_uint cl_kernel_arg_access_qualifier; +typedef cl_bitfield cl_kernel_arg_type_qualifier; +#endif +typedef cl_uint cl_kernel_work_group_info; +#ifdef CL_VERSION_2_1 +typedef cl_uint cl_kernel_sub_group_info; +#endif +typedef cl_uint cl_event_info; +typedef cl_uint cl_command_type; +typedef cl_uint cl_profiling_info; +#ifdef CL_VERSION_2_0 +typedef cl_bitfield cl_sampler_properties; +typedef cl_uint cl_kernel_exec_info; +#endif + +typedef struct _cl_image_format { + cl_channel_order image_channel_order; + cl_channel_type image_channel_data_type; +} cl_image_format; + +#ifdef CL_VERSION_1_2 + +typedef struct _cl_image_desc { + cl_mem_object_type image_type; + size_t image_width; + size_t image_height; + size_t image_depth; + size_t image_array_size; + size_t image_row_pitch; + size_t image_slice_pitch; + cl_uint num_mip_levels; + cl_uint num_samples; +#ifdef CL_VERSION_2_0 +#ifdef __GNUC__ + __extension__ /* Prevents warnings about anonymous union in -pedantic builds */ +#endif +#ifdef _MSC_VER +#pragma warning( push ) +#pragma warning( disable : 4201 ) /* Prevents warning about nameless struct/union in /W4 /Za builds */ +#endif + union { +#endif + cl_mem buffer; +#ifdef CL_VERSION_2_0 + cl_mem mem_object; + }; +#ifdef _MSC_VER +#pragma warning( pop ) +#endif +#endif +} cl_image_desc; + +#endif + +#ifdef CL_VERSION_1_1 + +typedef struct _cl_buffer_region { + size_t origin; + size_t size; +} cl_buffer_region; + +#endif + +/******************************************************************************/ + +/* Error Codes */ +#define CL_SUCCESS 0 +#define CL_DEVICE_NOT_FOUND -1 +#define CL_DEVICE_NOT_AVAILABLE -2 +#define CL_COMPILER_NOT_AVAILABLE -3 +#define CL_MEM_OBJECT_ALLOCATION_FAILURE -4 +#define CL_OUT_OF_RESOURCES -5 +#define CL_OUT_OF_HOST_MEMORY -6 +#define CL_PROFILING_INFO_NOT_AVAILABLE -7 +#define CL_MEM_COPY_OVERLAP -8 +#define CL_IMAGE_FORMAT_MISMATCH -9 +#define CL_IMAGE_FORMAT_NOT_SUPPORTED -10 +#define CL_BUILD_PROGRAM_FAILURE -11 +#define CL_MAP_FAILURE -12 +#ifdef CL_VERSION_1_1 +#define CL_MISALIGNED_SUB_BUFFER_OFFSET -13 +#define CL_EXEC_STATUS_ERROR_FOR_EVENTS_IN_WAIT_LIST -14 +#endif +#ifdef CL_VERSION_1_2 +#define CL_COMPILE_PROGRAM_FAILURE -15 +#define CL_LINKER_NOT_AVAILABLE -16 +#define CL_LINK_PROGRAM_FAILURE -17 +#define CL_DEVICE_PARTITION_FAILED -18 +#define CL_KERNEL_ARG_INFO_NOT_AVAILABLE -19 +#endif + +#define CL_INVALID_VALUE -30 +#define CL_INVALID_DEVICE_TYPE -31 +#define CL_INVALID_PLATFORM -32 +#define CL_INVALID_DEVICE -33 +#define CL_INVALID_CONTEXT -34 +#define CL_INVALID_QUEUE_PROPERTIES -35 +#define CL_INVALID_COMMAND_QUEUE -36 +#define CL_INVALID_HOST_PTR -37 +#define CL_INVALID_MEM_OBJECT -38 +#define CL_INVALID_IMAGE_FORMAT_DESCRIPTOR -39 +#define CL_INVALID_IMAGE_SIZE -40 +#define CL_INVALID_SAMPLER -41 +#define CL_INVALID_BINARY -42 +#define CL_INVALID_BUILD_OPTIONS -43 +#define CL_INVALID_PROGRAM -44 +#define CL_INVALID_PROGRAM_EXECUTABLE -45 +#define CL_INVALID_KERNEL_NAME -46 +#define CL_INVALID_KERNEL_DEFINITION -47 +#define CL_INVALID_KERNEL -48 +#define CL_INVALID_ARG_INDEX -49 +#define CL_INVALID_ARG_VALUE -50 +#define CL_INVALID_ARG_SIZE -51 +#define CL_INVALID_KERNEL_ARGS -52 +#define CL_INVALID_WORK_DIMENSION -53 +#define CL_INVALID_WORK_GROUP_SIZE -54 +#define CL_INVALID_WORK_ITEM_SIZE -55 +#define CL_INVALID_GLOBAL_OFFSET -56 +#define CL_INVALID_EVENT_WAIT_LIST -57 +#define CL_INVALID_EVENT -58 +#define CL_INVALID_OPERATION -59 +#define CL_INVALID_GL_OBJECT -60 +#define CL_INVALID_BUFFER_SIZE -61 +#define CL_INVALID_MIP_LEVEL -62 +#define CL_INVALID_GLOBAL_WORK_SIZE -63 +#ifdef CL_VERSION_1_1 +#define CL_INVALID_PROPERTY -64 +#endif +#ifdef CL_VERSION_1_2 +#define CL_INVALID_IMAGE_DESCRIPTOR -65 +#define CL_INVALID_COMPILER_OPTIONS -66 +#define CL_INVALID_LINKER_OPTIONS -67 +#define CL_INVALID_DEVICE_PARTITION_COUNT -68 +#endif +#ifdef CL_VERSION_2_0 +#define CL_INVALID_PIPE_SIZE -69 +#define CL_INVALID_DEVICE_QUEUE -70 +#endif +#ifdef CL_VERSION_2_2 +#define CL_INVALID_SPEC_ID -71 +#define CL_MAX_SIZE_RESTRICTION_EXCEEDED -72 +#endif + + +/* cl_bool */ +#define CL_FALSE 0 +#define CL_TRUE 1 +#ifdef CL_VERSION_1_2 +#define CL_BLOCKING CL_TRUE +#define CL_NON_BLOCKING CL_FALSE +#endif + +/* cl_platform_info */ +#define CL_PLATFORM_PROFILE 0x0900 +#define CL_PLATFORM_VERSION 0x0901 +#define CL_PLATFORM_NAME 0x0902 +#define CL_PLATFORM_VENDOR 0x0903 +#define CL_PLATFORM_EXTENSIONS 0x0904 +#ifdef CL_VERSION_2_1 +#define CL_PLATFORM_HOST_TIMER_RESOLUTION 0x0905 +#endif + +/* cl_device_type - bitfield */ +#define CL_DEVICE_TYPE_DEFAULT (1 << 0) +#define CL_DEVICE_TYPE_CPU (1 << 1) +#define CL_DEVICE_TYPE_GPU (1 << 2) +#define CL_DEVICE_TYPE_ACCELERATOR (1 << 3) +#ifdef CL_VERSION_1_2 +#define CL_DEVICE_TYPE_CUSTOM (1 << 4) +#endif +#define CL_DEVICE_TYPE_ALL 0xFFFFFFFF + +/* cl_device_info */ +#define CL_DEVICE_TYPE 0x1000 +#define CL_DEVICE_VENDOR_ID 0x1001 +#define CL_DEVICE_MAX_COMPUTE_UNITS 0x1002 +#define CL_DEVICE_MAX_WORK_ITEM_DIMENSIONS 0x1003 +#define CL_DEVICE_MAX_WORK_GROUP_SIZE 0x1004 +#define CL_DEVICE_MAX_WORK_ITEM_SIZES 0x1005 +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_CHAR 0x1006 +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_SHORT 0x1007 +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_INT 0x1008 +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_LONG 0x1009 +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_FLOAT 0x100A +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_DOUBLE 0x100B +#define CL_DEVICE_MAX_CLOCK_FREQUENCY 0x100C +#define CL_DEVICE_ADDRESS_BITS 0x100D +#define CL_DEVICE_MAX_READ_IMAGE_ARGS 0x100E +#define CL_DEVICE_MAX_WRITE_IMAGE_ARGS 0x100F +#define CL_DEVICE_MAX_MEM_ALLOC_SIZE 0x1010 +#define CL_DEVICE_IMAGE2D_MAX_WIDTH 0x1011 +#define CL_DEVICE_IMAGE2D_MAX_HEIGHT 0x1012 +#define CL_DEVICE_IMAGE3D_MAX_WIDTH 0x1013 +#define CL_DEVICE_IMAGE3D_MAX_HEIGHT 0x1014 +#define CL_DEVICE_IMAGE3D_MAX_DEPTH 0x1015 +#define CL_DEVICE_IMAGE_SUPPORT 0x1016 +#define CL_DEVICE_MAX_PARAMETER_SIZE 0x1017 +#define CL_DEVICE_MAX_SAMPLERS 0x1018 +#define CL_DEVICE_MEM_BASE_ADDR_ALIGN 0x1019 +#define CL_DEVICE_MIN_DATA_TYPE_ALIGN_SIZE 0x101A +#define CL_DEVICE_SINGLE_FP_CONFIG 0x101B +#define CL_DEVICE_GLOBAL_MEM_CACHE_TYPE 0x101C +#define CL_DEVICE_GLOBAL_MEM_CACHELINE_SIZE 0x101D +#define CL_DEVICE_GLOBAL_MEM_CACHE_SIZE 0x101E +#define CL_DEVICE_GLOBAL_MEM_SIZE 0x101F +#define CL_DEVICE_MAX_CONSTANT_BUFFER_SIZE 0x1020 +#define CL_DEVICE_MAX_CONSTANT_ARGS 0x1021 +#define CL_DEVICE_LOCAL_MEM_TYPE 0x1022 +#define CL_DEVICE_LOCAL_MEM_SIZE 0x1023 +#define CL_DEVICE_ERROR_CORRECTION_SUPPORT 0x1024 +#define CL_DEVICE_PROFILING_TIMER_RESOLUTION 0x1025 +#define CL_DEVICE_ENDIAN_LITTLE 0x1026 +#define CL_DEVICE_AVAILABLE 0x1027 +#define CL_DEVICE_COMPILER_AVAILABLE 0x1028 +#define CL_DEVICE_EXECUTION_CAPABILITIES 0x1029 +#define CL_DEVICE_QUEUE_PROPERTIES 0x102A /* deprecated */ +#ifdef CL_VERSION_2_0 +#define CL_DEVICE_QUEUE_ON_HOST_PROPERTIES 0x102A +#endif +#define CL_DEVICE_NAME 0x102B +#define CL_DEVICE_VENDOR 0x102C +#define CL_DRIVER_VERSION 0x102D +#define CL_DEVICE_PROFILE 0x102E +#define CL_DEVICE_VERSION 0x102F +#define CL_DEVICE_EXTENSIONS 0x1030 +#define CL_DEVICE_PLATFORM 0x1031 +#ifdef CL_VERSION_1_2 +#define CL_DEVICE_DOUBLE_FP_CONFIG 0x1032 +#endif +/* 0x1033 reserved for CL_DEVICE_HALF_FP_CONFIG which is already defined in "cl_ext.h" */ +#ifdef CL_VERSION_1_1 +#define CL_DEVICE_PREFERRED_VECTOR_WIDTH_HALF 0x1034 +#define CL_DEVICE_HOST_UNIFIED_MEMORY 0x1035 /* deprecated */ +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_CHAR 0x1036 +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_SHORT 0x1037 +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_INT 0x1038 +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_LONG 0x1039 +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_FLOAT 0x103A +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_DOUBLE 0x103B +#define CL_DEVICE_NATIVE_VECTOR_WIDTH_HALF 0x103C +#define CL_DEVICE_OPENCL_C_VERSION 0x103D +#endif +#ifdef CL_VERSION_1_2 +#define CL_DEVICE_LINKER_AVAILABLE 0x103E +#define CL_DEVICE_BUILT_IN_KERNELS 0x103F +#define CL_DEVICE_IMAGE_MAX_BUFFER_SIZE 0x1040 +#define CL_DEVICE_IMAGE_MAX_ARRAY_SIZE 0x1041 +#define CL_DEVICE_PARENT_DEVICE 0x1042 +#define CL_DEVICE_PARTITION_MAX_SUB_DEVICES 0x1043 +#define CL_DEVICE_PARTITION_PROPERTIES 0x1044 +#define CL_DEVICE_PARTITION_AFFINITY_DOMAIN 0x1045 +#define CL_DEVICE_PARTITION_TYPE 0x1046 +#define CL_DEVICE_REFERENCE_COUNT 0x1047 +#define CL_DEVICE_PREFERRED_INTEROP_USER_SYNC 0x1048 +#define CL_DEVICE_PRINTF_BUFFER_SIZE 0x1049 +#endif +#ifdef CL_VERSION_2_0 +#define CL_DEVICE_IMAGE_PITCH_ALIGNMENT 0x104A +#define CL_DEVICE_IMAGE_BASE_ADDRESS_ALIGNMENT 0x104B +#define CL_DEVICE_MAX_READ_WRITE_IMAGE_ARGS 0x104C +#define CL_DEVICE_MAX_GLOBAL_VARIABLE_SIZE 0x104D +#define CL_DEVICE_QUEUE_ON_DEVICE_PROPERTIES 0x104E +#define CL_DEVICE_QUEUE_ON_DEVICE_PREFERRED_SIZE 0x104F +#define CL_DEVICE_QUEUE_ON_DEVICE_MAX_SIZE 0x1050 +#define CL_DEVICE_MAX_ON_DEVICE_QUEUES 0x1051 +#define CL_DEVICE_MAX_ON_DEVICE_EVENTS 0x1052 +#define CL_DEVICE_SVM_CAPABILITIES 0x1053 +#define CL_DEVICE_GLOBAL_VARIABLE_PREFERRED_TOTAL_SIZE 0x1054 +#define CL_DEVICE_MAX_PIPE_ARGS 0x1055 +#define CL_DEVICE_PIPE_MAX_ACTIVE_RESERVATIONS 0x1056 +#define CL_DEVICE_PIPE_MAX_PACKET_SIZE 0x1057 +#define CL_DEVICE_PREFERRED_PLATFORM_ATOMIC_ALIGNMENT 0x1058 +#define CL_DEVICE_PREFERRED_GLOBAL_ATOMIC_ALIGNMENT 0x1059 +#define CL_DEVICE_PREFERRED_LOCAL_ATOMIC_ALIGNMENT 0x105A +#endif +#ifdef CL_VERSION_2_1 +#define CL_DEVICE_IL_VERSION 0x105B +#define CL_DEVICE_MAX_NUM_SUB_GROUPS 0x105C +#define CL_DEVICE_SUB_GROUP_INDEPENDENT_FORWARD_PROGRESS 0x105D +#endif + +/* cl_device_fp_config - bitfield */ +#define CL_FP_DENORM (1 << 0) +#define CL_FP_INF_NAN (1 << 1) +#define CL_FP_ROUND_TO_NEAREST (1 << 2) +#define CL_FP_ROUND_TO_ZERO (1 << 3) +#define CL_FP_ROUND_TO_INF (1 << 4) +#define CL_FP_FMA (1 << 5) +#ifdef CL_VERSION_1_1 +#define CL_FP_SOFT_FLOAT (1 << 6) +#endif +#ifdef CL_VERSION_1_2 +#define CL_FP_CORRECTLY_ROUNDED_DIVIDE_SQRT (1 << 7) +#endif + +/* cl_device_mem_cache_type */ +#define CL_NONE 0x0 +#define CL_READ_ONLY_CACHE 0x1 +#define CL_READ_WRITE_CACHE 0x2 + +/* cl_device_local_mem_type */ +#define CL_LOCAL 0x1 +#define CL_GLOBAL 0x2 + +/* cl_device_exec_capabilities - bitfield */ +#define CL_EXEC_KERNEL (1 << 0) +#define CL_EXEC_NATIVE_KERNEL (1 << 1) + +/* cl_command_queue_properties - bitfield */ +#define CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE (1 << 0) +#define CL_QUEUE_PROFILING_ENABLE (1 << 1) +#ifdef CL_VERSION_2_0 +#define CL_QUEUE_ON_DEVICE (1 << 2) +#define CL_QUEUE_ON_DEVICE_DEFAULT (1 << 3) +#endif + +/* cl_context_info */ +#define CL_CONTEXT_REFERENCE_COUNT 0x1080 +#define CL_CONTEXT_DEVICES 0x1081 +#define CL_CONTEXT_PROPERTIES 0x1082 +#ifdef CL_VERSION_1_1 +#define CL_CONTEXT_NUM_DEVICES 0x1083 +#endif + +/* cl_context_properties */ +#define CL_CONTEXT_PLATFORM 0x1084 +#ifdef CL_VERSION_1_2 +#define CL_CONTEXT_INTEROP_USER_SYNC 0x1085 +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_device_partition_property */ +#define CL_DEVICE_PARTITION_EQUALLY 0x1086 +#define CL_DEVICE_PARTITION_BY_COUNTS 0x1087 +#define CL_DEVICE_PARTITION_BY_COUNTS_LIST_END 0x0 +#define CL_DEVICE_PARTITION_BY_AFFINITY_DOMAIN 0x1088 + +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_device_affinity_domain */ +#define CL_DEVICE_AFFINITY_DOMAIN_NUMA (1 << 0) +#define CL_DEVICE_AFFINITY_DOMAIN_L4_CACHE (1 << 1) +#define CL_DEVICE_AFFINITY_DOMAIN_L3_CACHE (1 << 2) +#define CL_DEVICE_AFFINITY_DOMAIN_L2_CACHE (1 << 3) +#define CL_DEVICE_AFFINITY_DOMAIN_L1_CACHE (1 << 4) +#define CL_DEVICE_AFFINITY_DOMAIN_NEXT_PARTITIONABLE (1 << 5) + +#endif + +#ifdef CL_VERSION_2_0 + +/* cl_device_svm_capabilities */ +#define CL_DEVICE_SVM_COARSE_GRAIN_BUFFER (1 << 0) +#define CL_DEVICE_SVM_FINE_GRAIN_BUFFER (1 << 1) +#define CL_DEVICE_SVM_FINE_GRAIN_SYSTEM (1 << 2) +#define CL_DEVICE_SVM_ATOMICS (1 << 3) + +#endif + +/* cl_command_queue_info */ +#define CL_QUEUE_CONTEXT 0x1090 +#define CL_QUEUE_DEVICE 0x1091 +#define CL_QUEUE_REFERENCE_COUNT 0x1092 +#define CL_QUEUE_PROPERTIES 0x1093 +#ifdef CL_VERSION_2_0 +#define CL_QUEUE_SIZE 0x1094 +#endif +#ifdef CL_VERSION_2_1 +#define CL_QUEUE_DEVICE_DEFAULT 0x1095 +#endif + +/* cl_mem_flags and cl_svm_mem_flags - bitfield */ +#define CL_MEM_READ_WRITE (1 << 0) +#define CL_MEM_WRITE_ONLY (1 << 1) +#define CL_MEM_READ_ONLY (1 << 2) +#define CL_MEM_USE_HOST_PTR (1 << 3) +#define CL_MEM_ALLOC_HOST_PTR (1 << 4) +#define CL_MEM_COPY_HOST_PTR (1 << 5) +/* reserved (1 << 6) */ +#ifdef CL_VERSION_1_2 +#define CL_MEM_HOST_WRITE_ONLY (1 << 7) +#define CL_MEM_HOST_READ_ONLY (1 << 8) +#define CL_MEM_HOST_NO_ACCESS (1 << 9) +#endif +#ifdef CL_VERSION_2_0 +#define CL_MEM_SVM_FINE_GRAIN_BUFFER (1 << 10) /* used by cl_svm_mem_flags only */ +#define CL_MEM_SVM_ATOMICS (1 << 11) /* used by cl_svm_mem_flags only */ +#define CL_MEM_KERNEL_READ_AND_WRITE (1 << 12) +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_mem_migration_flags - bitfield */ +#define CL_MIGRATE_MEM_OBJECT_HOST (1 << 0) +#define CL_MIGRATE_MEM_OBJECT_CONTENT_UNDEFINED (1 << 1) + +#endif + +/* cl_channel_order */ +#define CL_R 0x10B0 +#define CL_A 0x10B1 +#define CL_RG 0x10B2 +#define CL_RA 0x10B3 +#define CL_RGB 0x10B4 +#define CL_RGBA 0x10B5 +#define CL_BGRA 0x10B6 +#define CL_ARGB 0x10B7 +#define CL_INTENSITY 0x10B8 +#define CL_LUMINANCE 0x10B9 +#ifdef CL_VERSION_1_1 +#define CL_Rx 0x10BA +#define CL_RGx 0x10BB +#define CL_RGBx 0x10BC +#endif +#ifdef CL_VERSION_1_2 +#define CL_DEPTH 0x10BD +#define CL_DEPTH_STENCIL 0x10BE +#endif +#ifdef CL_VERSION_2_0 +#define CL_sRGB 0x10BF +#define CL_sRGBx 0x10C0 +#define CL_sRGBA 0x10C1 +#define CL_sBGRA 0x10C2 +#define CL_ABGR 0x10C3 +#endif + +/* cl_channel_type */ +#define CL_SNORM_INT8 0x10D0 +#define CL_SNORM_INT16 0x10D1 +#define CL_UNORM_INT8 0x10D2 +#define CL_UNORM_INT16 0x10D3 +#define CL_UNORM_SHORT_565 0x10D4 +#define CL_UNORM_SHORT_555 0x10D5 +#define CL_UNORM_INT_101010 0x10D6 +#define CL_SIGNED_INT8 0x10D7 +#define CL_SIGNED_INT16 0x10D8 +#define CL_SIGNED_INT32 0x10D9 +#define CL_UNSIGNED_INT8 0x10DA +#define CL_UNSIGNED_INT16 0x10DB +#define CL_UNSIGNED_INT32 0x10DC +#define CL_HALF_FLOAT 0x10DD +#define CL_FLOAT 0x10DE +#ifdef CL_VERSION_1_2 +#define CL_UNORM_INT24 0x10DF +#endif +#ifdef CL_VERSION_2_1 +#define CL_UNORM_INT_101010_2 0x10E0 +#endif + +/* cl_mem_object_type */ +#define CL_MEM_OBJECT_BUFFER 0x10F0 +#define CL_MEM_OBJECT_IMAGE2D 0x10F1 +#define CL_MEM_OBJECT_IMAGE3D 0x10F2 +#ifdef CL_VERSION_1_2 +#define CL_MEM_OBJECT_IMAGE2D_ARRAY 0x10F3 +#define CL_MEM_OBJECT_IMAGE1D 0x10F4 +#define CL_MEM_OBJECT_IMAGE1D_ARRAY 0x10F5 +#define CL_MEM_OBJECT_IMAGE1D_BUFFER 0x10F6 +#endif +#ifdef CL_VERSION_2_0 +#define CL_MEM_OBJECT_PIPE 0x10F7 +#endif + +/* cl_mem_info */ +#define CL_MEM_TYPE 0x1100 +#define CL_MEM_FLAGS 0x1101 +#define CL_MEM_SIZE 0x1102 +#define CL_MEM_HOST_PTR 0x1103 +#define CL_MEM_MAP_COUNT 0x1104 +#define CL_MEM_REFERENCE_COUNT 0x1105 +#define CL_MEM_CONTEXT 0x1106 +#ifdef CL_VERSION_1_1 +#define CL_MEM_ASSOCIATED_MEMOBJECT 0x1107 +#define CL_MEM_OFFSET 0x1108 +#endif +#ifdef CL_VERSION_2_0 +#define CL_MEM_USES_SVM_POINTER 0x1109 +#endif + +/* cl_image_info */ +#define CL_IMAGE_FORMAT 0x1110 +#define CL_IMAGE_ELEMENT_SIZE 0x1111 +#define CL_IMAGE_ROW_PITCH 0x1112 +#define CL_IMAGE_SLICE_PITCH 0x1113 +#define CL_IMAGE_WIDTH 0x1114 +#define CL_IMAGE_HEIGHT 0x1115 +#define CL_IMAGE_DEPTH 0x1116 +#ifdef CL_VERSION_1_2 +#define CL_IMAGE_ARRAY_SIZE 0x1117 +#define CL_IMAGE_BUFFER 0x1118 +#define CL_IMAGE_NUM_MIP_LEVELS 0x1119 +#define CL_IMAGE_NUM_SAMPLES 0x111A +#endif + +#ifdef CL_VERSION_2_0 + +/* cl_pipe_info */ +#define CL_PIPE_PACKET_SIZE 0x1120 +#define CL_PIPE_MAX_PACKETS 0x1121 + +#endif + +/* cl_addressing_mode */ +#define CL_ADDRESS_NONE 0x1130 +#define CL_ADDRESS_CLAMP_TO_EDGE 0x1131 +#define CL_ADDRESS_CLAMP 0x1132 +#define CL_ADDRESS_REPEAT 0x1133 +#ifdef CL_VERSION_1_1 +#define CL_ADDRESS_MIRRORED_REPEAT 0x1134 +#endif + +/* cl_filter_mode */ +#define CL_FILTER_NEAREST 0x1140 +#define CL_FILTER_LINEAR 0x1141 + +/* cl_sampler_info */ +#define CL_SAMPLER_REFERENCE_COUNT 0x1150 +#define CL_SAMPLER_CONTEXT 0x1151 +#define CL_SAMPLER_NORMALIZED_COORDS 0x1152 +#define CL_SAMPLER_ADDRESSING_MODE 0x1153 +#define CL_SAMPLER_FILTER_MODE 0x1154 +#ifdef CL_VERSION_2_0 +/* These enumerants are for the cl_khr_mipmap_image extension. + They have since been added to cl_ext.h with an appropriate + KHR suffix, but are left here for backwards compatibility. */ +#define CL_SAMPLER_MIP_FILTER_MODE 0x1155 +#define CL_SAMPLER_LOD_MIN 0x1156 +#define CL_SAMPLER_LOD_MAX 0x1157 +#endif + +/* cl_map_flags - bitfield */ +#define CL_MAP_READ (1 << 0) +#define CL_MAP_WRITE (1 << 1) +#ifdef CL_VERSION_1_2 +#define CL_MAP_WRITE_INVALIDATE_REGION (1 << 2) +#endif + +/* cl_program_info */ +#define CL_PROGRAM_REFERENCE_COUNT 0x1160 +#define CL_PROGRAM_CONTEXT 0x1161 +#define CL_PROGRAM_NUM_DEVICES 0x1162 +#define CL_PROGRAM_DEVICES 0x1163 +#define CL_PROGRAM_SOURCE 0x1164 +#define CL_PROGRAM_BINARY_SIZES 0x1165 +#define CL_PROGRAM_BINARIES 0x1166 +#ifdef CL_VERSION_1_2 +#define CL_PROGRAM_NUM_KERNELS 0x1167 +#define CL_PROGRAM_KERNEL_NAMES 0x1168 +#endif +#ifdef CL_VERSION_2_1 +#define CL_PROGRAM_IL 0x1169 +#endif +#ifdef CL_VERSION_2_2 +#define CL_PROGRAM_SCOPE_GLOBAL_CTORS_PRESENT 0x116A +#define CL_PROGRAM_SCOPE_GLOBAL_DTORS_PRESENT 0x116B +#endif + +/* cl_program_build_info */ +#define CL_PROGRAM_BUILD_STATUS 0x1181 +#define CL_PROGRAM_BUILD_OPTIONS 0x1182 +#define CL_PROGRAM_BUILD_LOG 0x1183 +#ifdef CL_VERSION_1_2 +#define CL_PROGRAM_BINARY_TYPE 0x1184 +#endif +#ifdef CL_VERSION_2_0 +#define CL_PROGRAM_BUILD_GLOBAL_VARIABLE_TOTAL_SIZE 0x1185 +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_program_binary_type */ +#define CL_PROGRAM_BINARY_TYPE_NONE 0x0 +#define CL_PROGRAM_BINARY_TYPE_COMPILED_OBJECT 0x1 +#define CL_PROGRAM_BINARY_TYPE_LIBRARY 0x2 +#define CL_PROGRAM_BINARY_TYPE_EXECUTABLE 0x4 + +#endif + +/* cl_build_status */ +#define CL_BUILD_SUCCESS 0 +#define CL_BUILD_NONE -1 +#define CL_BUILD_ERROR -2 +#define CL_BUILD_IN_PROGRESS -3 + +/* cl_kernel_info */ +#define CL_KERNEL_FUNCTION_NAME 0x1190 +#define CL_KERNEL_NUM_ARGS 0x1191 +#define CL_KERNEL_REFERENCE_COUNT 0x1192 +#define CL_KERNEL_CONTEXT 0x1193 +#define CL_KERNEL_PROGRAM 0x1194 +#ifdef CL_VERSION_1_2 +#define CL_KERNEL_ATTRIBUTES 0x1195 +#endif +#ifdef CL_VERSION_2_1 +#define CL_KERNEL_MAX_NUM_SUB_GROUPS 0x11B9 +#define CL_KERNEL_COMPILE_NUM_SUB_GROUPS 0x11BA +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_kernel_arg_info */ +#define CL_KERNEL_ARG_ADDRESS_QUALIFIER 0x1196 +#define CL_KERNEL_ARG_ACCESS_QUALIFIER 0x1197 +#define CL_KERNEL_ARG_TYPE_NAME 0x1198 +#define CL_KERNEL_ARG_TYPE_QUALIFIER 0x1199 +#define CL_KERNEL_ARG_NAME 0x119A + +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_kernel_arg_address_qualifier */ +#define CL_KERNEL_ARG_ADDRESS_GLOBAL 0x119B +#define CL_KERNEL_ARG_ADDRESS_LOCAL 0x119C +#define CL_KERNEL_ARG_ADDRESS_CONSTANT 0x119D +#define CL_KERNEL_ARG_ADDRESS_PRIVATE 0x119E + +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_kernel_arg_access_qualifier */ +#define CL_KERNEL_ARG_ACCESS_READ_ONLY 0x11A0 +#define CL_KERNEL_ARG_ACCESS_WRITE_ONLY 0x11A1 +#define CL_KERNEL_ARG_ACCESS_READ_WRITE 0x11A2 +#define CL_KERNEL_ARG_ACCESS_NONE 0x11A3 + +#endif + +#ifdef CL_VERSION_1_2 + +/* cl_kernel_arg_type_qualifier */ +#define CL_KERNEL_ARG_TYPE_NONE 0 +#define CL_KERNEL_ARG_TYPE_CONST (1 << 0) +#define CL_KERNEL_ARG_TYPE_RESTRICT (1 << 1) +#define CL_KERNEL_ARG_TYPE_VOLATILE (1 << 2) +#ifdef CL_VERSION_2_0 +#define CL_KERNEL_ARG_TYPE_PIPE (1 << 3) +#endif + +#endif + +/* cl_kernel_work_group_info */ +#define CL_KERNEL_WORK_GROUP_SIZE 0x11B0 +#define CL_KERNEL_COMPILE_WORK_GROUP_SIZE 0x11B1 +#define CL_KERNEL_LOCAL_MEM_SIZE 0x11B2 +#define CL_KERNEL_PREFERRED_WORK_GROUP_SIZE_MULTIPLE 0x11B3 +#define CL_KERNEL_PRIVATE_MEM_SIZE 0x11B4 +#ifdef CL_VERSION_1_2 +#define CL_KERNEL_GLOBAL_WORK_SIZE 0x11B5 +#endif + +#ifdef CL_VERSION_2_1 + +/* cl_kernel_sub_group_info */ +#define CL_KERNEL_MAX_SUB_GROUP_SIZE_FOR_NDRANGE 0x2033 +#define CL_KERNEL_SUB_GROUP_COUNT_FOR_NDRANGE 0x2034 +#define CL_KERNEL_LOCAL_SIZE_FOR_SUB_GROUP_COUNT 0x11B8 + +#endif + +#ifdef CL_VERSION_2_0 + +/* cl_kernel_exec_info */ +#define CL_KERNEL_EXEC_INFO_SVM_PTRS 0x11B6 +#define CL_KERNEL_EXEC_INFO_SVM_FINE_GRAIN_SYSTEM 0x11B7 + +#endif + +/* cl_event_info */ +#define CL_EVENT_COMMAND_QUEUE 0x11D0 +#define CL_EVENT_COMMAND_TYPE 0x11D1 +#define CL_EVENT_REFERENCE_COUNT 0x11D2 +#define CL_EVENT_COMMAND_EXECUTION_STATUS 0x11D3 +#ifdef CL_VERSION_1_1 +#define CL_EVENT_CONTEXT 0x11D4 +#endif + +/* cl_command_type */ +#define CL_COMMAND_NDRANGE_KERNEL 0x11F0 +#define CL_COMMAND_TASK 0x11F1 +#define CL_COMMAND_NATIVE_KERNEL 0x11F2 +#define CL_COMMAND_READ_BUFFER 0x11F3 +#define CL_COMMAND_WRITE_BUFFER 0x11F4 +#define CL_COMMAND_COPY_BUFFER 0x11F5 +#define CL_COMMAND_READ_IMAGE 0x11F6 +#define CL_COMMAND_WRITE_IMAGE 0x11F7 +#define CL_COMMAND_COPY_IMAGE 0x11F8 +#define CL_COMMAND_COPY_IMAGE_TO_BUFFER 0x11F9 +#define CL_COMMAND_COPY_BUFFER_TO_IMAGE 0x11FA +#define CL_COMMAND_MAP_BUFFER 0x11FB +#define CL_COMMAND_MAP_IMAGE 0x11FC +#define CL_COMMAND_UNMAP_MEM_OBJECT 0x11FD +#define CL_COMMAND_MARKER 0x11FE +#define CL_COMMAND_ACQUIRE_GL_OBJECTS 0x11FF +#define CL_COMMAND_RELEASE_GL_OBJECTS 0x1200 +#ifdef CL_VERSION_1_1 +#define CL_COMMAND_READ_BUFFER_RECT 0x1201 +#define CL_COMMAND_WRITE_BUFFER_RECT 0x1202 +#define CL_COMMAND_COPY_BUFFER_RECT 0x1203 +#define CL_COMMAND_USER 0x1204 +#endif +#ifdef CL_VERSION_1_2 +#define CL_COMMAND_BARRIER 0x1205 +#define CL_COMMAND_MIGRATE_MEM_OBJECTS 0x1206 +#define CL_COMMAND_FILL_BUFFER 0x1207 +#define CL_COMMAND_FILL_IMAGE 0x1208 +#endif +#ifdef CL_VERSION_2_0 +#define CL_COMMAND_SVM_FREE 0x1209 +#define CL_COMMAND_SVM_MEMCPY 0x120A +#define CL_COMMAND_SVM_MEMFILL 0x120B +#define CL_COMMAND_SVM_MAP 0x120C +#define CL_COMMAND_SVM_UNMAP 0x120D +#endif + +/* command execution status */ +#define CL_COMPLETE 0x0 +#define CL_RUNNING 0x1 +#define CL_SUBMITTED 0x2 +#define CL_QUEUED 0x3 + +#ifdef CL_VERSION_1_1 + +/* cl_buffer_create_type */ +#define CL_BUFFER_CREATE_TYPE_REGION 0x1220 + +#endif + +/* cl_profiling_info */ +#define CL_PROFILING_COMMAND_QUEUED 0x1280 +#define CL_PROFILING_COMMAND_SUBMIT 0x1281 +#define CL_PROFILING_COMMAND_START 0x1282 +#define CL_PROFILING_COMMAND_END 0x1283 +#ifdef CL_VERSION_2_0 +#define CL_PROFILING_COMMAND_COMPLETE 0x1284 +#endif + +/********************************************************************************************************/ + +/* Platform API */ +extern CL_API_ENTRY cl_int CL_API_CALL +clGetPlatformIDs(cl_uint num_entries, + cl_platform_id * platforms, + cl_uint * num_platforms) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetPlatformInfo(cl_platform_id platform, + cl_platform_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +/* Device APIs */ +extern CL_API_ENTRY cl_int CL_API_CALL +clGetDeviceIDs(cl_platform_id platform, + cl_device_type device_type, + cl_uint num_entries, + cl_device_id * devices, + cl_uint * num_devices) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetDeviceInfo(cl_device_id device, + cl_device_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clCreateSubDevices(cl_device_id in_device, + const cl_device_partition_property * properties, + cl_uint num_devices, + cl_device_id * out_devices, + cl_uint * num_devices_ret) CL_API_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainDevice(cl_device_id device) CL_API_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseDevice(cl_device_id device) CL_API_SUFFIX__VERSION_1_2; + +#endif + +#ifdef CL_VERSION_2_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetDefaultDeviceCommandQueue(cl_context context, + cl_device_id device, + cl_command_queue command_queue) CL_API_SUFFIX__VERSION_2_1; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetDeviceAndHostTimer(cl_device_id device, + cl_ulong* device_timestamp, + cl_ulong* host_timestamp) CL_API_SUFFIX__VERSION_2_1; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetHostTimer(cl_device_id device, + cl_ulong * host_timestamp) CL_API_SUFFIX__VERSION_2_1; + +#endif + +/* Context APIs */ +extern CL_API_ENTRY cl_context CL_API_CALL +clCreateContext(const cl_context_properties * properties, + cl_uint num_devices, + const cl_device_id * devices, + void (CL_CALLBACK * pfn_notify)(const char * errinfo, + const void * private_info, + size_t cb, + void * user_data), + void * user_data, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_context CL_API_CALL +clCreateContextFromType(const cl_context_properties * properties, + cl_device_type device_type, + void (CL_CALLBACK * pfn_notify)(const char * errinfo, + const void * private_info, + size_t cb, + void * user_data), + void * user_data, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainContext(cl_context context) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseContext(cl_context context) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetContextInfo(cl_context context, + cl_context_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +/* Command Queue APIs */ + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY cl_command_queue CL_API_CALL +clCreateCommandQueueWithProperties(cl_context context, + cl_device_id device, + const cl_queue_properties * properties, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_2_0; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainCommandQueue(cl_command_queue command_queue) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseCommandQueue(cl_command_queue command_queue) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetCommandQueueInfo(cl_command_queue command_queue, + cl_command_queue_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +/* Memory Object APIs */ +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateBuffer(cl_context context, + cl_mem_flags flags, + size_t size, + void * host_ptr, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateSubBuffer(cl_mem buffer, + cl_mem_flags flags, + cl_buffer_create_type buffer_create_type, + const void * buffer_create_info, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_1; + +#endif + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateImage(cl_context context, + cl_mem_flags flags, + const cl_image_format * image_format, + const cl_image_desc * image_desc, + void * host_ptr, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +#endif + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreatePipe(cl_context context, + cl_mem_flags flags, + cl_uint pipe_packet_size, + cl_uint pipe_max_packets, + const cl_pipe_properties * properties, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_2_0; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainMemObject(cl_mem memobj) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseMemObject(cl_mem memobj) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetSupportedImageFormats(cl_context context, + cl_mem_flags flags, + cl_mem_object_type image_type, + cl_uint num_entries, + cl_image_format * image_formats, + cl_uint * num_image_formats) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetMemObjectInfo(cl_mem memobj, + cl_mem_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetImageInfo(cl_mem image, + cl_image_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetPipeInfo(cl_mem pipe, + cl_pipe_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_2_0; + +#endif + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetMemObjectDestructorCallback(cl_mem memobj, + void (CL_CALLBACK * pfn_notify)(cl_mem memobj, + void * user_data), + void * user_data) CL_API_SUFFIX__VERSION_1_1; + +#endif + +/* SVM Allocation APIs */ + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY void * CL_API_CALL +clSVMAlloc(cl_context context, + cl_svm_mem_flags flags, + size_t size, + cl_uint alignment) CL_API_SUFFIX__VERSION_2_0; + +extern CL_API_ENTRY void CL_API_CALL +clSVMFree(cl_context context, + void * svm_pointer) CL_API_SUFFIX__VERSION_2_0; + +#endif + +/* Sampler APIs */ + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY cl_sampler CL_API_CALL +clCreateSamplerWithProperties(cl_context context, + const cl_sampler_properties * sampler_properties, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_2_0; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainSampler(cl_sampler sampler) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseSampler(cl_sampler sampler) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetSamplerInfo(cl_sampler sampler, + cl_sampler_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +/* Program Object APIs */ +extern CL_API_ENTRY cl_program CL_API_CALL +clCreateProgramWithSource(cl_context context, + cl_uint count, + const char ** strings, + const size_t * lengths, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_program CL_API_CALL +clCreateProgramWithBinary(cl_context context, + cl_uint num_devices, + const cl_device_id * device_list, + const size_t * lengths, + const unsigned char ** binaries, + cl_int * binary_status, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_program CL_API_CALL +clCreateProgramWithBuiltInKernels(cl_context context, + cl_uint num_devices, + const cl_device_id * device_list, + const char * kernel_names, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +#endif + +#ifdef CL_VERSION_2_1 + +extern CL_API_ENTRY cl_program CL_API_CALL +clCreateProgramWithIL(cl_context context, + const void* il, + size_t length, + cl_int* errcode_ret) CL_API_SUFFIX__VERSION_2_1; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainProgram(cl_program program) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseProgram(cl_program program) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clBuildProgram(cl_program program, + cl_uint num_devices, + const cl_device_id * device_list, + const char * options, + void (CL_CALLBACK * pfn_notify)(cl_program program, + void * user_data), + void * user_data) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clCompileProgram(cl_program program, + cl_uint num_devices, + const cl_device_id * device_list, + const char * options, + cl_uint num_input_headers, + const cl_program * input_headers, + const char ** header_include_names, + void (CL_CALLBACK * pfn_notify)(cl_program program, + void * user_data), + void * user_data) CL_API_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_program CL_API_CALL +clLinkProgram(cl_context context, + cl_uint num_devices, + const cl_device_id * device_list, + const char * options, + cl_uint num_input_programs, + const cl_program * input_programs, + void (CL_CALLBACK * pfn_notify)(cl_program program, + void * user_data), + void * user_data, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +#endif + +#ifdef CL_VERSION_2_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetProgramReleaseCallback(cl_program program, + void (CL_CALLBACK * pfn_notify)(cl_program program, + void * user_data), + void * user_data) CL_API_SUFFIX__VERSION_2_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetProgramSpecializationConstant(cl_program program, + cl_uint spec_id, + size_t spec_size, + const void* spec_value) CL_API_SUFFIX__VERSION_2_2; + +#endif + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clUnloadPlatformCompiler(cl_platform_id platform) CL_API_SUFFIX__VERSION_1_2; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetProgramInfo(cl_program program, + cl_program_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetProgramBuildInfo(cl_program program, + cl_device_id device, + cl_program_build_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +/* Kernel Object APIs */ +extern CL_API_ENTRY cl_kernel CL_API_CALL +clCreateKernel(cl_program program, + const char * kernel_name, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clCreateKernelsInProgram(cl_program program, + cl_uint num_kernels, + cl_kernel * kernels, + cl_uint * num_kernels_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_2_1 + +extern CL_API_ENTRY cl_kernel CL_API_CALL +clCloneKernel(cl_kernel source_kernel, + cl_int* errcode_ret) CL_API_SUFFIX__VERSION_2_1; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainKernel(cl_kernel kernel) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseKernel(cl_kernel kernel) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetKernelArg(cl_kernel kernel, + cl_uint arg_index, + size_t arg_size, + const void * arg_value) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetKernelArgSVMPointer(cl_kernel kernel, + cl_uint arg_index, + const void * arg_value) CL_API_SUFFIX__VERSION_2_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetKernelExecInfo(cl_kernel kernel, + cl_kernel_exec_info param_name, + size_t param_value_size, + const void * param_value) CL_API_SUFFIX__VERSION_2_0; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetKernelInfo(cl_kernel kernel, + cl_kernel_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetKernelArgInfo(cl_kernel kernel, + cl_uint arg_indx, + cl_kernel_arg_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_2; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetKernelWorkGroupInfo(cl_kernel kernel, + cl_device_id device, + cl_kernel_work_group_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_2_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetKernelSubGroupInfo(cl_kernel kernel, + cl_device_id device, + cl_kernel_sub_group_info param_name, + size_t input_value_size, + const void* input_value, + size_t param_value_size, + void* param_value, + size_t* param_value_size_ret) CL_API_SUFFIX__VERSION_2_1; + +#endif + +/* Event Object APIs */ +extern CL_API_ENTRY cl_int CL_API_CALL +clWaitForEvents(cl_uint num_events, + const cl_event * event_list) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetEventInfo(cl_event event, + cl_event_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_event CL_API_CALL +clCreateUserEvent(cl_context context, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_1; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainEvent(cl_event event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseEvent(cl_event event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetUserEventStatus(cl_event event, + cl_int execution_status) CL_API_SUFFIX__VERSION_1_1; + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetEventCallback(cl_event event, + cl_int command_exec_callback_type, + void (CL_CALLBACK * pfn_notify)(cl_event event, + cl_int event_command_status, + void * user_data), + void * user_data) CL_API_SUFFIX__VERSION_1_1; + +#endif + +/* Profiling APIs */ +extern CL_API_ENTRY cl_int CL_API_CALL +clGetEventProfilingInfo(cl_event event, + cl_profiling_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +/* Flush and Finish APIs */ +extern CL_API_ENTRY cl_int CL_API_CALL +clFlush(cl_command_queue command_queue) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clFinish(cl_command_queue command_queue) CL_API_SUFFIX__VERSION_1_0; + +/* Enqueued Commands APIs */ +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReadBuffer(cl_command_queue command_queue, + cl_mem buffer, + cl_bool blocking_read, + size_t offset, + size_t size, + void * ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReadBufferRect(cl_command_queue command_queue, + cl_mem buffer, + cl_bool blocking_read, + const size_t * buffer_offset, + const size_t * host_offset, + const size_t * region, + size_t buffer_row_pitch, + size_t buffer_slice_pitch, + size_t host_row_pitch, + size_t host_slice_pitch, + void * ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_1; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueWriteBuffer(cl_command_queue command_queue, + cl_mem buffer, + cl_bool blocking_write, + size_t offset, + size_t size, + const void * ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueWriteBufferRect(cl_command_queue command_queue, + cl_mem buffer, + cl_bool blocking_write, + const size_t * buffer_offset, + const size_t * host_offset, + const size_t * region, + size_t buffer_row_pitch, + size_t buffer_slice_pitch, + size_t host_row_pitch, + size_t host_slice_pitch, + const void * ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_1; + +#endif + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueFillBuffer(cl_command_queue command_queue, + cl_mem buffer, + const void * pattern, + size_t pattern_size, + size_t offset, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueCopyBuffer(cl_command_queue command_queue, + cl_mem src_buffer, + cl_mem dst_buffer, + size_t src_offset, + size_t dst_offset, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueCopyBufferRect(cl_command_queue command_queue, + cl_mem src_buffer, + cl_mem dst_buffer, + const size_t * src_origin, + const size_t * dst_origin, + const size_t * region, + size_t src_row_pitch, + size_t src_slice_pitch, + size_t dst_row_pitch, + size_t dst_slice_pitch, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_1; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReadImage(cl_command_queue command_queue, + cl_mem image, + cl_bool blocking_read, + const size_t * origin, + const size_t * region, + size_t row_pitch, + size_t slice_pitch, + void * ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueWriteImage(cl_command_queue command_queue, + cl_mem image, + cl_bool blocking_write, + const size_t * origin, + const size_t * region, + size_t input_row_pitch, + size_t input_slice_pitch, + const void * ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueFillImage(cl_command_queue command_queue, + cl_mem image, + const void * fill_color, + const size_t * origin, + const size_t * region, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueCopyImage(cl_command_queue command_queue, + cl_mem src_image, + cl_mem dst_image, + const size_t * src_origin, + const size_t * dst_origin, + const size_t * region, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueCopyImageToBuffer(cl_command_queue command_queue, + cl_mem src_image, + cl_mem dst_buffer, + const size_t * src_origin, + const size_t * region, + size_t dst_offset, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueCopyBufferToImage(cl_command_queue command_queue, + cl_mem src_buffer, + cl_mem dst_image, + size_t src_offset, + const size_t * dst_origin, + const size_t * region, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY void * CL_API_CALL +clEnqueueMapBuffer(cl_command_queue command_queue, + cl_mem buffer, + cl_bool blocking_map, + cl_map_flags map_flags, + size_t offset, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY void * CL_API_CALL +clEnqueueMapImage(cl_command_queue command_queue, + cl_mem image, + cl_bool blocking_map, + cl_map_flags map_flags, + const size_t * origin, + const size_t * region, + size_t * image_row_pitch, + size_t * image_slice_pitch, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueUnmapMemObject(cl_command_queue command_queue, + cl_mem memobj, + void * mapped_ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueMigrateMemObjects(cl_command_queue command_queue, + cl_uint num_mem_objects, + const cl_mem * mem_objects, + cl_mem_migration_flags flags, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +#endif + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueNDRangeKernel(cl_command_queue command_queue, + cl_kernel kernel, + cl_uint work_dim, + const size_t * global_work_offset, + const size_t * global_work_size, + const size_t * local_work_size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueNativeKernel(cl_command_queue command_queue, + void (CL_CALLBACK * user_func)(void *), + void * args, + size_t cb_args, + cl_uint num_mem_objects, + const cl_mem * mem_list, + const void ** args_mem_loc, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueMarkerWithWaitList(cl_command_queue command_queue, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueBarrierWithWaitList(cl_command_queue command_queue, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +#endif + +#ifdef CL_VERSION_2_0 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMFree(cl_command_queue command_queue, + cl_uint num_svm_pointers, + void * svm_pointers[], + void (CL_CALLBACK * pfn_free_func)(cl_command_queue queue, + cl_uint num_svm_pointers, + void * svm_pointers[], + void * user_data), + void * user_data, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_2_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMemcpy(cl_command_queue command_queue, + cl_bool blocking_copy, + void * dst_ptr, + const void * src_ptr, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_2_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMemFill(cl_command_queue command_queue, + void * svm_ptr, + const void * pattern, + size_t pattern_size, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_2_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMap(cl_command_queue command_queue, + cl_bool blocking_map, + cl_map_flags flags, + void * svm_ptr, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_2_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMUnmap(cl_command_queue command_queue, + void * svm_ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_2_0; + +#endif + +#ifdef CL_VERSION_2_1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMigrateMem(cl_command_queue command_queue, + cl_uint num_svm_pointers, + const void ** svm_pointers, + const size_t * sizes, + cl_mem_migration_flags flags, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_2_1; + +#endif + +#ifdef CL_VERSION_1_2 + +/* Extension function access + * + * Returns the extension function address for the given function name, + * or NULL if a valid function can not be found. The client must + * check to make sure the address is not NULL, before using or + * calling the returned function address. + */ +extern CL_API_ENTRY void * CL_API_CALL +clGetExtensionFunctionAddressForPlatform(cl_platform_id platform, + const char * func_name) CL_API_SUFFIX__VERSION_1_2; + +#endif + +#ifdef CL_USE_DEPRECATED_OPENCL_1_0_APIS + /* + * WARNING: + * This API introduces mutable state into the OpenCL implementation. It has been REMOVED + * to better facilitate thread safety. The 1.0 API is not thread safe. It is not tested by the + * OpenCL 1.1 conformance test, and consequently may not work or may not work dependably. + * It is likely to be non-performant. Use of this API is not advised. Use at your own risk. + * + * Software developers previously relying on this API are instructed to set the command queue + * properties when creating the queue, instead. + */ + extern CL_API_ENTRY cl_int CL_API_CALL + clSetCommandQueueProperty(cl_command_queue command_queue, + cl_command_queue_properties properties, + cl_bool enable, + cl_command_queue_properties * old_properties) CL_EXT_SUFFIX__VERSION_1_0_DEPRECATED; +#endif /* CL_USE_DEPRECATED_OPENCL_1_0_APIS */ + +/* Deprecated OpenCL 1.1 APIs */ +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_mem CL_API_CALL +clCreateImage2D(cl_context context, + cl_mem_flags flags, + const cl_image_format * image_format, + size_t image_width, + size_t image_height, + size_t image_row_pitch, + void * host_ptr, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_mem CL_API_CALL +clCreateImage3D(cl_context context, + cl_mem_flags flags, + const cl_image_format * image_format, + size_t image_width, + size_t image_height, + size_t image_depth, + size_t image_row_pitch, + size_t image_slice_pitch, + void * host_ptr, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_int CL_API_CALL +clEnqueueMarker(cl_command_queue command_queue, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_int CL_API_CALL +clEnqueueWaitForEvents(cl_command_queue command_queue, + cl_uint num_events, + const cl_event * event_list) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_int CL_API_CALL +clEnqueueBarrier(cl_command_queue command_queue) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_int CL_API_CALL +clUnloadCompiler(void) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED void * CL_API_CALL +clGetExtensionFunctionAddress(const char * func_name) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +/* Deprecated OpenCL 2.0 APIs */ +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_2_DEPRECATED cl_command_queue CL_API_CALL +clCreateCommandQueue(cl_context context, + cl_device_id device, + cl_command_queue_properties properties, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_2_DEPRECATED cl_sampler CL_API_CALL +clCreateSampler(cl_context context, + cl_bool normalized_coords, + cl_addressing_mode addressing_mode, + cl_filter_mode filter_mode, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_2_DEPRECATED cl_int CL_API_CALL +clEnqueueTask(cl_command_queue command_queue, + cl_kernel kernel, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_H */ diff --git a/benchmarks/new_opencl/include/CL/cl.hpp b/benchmarks/new_opencl/include/CL/cl.hpp new file mode 100644 index 000000000..9edb0e477 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl.hpp @@ -0,0 +1,12459 @@ +/******************************************************************************* + * Copyright (c) 2008-2013 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +/*! \file + * + * \brief C++ bindings for OpenCL 1.0 (rev 48), OpenCL 1.1 (rev 33) and + * OpenCL 1.2 (rev 15) + * \author Benedict R. Gaster, Laurent Morichetti and Lee Howes + * + * Additions and fixes from: + * Brian Cole, March 3rd 2010 and April 2012 + * Matt Gruenke, April 2012. + * Bruce Merry, February 2013. + * Tom Deakin and Simon McIntosh-Smith, July 2013 + * + * \version 1.2.6 + * \date August 2013 + * + * Optional extension support + * + * cl + * cl_ext_device_fission + * #define USE_CL_DEVICE_FISSION + */ + +/*! \mainpage + * \section intro Introduction + * For many large applications C++ is the language of choice and so it seems + * reasonable to define C++ bindings for OpenCL. + * + * + * The interface is contained with a single C++ header file \em cl.hpp and all + * definitions are contained within the namespace \em cl. There is no additional + * requirement to include \em cl.h and to use either the C++ or original C + * bindings it is enough to simply include \em cl.hpp. + * + * The bindings themselves are lightweight and correspond closely to the + * underlying C API. Using the C++ bindings introduces no additional execution + * overhead. + * + * For detail documentation on the bindings see: + * + * The OpenCL C++ Wrapper API 1.2 (revision 09) + * http://www.khronos.org/registry/cl/specs/opencl-cplusplus-1.2.pdf + * + * \section example Example + * + * The following example shows a general use case for the C++ + * bindings, including support for the optional exception feature and + * also the supplied vector and string classes, see following sections for + * decriptions of these features. + * + * \code + * #define __CL_ENABLE_EXCEPTIONS + * + * #if defined(__APPLE__) || defined(__MACOSX) + * #include + * #else + * #include + * #endif + * #include + * #include + * #include + * + * const char * helloStr = "__kernel void " + * "hello(void) " + * "{ " + * " " + * "} "; + * + * int + * main(void) + * { + * cl_int err = CL_SUCCESS; + * try { + * + * std::vector platforms; + * cl::Platform::get(&platforms); + * if (platforms.size() == 0) { + * std::cout << "Platform size 0\n"; + * return -1; + * } + * + * cl_context_properties properties[] = + * { CL_CONTEXT_PLATFORM, (cl_context_properties)(platforms[0])(), 0}; + * cl::Context context(CL_DEVICE_TYPE_CPU, properties); + * + * std::vector devices = context.getInfo(); + * + * cl::Program::Sources source(1, + * std::make_pair(helloStr,strlen(helloStr))); + * cl::Program program_ = cl::Program(context, source); + * program_.build(devices); + * + * cl::Kernel kernel(program_, "hello", &err); + * + * cl::Event event; + * cl::CommandQueue queue(context, devices[0], 0, &err); + * queue.enqueueNDRangeKernel( + * kernel, + * cl::NullRange, + * cl::NDRange(4,4), + * cl::NullRange, + * NULL, + * &event); + * + * event.wait(); + * } + * catch (cl::Error err) { + * std::cerr + * << "ERROR: " + * << err.what() + * << "(" + * << err.err() + * << ")" + * << std::endl; + * } + * + * return EXIT_SUCCESS; + * } + * + * \endcode + * + */ +#ifndef CL_HPP_ +#define CL_HPP_ + +#ifdef _WIN32 + +#include +#include +#include +#include + +#if defined(__CL_ENABLE_EXCEPTIONS) +#include +#endif // #if defined(__CL_ENABLE_EXCEPTIONS) + +#pragma push_macro("max") +#undef max +#if defined(USE_DX_INTEROP) +#include +#include +#endif +#endif // _WIN32 + +// +#if defined(USE_CL_DEVICE_FISSION) +#include +#endif + +#if defined(__APPLE__) || defined(__MACOSX) +#include +#include +#include +#elif defined(__ANDROID__) +#include +#include +#else +#include +#include +#endif // !__APPLE__ + +// To avoid accidentally taking ownership of core OpenCL types +// such as cl_kernel constructors are made explicit +// under OpenCL 1.2 +#if defined(CL_VERSION_1_2) && !defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +#define __CL_EXPLICIT_CONSTRUCTORS explicit +#else // #if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +#define __CL_EXPLICIT_CONSTRUCTORS +#endif // #if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + +// Define deprecated prefixes and suffixes to ensure compilation +// in case they are not pre-defined +#if !defined(CL_EXT_PREFIX__VERSION_1_1_DEPRECATED) +#define CL_EXT_PREFIX__VERSION_1_1_DEPRECATED +#endif // #if !defined(CL_EXT_PREFIX__VERSION_1_1_DEPRECATED) +#if !defined(CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED) +#define CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED +#endif // #if !defined(CL_EXT_PREFIX__VERSION_1_1_DEPRECATED) + +#if !defined(CL_CALLBACK) +#define CL_CALLBACK +#endif //CL_CALLBACK + +#include +#include + +#if !defined(__NO_STD_VECTOR) +#include +#endif + +#if !defined(__NO_STD_STRING) +#include +#endif + +#if defined(linux) || defined(__APPLE__) || defined(__MACOSX) || defined(__ANDROID__) || defined(__FreeBSD_kernel__) || defined(__GNU__) +#include + +#endif // linux + +#include + + +/*! \namespace cl + * + * \brief The OpenCL C++ bindings are defined within this namespace. + * + */ +namespace cl { + +class Memory; + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) || (defined(CL_VERSION_1_1) && !defined(CL_VERSION_1_2)) +#define __INIT_CL_EXT_FCN_PTR(name) \ + if(!pfn_##name) { \ + pfn_##name = (PFN_##name) \ + clGetExtensionFunctionAddress(#name); \ + if(!pfn_##name) { \ + } \ + } +#endif // #if defined(CL_VERSION_1_1) + +#if defined(CL_VERSION_1_2) +#define __INIT_CL_EXT_FCN_PTR_PLATFORM(platform, name) \ + if(!pfn_##name) { \ + pfn_##name = (PFN_##name) \ + clGetExtensionFunctionAddressForPlatform(platform, #name); \ + if(!pfn_##name) { \ + } \ + } +#endif // #if defined(CL_VERSION_1_1) + +class Program; +class Device; +class Context; +class CommandQueue; +class Memory; +class Buffer; + +#if defined(__CL_ENABLE_EXCEPTIONS) +/*! \brief Exception class + * + * This may be thrown by API functions when __CL_ENABLE_EXCEPTIONS is defined. + */ +class Error : public std::exception +{ +private: + cl_int err_; + const char * errStr_; +public: + /*! \brief Create a new CL error exception for a given error code + * and corresponding message. + * + * \param err error code value. + * + * \param errStr a descriptive string that must remain in scope until + * handling of the exception has concluded. If set, it + * will be returned by what(). + */ + Error(cl_int err, const char * errStr = NULL) : err_(err), errStr_(errStr) + {} + + ~Error() throw() {} + + /*! \brief Get error string associated with exception + * + * \return A memory pointer to the error message string. + */ + virtual const char * what() const throw () + { + if (errStr_ == NULL) { + return "empty"; + } + else { + return errStr_; + } + } + + /*! \brief Get error code associated with exception + * + * \return The error code. + */ + cl_int err(void) const { return err_; } +}; + +#define __ERR_STR(x) #x +#else +#define __ERR_STR(x) NULL +#endif // __CL_ENABLE_EXCEPTIONS + + +namespace detail +{ +#if defined(__CL_ENABLE_EXCEPTIONS) +static inline cl_int errHandler ( + cl_int err, + const char * errStr = NULL) +{ + if (err != CL_SUCCESS) { + throw Error(err, errStr); + } + return err; +} +#else +static inline cl_int errHandler (cl_int err, const char * errStr = NULL) +{ + (void) errStr; // suppress unused variable warning + return err; +} +#endif // __CL_ENABLE_EXCEPTIONS +} + + + +//! \cond DOXYGEN_DETAIL +#if !defined(__CL_USER_OVERRIDE_ERROR_STRINGS) +#define __GET_DEVICE_INFO_ERR __ERR_STR(clGetDeviceInfo) +#define __GET_PLATFORM_INFO_ERR __ERR_STR(clGetPlatformInfo) +#define __GET_DEVICE_IDS_ERR __ERR_STR(clGetDeviceIDs) +#define __GET_PLATFORM_IDS_ERR __ERR_STR(clGetPlatformIDs) +#define __GET_CONTEXT_INFO_ERR __ERR_STR(clGetContextInfo) +#define __GET_EVENT_INFO_ERR __ERR_STR(clGetEventInfo) +#define __GET_EVENT_PROFILE_INFO_ERR __ERR_STR(clGetEventProfileInfo) +#define __GET_MEM_OBJECT_INFO_ERR __ERR_STR(clGetMemObjectInfo) +#define __GET_IMAGE_INFO_ERR __ERR_STR(clGetImageInfo) +#define __GET_SAMPLER_INFO_ERR __ERR_STR(clGetSamplerInfo) +#define __GET_KERNEL_INFO_ERR __ERR_STR(clGetKernelInfo) +#if defined(CL_VERSION_1_2) +#define __GET_KERNEL_ARG_INFO_ERR __ERR_STR(clGetKernelArgInfo) +#endif // #if defined(CL_VERSION_1_2) +#define __GET_KERNEL_WORK_GROUP_INFO_ERR __ERR_STR(clGetKernelWorkGroupInfo) +#define __GET_PROGRAM_INFO_ERR __ERR_STR(clGetProgramInfo) +#define __GET_PROGRAM_BUILD_INFO_ERR __ERR_STR(clGetProgramBuildInfo) +#define __GET_COMMAND_QUEUE_INFO_ERR __ERR_STR(clGetCommandQueueInfo) + +#define __CREATE_CONTEXT_ERR __ERR_STR(clCreateContext) +#define __CREATE_CONTEXT_FROM_TYPE_ERR __ERR_STR(clCreateContextFromType) +#define __GET_SUPPORTED_IMAGE_FORMATS_ERR __ERR_STR(clGetSupportedImageFormats) + +#define __CREATE_BUFFER_ERR __ERR_STR(clCreateBuffer) +#define __COPY_ERR __ERR_STR(cl::copy) +#define __CREATE_SUBBUFFER_ERR __ERR_STR(clCreateSubBuffer) +#define __CREATE_GL_BUFFER_ERR __ERR_STR(clCreateFromGLBuffer) +#define __CREATE_GL_RENDER_BUFFER_ERR __ERR_STR(clCreateFromGLBuffer) +#define __GET_GL_OBJECT_INFO_ERR __ERR_STR(clGetGLObjectInfo) +#if defined(CL_VERSION_1_2) +#define __CREATE_IMAGE_ERR __ERR_STR(clCreateImage) +#define __CREATE_GL_TEXTURE_ERR __ERR_STR(clCreateFromGLTexture) +#define __IMAGE_DIMENSION_ERR __ERR_STR(Incorrect image dimensions) +#endif // #if defined(CL_VERSION_1_2) +#define __CREATE_SAMPLER_ERR __ERR_STR(clCreateSampler) +#define __SET_MEM_OBJECT_DESTRUCTOR_CALLBACK_ERR __ERR_STR(clSetMemObjectDestructorCallback) + +#define __CREATE_USER_EVENT_ERR __ERR_STR(clCreateUserEvent) +#define __SET_USER_EVENT_STATUS_ERR __ERR_STR(clSetUserEventStatus) +#define __SET_EVENT_CALLBACK_ERR __ERR_STR(clSetEventCallback) +#define __WAIT_FOR_EVENTS_ERR __ERR_STR(clWaitForEvents) + +#define __CREATE_KERNEL_ERR __ERR_STR(clCreateKernel) +#define __SET_KERNEL_ARGS_ERR __ERR_STR(clSetKernelArg) +#define __CREATE_PROGRAM_WITH_SOURCE_ERR __ERR_STR(clCreateProgramWithSource) +#define __CREATE_PROGRAM_WITH_BINARY_ERR __ERR_STR(clCreateProgramWithBinary) +#if defined(CL_VERSION_1_2) +#define __CREATE_PROGRAM_WITH_BUILT_IN_KERNELS_ERR __ERR_STR(clCreateProgramWithBuiltInKernels) +#endif // #if defined(CL_VERSION_1_2) +#define __BUILD_PROGRAM_ERR __ERR_STR(clBuildProgram) +#if defined(CL_VERSION_1_2) +#define __COMPILE_PROGRAM_ERR __ERR_STR(clCompileProgram) + +#endif // #if defined(CL_VERSION_1_2) +#define __CREATE_KERNELS_IN_PROGRAM_ERR __ERR_STR(clCreateKernelsInProgram) + +#define __CREATE_COMMAND_QUEUE_ERR __ERR_STR(clCreateCommandQueue) +#define __SET_COMMAND_QUEUE_PROPERTY_ERR __ERR_STR(clSetCommandQueueProperty) +#define __ENQUEUE_READ_BUFFER_ERR __ERR_STR(clEnqueueReadBuffer) +#define __ENQUEUE_READ_BUFFER_RECT_ERR __ERR_STR(clEnqueueReadBufferRect) +#define __ENQUEUE_WRITE_BUFFER_ERR __ERR_STR(clEnqueueWriteBuffer) +#define __ENQUEUE_WRITE_BUFFER_RECT_ERR __ERR_STR(clEnqueueWriteBufferRect) +#define __ENQUEUE_COPY_BUFFER_ERR __ERR_STR(clEnqueueCopyBuffer) +#define __ENQUEUE_COPY_BUFFER_RECT_ERR __ERR_STR(clEnqueueCopyBufferRect) +#define __ENQUEUE_FILL_BUFFER_ERR __ERR_STR(clEnqueueFillBuffer) +#define __ENQUEUE_READ_IMAGE_ERR __ERR_STR(clEnqueueReadImage) +#define __ENQUEUE_WRITE_IMAGE_ERR __ERR_STR(clEnqueueWriteImage) +#define __ENQUEUE_COPY_IMAGE_ERR __ERR_STR(clEnqueueCopyImage) +#define __ENQUEUE_FILL_IMAGE_ERR __ERR_STR(clEnqueueFillImage) +#define __ENQUEUE_COPY_IMAGE_TO_BUFFER_ERR __ERR_STR(clEnqueueCopyImageToBuffer) +#define __ENQUEUE_COPY_BUFFER_TO_IMAGE_ERR __ERR_STR(clEnqueueCopyBufferToImage) +#define __ENQUEUE_MAP_BUFFER_ERR __ERR_STR(clEnqueueMapBuffer) +#define __ENQUEUE_MAP_IMAGE_ERR __ERR_STR(clEnqueueMapImage) +#define __ENQUEUE_UNMAP_MEM_OBJECT_ERR __ERR_STR(clEnqueueUnMapMemObject) +#define __ENQUEUE_NDRANGE_KERNEL_ERR __ERR_STR(clEnqueueNDRangeKernel) +#define __ENQUEUE_TASK_ERR __ERR_STR(clEnqueueTask) +#define __ENQUEUE_NATIVE_KERNEL __ERR_STR(clEnqueueNativeKernel) +#if defined(CL_VERSION_1_2) +#define __ENQUEUE_MIGRATE_MEM_OBJECTS_ERR __ERR_STR(clEnqueueMigrateMemObjects) +#endif // #if defined(CL_VERSION_1_2) + +#define __ENQUEUE_ACQUIRE_GL_ERR __ERR_STR(clEnqueueAcquireGLObjects) +#define __ENQUEUE_RELEASE_GL_ERR __ERR_STR(clEnqueueReleaseGLObjects) + + +#define __RETAIN_ERR __ERR_STR(Retain Object) +#define __RELEASE_ERR __ERR_STR(Release Object) +#define __FLUSH_ERR __ERR_STR(clFlush) +#define __FINISH_ERR __ERR_STR(clFinish) +#define __VECTOR_CAPACITY_ERR __ERR_STR(Vector capacity error) + +/** + * CL 1.2 version that uses device fission. + */ +#if defined(CL_VERSION_1_2) +#define __CREATE_SUB_DEVICES __ERR_STR(clCreateSubDevices) +#else +#define __CREATE_SUB_DEVICES __ERR_STR(clCreateSubDevicesEXT) +#endif // #if defined(CL_VERSION_1_2) + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) || (defined(CL_VERSION_1_1) && !defined(CL_VERSION_1_2)) +#define __ENQUEUE_MARKER_ERR __ERR_STR(clEnqueueMarker) +#define __ENQUEUE_WAIT_FOR_EVENTS_ERR __ERR_STR(clEnqueueWaitForEvents) +#define __ENQUEUE_BARRIER_ERR __ERR_STR(clEnqueueBarrier) +#define __UNLOAD_COMPILER_ERR __ERR_STR(clUnloadCompiler) +#define __CREATE_GL_TEXTURE_2D_ERR __ERR_STR(clCreateFromGLTexture2D) +#define __CREATE_GL_TEXTURE_3D_ERR __ERR_STR(clCreateFromGLTexture3D) +#define __CREATE_IMAGE2D_ERR __ERR_STR(clCreateImage2D) +#define __CREATE_IMAGE3D_ERR __ERR_STR(clCreateImage3D) +#endif // #if defined(CL_VERSION_1_1) + +#endif // __CL_USER_OVERRIDE_ERROR_STRINGS +//! \endcond + +/** + * CL 1.2 marker and barrier commands + */ +#if defined(CL_VERSION_1_2) +#define __ENQUEUE_MARKER_WAIT_LIST_ERR __ERR_STR(clEnqueueMarkerWithWaitList) +#define __ENQUEUE_BARRIER_WAIT_LIST_ERR __ERR_STR(clEnqueueBarrierWithWaitList) +#endif // #if defined(CL_VERSION_1_2) + +#if !defined(__USE_DEV_STRING) && !defined(__NO_STD_STRING) +typedef std::string STRING_CLASS; +#elif !defined(__USE_DEV_STRING) + +/*! \class string + * \brief Simple string class, that provides a limited subset of std::string + * functionality but avoids many of the issues that come with that class. + + * \note Deprecated. Please use std::string as default or + * re-define the string class to match the std::string + * interface by defining STRING_CLASS + */ +class CL_EXT_PREFIX__VERSION_1_1_DEPRECATED string CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED +{ +private: + ::size_t size_; + char * str_; +public: + //! \brief Constructs an empty string, allocating no memory. + string(void) : size_(0), str_(NULL) + { + } + + /*! \brief Constructs a string populated from an arbitrary value of + * specified size. + * + * An extra '\0' is added, in case none was contained in str. + * + * \param str the initial value of the string instance. Note that '\0' + * characters receive no special treatment. If NULL, + * the string is left empty, with a size of 0. + * + * \param size the number of characters to copy from str. + */ + string(const char * str, ::size_t size) : + size_(size), + str_(NULL) + { + if( size > 0 ) { + str_ = new char[size_+1]; + if (str_ != NULL) { + memcpy(str_, str, size_ * sizeof(char)); + str_[size_] = '\0'; + } + else { + size_ = 0; + } + } + } + + /*! \brief Constructs a string populated from a null-terminated value. + * + * \param str the null-terminated initial value of the string instance. + * If NULL, the string is left empty, with a size of 0. + */ + string(const char * str) : + size_(0), + str_(NULL) + { + if( str ) { + size_= ::strlen(str); + } + if( size_ > 0 ) { + str_ = new char[size_ + 1]; + if (str_ != NULL) { + memcpy(str_, str, (size_ + 1) * sizeof(char)); + } + } + } + + void resize( ::size_t n ) + { + if( size_ == n ) { + return; + } + if (n == 0) { + if( str_ ) { + delete [] str_; + } + str_ = NULL; + size_ = 0; + } + else { + char *newString = new char[n + 1]; + int copySize = n; + if( size_ < n ) { + copySize = size_; + } + size_ = n; + + if(str_) { + memcpy(newString, str_, (copySize + 1) * sizeof(char)); + } + if( copySize < size_ ) { + memset(newString + copySize, 0, size_ - copySize); + } + newString[size_] = '\0'; + + delete [] str_; + str_ = newString; + } + } + + const char& operator[] ( ::size_t pos ) const + { + return str_[pos]; + } + + char& operator[] ( ::size_t pos ) + { + return str_[pos]; + } + + /*! \brief Copies the value of another string to this one. + * + * \param rhs the string to copy. + * + * \returns a reference to the modified instance. + */ + string& operator=(const string& rhs) + { + if (this == &rhs) { + return *this; + } + + if( str_ != NULL ) { + delete [] str_; + str_ = NULL; + size_ = 0; + } + + if (rhs.size_ == 0 || rhs.str_ == NULL) { + str_ = NULL; + size_ = 0; + } + else { + str_ = new char[rhs.size_ + 1]; + size_ = rhs.size_; + + if (str_ != NULL) { + memcpy(str_, rhs.str_, (size_ + 1) * sizeof(char)); + } + else { + size_ = 0; + } + } + + return *this; + } + + /*! \brief Constructs a string by copying the value of another instance. + * + * \param rhs the string to copy. + */ + string(const string& rhs) : + size_(0), + str_(NULL) + { + *this = rhs; + } + + //! \brief Destructor - frees memory used to hold the current value. + ~string() + { + delete[] str_; + str_ = NULL; + } + + //! \brief Queries the length of the string, excluding any added '\0's. + ::size_t size(void) const { return size_; } + + //! \brief Queries the length of the string, excluding any added '\0's. + ::size_t length(void) const { return size(); } + + /*! \brief Returns a pointer to the private copy held by this instance, + * or "" if empty/unset. + */ + const char * c_str(void) const { return (str_) ? str_ : "";} +}; +typedef cl::string STRING_CLASS; +#endif // #elif !defined(__USE_DEV_STRING) + +#if !defined(__USE_DEV_VECTOR) && !defined(__NO_STD_VECTOR) +#define VECTOR_CLASS std::vector +#elif !defined(__USE_DEV_VECTOR) +#define VECTOR_CLASS cl::vector + +#if !defined(__MAX_DEFAULT_VECTOR_SIZE) +#define __MAX_DEFAULT_VECTOR_SIZE 10 +#endif + +/*! \class vector + * \brief Fixed sized vector implementation that mirroring + * + * \note Deprecated. Please use std::vector as default or + * re-define the vector class to match the std::vector + * interface by defining VECTOR_CLASS + + * \note Not recommended for use with custom objects as + * current implementation will construct N elements + * + * std::vector functionality. + * \brief Fixed sized vector compatible with std::vector. + * + * \note + * This differs from std::vector<> not just in memory allocation, + * but also in terms of when members are constructed, destroyed, + * and assigned instead of being copy constructed. + * + * \param T type of element contained in the vector. + * + * \param N maximum size of the vector. + */ +template +class CL_EXT_PREFIX__VERSION_1_1_DEPRECATED vector CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED +{ +private: + T data_[N]; + unsigned int size_; + +public: + //! \brief Constructs an empty vector with no memory allocated. + vector() : + size_(static_cast(0)) + {} + + //! \brief Deallocates the vector's memory and destroys all of its elements. + ~vector() + { + clear(); + } + + //! \brief Returns the number of elements currently contained. + unsigned int size(void) const + { + return size_; + } + + /*! \brief Empties the vector of all elements. + * \note + * This does not deallocate memory but will invoke destructors + * on contained elements. + */ + void clear() + { + while(!empty()) { + pop_back(); + } + } + + /*! \brief Appends an element after the last valid element. + * Calling this on a vector that has reached capacity will throw an + * exception if exceptions are enabled. + */ + void push_back (const T& x) + { + if (size() < N) { + new (&data_[size_]) T(x); + size_++; + } else { + detail::errHandler(CL_MEM_OBJECT_ALLOCATION_FAILURE, __VECTOR_CAPACITY_ERR); + } + } + + /*! \brief Removes the last valid element from the vector. + * Calling this on an empty vector will throw an exception + * if exceptions are enabled. + */ + void pop_back(void) + { + if (size_ != 0) { + --size_; + data_[size_].~T(); + } else { + detail::errHandler(CL_MEM_OBJECT_ALLOCATION_FAILURE, __VECTOR_CAPACITY_ERR); + } + } + + /*! \brief Constructs with a value copied from another. + * + * \param vec the vector to copy. + */ + vector(const vector& vec) : + size_(vec.size_) + { + if (size_ != 0) { + assign(vec.begin(), vec.end()); + } + } + + /*! \brief Constructs with a specified number of initial elements. + * + * \param size number of initial elements. + * + * \param val value of initial elements. + */ + vector(unsigned int size, const T& val = T()) : + size_(0) + { + for (unsigned int i = 0; i < size; i++) { + push_back(val); + } + } + + /*! \brief Overwrites the current content with that copied from another + * instance. + * + * \param rhs vector to copy. + * + * \returns a reference to this. + */ + vector& operator=(const vector& rhs) + { + if (this == &rhs) { + return *this; + } + + if (rhs.size_ != 0) { + assign(rhs.begin(), rhs.end()); + } else { + clear(); + } + + return *this; + } + + /*! \brief Tests equality against another instance. + * + * \param vec the vector against which to compare. + */ + bool operator==(vector &vec) + { + if (size() != vec.size()) { + return false; + } + + for( unsigned int i = 0; i < size(); ++i ) { + if( operator[](i) != vec[i] ) { + return false; + } + } + return true; + } + + //! \brief Conversion operator to T*. + operator T* () { return data_; } + + //! \brief Conversion operator to const T*. + operator const T* () const { return data_; } + + //! \brief Tests whether this instance has any elements. + bool empty (void) const + { + return size_==0; + } + + //! \brief Returns the maximum number of elements this instance can hold. + unsigned int max_size (void) const + { + return N; + } + + //! \brief Returns the maximum number of elements this instance can hold. + unsigned int capacity () const + { + return N; + } + + /*! \brief Returns a reference to a given element. + * + * \param index which element to access. * + * \note + * The caller is responsible for ensuring index is >= 0 and < size(). + */ + T& operator[](int index) + { + return data_[index]; + } + + /*! \brief Returns a const reference to a given element. + * + * \param index which element to access. + * + * \note + * The caller is responsible for ensuring index is >= 0 and < size(). + */ + const T& operator[](int index) const + { + return data_[index]; + } + + /*! \brief Assigns elements of the vector based on a source iterator range. + * + * \param start Beginning iterator of source range + * \param end Enditerator of source range + * + * \note + * Will throw an exception if exceptions are enabled and size exceeded. + */ + template + void assign(I start, I end) + { + clear(); + while(start != end) { + push_back(*start); + start++; + } + } + + /*! \class iterator + * \brief Const iterator class for vectors + */ + class iterator + { + private: + const vector *vec_; + int index_; + + /** + * Internal iterator constructor to capture reference + * to the vector it iterates over rather than taking + * the vector by copy. + */ + iterator (const vector &vec, int index) : + vec_(&vec) + { + if( !vec.empty() ) { + index_ = index; + } else { + index_ = -1; + } + } + + public: + iterator(void) : + index_(-1), + vec_(NULL) + { + } + + iterator(const iterator& rhs) : + vec_(rhs.vec_), + index_(rhs.index_) + { + } + + ~iterator(void) {} + + static iterator begin(const cl::vector &vec) + { + iterator i(vec, 0); + + return i; + } + + static iterator end(const cl::vector &vec) + { + iterator i(vec, vec.size()); + + return i; + } + + bool operator==(iterator i) + { + return ((vec_ == i.vec_) && + (index_ == i.index_)); + } + + bool operator!=(iterator i) + { + return (!(*this==i)); + } + + iterator& operator++() + { + ++index_; + return *this; + } + + iterator operator++(int) + { + iterator retVal(*this); + ++index_; + return retVal; + } + + iterator& operator--() + { + --index_; + return *this; + } + + iterator operator--(int) + { + iterator retVal(*this); + --index_; + return retVal; + } + + const T& operator *() const + { + return (*vec_)[index_]; + } + }; + + iterator begin(void) + { + return iterator::begin(*this); + } + + iterator begin(void) const + { + return iterator::begin(*this); + } + + iterator end(void) + { + return iterator::end(*this); + } + + iterator end(void) const + { + return iterator::end(*this); + } + + T& front(void) + { + return data_[0]; + } + + T& back(void) + { + return data_[size_]; + } + + const T& front(void) const + { + return data_[0]; + } + + const T& back(void) const + { + return data_[size_-1]; + } +}; +#endif // #if !defined(__USE_DEV_VECTOR) && !defined(__NO_STD_VECTOR) + + + + + +namespace detail { +#define __DEFAULT_NOT_INITIALIZED 1 +#define __DEFAULT_BEING_INITIALIZED 2 +#define __DEFAULT_INITIALIZED 4 + + /* + * Compare and exchange primitives are needed for handling of defaults + */ + inline int compare_exchange(volatile int * dest, int exchange, int comparand) + { +#ifdef _WIN32 + return (int)(InterlockedCompareExchange( + (volatile long*)dest, + (long)exchange, + (long)comparand)); +#elif defined(__APPLE__) || defined(__MACOSX) + return OSAtomicOr32Orig((uint32_t)exchange, (volatile uint32_t*)dest); +#else // !_WIN32 || defined(__APPLE__) || defined(__MACOSX) + return (__sync_val_compare_and_swap( + dest, + comparand, + exchange)); +#endif // !_WIN32 + } + + inline void fence() { +#ifdef _MSC_VER + _mm_mfence(); +#else + __sync_synchronize(); +#endif + } +} // namespace details + + +/*! \brief class used to interface between C++ and + * OpenCL C calls that require arrays of size_t values, whose + * size is known statically. + */ +template +class size_t +{ +private: + ::size_t data_[N]; + +public: + //! \brief Initialize size_t to all 0s + size_t() + { + for( int i = 0; i < N; ++i ) { + data_[i] = 0; + } + } + + ::size_t& operator[](int index) + { + return data_[index]; + } + + const ::size_t& operator[](int index) const + { + return data_[index]; + } + + //! \brief Conversion operator to T*. + operator ::size_t* () { return data_; } + + //! \brief Conversion operator to const T*. + operator const ::size_t* () const { return data_; } +}; + +namespace detail { + +// Generic getInfoHelper. The final parameter is used to guide overload +// resolution: the actual parameter passed is an int, which makes this +// a worse conversion sequence than a specialization that declares the +// parameter as an int. +template +inline cl_int getInfoHelper(Functor f, cl_uint name, T* param, long) +{ + return f(name, sizeof(T), param, NULL); +} + +// Specialized getInfoHelper for VECTOR_CLASS params +template +inline cl_int getInfoHelper(Func f, cl_uint name, VECTOR_CLASS* param, long) +{ + ::size_t required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + T* value = (T*) alloca(required); + err = f(name, required, value, NULL); + if (err != CL_SUCCESS) { + return err; + } + + param->assign(&value[0], &value[required/sizeof(T)]); + return CL_SUCCESS; +} + +/* Specialization for reference-counted types. This depends on the + * existence of Wrapper::cl_type, and none of the other types having the + * cl_type member. Note that simplify specifying the parameter as Wrapper + * does not work, because when using a derived type (e.g. Context) the generic + * template will provide a better match. + */ +template +inline cl_int getInfoHelper(Func f, cl_uint name, VECTOR_CLASS* param, int, typename T::cl_type = 0) +{ + ::size_t required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + typename T::cl_type * value = (typename T::cl_type *) alloca(required); + err = f(name, required, value, NULL); + if (err != CL_SUCCESS) { + return err; + } + + ::size_t elements = required / sizeof(typename T::cl_type); + param->assign(&value[0], &value[elements]); + for (::size_t i = 0; i < elements; i++) + { + if (value[i] != NULL) + { + err = (*param)[i].retain(); + if (err != CL_SUCCESS) { + return err; + } + } + } + return CL_SUCCESS; +} + +// Specialized for getInfo +template +inline cl_int getInfoHelper(Func f, cl_uint name, VECTOR_CLASS* param, int) +{ + cl_int err = f(name, param->size() * sizeof(char *), &(*param)[0], NULL); + + if (err != CL_SUCCESS) { + return err; + } + + return CL_SUCCESS; +} + +// Specialized GetInfoHelper for STRING_CLASS params +template +inline cl_int getInfoHelper(Func f, cl_uint name, STRING_CLASS* param, long) +{ + ::size_t required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + char* value = (char*) alloca(required); + err = f(name, required, value, NULL); + if (err != CL_SUCCESS) { + return err; + } + + *param = value; + return CL_SUCCESS; +} + +// Specialized GetInfoHelper for cl::size_t params +template +inline cl_int getInfoHelper(Func f, cl_uint name, size_t* param, long) +{ + ::size_t required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + ::size_t* value = (::size_t*) alloca(required); + err = f(name, required, value, NULL); + if (err != CL_SUCCESS) { + return err; + } + + for(int i = 0; i < N; ++i) { + (*param)[i] = value[i]; + } + + return CL_SUCCESS; +} + +template struct ReferenceHandler; + +/* Specialization for reference-counted types. This depends on the + * existence of Wrapper::cl_type, and none of the other types having the + * cl_type member. Note that simplify specifying the parameter as Wrapper + * does not work, because when using a derived type (e.g. Context) the generic + * template will provide a better match. + */ +template +inline cl_int getInfoHelper(Func f, cl_uint name, T* param, int, typename T::cl_type = 0) +{ + typename T::cl_type value; + cl_int err = f(name, sizeof(value), &value, NULL); + if (err != CL_SUCCESS) { + return err; + } + *param = value; + if (value != NULL) + { + err = param->retain(); + if (err != CL_SUCCESS) { + return err; + } + } + return CL_SUCCESS; +} + +#define __PARAM_NAME_INFO_1_0(F) \ + F(cl_platform_info, CL_PLATFORM_PROFILE, STRING_CLASS) \ + F(cl_platform_info, CL_PLATFORM_VERSION, STRING_CLASS) \ + F(cl_platform_info, CL_PLATFORM_NAME, STRING_CLASS) \ + F(cl_platform_info, CL_PLATFORM_VENDOR, STRING_CLASS) \ + F(cl_platform_info, CL_PLATFORM_EXTENSIONS, STRING_CLASS) \ + \ + F(cl_device_info, CL_DEVICE_TYPE, cl_device_type) \ + F(cl_device_info, CL_DEVICE_VENDOR_ID, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_COMPUTE_UNITS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_WORK_ITEM_DIMENSIONS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_WORK_GROUP_SIZE, ::size_t) \ + F(cl_device_info, CL_DEVICE_MAX_WORK_ITEM_SIZES, VECTOR_CLASS< ::size_t>) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_CHAR, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_SHORT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_INT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_LONG, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_FLOAT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_DOUBLE, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_CLOCK_FREQUENCY, cl_uint) \ + F(cl_device_info, CL_DEVICE_ADDRESS_BITS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_READ_IMAGE_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_WRITE_IMAGE_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_MEM_ALLOC_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_IMAGE2D_MAX_WIDTH, ::size_t) \ + F(cl_device_info, CL_DEVICE_IMAGE2D_MAX_HEIGHT, ::size_t) \ + F(cl_device_info, CL_DEVICE_IMAGE3D_MAX_WIDTH, ::size_t) \ + F(cl_device_info, CL_DEVICE_IMAGE3D_MAX_HEIGHT, ::size_t) \ + F(cl_device_info, CL_DEVICE_IMAGE3D_MAX_DEPTH, ::size_t) \ + F(cl_device_info, CL_DEVICE_IMAGE_SUPPORT, cl_bool) \ + F(cl_device_info, CL_DEVICE_MAX_PARAMETER_SIZE, ::size_t) \ + F(cl_device_info, CL_DEVICE_MAX_SAMPLERS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MEM_BASE_ADDR_ALIGN, cl_uint) \ + F(cl_device_info, CL_DEVICE_MIN_DATA_TYPE_ALIGN_SIZE, cl_uint) \ + F(cl_device_info, CL_DEVICE_SINGLE_FP_CONFIG, cl_device_fp_config) \ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_CACHE_TYPE, cl_device_mem_cache_type) \ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_CACHELINE_SIZE, cl_uint)\ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_CACHE_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_MAX_CONSTANT_BUFFER_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_MAX_CONSTANT_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_LOCAL_MEM_TYPE, cl_device_local_mem_type) \ + F(cl_device_info, CL_DEVICE_LOCAL_MEM_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_ERROR_CORRECTION_SUPPORT, cl_bool) \ + F(cl_device_info, CL_DEVICE_PROFILING_TIMER_RESOLUTION, ::size_t) \ + F(cl_device_info, CL_DEVICE_ENDIAN_LITTLE, cl_bool) \ + F(cl_device_info, CL_DEVICE_AVAILABLE, cl_bool) \ + F(cl_device_info, CL_DEVICE_COMPILER_AVAILABLE, cl_bool) \ + F(cl_device_info, CL_DEVICE_EXECUTION_CAPABILITIES, cl_device_exec_capabilities) \ + F(cl_device_info, CL_DEVICE_QUEUE_PROPERTIES, cl_command_queue_properties) \ + F(cl_device_info, CL_DEVICE_PLATFORM, cl_platform_id) \ + F(cl_device_info, CL_DEVICE_NAME, STRING_CLASS) \ + F(cl_device_info, CL_DEVICE_VENDOR, STRING_CLASS) \ + F(cl_device_info, CL_DRIVER_VERSION, STRING_CLASS) \ + F(cl_device_info, CL_DEVICE_PROFILE, STRING_CLASS) \ + F(cl_device_info, CL_DEVICE_VERSION, STRING_CLASS) \ + F(cl_device_info, CL_DEVICE_EXTENSIONS, STRING_CLASS) \ + \ + F(cl_context_info, CL_CONTEXT_REFERENCE_COUNT, cl_uint) \ + F(cl_context_info, CL_CONTEXT_DEVICES, VECTOR_CLASS) \ + F(cl_context_info, CL_CONTEXT_PROPERTIES, VECTOR_CLASS) \ + \ + F(cl_event_info, CL_EVENT_COMMAND_QUEUE, cl::CommandQueue) \ + F(cl_event_info, CL_EVENT_COMMAND_TYPE, cl_command_type) \ + F(cl_event_info, CL_EVENT_REFERENCE_COUNT, cl_uint) \ + F(cl_event_info, CL_EVENT_COMMAND_EXECUTION_STATUS, cl_uint) \ + \ + F(cl_profiling_info, CL_PROFILING_COMMAND_QUEUED, cl_ulong) \ + F(cl_profiling_info, CL_PROFILING_COMMAND_SUBMIT, cl_ulong) \ + F(cl_profiling_info, CL_PROFILING_COMMAND_START, cl_ulong) \ + F(cl_profiling_info, CL_PROFILING_COMMAND_END, cl_ulong) \ + \ + F(cl_mem_info, CL_MEM_TYPE, cl_mem_object_type) \ + F(cl_mem_info, CL_MEM_FLAGS, cl_mem_flags) \ + F(cl_mem_info, CL_MEM_SIZE, ::size_t) \ + F(cl_mem_info, CL_MEM_HOST_PTR, void*) \ + F(cl_mem_info, CL_MEM_MAP_COUNT, cl_uint) \ + F(cl_mem_info, CL_MEM_REFERENCE_COUNT, cl_uint) \ + F(cl_mem_info, CL_MEM_CONTEXT, cl::Context) \ + \ + F(cl_image_info, CL_IMAGE_FORMAT, cl_image_format) \ + F(cl_image_info, CL_IMAGE_ELEMENT_SIZE, ::size_t) \ + F(cl_image_info, CL_IMAGE_ROW_PITCH, ::size_t) \ + F(cl_image_info, CL_IMAGE_SLICE_PITCH, ::size_t) \ + F(cl_image_info, CL_IMAGE_WIDTH, ::size_t) \ + F(cl_image_info, CL_IMAGE_HEIGHT, ::size_t) \ + F(cl_image_info, CL_IMAGE_DEPTH, ::size_t) \ + \ + F(cl_sampler_info, CL_SAMPLER_REFERENCE_COUNT, cl_uint) \ + F(cl_sampler_info, CL_SAMPLER_CONTEXT, cl::Context) \ + F(cl_sampler_info, CL_SAMPLER_NORMALIZED_COORDS, cl_addressing_mode) \ + F(cl_sampler_info, CL_SAMPLER_ADDRESSING_MODE, cl_filter_mode) \ + F(cl_sampler_info, CL_SAMPLER_FILTER_MODE, cl_bool) \ + \ + F(cl_program_info, CL_PROGRAM_REFERENCE_COUNT, cl_uint) \ + F(cl_program_info, CL_PROGRAM_CONTEXT, cl::Context) \ + F(cl_program_info, CL_PROGRAM_NUM_DEVICES, cl_uint) \ + F(cl_program_info, CL_PROGRAM_DEVICES, VECTOR_CLASS) \ + F(cl_program_info, CL_PROGRAM_SOURCE, STRING_CLASS) \ + F(cl_program_info, CL_PROGRAM_BINARY_SIZES, VECTOR_CLASS< ::size_t>) \ + F(cl_program_info, CL_PROGRAM_BINARIES, VECTOR_CLASS) \ + \ + F(cl_program_build_info, CL_PROGRAM_BUILD_STATUS, cl_build_status) \ + F(cl_program_build_info, CL_PROGRAM_BUILD_OPTIONS, STRING_CLASS) \ + F(cl_program_build_info, CL_PROGRAM_BUILD_LOG, STRING_CLASS) \ + \ + F(cl_kernel_info, CL_KERNEL_FUNCTION_NAME, STRING_CLASS) \ + F(cl_kernel_info, CL_KERNEL_NUM_ARGS, cl_uint) \ + F(cl_kernel_info, CL_KERNEL_REFERENCE_COUNT, cl_uint) \ + F(cl_kernel_info, CL_KERNEL_CONTEXT, cl::Context) \ + F(cl_kernel_info, CL_KERNEL_PROGRAM, cl::Program) \ + \ + F(cl_kernel_work_group_info, CL_KERNEL_WORK_GROUP_SIZE, ::size_t) \ + F(cl_kernel_work_group_info, CL_KERNEL_COMPILE_WORK_GROUP_SIZE, cl::size_t<3>) \ + F(cl_kernel_work_group_info, CL_KERNEL_LOCAL_MEM_SIZE, cl_ulong) \ + \ + F(cl_command_queue_info, CL_QUEUE_CONTEXT, cl::Context) \ + F(cl_command_queue_info, CL_QUEUE_DEVICE, cl::Device) \ + F(cl_command_queue_info, CL_QUEUE_REFERENCE_COUNT, cl_uint) \ + F(cl_command_queue_info, CL_QUEUE_PROPERTIES, cl_command_queue_properties) + +#if defined(CL_VERSION_1_1) +#define __PARAM_NAME_INFO_1_1(F) \ + F(cl_context_info, CL_CONTEXT_NUM_DEVICES, cl_uint)\ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_HALF, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_CHAR, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_SHORT, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_INT, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_LONG, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_FLOAT, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_DOUBLE, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_HALF, cl_uint) \ + F(cl_device_info, CL_DEVICE_DOUBLE_FP_CONFIG, cl_device_fp_config) \ + F(cl_device_info, CL_DEVICE_HALF_FP_CONFIG, cl_device_fp_config) \ + F(cl_device_info, CL_DEVICE_HOST_UNIFIED_MEMORY, cl_bool) \ + F(cl_device_info, CL_DEVICE_OPENCL_C_VERSION, STRING_CLASS) \ + \ + F(cl_mem_info, CL_MEM_ASSOCIATED_MEMOBJECT, cl::Memory) \ + F(cl_mem_info, CL_MEM_OFFSET, ::size_t) \ + \ + F(cl_kernel_work_group_info, CL_KERNEL_PREFERRED_WORK_GROUP_SIZE_MULTIPLE, ::size_t) \ + F(cl_kernel_work_group_info, CL_KERNEL_PRIVATE_MEM_SIZE, cl_ulong) \ + \ + F(cl_event_info, CL_EVENT_CONTEXT, cl::Context) +#endif // CL_VERSION_1_1 + + +#if defined(CL_VERSION_1_2) +#define __PARAM_NAME_INFO_1_2(F) \ + F(cl_image_info, CL_IMAGE_BUFFER, cl::Buffer) \ + \ + F(cl_program_info, CL_PROGRAM_NUM_KERNELS, ::size_t) \ + F(cl_program_info, CL_PROGRAM_KERNEL_NAMES, STRING_CLASS) \ + \ + F(cl_program_build_info, CL_PROGRAM_BINARY_TYPE, cl_program_binary_type) \ + \ + F(cl_kernel_info, CL_KERNEL_ATTRIBUTES, STRING_CLASS) \ + \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_ADDRESS_QUALIFIER, cl_kernel_arg_address_qualifier) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_ACCESS_QUALIFIER, cl_kernel_arg_access_qualifier) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_TYPE_NAME, STRING_CLASS) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_NAME, STRING_CLASS) \ + \ + F(cl_device_info, CL_DEVICE_PARENT_DEVICE, cl_device_id) \ + F(cl_device_info, CL_DEVICE_PARTITION_PROPERTIES, VECTOR_CLASS) \ + F(cl_device_info, CL_DEVICE_PARTITION_TYPE, VECTOR_CLASS) \ + F(cl_device_info, CL_DEVICE_REFERENCE_COUNT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_INTEROP_USER_SYNC, ::size_t) \ + F(cl_device_info, CL_DEVICE_PARTITION_AFFINITY_DOMAIN, cl_device_affinity_domain) \ + F(cl_device_info, CL_DEVICE_BUILT_IN_KERNELS, STRING_CLASS) +#endif // #if defined(CL_VERSION_1_2) + +#if defined(USE_CL_DEVICE_FISSION) +#define __PARAM_NAME_DEVICE_FISSION(F) \ + F(cl_device_info, CL_DEVICE_PARENT_DEVICE_EXT, cl_device_id) \ + F(cl_device_info, CL_DEVICE_PARTITION_TYPES_EXT, VECTOR_CLASS) \ + F(cl_device_info, CL_DEVICE_AFFINITY_DOMAINS_EXT, VECTOR_CLASS) \ + F(cl_device_info, CL_DEVICE_REFERENCE_COUNT_EXT , cl_uint) \ + F(cl_device_info, CL_DEVICE_PARTITION_STYLE_EXT, VECTOR_CLASS) +#endif // USE_CL_DEVICE_FISSION + +template +struct param_traits {}; + +#define __CL_DECLARE_PARAM_TRAITS(token, param_name, T) \ +struct token; \ +template<> \ +struct param_traits \ +{ \ + enum { value = param_name }; \ + typedef T param_type; \ +}; + +__PARAM_NAME_INFO_1_0(__CL_DECLARE_PARAM_TRAITS) +#if defined(CL_VERSION_1_1) +__PARAM_NAME_INFO_1_1(__CL_DECLARE_PARAM_TRAITS) +#endif // CL_VERSION_1_1 +#if defined(CL_VERSION_1_2) +__PARAM_NAME_INFO_1_2(__CL_DECLARE_PARAM_TRAITS) +#endif // CL_VERSION_1_1 + +#if defined(USE_CL_DEVICE_FISSION) +__PARAM_NAME_DEVICE_FISSION(__CL_DECLARE_PARAM_TRAITS); +#endif // USE_CL_DEVICE_FISSION + +#ifdef CL_PLATFORM_ICD_SUFFIX_KHR +__CL_DECLARE_PARAM_TRAITS(cl_platform_info, CL_PLATFORM_ICD_SUFFIX_KHR, STRING_CLASS) +#endif + +#ifdef CL_DEVICE_PROFILING_TIMER_OFFSET_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_PROFILING_TIMER_OFFSET_AMD, cl_ulong) +#endif + +#ifdef CL_DEVICE_GLOBAL_FREE_MEMORY_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_GLOBAL_FREE_MEMORY_AMD, VECTOR_CLASS< ::size_t>) +#endif +#ifdef CL_DEVICE_SIMD_PER_COMPUTE_UNIT_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_SIMD_PER_COMPUTE_UNIT_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_SIMD_WIDTH_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_SIMD_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_SIMD_INSTRUCTION_WIDTH_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_SIMD_INSTRUCTION_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_WAVEFRONT_WIDTH_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_WAVEFRONT_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_GLOBAL_MEM_CHANNELS_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_GLOBAL_MEM_CHANNELS_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_GLOBAL_MEM_CHANNEL_BANKS_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_GLOBAL_MEM_CHANNEL_BANKS_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_GLOBAL_MEM_CHANNEL_BANK_WIDTH_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_GLOBAL_MEM_CHANNEL_BANK_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_LOCAL_MEM_SIZE_PER_COMPUTE_UNIT_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_LOCAL_MEM_SIZE_PER_COMPUTE_UNIT_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_LOCAL_MEM_BANKS_AMD +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_LOCAL_MEM_BANKS_AMD, cl_uint) +#endif + +#ifdef CL_DEVICE_COMPUTE_CAPABILITY_MAJOR_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_COMPUTE_CAPABILITY_MAJOR_NV, cl_uint) +#endif +#ifdef CL_DEVICE_COMPUTE_CAPABILITY_MINOR_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_COMPUTE_CAPABILITY_MINOR_NV, cl_uint) +#endif +#ifdef CL_DEVICE_REGISTERS_PER_BLOCK_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_REGISTERS_PER_BLOCK_NV, cl_uint) +#endif +#ifdef CL_DEVICE_WARP_SIZE_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_WARP_SIZE_NV, cl_uint) +#endif +#ifdef CL_DEVICE_GPU_OVERLAP_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_GPU_OVERLAP_NV, cl_bool) +#endif +#ifdef CL_DEVICE_KERNEL_EXEC_TIMEOUT_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_KERNEL_EXEC_TIMEOUT_NV, cl_bool) +#endif +#ifdef CL_DEVICE_INTEGRATED_MEMORY_NV +__CL_DECLARE_PARAM_TRAITS(cl_device_info, CL_DEVICE_INTEGRATED_MEMORY_NV, cl_bool) +#endif + +// Convenience functions + +template +inline cl_int +getInfo(Func f, cl_uint name, T* param) +{ + return getInfoHelper(f, name, param, 0); +} + +template +struct GetInfoFunctor0 +{ + Func f_; const Arg0& arg0_; + cl_int operator ()( + cl_uint param, ::size_t size, void* value, ::size_t* size_ret) + { return f_(arg0_, param, size, value, size_ret); } +}; + +template +struct GetInfoFunctor1 +{ + Func f_; const Arg0& arg0_; const Arg1& arg1_; + cl_int operator ()( + cl_uint param, ::size_t size, void* value, ::size_t* size_ret) + { return f_(arg0_, arg1_, param, size, value, size_ret); } +}; + +template +inline cl_int +getInfo(Func f, const Arg0& arg0, cl_uint name, T* param) +{ + GetInfoFunctor0 f0 = { f, arg0 }; + return getInfoHelper(f0, name, param, 0); +} + +template +inline cl_int +getInfo(Func f, const Arg0& arg0, const Arg1& arg1, cl_uint name, T* param) +{ + GetInfoFunctor1 f0 = { f, arg0, arg1 }; + return getInfoHelper(f0, name, param, 0); +} + +template +struct ReferenceHandler +{ }; + +#if defined(CL_VERSION_1_2) +/** + * OpenCL 1.2 devices do have retain/release. + */ +template <> +struct ReferenceHandler +{ + /** + * Retain the device. + * \param device A valid device created using createSubDevices + * \return + * CL_SUCCESS if the function executed successfully. + * CL_INVALID_DEVICE if device was not a valid subdevice + * CL_OUT_OF_RESOURCES + * CL_OUT_OF_HOST_MEMORY + */ + static cl_int retain(cl_device_id device) + { return ::clRetainDevice(device); } + /** + * Retain the device. + * \param device A valid device created using createSubDevices + * \return + * CL_SUCCESS if the function executed successfully. + * CL_INVALID_DEVICE if device was not a valid subdevice + * CL_OUT_OF_RESOURCES + * CL_OUT_OF_HOST_MEMORY + */ + static cl_int release(cl_device_id device) + { return ::clReleaseDevice(device); } +}; +#else // #if defined(CL_VERSION_1_2) +/** + * OpenCL 1.1 devices do not have retain/release. + */ +template <> +struct ReferenceHandler +{ + // cl_device_id does not have retain(). + static cl_int retain(cl_device_id) + { return CL_SUCCESS; } + // cl_device_id does not have release(). + static cl_int release(cl_device_id) + { return CL_SUCCESS; } +}; +#endif // #if defined(CL_VERSION_1_2) + +template <> +struct ReferenceHandler +{ + // cl_platform_id does not have retain(). + static cl_int retain(cl_platform_id) + { return CL_SUCCESS; } + // cl_platform_id does not have release(). + static cl_int release(cl_platform_id) + { return CL_SUCCESS; } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_context context) + { return ::clRetainContext(context); } + static cl_int release(cl_context context) + { return ::clReleaseContext(context); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_command_queue queue) + { return ::clRetainCommandQueue(queue); } + static cl_int release(cl_command_queue queue) + { return ::clReleaseCommandQueue(queue); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_mem memory) + { return ::clRetainMemObject(memory); } + static cl_int release(cl_mem memory) + { return ::clReleaseMemObject(memory); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_sampler sampler) + { return ::clRetainSampler(sampler); } + static cl_int release(cl_sampler sampler) + { return ::clReleaseSampler(sampler); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_program program) + { return ::clRetainProgram(program); } + static cl_int release(cl_program program) + { return ::clReleaseProgram(program); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_kernel kernel) + { return ::clRetainKernel(kernel); } + static cl_int release(cl_kernel kernel) + { return ::clReleaseKernel(kernel); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_event event) + { return ::clRetainEvent(event); } + static cl_int release(cl_event event) + { return ::clReleaseEvent(event); } +}; + + +// Extracts version number with major in the upper 16 bits, minor in the lower 16 +static cl_uint getVersion(const char *versionInfo) +{ + int highVersion = 0; + int lowVersion = 0; + int index = 7; + while(versionInfo[index] != '.' ) { + highVersion *= 10; + highVersion += versionInfo[index]-'0'; + ++index; + } + ++index; + while(versionInfo[index] != ' ' ) { + lowVersion *= 10; + lowVersion += versionInfo[index]-'0'; + ++index; + } + return (highVersion << 16) | lowVersion; +} + +static cl_uint getPlatformVersion(cl_platform_id platform) +{ + ::size_t size = 0; + clGetPlatformInfo(platform, CL_PLATFORM_VERSION, 0, NULL, &size); + char *versionInfo = (char *) alloca(size); + clGetPlatformInfo(platform, CL_PLATFORM_VERSION, size, &versionInfo[0], &size); + return getVersion(versionInfo); +} + +static cl_uint getDevicePlatformVersion(cl_device_id device) +{ + cl_platform_id platform; + clGetDeviceInfo(device, CL_DEVICE_PLATFORM, sizeof(platform), &platform, NULL); + return getPlatformVersion(platform); +} + +#if defined(CL_VERSION_1_2) && defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +static cl_uint getContextPlatformVersion(cl_context context) +{ + // The platform cannot be queried directly, so we first have to grab a + // device and obtain its context + ::size_t size = 0; + clGetContextInfo(context, CL_CONTEXT_DEVICES, 0, NULL, &size); + if (size == 0) + return 0; + cl_device_id *devices = (cl_device_id *) alloca(size); + clGetContextInfo(context, CL_CONTEXT_DEVICES, size, devices, NULL); + return getDevicePlatformVersion(devices[0]); +} +#endif // #if defined(CL_VERSION_1_2) && defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + +template +class Wrapper +{ +public: + typedef T cl_type; + +protected: + cl_type object_; + +public: + Wrapper() : object_(NULL) { } + + Wrapper(const cl_type &obj) : object_(obj) { } + + ~Wrapper() + { + if (object_ != NULL) { release(); } + } + + Wrapper(const Wrapper& rhs) + { + object_ = rhs.object_; + if (object_ != NULL) { detail::errHandler(retain(), __RETAIN_ERR); } + } + + Wrapper& operator = (const Wrapper& rhs) + { + if (object_ != NULL) { detail::errHandler(release(), __RELEASE_ERR); } + object_ = rhs.object_; + if (object_ != NULL) { detail::errHandler(retain(), __RETAIN_ERR); } + return *this; + } + + Wrapper& operator = (const cl_type &rhs) + { + if (object_ != NULL) { detail::errHandler(release(), __RELEASE_ERR); } + object_ = rhs; + return *this; + } + + cl_type operator ()() const { return object_; } + + cl_type& operator ()() { return object_; } + +protected: + template + friend inline cl_int getInfoHelper(Func, cl_uint, U*, int, typename U::cl_type); + + cl_int retain() const + { + return ReferenceHandler::retain(object_); + } + + cl_int release() const + { + return ReferenceHandler::release(object_); + } +}; + +template <> +class Wrapper +{ +public: + typedef cl_device_id cl_type; + +protected: + cl_type object_; + bool referenceCountable_; + + static bool isReferenceCountable(cl_device_id device) + { + bool retVal = false; + if (device != NULL) { + int version = getDevicePlatformVersion(device); + if(version > ((1 << 16) + 1)) { + retVal = true; + } + } + return retVal; + } + +public: + Wrapper() : object_(NULL), referenceCountable_(false) + { + } + + Wrapper(const cl_type &obj) : object_(obj), referenceCountable_(false) + { + referenceCountable_ = isReferenceCountable(obj); + } + + ~Wrapper() + { + if (object_ != NULL) { release(); } + } + + Wrapper(const Wrapper& rhs) + { + object_ = rhs.object_; + referenceCountable_ = isReferenceCountable(object_); + if (object_ != NULL) { detail::errHandler(retain(), __RETAIN_ERR); } + } + + Wrapper& operator = (const Wrapper& rhs) + { + if (object_ != NULL) { detail::errHandler(release(), __RELEASE_ERR); } + object_ = rhs.object_; + referenceCountable_ = rhs.referenceCountable_; + if (object_ != NULL) { detail::errHandler(retain(), __RETAIN_ERR); } + return *this; + } + + Wrapper& operator = (const cl_type &rhs) + { + if (object_ != NULL) { detail::errHandler(release(), __RELEASE_ERR); } + object_ = rhs; + referenceCountable_ = isReferenceCountable(object_); + return *this; + } + + cl_type operator ()() const { return object_; } + + cl_type& operator ()() { return object_; } + +protected: + template + friend inline cl_int getInfoHelper(Func, cl_uint, U*, int, typename U::cl_type); + + template + friend inline cl_int getInfoHelper(Func, cl_uint, VECTOR_CLASS*, int, typename U::cl_type); + + cl_int retain() const + { + if( referenceCountable_ ) { + return ReferenceHandler::retain(object_); + } + else { + return CL_SUCCESS; + } + } + + cl_int release() const + { + if( referenceCountable_ ) { + return ReferenceHandler::release(object_); + } + else { + return CL_SUCCESS; + } + } +}; + +} // namespace detail +//! \endcond + +/*! \stuct ImageFormat + * \brief Adds constructors and member functions for cl_image_format. + * + * \see cl_image_format + */ +struct ImageFormat : public cl_image_format +{ + //! \brief Default constructor - performs no initialization. + ImageFormat(){} + + //! \brief Initializing constructor. + ImageFormat(cl_channel_order order, cl_channel_type type) + { + image_channel_order = order; + image_channel_data_type = type; + } + + //! \brief Assignment operator. + ImageFormat& operator = (const ImageFormat& rhs) + { + if (this != &rhs) { + this->image_channel_data_type = rhs.image_channel_data_type; + this->image_channel_order = rhs.image_channel_order; + } + return *this; + } +}; + +/*! \brief Class interface for cl_device_id. + * + * \note Copies of these objects are inexpensive, since they don't 'own' + * any underlying resources or data structures. + * + * \see cl_device_id + */ +class Device : public detail::Wrapper +{ +public: + //! \brief Default constructor - initializes to NULL. + Device() : detail::Wrapper() { } + + /*! \brief Copy constructor. + * + * This simply copies the device ID value, which is an inexpensive operation. + */ + Device(const Device& device) : detail::Wrapper(device) { } + + /*! \brief Constructor from cl_device_id. + * + * This simply copies the device ID value, which is an inexpensive operation. + */ + Device(const cl_device_id &device) : detail::Wrapper(device) { } + + /*! \brief Returns the first device on the default context. + * + * \see Context::getDefault() + */ + static Device getDefault(cl_int * err = NULL); + + /*! \brief Assignment operator from Device. + * + * This simply copies the device ID value, which is an inexpensive operation. + */ + Device& operator = (const Device& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_device_id. + * + * This simply copies the device ID value, which is an inexpensive operation. + */ + Device& operator = (const cl_device_id& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetDeviceInfo(). + template + cl_int getInfo(cl_device_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetDeviceInfo, object_, name, param), + __GET_DEVICE_INFO_ERR); + } + + //! \brief Wrapper for clGetDeviceInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_device_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /** + * CL 1.2 version + */ +#if defined(CL_VERSION_1_2) + //! \brief Wrapper for clCreateSubDevicesEXT(). + cl_int createSubDevices( + const cl_device_partition_property * properties, + VECTOR_CLASS* devices) + { + cl_uint n = 0; + cl_int err = clCreateSubDevices(object_, properties, 0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES); + } + + cl_device_id* ids = (cl_device_id*) alloca(n * sizeof(cl_device_id)); + err = clCreateSubDevices(object_, properties, n, ids, NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES); + } + + devices->assign(&ids[0], &ids[n]); + return CL_SUCCESS; + } +#endif // #if defined(CL_VERSION_1_2) + +/** + * CL 1.1 version that uses device fission. + */ +#if defined(CL_VERSION_1_1) +#if defined(USE_CL_DEVICE_FISSION) + cl_int createSubDevices( + const cl_device_partition_property_ext * properties, + VECTOR_CLASS* devices) + { + typedef CL_API_ENTRY cl_int + ( CL_API_CALL * PFN_clCreateSubDevicesEXT)( + cl_device_id /*in_device*/, + const cl_device_partition_property_ext * /* properties */, + cl_uint /*num_entries*/, + cl_device_id * /*out_devices*/, + cl_uint * /*num_devices*/ ) CL_EXT_SUFFIX__VERSION_1_1; + + static PFN_clCreateSubDevicesEXT pfn_clCreateSubDevicesEXT = NULL; + __INIT_CL_EXT_FCN_PTR(clCreateSubDevicesEXT); + + cl_uint n = 0; + cl_int err = pfn_clCreateSubDevicesEXT(object_, properties, 0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES); + } + + cl_device_id* ids = (cl_device_id*) alloca(n * sizeof(cl_device_id)); + err = pfn_clCreateSubDevicesEXT(object_, properties, n, ids, NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES); + } + + devices->assign(&ids[0], &ids[n]); + return CL_SUCCESS; + } +#endif // #if defined(USE_CL_DEVICE_FISSION) +#endif // #if defined(CL_VERSION_1_1) +}; + +/*! \brief Class interface for cl_platform_id. + * + * \note Copies of these objects are inexpensive, since they don't 'own' + * any underlying resources or data structures. + * + * \see cl_platform_id + */ +class Platform : public detail::Wrapper +{ +public: + //! \brief Default constructor - initializes to NULL. + Platform() : detail::Wrapper() { } + + /*! \brief Copy constructor. + * + * This simply copies the platform ID value, which is an inexpensive operation. + */ + Platform(const Platform& platform) : detail::Wrapper(platform) { } + + /*! \brief Constructor from cl_platform_id. + * + * This simply copies the platform ID value, which is an inexpensive operation. + */ + Platform(const cl_platform_id &platform) : detail::Wrapper(platform) { } + + /*! \brief Assignment operator from Platform. + * + * This simply copies the platform ID value, which is an inexpensive operation. + */ + Platform& operator = (const Platform& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_platform_id. + * + * This simply copies the platform ID value, which is an inexpensive operation. + */ + Platform& operator = (const cl_platform_id& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetPlatformInfo(). + cl_int getInfo(cl_platform_info name, STRING_CLASS* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetPlatformInfo, object_, name, param), + __GET_PLATFORM_INFO_ERR); + } + + //! \brief Wrapper for clGetPlatformInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_platform_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! \brief Gets a list of devices for this platform. + * + * Wraps clGetDeviceIDs(). + */ + cl_int getDevices( + cl_device_type type, + VECTOR_CLASS* devices) const + { + cl_uint n = 0; + if( devices == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_DEVICE_IDS_ERR); + } + cl_int err = ::clGetDeviceIDs(object_, type, 0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + cl_device_id* ids = (cl_device_id*) alloca(n * sizeof(cl_device_id)); + err = ::clGetDeviceIDs(object_, type, n, ids, NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + devices->assign(&ids[0], &ids[n]); + return CL_SUCCESS; + } + +#if defined(USE_DX_INTEROP) + /*! \brief Get the list of available D3D10 devices. + * + * \param d3d_device_source. + * + * \param d3d_object. + * + * \param d3d_device_set. + * + * \param devices returns a vector of OpenCL D3D10 devices found. The cl::Device + * values returned in devices can be used to identify a specific OpenCL + * device. If \a devices argument is NULL, this argument is ignored. + * + * \return One of the following values: + * - CL_SUCCESS if the function is executed successfully. + * + * The application can query specific capabilities of the OpenCL device(s) + * returned by cl::getDevices. This can be used by the application to + * determine which device(s) to use. + * + * \note In the case that exceptions are enabled and a return value + * other than CL_SUCCESS is generated, then cl::Error exception is + * generated. + */ + cl_int getDevices( + cl_d3d10_device_source_khr d3d_device_source, + void * d3d_object, + cl_d3d10_device_set_khr d3d_device_set, + VECTOR_CLASS* devices) const + { + typedef CL_API_ENTRY cl_int (CL_API_CALL *PFN_clGetDeviceIDsFromD3D10KHR)( + cl_platform_id platform, + cl_d3d10_device_source_khr d3d_device_source, + void * d3d_object, + cl_d3d10_device_set_khr d3d_device_set, + cl_uint num_entries, + cl_device_id * devices, + cl_uint* num_devices); + + if( devices == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_DEVICE_IDS_ERR); + } + + static PFN_clGetDeviceIDsFromD3D10KHR pfn_clGetDeviceIDsFromD3D10KHR = NULL; + __INIT_CL_EXT_FCN_PTR_PLATFORM(object_, clGetDeviceIDsFromD3D10KHR); + + cl_uint n = 0; + cl_int err = pfn_clGetDeviceIDsFromD3D10KHR( + object_, + d3d_device_source, + d3d_object, + d3d_device_set, + 0, + NULL, + &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + cl_device_id* ids = (cl_device_id*) alloca(n * sizeof(cl_device_id)); + err = pfn_clGetDeviceIDsFromD3D10KHR( + object_, + d3d_device_source, + d3d_object, + d3d_device_set, + n, + ids, + NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + devices->assign(&ids[0], &ids[n]); + return CL_SUCCESS; + } +#endif + + /*! \brief Gets a list of available platforms. + * + * Wraps clGetPlatformIDs(). + */ + static cl_int get( + VECTOR_CLASS* platforms) + { + cl_uint n = 0; + + if( platforms == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_PLATFORM_IDS_ERR); + } + + cl_int err = ::clGetPlatformIDs(0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + cl_platform_id* ids = (cl_platform_id*) alloca( + n * sizeof(cl_platform_id)); + err = ::clGetPlatformIDs(n, ids, NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + platforms->assign(&ids[0], &ids[n]); + return CL_SUCCESS; + } + + /*! \brief Gets the first available platform. + * + * Wraps clGetPlatformIDs(), returning the first result. + */ + static cl_int get( + Platform * platform) + { + cl_uint n = 0; + + if( platform == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_PLATFORM_IDS_ERR); + } + + cl_int err = ::clGetPlatformIDs(0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + cl_platform_id* ids = (cl_platform_id*) alloca( + n * sizeof(cl_platform_id)); + err = ::clGetPlatformIDs(n, ids, NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + *platform = ids[0]; + return CL_SUCCESS; + } + + /*! \brief Gets the first available platform, returning it by value. + * + * Wraps clGetPlatformIDs(), returning the first result. + */ + static Platform get( + cl_int * errResult = NULL) + { + Platform platform; + cl_uint n = 0; + cl_int err = ::clGetPlatformIDs(0, NULL, &n); + if (err != CL_SUCCESS) { + detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + if (errResult != NULL) { + *errResult = err; + } + } + + cl_platform_id* ids = (cl_platform_id*) alloca( + n * sizeof(cl_platform_id)); + err = ::clGetPlatformIDs(n, ids, NULL); + + if (err != CL_SUCCESS) { + detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + if (errResult != NULL) { + *errResult = err; + } + + return ids[0]; + } + + static Platform getDefault( + cl_int *errResult = NULL ) + { + return get(errResult); + } + + +#if defined(CL_VERSION_1_2) + //! \brief Wrapper for clUnloadCompiler(). + cl_int + unloadCompiler() + { + return ::clUnloadPlatformCompiler(object_); + } +#endif // #if defined(CL_VERSION_1_2) +}; // class Platform + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) || (defined(CL_VERSION_1_1) && !defined(CL_VERSION_1_2)) +/** + * Unload the OpenCL compiler. + * \note Deprecated for OpenCL 1.2. Use Platform::unloadCompiler instead. + */ +inline CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_int +UnloadCompiler() CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; +inline cl_int +UnloadCompiler() +{ + return ::clUnloadCompiler(); +} +#endif // #if defined(CL_VERSION_1_1) + +/*! \brief Class interface for cl_context. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_context as the original. For details, see + * clRetainContext() and clReleaseContext(). + * + * \see cl_context + */ +class Context + : public detail::Wrapper +{ +private: + static volatile int default_initialized_; + static Context default_; + static volatile cl_int default_error_; +public: + /*! \brief Destructor. + * + * This calls clReleaseContext() on the value held by this instance. + */ + ~Context() { } + + /*! \brief Constructs a context including a list of specified devices. + * + * Wraps clCreateContext(). + */ + Context( + const VECTOR_CLASS& devices, + cl_context_properties* properties = NULL, + void (CL_CALLBACK * notifyFptr)( + const char *, + const void *, + ::size_t, + void *) = NULL, + void* data = NULL, + cl_int* err = NULL) + { + cl_int error; + + ::size_t numDevices = devices.size(); + cl_device_id* deviceIDs = (cl_device_id*) alloca(numDevices * sizeof(cl_device_id)); + for( ::size_t deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + object_ = ::clCreateContext( + properties, (cl_uint) numDevices, + deviceIDs, + notifyFptr, data, &error); + + detail::errHandler(error, __CREATE_CONTEXT_ERR); + if (err != NULL) { + *err = error; + } + } + + Context( + const Device& device, + cl_context_properties* properties = NULL, + void (CL_CALLBACK * notifyFptr)( + const char *, + const void *, + ::size_t, + void *) = NULL, + void* data = NULL, + cl_int* err = NULL) + { + cl_int error; + + cl_device_id deviceID = device(); + + object_ = ::clCreateContext( + properties, 1, + &deviceID, + notifyFptr, data, &error); + + detail::errHandler(error, __CREATE_CONTEXT_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Constructs a context including all or a subset of devices of a specified type. + * + * Wraps clCreateContextFromType(). + */ + Context( + cl_device_type type, + cl_context_properties* properties = NULL, + void (CL_CALLBACK * notifyFptr)( + const char *, + const void *, + ::size_t, + void *) = NULL, + void* data = NULL, + cl_int* err = NULL) + { + cl_int error; + +#if !defined(__APPLE__) || !defined(__MACOS) + cl_context_properties prop[4] = {CL_CONTEXT_PLATFORM, 0, 0, 0 }; + + if (properties == NULL) { + // Get a valid platform ID as we cannot send in a blank one + VECTOR_CLASS platforms; + error = Platform::get(&platforms); + if (error != CL_SUCCESS) { + detail::errHandler(error, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = error; + } + return; + } + + // Check the platforms we found for a device of our specified type + cl_context_properties platform_id = 0; + for (unsigned int i = 0; i < platforms.size(); i++) { + + VECTOR_CLASS devices; + +#if defined(__CL_ENABLE_EXCEPTIONS) + try { +#endif + + error = platforms[i].getDevices(type, &devices); + +#if defined(__CL_ENABLE_EXCEPTIONS) + } catch (Error) {} + // Catch if exceptions are enabled as we don't want to exit if first platform has no devices of type + // We do error checking next anyway, and can throw there if needed +#endif + + // Only squash CL_SUCCESS and CL_DEVICE_NOT_FOUND + if (error != CL_SUCCESS && error != CL_DEVICE_NOT_FOUND) { + detail::errHandler(error, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = error; + } + } + + if (devices.size() > 0) { + platform_id = (cl_context_properties)platforms[i](); + break; + } + } + + if (platform_id == 0) { + detail::errHandler(CL_DEVICE_NOT_FOUND, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = CL_DEVICE_NOT_FOUND; + } + return; + } + + prop[1] = platform_id; + properties = &prop[0]; + } +#endif + object_ = ::clCreateContextFromType( + properties, type, notifyFptr, data, &error); + + detail::errHandler(error, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Returns a singleton context including all devices of CL_DEVICE_TYPE_DEFAULT. + * + * \note All calls to this function return the same cl_context as the first. + */ + static Context getDefault(cl_int * err = NULL) + { + int state = detail::compare_exchange( + &default_initialized_, + __DEFAULT_BEING_INITIALIZED, __DEFAULT_NOT_INITIALIZED); + + if (state & __DEFAULT_INITIALIZED) { + if (err != NULL) { + *err = default_error_; + } + return default_; + } + + if (state & __DEFAULT_BEING_INITIALIZED) { + // Assume writes will propagate eventually... + while(default_initialized_ != __DEFAULT_INITIALIZED) { + detail::fence(); + } + + if (err != NULL) { + *err = default_error_; + } + return default_; + } + + cl_int error; + default_ = Context( + CL_DEVICE_TYPE_DEFAULT, + NULL, + NULL, + NULL, + &error); + + detail::fence(); + + default_error_ = error; + // Assume writes will propagate eventually... + default_initialized_ = __DEFAULT_INITIALIZED; + + detail::fence(); + + if (err != NULL) { + *err = default_error_; + } + return default_; + + } + + //! \brief Default constructor - initializes to NULL. + Context() : detail::Wrapper() { } + + /*! \brief Copy constructor. + * + * This calls clRetainContext() on the parameter's cl_context. + */ + Context(const Context& context) : detail::Wrapper(context) { } + + /*! \brief Constructor from cl_context - takes ownership. + * + * This effectively transfers ownership of a refcount on the cl_context + * into the new Context object. + */ + __CL_EXPLICIT_CONSTRUCTORS Context(const cl_context& context) : detail::Wrapper(context) { } + + /*! \brief Assignment operator from Context. + * + * This calls clRetainContext() on the parameter and clReleaseContext() on + * the previous value held by this instance. + */ + Context& operator = (const Context& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_context - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseContext() on the value previously held by this instance. + */ + Context& operator = (const cl_context& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetContextInfo(). + template + cl_int getInfo(cl_context_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetContextInfo, object_, name, param), + __GET_CONTEXT_INFO_ERR); + } + + //! \brief Wrapper for clGetContextInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_context_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! \brief Gets a list of supported image formats. + * + * Wraps clGetSupportedImageFormats(). + */ + cl_int getSupportedImageFormats( + cl_mem_flags flags, + cl_mem_object_type type, + VECTOR_CLASS* formats) const + { + cl_uint numEntries; + cl_int err = ::clGetSupportedImageFormats( + object_, + flags, + type, + 0, + NULL, + &numEntries); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_SUPPORTED_IMAGE_FORMATS_ERR); + } + + ImageFormat* value = (ImageFormat*) + alloca(numEntries * sizeof(ImageFormat)); + err = ::clGetSupportedImageFormats( + object_, + flags, + type, + numEntries, + (cl_image_format*) value, + NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_SUPPORTED_IMAGE_FORMATS_ERR); + } + + formats->assign(&value[0], &value[numEntries]); + return CL_SUCCESS; + } +}; + +inline Device Device::getDefault(cl_int * err) +{ + cl_int error; + Device device; + + Context context = Context::getDefault(&error); + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + + if (error != CL_SUCCESS) { + if (err != NULL) { + *err = error; + } + } + else { + device = context.getInfo()[0]; + if (err != NULL) { + *err = CL_SUCCESS; + } + } + + return device; +} + + +#ifdef _WIN32 +__declspec(selectany) volatile int Context::default_initialized_ = __DEFAULT_NOT_INITIALIZED; +__declspec(selectany) Context Context::default_; +__declspec(selectany) volatile cl_int Context::default_error_ = CL_SUCCESS; +#else +__attribute__((weak)) volatile int Context::default_initialized_ = __DEFAULT_NOT_INITIALIZED; +__attribute__((weak)) Context Context::default_; +__attribute__((weak)) volatile cl_int Context::default_error_ = CL_SUCCESS; +#endif + +/*! \brief Class interface for cl_event. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_event as the original. For details, see + * clRetainEvent() and clReleaseEvent(). + * + * \see cl_event + */ +class Event : public detail::Wrapper +{ +public: + /*! \brief Destructor. + * + * This calls clReleaseEvent() on the value held by this instance. + */ + ~Event() { } + + //! \brief Default constructor - initializes to NULL. + Event() : detail::Wrapper() { } + + /*! \brief Copy constructor. + * + * This calls clRetainEvent() on the parameter's cl_event. + */ + Event(const Event& event) : detail::Wrapper(event) { } + + /*! \brief Constructor from cl_event - takes ownership. + * + * This effectively transfers ownership of a refcount on the cl_event + * into the new Event object. + */ + Event(const cl_event& event) : detail::Wrapper(event) { } + + /*! \brief Assignment operator from cl_event - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseEvent() on the value previously held by this instance. + */ + Event& operator = (const Event& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_event. + * + * This calls clRetainEvent() on the parameter and clReleaseEvent() on + * the previous value held by this instance. + */ + Event& operator = (const cl_event& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetEventInfo(). + template + cl_int getInfo(cl_event_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetEventInfo, object_, name, param), + __GET_EVENT_INFO_ERR); + } + + //! \brief Wrapper for clGetEventInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_event_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + //! \brief Wrapper for clGetEventProfilingInfo(). + template + cl_int getProfilingInfo(cl_profiling_info name, T* param) const + { + return detail::errHandler(detail::getInfo( + &::clGetEventProfilingInfo, object_, name, param), + __GET_EVENT_PROFILE_INFO_ERR); + } + + //! \brief Wrapper for clGetEventProfilingInfo() that returns by value. + template typename + detail::param_traits::param_type + getProfilingInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_profiling_info, name>::param_type param; + cl_int result = getProfilingInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! \brief Blocks the calling thread until this event completes. + * + * Wraps clWaitForEvents(). + */ + cl_int wait() const + { + return detail::errHandler( + ::clWaitForEvents(1, &object_), + __WAIT_FOR_EVENTS_ERR); + } + +#if defined(CL_VERSION_1_1) + /*! \brief Registers a user callback function for a specific command execution status. + * + * Wraps clSetEventCallback(). + */ + cl_int setCallback( + cl_int type, + void (CL_CALLBACK * pfn_notify)(cl_event, cl_int, void *), + void * user_data = NULL) + { + return detail::errHandler( + ::clSetEventCallback( + object_, + type, + pfn_notify, + user_data), + __SET_EVENT_CALLBACK_ERR); + } +#endif + + /*! \brief Blocks the calling thread until every event specified is complete. + * + * Wraps clWaitForEvents(). + */ + static cl_int + waitForEvents(const VECTOR_CLASS& events) + { + return detail::errHandler( + ::clWaitForEvents( + (cl_uint) events.size(), (cl_event*)&events.front()), + __WAIT_FOR_EVENTS_ERR); + } +}; + +#if defined(CL_VERSION_1_1) +/*! \brief Class interface for user events (a subset of cl_event's). + * + * See Event for details about copy semantics, etc. + */ +class UserEvent : public Event +{ +public: + /*! \brief Constructs a user event on a given context. + * + * Wraps clCreateUserEvent(). + */ + UserEvent( + const Context& context, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateUserEvent( + context(), + &error); + + detail::errHandler(error, __CREATE_USER_EVENT_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + UserEvent() : Event() { } + + //! \brief Copy constructor - performs shallow copy. + UserEvent(const UserEvent& event) : Event(event) { } + + //! \brief Assignment Operator - performs shallow copy. + UserEvent& operator = (const UserEvent& rhs) + { + if (this != &rhs) { + Event::operator=(rhs); + } + return *this; + } + + /*! \brief Sets the execution status of a user event object. + * + * Wraps clSetUserEventStatus(). + */ + cl_int setStatus(cl_int status) + { + return detail::errHandler( + ::clSetUserEventStatus(object_,status), + __SET_USER_EVENT_STATUS_ERR); + } +}; +#endif + +/*! \brief Blocks the calling thread until every event specified is complete. + * + * Wraps clWaitForEvents(). + */ +inline static cl_int +WaitForEvents(const VECTOR_CLASS& events) +{ + return detail::errHandler( + ::clWaitForEvents( + (cl_uint) events.size(), (cl_event*)&events.front()), + __WAIT_FOR_EVENTS_ERR); +} + +/*! \brief Class interface for cl_mem. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_mem as the original. For details, see + * clRetainMemObject() and clReleaseMemObject(). + * + * \see cl_mem + */ +class Memory : public detail::Wrapper +{ +public: + + /*! \brief Destructor. + * + * This calls clReleaseMemObject() on the value held by this instance. + */ + ~Memory() {} + + //! \brief Default constructor - initializes to NULL. + Memory() : detail::Wrapper() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * This calls clRetainMemObject() on the parameter's cl_mem. + */ + Memory(const Memory& memory) : detail::Wrapper(memory) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * This effectively transfers ownership of a refcount on the cl_mem + * into the new Memory object. + */ + __CL_EXPLICIT_CONSTRUCTORS Memory(const cl_mem& memory) : detail::Wrapper(memory) { } + + /*! \brief Assignment operator from Memory. + * + * This calls clRetainMemObject() on the parameter and clReleaseMemObject() + * on the previous value held by this instance. + */ + Memory& operator = (const Memory& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_mem - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseMemObject() on the value previously held by this instance. + */ + Memory& operator = (const cl_mem& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetMemObjectInfo(). + template + cl_int getInfo(cl_mem_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetMemObjectInfo, object_, name, param), + __GET_MEM_OBJECT_INFO_ERR); + } + + //! \brief Wrapper for clGetMemObjectInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_mem_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + +#if defined(CL_VERSION_1_1) + /*! \brief Registers a callback function to be called when the memory object + * is no longer needed. + * + * Wraps clSetMemObjectDestructorCallback(). + * + * Repeated calls to this function, for a given cl_mem value, will append + * to the list of functions called (in reverse order) when memory object's + * resources are freed and the memory object is deleted. + * + * \note + * The registered callbacks are associated with the underlying cl_mem + * value - not the Memory class instance. + */ + cl_int setDestructorCallback( + void (CL_CALLBACK * pfn_notify)(cl_mem, void *), + void * user_data = NULL) + { + return detail::errHandler( + ::clSetMemObjectDestructorCallback( + object_, + pfn_notify, + user_data), + __SET_MEM_OBJECT_DESTRUCTOR_CALLBACK_ERR); + } +#endif + +}; + +// Pre-declare copy functions +class Buffer; +template< typename IteratorType > +cl_int copy( IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ); +template< typename IteratorType > +cl_int copy( const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ); +template< typename IteratorType > +cl_int copy( const CommandQueue &queue, IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ); +template< typename IteratorType > +cl_int copy( const CommandQueue &queue, const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ); + + +/*! \brief Class interface for Buffer Memory Objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Buffer : public Memory +{ +public: + + /*! \brief Constructs a Buffer in a specified context. + * + * Wraps clCreateBuffer(). + * + * \param host_ptr Storage to be used if the CL_MEM_USE_HOST_PTR flag was + * specified. Note alignment & exclusivity requirements. + */ + Buffer( + const Context& context, + cl_mem_flags flags, + ::size_t size, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + object_ = ::clCreateBuffer(context(), flags, size, host_ptr, &error); + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Constructs a Buffer in the default context. + * + * Wraps clCreateBuffer(). + * + * \param host_ptr Storage to be used if the CL_MEM_USE_HOST_PTR flag was + * specified. Note alignment & exclusivity requirements. + * + * \see Context::getDefault() + */ + Buffer( + cl_mem_flags flags, + ::size_t size, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(err); + + object_ = ::clCreateBuffer(context(), flags, size, host_ptr, &error); + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! + * \brief Construct a Buffer from a host container via iterators. + * IteratorType must be random access. + * If useHostPtr is specified iterators must represent contiguous data. + */ + template< typename IteratorType > + Buffer( + IteratorType startIterator, + IteratorType endIterator, + bool readOnly, + bool useHostPtr = false, + cl_int* err = NULL) + { + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + cl_mem_flags flags = 0; + if( readOnly ) { + flags |= CL_MEM_READ_ONLY; + } + else { + flags |= CL_MEM_READ_WRITE; + } + if( useHostPtr ) { + flags |= CL_MEM_USE_HOST_PTR; + } + + ::size_t size = sizeof(DataType)*(endIterator - startIterator); + + Context context = Context::getDefault(err); + + if( useHostPtr ) { + object_ = ::clCreateBuffer(context(), flags, size, static_cast(&*startIterator), &error); + } else { + object_ = ::clCreateBuffer(context(), flags, size, 0, &error); + } + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + if( !useHostPtr ) { + error = cl::copy(startIterator, endIterator, *this); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + } + + /*! + * \brief Construct a Buffer from a host container via iterators using a specified context. + * IteratorType must be random access. + * If useHostPtr is specified iterators must represent contiguous data. + */ + template< typename IteratorType > + Buffer(const Context &context, IteratorType startIterator, IteratorType endIterator, + bool readOnly, bool useHostPtr = false, cl_int* err = NULL); + + //! \brief Default constructor - initializes to NULL. + Buffer() : Memory() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Buffer(const Buffer& buffer) : Memory(buffer) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Buffer(const cl_mem& buffer) : Memory(buffer) { } + + /*! \brief Assignment from Buffer - performs shallow copy. + * + * See Memory for further details. + */ + Buffer& operator = (const Buffer& rhs) + { + if (this != &rhs) { + Memory::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Buffer& operator = (const cl_mem& rhs) + { + Memory::operator=(rhs); + return *this; + } + +#if defined(CL_VERSION_1_1) + /*! \brief Creates a new buffer object from this. + * + * Wraps clCreateSubBuffer(). + */ + Buffer createSubBuffer( + cl_mem_flags flags, + cl_buffer_create_type buffer_create_type, + const void * buffer_create_info, + cl_int * err = NULL) + { + Buffer result; + cl_int error; + result.object_ = ::clCreateSubBuffer( + object_, + flags, + buffer_create_type, + buffer_create_info, + &error); + + detail::errHandler(error, __CREATE_SUBBUFFER_ERR); + if (err != NULL) { + *err = error; + } + + return result; + } +#endif +}; + +#if defined (USE_DX_INTEROP) +/*! \brief Class interface for creating OpenCL buffers from ID3D10Buffer's. + * + * This is provided to facilitate interoperability with Direct3D. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class BufferD3D10 : public Buffer +{ +public: + typedef CL_API_ENTRY cl_mem (CL_API_CALL *PFN_clCreateFromD3D10BufferKHR)( + cl_context context, cl_mem_flags flags, ID3D10Buffer* buffer, + cl_int* errcode_ret); + + /*! \brief Constructs a BufferD3D10, in a specified context, from a + * given ID3D10Buffer. + * + * Wraps clCreateFromD3D10BufferKHR(). + */ + BufferD3D10( + const Context& context, + cl_mem_flags flags, + ID3D10Buffer* bufobj, + cl_int * err = NULL) + { + static PFN_clCreateFromD3D10BufferKHR pfn_clCreateFromD3D10BufferKHR = NULL; + +#if defined(CL_VERSION_1_2) + vector props = context.getInfo(); + cl_platform platform = -1; + for( int i = 0; i < props.size(); ++i ) { + if( props[i] == CL_CONTEXT_PLATFORM ) { + platform = props[i+1]; + } + } + __INIT_CL_EXT_FCN_PTR_PLATFORM(platform, clCreateFromD3D10BufferKHR); +#endif +#if defined(CL_VERSION_1_1) + __INIT_CL_EXT_FCN_PTR(clCreateFromD3D10BufferKHR); +#endif + + cl_int error; + object_ = pfn_clCreateFromD3D10BufferKHR( + context(), + flags, + bufobj, + &error); + + detail::errHandler(error, __CREATE_GL_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + BufferD3D10() : Buffer() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + BufferD3D10(const BufferD3D10& buffer) : Buffer(buffer) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS BufferD3D10(const cl_mem& buffer) : Buffer(buffer) { } + + /*! \brief Assignment from BufferD3D10 - performs shallow copy. + * + * See Memory for further details. + */ + BufferD3D10& operator = (const BufferD3D10& rhs) + { + if (this != &rhs) { + Buffer::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + BufferD3D10& operator = (const cl_mem& rhs) + { + Buffer::operator=(rhs); + return *this; + } +}; +#endif + +/*! \brief Class interface for GL Buffer Memory Objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class BufferGL : public Buffer +{ +public: + /*! \brief Constructs a BufferGL in a specified context, from a given + * GL buffer. + * + * Wraps clCreateFromGLBuffer(). + */ + BufferGL( + const Context& context, + cl_mem_flags flags, + GLuint bufobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLBuffer( + context(), + flags, + bufobj, + &error); + + detail::errHandler(error, __CREATE_GL_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + BufferGL() : Buffer() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + BufferGL(const BufferGL& buffer) : Buffer(buffer) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS BufferGL(const cl_mem& buffer) : Buffer(buffer) { } + + /*! \brief Assignment from BufferGL - performs shallow copy. + * + * See Memory for further details. + */ + BufferGL& operator = (const BufferGL& rhs) + { + if (this != &rhs) { + Buffer::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + BufferGL& operator = (const cl_mem& rhs) + { + Buffer::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetGLObjectInfo(). + cl_int getObjectInfo( + cl_gl_object_type *type, + GLuint * gl_object_name) + { + return detail::errHandler( + ::clGetGLObjectInfo(object_,type,gl_object_name), + __GET_GL_OBJECT_INFO_ERR); + } +}; + +/*! \brief Class interface for GL Render Buffer Memory Objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class BufferRenderGL : public Buffer +{ +public: + /*! \brief Constructs a BufferRenderGL in a specified context, from a given + * GL Renderbuffer. + * + * Wraps clCreateFromGLRenderbuffer(). + */ + BufferRenderGL( + const Context& context, + cl_mem_flags flags, + GLuint bufobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLRenderbuffer( + context(), + flags, + bufobj, + &error); + + detail::errHandler(error, __CREATE_GL_RENDER_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + BufferRenderGL() : Buffer() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + BufferRenderGL(const BufferGL& buffer) : Buffer(buffer) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS BufferRenderGL(const cl_mem& buffer) : Buffer(buffer) { } + + /*! \brief Assignment from BufferGL - performs shallow copy. + * + * See Memory for further details. + */ + BufferRenderGL& operator = (const BufferRenderGL& rhs) + { + if (this != &rhs) { + Buffer::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + BufferRenderGL& operator = (const cl_mem& rhs) + { + Buffer::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetGLObjectInfo(). + cl_int getObjectInfo( + cl_gl_object_type *type, + GLuint * gl_object_name) + { + return detail::errHandler( + ::clGetGLObjectInfo(object_,type,gl_object_name), + __GET_GL_OBJECT_INFO_ERR); + } +}; + +/*! \brief C++ base class for Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image : public Memory +{ +protected: + //! \brief Default constructor - initializes to NULL. + Image() : Memory() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Image(const Image& image) : Memory(image) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Image(const cl_mem& image) : Memory(image) { } + + /*! \brief Assignment from Image - performs shallow copy. + * + * See Memory for further details. + */ + Image& operator = (const Image& rhs) + { + if (this != &rhs) { + Memory::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image& operator = (const cl_mem& rhs) + { + Memory::operator=(rhs); + return *this; + } + +public: + //! \brief Wrapper for clGetImageInfo(). + template + cl_int getImageInfo(cl_image_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetImageInfo, object_, name, param), + __GET_IMAGE_INFO_ERR); + } + + //! \brief Wrapper for clGetImageInfo() that returns by value. + template typename + detail::param_traits::param_type + getImageInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_image_info, name>::param_type param; + cl_int result = getImageInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +}; + +#if defined(CL_VERSION_1_2) +/*! \brief Class interface for 1D Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image1D : public Image +{ +public: + /*! \brief Constructs a 1D Image in a specified context. + * + * Wraps clCreateImage(). + */ + Image1D( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + ::size_t width, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE1D, + width, + 0, 0, 0, 0, 0, 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + Image1D() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Image1D(const Image1D& image1D) : Image(image1D) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Image1D(const cl_mem& image1D) : Image(image1D) { } + + /*! \brief Assignment from Image1D - performs shallow copy. + * + * See Memory for further details. + */ + Image1D& operator = (const Image1D& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image1D& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; + +/*! \class Image1DBuffer + * \brief Image interface for 1D buffer images. + */ +class Image1DBuffer : public Image +{ +public: + Image1DBuffer( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + ::size_t width, + const Buffer &buffer, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE1D_BUFFER, + width, + 0, 0, 0, 0, 0, 0, 0, + buffer() + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + NULL, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + Image1DBuffer() { } + + Image1DBuffer(const Image1DBuffer& image1D) : Image(image1D) { } + + __CL_EXPLICIT_CONSTRUCTORS Image1DBuffer(const cl_mem& image1D) : Image(image1D) { } + + Image1DBuffer& operator = (const Image1DBuffer& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + Image1DBuffer& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; + +/*! \class Image1DArray + * \brief Image interface for arrays of 1D images. + */ +class Image1DArray : public Image +{ +public: + Image1DArray( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + ::size_t arraySize, + ::size_t width, + ::size_t rowPitch, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE1D_ARRAY, + width, + 0, 0, // height, depth (unused) + arraySize, + rowPitch, + 0, 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + Image1DArray() { } + + Image1DArray(const Image1DArray& imageArray) : Image(imageArray) { } + + __CL_EXPLICIT_CONSTRUCTORS Image1DArray(const cl_mem& imageArray) : Image(imageArray) { } + + Image1DArray& operator = (const Image1DArray& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + Image1DArray& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; +#endif // #if defined(CL_VERSION_1_2) + + +/*! \brief Class interface for 2D Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image2D : public Image +{ +public: + /*! \brief Constructs a 1D Image in a specified context. + * + * Wraps clCreateImage(). + */ + Image2D( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + ::size_t width, + ::size_t height, + ::size_t row_pitch = 0, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + bool useCreateImage; + +#if defined(CL_VERSION_1_2) && defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useCreateImage = (version >= 0x10002); // OpenCL 1.2 or above + } +#elif defined(CL_VERSION_1_2) + useCreateImage = true; +#else + useCreateImage = false; +#endif + +#if defined(CL_VERSION_1_2) + if (useCreateImage) + { + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE2D, + width, + height, + 0, 0, // depth, array size (unused) + row_pitch, + 0, 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // #if defined(CL_VERSION_1_2) +#if !defined(CL_VERSION_1_2) || defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + if (!useCreateImage) + { + object_ = ::clCreateImage2D( + context(), flags,&format, width, height, row_pitch, host_ptr, &error); + + detail::errHandler(error, __CREATE_IMAGE2D_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // #if !defined(CL_VERSION_1_2) || defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + } + + //! \brief Default constructor - initializes to NULL. + Image2D() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Image2D(const Image2D& image2D) : Image(image2D) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Image2D(const cl_mem& image2D) : Image(image2D) { } + + /*! \brief Assignment from Image2D - performs shallow copy. + * + * See Memory for further details. + */ + Image2D& operator = (const Image2D& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image2D& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; + + +#if !defined(CL_VERSION_1_2) +/*! \brief Class interface for GL 2D Image Memory objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + * \note Deprecated for OpenCL 1.2. Please use ImageGL instead. + */ +class CL_EXT_PREFIX__VERSION_1_1_DEPRECATED Image2DGL CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED : public Image2D +{ +public: + /*! \brief Constructs an Image2DGL in a specified context, from a given + * GL Texture. + * + * Wraps clCreateFromGLTexture2D(). + */ + Image2DGL( + const Context& context, + cl_mem_flags flags, + GLenum target, + GLint miplevel, + GLuint texobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLTexture2D( + context(), + flags, + target, + miplevel, + texobj, + &error); + + detail::errHandler(error, __CREATE_GL_TEXTURE_2D_ERR); + if (err != NULL) { + *err = error; + } + + } + + //! \brief Default constructor - initializes to NULL. + Image2DGL() : Image2D() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Image2DGL(const Image2DGL& image) : Image2D(image) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Image2DGL(const cl_mem& image) : Image2D(image) { } + + /*! \brief Assignment from Image2DGL - performs shallow copy. + * + * See Memory for further details. + */ + Image2DGL& operator = (const Image2DGL& rhs) + { + if (this != &rhs) { + Image2D::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image2DGL& operator = (const cl_mem& rhs) + { + Image2D::operator=(rhs); + return *this; + } +}; +#endif // #if !defined(CL_VERSION_1_2) + +#if defined(CL_VERSION_1_2) +/*! \class Image2DArray + * \brief Image interface for arrays of 2D images. + */ +class Image2DArray : public Image +{ +public: + Image2DArray( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + ::size_t arraySize, + ::size_t width, + ::size_t height, + ::size_t rowPitch, + ::size_t slicePitch, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE2D_ARRAY, + width, + height, + 0, // depth (unused) + arraySize, + rowPitch, + slicePitch, + 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + Image2DArray() { } + + Image2DArray(const Image2DArray& imageArray) : Image(imageArray) { } + + __CL_EXPLICIT_CONSTRUCTORS Image2DArray(const cl_mem& imageArray) : Image(imageArray) { } + + Image2DArray& operator = (const Image2DArray& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + Image2DArray& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; +#endif // #if defined(CL_VERSION_1_2) + +/*! \brief Class interface for 3D Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image3D : public Image +{ +public: + /*! \brief Constructs a 3D Image in a specified context. + * + * Wraps clCreateImage(). + */ + Image3D( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + ::size_t width, + ::size_t height, + ::size_t depth, + ::size_t row_pitch = 0, + ::size_t slice_pitch = 0, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + bool useCreateImage; + +#if defined(CL_VERSION_1_2) && defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useCreateImage = (version >= 0x10002); // OpenCL 1.2 or above + } +#elif defined(CL_VERSION_1_2) + useCreateImage = true; +#else + useCreateImage = false; +#endif + +#if defined(CL_VERSION_1_2) + if (useCreateImage) + { + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE3D, + width, + height, + depth, + 0, // array size (unused) + row_pitch, + slice_pitch, + 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // #if defined(CL_VERSION_1_2) +#if !defined(CL_VERSION_1_2) || defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + if (!useCreateImage) + { + object_ = ::clCreateImage3D( + context(), flags, &format, width, height, depth, row_pitch, + slice_pitch, host_ptr, &error); + + detail::errHandler(error, __CREATE_IMAGE3D_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // #if !defined(CL_VERSION_1_2) || defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + } + + //! \brief Default constructor - initializes to NULL. + Image3D() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Image3D(const Image3D& image3D) : Image(image3D) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Image3D(const cl_mem& image3D) : Image(image3D) { } + + /*! \brief Assignment from Image3D - performs shallow copy. + * + * See Memory for further details. + */ + Image3D& operator = (const Image3D& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image3D& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; + +#if !defined(CL_VERSION_1_2) +/*! \brief Class interface for GL 3D Image Memory objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image3DGL : public Image3D +{ +public: + /*! \brief Constructs an Image3DGL in a specified context, from a given + * GL Texture. + * + * Wraps clCreateFromGLTexture3D(). + */ + Image3DGL( + const Context& context, + cl_mem_flags flags, + GLenum target, + GLint miplevel, + GLuint texobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLTexture3D( + context(), + flags, + target, + miplevel, + texobj, + &error); + + detail::errHandler(error, __CREATE_GL_TEXTURE_3D_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + Image3DGL() : Image3D() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * See Memory for further details. + */ + Image3DGL(const Image3DGL& image) : Image3D(image) { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * See Memory for further details. + */ + __CL_EXPLICIT_CONSTRUCTORS Image3DGL(const cl_mem& image) : Image3D(image) { } + + /*! \brief Assignment from Image3DGL - performs shallow copy. + * + * See Memory for further details. + */ + Image3DGL& operator = (const Image3DGL& rhs) + { + if (this != &rhs) { + Image3D::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image3DGL& operator = (const cl_mem& rhs) + { + Image3D::operator=(rhs); + return *this; + } +}; +#endif // #if !defined(CL_VERSION_1_2) + +#if defined(CL_VERSION_1_2) +/*! \class ImageGL + * \brief general image interface for GL interop. + * We abstract the 2D and 3D GL images into a single instance here + * that wraps all GL sourced images on the grounds that setup information + * was performed by OpenCL anyway. + */ +class ImageGL : public Image +{ +public: + ImageGL( + const Context& context, + cl_mem_flags flags, + GLenum target, + GLint miplevel, + GLuint texobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLTexture( + context(), + flags, + target, + miplevel, + texobj, + &error); + + detail::errHandler(error, __CREATE_GL_TEXTURE_ERR); + if (err != NULL) { + *err = error; + } + } + + ImageGL() : Image() { } + + ImageGL(const ImageGL& image) : Image(image) { } + + __CL_EXPLICIT_CONSTRUCTORS ImageGL(const cl_mem& image) : Image(image) { } + + ImageGL& operator = (const ImageGL& rhs) + { + if (this != &rhs) { + Image::operator=(rhs); + } + return *this; + } + + ImageGL& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } +}; +#endif // #if defined(CL_VERSION_1_2) + +/*! \brief Class interface for cl_sampler. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_sampler as the original. For details, see + * clRetainSampler() and clReleaseSampler(). + * + * \see cl_sampler + */ +class Sampler : public detail::Wrapper +{ +public: + /*! \brief Destructor. + * + * This calls clReleaseSampler() on the value held by this instance. + */ + ~Sampler() { } + + //! \brief Default constructor - initializes to NULL. + Sampler() { } + + /*! \brief Constructs a Sampler in a specified context. + * + * Wraps clCreateSampler(). + */ + Sampler( + const Context& context, + cl_bool normalized_coords, + cl_addressing_mode addressing_mode, + cl_filter_mode filter_mode, + cl_int* err = NULL) + { + cl_int error; + object_ = ::clCreateSampler( + context(), + normalized_coords, + addressing_mode, + filter_mode, + &error); + + detail::errHandler(error, __CREATE_SAMPLER_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Copy constructor - performs shallow copy. + * + * This calls clRetainSampler() on the parameter's cl_sampler. + */ + Sampler(const Sampler& sampler) : detail::Wrapper(sampler) { } + + /*! \brief Constructor from cl_sampler - takes ownership. + * + * This effectively transfers ownership of a refcount on the cl_sampler + * into the new Sampler object. + */ + Sampler(const cl_sampler& sampler) : detail::Wrapper(sampler) { } + + /*! \brief Assignment operator from Sampler. + * + * This calls clRetainSampler() on the parameter and clReleaseSampler() + * on the previous value held by this instance. + */ + Sampler& operator = (const Sampler& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_sampler - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseSampler() on the value previously held by this instance. + */ + Sampler& operator = (const cl_sampler& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetSamplerInfo(). + template + cl_int getInfo(cl_sampler_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetSamplerInfo, object_, name, param), + __GET_SAMPLER_INFO_ERR); + } + + //! \brief Wrapper for clGetSamplerInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_sampler_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +}; + +class Program; +class CommandQueue; +class Kernel; + +//! \brief Class interface for specifying NDRange values. +class NDRange +{ +private: + size_t<3> sizes_; + cl_uint dimensions_; + +public: + //! \brief Default constructor - resulting range has zero dimensions. + NDRange() + : dimensions_(0) + { } + + //! \brief Constructs one-dimensional range. + NDRange(::size_t size0) + : dimensions_(1) + { + sizes_[0] = size0; + } + + //! \brief Constructs two-dimensional range. + NDRange(::size_t size0, ::size_t size1) + : dimensions_(2) + { + sizes_[0] = size0; + sizes_[1] = size1; + } + + //! \brief Constructs three-dimensional range. + NDRange(::size_t size0, ::size_t size1, ::size_t size2) + : dimensions_(3) + { + sizes_[0] = size0; + sizes_[1] = size1; + sizes_[2] = size2; + } + + /*! \brief Conversion operator to const ::size_t *. + * + * \returns a pointer to the size of the first dimension. + */ + operator const ::size_t*() const { + return (const ::size_t*) sizes_; + } + + //! \brief Queries the number of dimensions in the range. + ::size_t dimensions() const { return dimensions_; } +}; + +//! \brief A zero-dimensional range. +static const NDRange NullRange; + +//! \brief Local address wrapper for use with Kernel::setArg +struct LocalSpaceArg +{ + ::size_t size_; +}; + +namespace detail { + +template +struct KernelArgumentHandler +{ + static ::size_t size(const T&) { return sizeof(T); } + static T* ptr(T& value) { return &value; } +}; + +template <> +struct KernelArgumentHandler +{ + static ::size_t size(const LocalSpaceArg& value) { return value.size_; } + static void* ptr(LocalSpaceArg&) { return NULL; } +}; + +} +//! \endcond + +/*! __local + * \brief Helper function for generating LocalSpaceArg objects. + * Deprecated. Replaced with Local. + */ +inline CL_EXT_PREFIX__VERSION_1_1_DEPRECATED LocalSpaceArg +__local(::size_t size) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; +inline LocalSpaceArg +__local(::size_t size) +{ + LocalSpaceArg ret = { size }; + return ret; +} + +/*! Local + * \brief Helper function for generating LocalSpaceArg objects. + */ +inline LocalSpaceArg +Local(::size_t size) +{ + LocalSpaceArg ret = { size }; + return ret; +} + +//class KernelFunctor; + +/*! \brief Class interface for cl_kernel. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_kernel as the original. For details, see + * clRetainKernel() and clReleaseKernel(). + * + * \see cl_kernel + */ +class Kernel : public detail::Wrapper +{ +public: + inline Kernel(const Program& program, const char* name, cl_int* err = NULL); + + /*! \brief Destructor. + * + * This calls clReleaseKernel() on the value held by this instance. + */ + ~Kernel() { } + + //! \brief Default constructor - initializes to NULL. + Kernel() { } + + /*! \brief Copy constructor - performs shallow copy. + * + * This calls clRetainKernel() on the parameter's cl_kernel. + */ + Kernel(const Kernel& kernel) : detail::Wrapper(kernel) { } + + /*! \brief Constructor from cl_kernel - takes ownership. + * + * This effectively transfers ownership of a refcount on the cl_kernel + * into the new Kernel object. + */ + __CL_EXPLICIT_CONSTRUCTORS Kernel(const cl_kernel& kernel) : detail::Wrapper(kernel) { } + + /*! \brief Assignment operator from Kernel. + * + * This calls clRetainKernel() on the parameter and clReleaseKernel() + * on the previous value held by this instance. + */ + Kernel& operator = (const Kernel& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + /*! \brief Assignment operator from cl_kernel - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseKernel() on the value previously held by this instance. + */ + Kernel& operator = (const cl_kernel& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + template + cl_int getInfo(cl_kernel_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetKernelInfo, object_, name, param), + __GET_KERNEL_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_kernel_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + +#if defined(CL_VERSION_1_2) + template + cl_int getArgInfo(cl_uint argIndex, cl_kernel_arg_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetKernelArgInfo, object_, argIndex, name, param), + __GET_KERNEL_ARG_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getArgInfo(cl_uint argIndex, cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_kernel_arg_info, name>::param_type param; + cl_int result = getArgInfo(argIndex, name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +#endif // #if defined(CL_VERSION_1_2) + + template + cl_int getWorkGroupInfo( + const Device& device, cl_kernel_work_group_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetKernelWorkGroupInfo, object_, device(), name, param), + __GET_KERNEL_WORK_GROUP_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getWorkGroupInfo(const Device& device, cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_kernel_work_group_info, name>::param_type param; + cl_int result = getWorkGroupInfo(device, name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + template + cl_int setArg(cl_uint index, T value) + { + return detail::errHandler( + ::clSetKernelArg( + object_, + index, + detail::KernelArgumentHandler::size(value), + detail::KernelArgumentHandler::ptr(value)), + __SET_KERNEL_ARGS_ERR); + } + + cl_int setArg(cl_uint index, ::size_t size, void* argPtr) + { + return detail::errHandler( + ::clSetKernelArg(object_, index, size, argPtr), + __SET_KERNEL_ARGS_ERR); + } +}; + +/*! \class Program + * \brief Program interface that implements cl_program. + */ +class Program : public detail::Wrapper +{ +public: + typedef VECTOR_CLASS > Binaries; + typedef VECTOR_CLASS > Sources; + + Program( + const STRING_CLASS& source, + bool build = false, + cl_int* err = NULL) + { + cl_int error; + + const char * strings = source.c_str(); + const ::size_t length = source.size(); + + Context context = Context::getDefault(err); + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)1, &strings, &length, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + + if (error == CL_SUCCESS && build) { + + error = ::clBuildProgram( + object_, + 0, + NULL, + "", + NULL, + NULL); + + detail::errHandler(error, __BUILD_PROGRAM_ERR); + } + + if (err != NULL) { + *err = error; + } + } + + Program( + const Context& context, + const STRING_CLASS& source, + bool build = false, + cl_int* err = NULL) + { + cl_int error; + + const char * strings = source.c_str(); + const ::size_t length = source.size(); + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)1, &strings, &length, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + + if (error == CL_SUCCESS && build) { + + error = ::clBuildProgram( + object_, + 0, + NULL, + "", + NULL, + NULL); + + detail::errHandler(error, __BUILD_PROGRAM_ERR); + } + + if (err != NULL) { + *err = error; + } + } + + Program( + const Context& context, + const Sources& sources, + cl_int* err = NULL) + { + cl_int error; + + const ::size_t n = (::size_t)sources.size(); + ::size_t* lengths = (::size_t*) alloca(n * sizeof(::size_t)); + const char** strings = (const char**) alloca(n * sizeof(const char*)); + + for (::size_t i = 0; i < n; ++i) { + strings[i] = sources[(int)i].first; + lengths[i] = sources[(int)i].second; + } + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)n, strings, lengths, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + if (err != NULL) { + *err = error; + } + } + + /** + * Construct a program object from a list of devices and a per-device list of binaries. + * \param context A valid OpenCL context in which to construct the program. + * \param devices A vector of OpenCL device objects for which the program will be created. + * \param binaries A vector of pairs of a pointer to a binary object and its length. + * \param binaryStatus An optional vector that on completion will be resized to + * match the size of binaries and filled with values to specify if each binary + * was successfully loaded. + * Set to CL_SUCCESS if the binary was successfully loaded. + * Set to CL_INVALID_VALUE if the length is 0 or the binary pointer is NULL. + * Set to CL_INVALID_BINARY if the binary provided is not valid for the matching device. + * \param err if non-NULL will be set to CL_SUCCESS on successful operation or one of the following errors: + * CL_INVALID_CONTEXT if context is not a valid context. + * CL_INVALID_VALUE if the length of devices is zero; or if the length of binaries does not match the length of devices; + * or if any entry in binaries is NULL or has length 0. + * CL_INVALID_DEVICE if OpenCL devices listed in devices are not in the list of devices associated with context. + * CL_INVALID_BINARY if an invalid program binary was encountered for any device. binaryStatus will return specific status for each device. + * CL_OUT_OF_HOST_MEMORY if there is a failure to allocate resources required by the OpenCL implementation on the host. + */ + Program( + const Context& context, + const VECTOR_CLASS& devices, + const Binaries& binaries, + VECTOR_CLASS* binaryStatus = NULL, + cl_int* err = NULL) + { + cl_int error; + + const ::size_t numDevices = devices.size(); + + // Catch size mismatch early and return + if(binaries.size() != numDevices) { + error = CL_INVALID_VALUE; + detail::errHandler(error, __CREATE_PROGRAM_WITH_BINARY_ERR); + if (err != NULL) { + *err = error; + } + return; + } + + ::size_t* lengths = (::size_t*) alloca(numDevices * sizeof(::size_t)); + const unsigned char** images = (const unsigned char**) alloca(numDevices * sizeof(const unsigned char**)); + + for (::size_t i = 0; i < numDevices; ++i) { + images[i] = (const unsigned char*)binaries[i].first; + lengths[i] = binaries[(int)i].second; + } + + cl_device_id* deviceIDs = (cl_device_id*) alloca(numDevices * sizeof(cl_device_id)); + for( ::size_t deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + if(binaryStatus) { + binaryStatus->resize(numDevices); + } + + object_ = ::clCreateProgramWithBinary( + context(), (cl_uint) devices.size(), + deviceIDs, + lengths, images, binaryStatus != NULL + ? &binaryStatus->front() + : NULL, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_BINARY_ERR); + if (err != NULL) { + *err = error; + } + } + + +#if defined(CL_VERSION_1_2) + /** + * Create program using builtin kernels. + * \param kernelNames Semi-colon separated list of builtin kernel names + */ + Program( + const Context& context, + const VECTOR_CLASS& devices, + const STRING_CLASS& kernelNames, + cl_int* err = NULL) + { + cl_int error; + + + ::size_t numDevices = devices.size(); + cl_device_id* deviceIDs = (cl_device_id*) alloca(numDevices * sizeof(cl_device_id)); + for( ::size_t deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + object_ = ::clCreateProgramWithBuiltInKernels( + context(), + (cl_uint) devices.size(), + deviceIDs, + kernelNames.c_str(), + &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_BUILT_IN_KERNELS_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // #if defined(CL_VERSION_1_2) + + Program() { } + + Program(const Program& program) : detail::Wrapper(program) { } + + __CL_EXPLICIT_CONSTRUCTORS Program(const cl_program& program) : detail::Wrapper(program) { } + + Program& operator = (const Program& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + Program& operator = (const cl_program& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + cl_int build( + const VECTOR_CLASS& devices, + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL) const + { + ::size_t numDevices = devices.size(); + cl_device_id* deviceIDs = (cl_device_id*) alloca(numDevices * sizeof(cl_device_id)); + for( ::size_t deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + return detail::errHandler( + ::clBuildProgram( + object_, + (cl_uint) + devices.size(), + deviceIDs, + options, + notifyFptr, + data), + __BUILD_PROGRAM_ERR); + } + + cl_int build( + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL) const + { + return detail::errHandler( + ::clBuildProgram( + object_, + 0, + NULL, + options, + notifyFptr, + data), + __BUILD_PROGRAM_ERR); + } + +#if defined(CL_VERSION_1_2) + cl_int compile( + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL) const + { + return detail::errHandler( + ::clCompileProgram( + object_, + 0, + NULL, + options, + 0, + NULL, + NULL, + notifyFptr, + data), + __COMPILE_PROGRAM_ERR); + } +#endif + + template + cl_int getInfo(cl_program_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetProgramInfo, object_, name, param), + __GET_PROGRAM_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_program_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + template + cl_int getBuildInfo( + const Device& device, cl_program_build_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetProgramBuildInfo, object_, device(), name, param), + __GET_PROGRAM_BUILD_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getBuildInfo(const Device& device, cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_program_build_info, name>::param_type param; + cl_int result = getBuildInfo(device, name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + cl_int createKernels(VECTOR_CLASS* kernels) + { + cl_uint numKernels; + cl_int err = ::clCreateKernelsInProgram(object_, 0, NULL, &numKernels); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_KERNELS_IN_PROGRAM_ERR); + } + + Kernel* value = (Kernel*) alloca(numKernels * sizeof(Kernel)); + err = ::clCreateKernelsInProgram( + object_, numKernels, (cl_kernel*) value, NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_KERNELS_IN_PROGRAM_ERR); + } + + kernels->assign(&value[0], &value[numKernels]); + return CL_SUCCESS; + } +}; + +#if defined(CL_VERSION_1_2) +inline Program linkProgram( + Program input1, + Program input2, + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL, + cl_int* err = NULL) +{ + cl_int err_local = CL_SUCCESS; + + cl_program programs[2] = { input1(), input2() }; + + Context ctx = input1.getInfo(); + + cl_program prog = ::clLinkProgram( + ctx(), + 0, + NULL, + options, + 2, + programs, + notifyFptr, + data, + &err_local); + + detail::errHandler(err_local,__COMPILE_PROGRAM_ERR); + if (err != NULL) { + *err = err_local; + } + + return Program(prog); +} + +inline Program linkProgram( + VECTOR_CLASS inputPrograms, + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL, + cl_int* err = NULL) +{ + cl_int err_local = CL_SUCCESS; + + cl_program * programs = (cl_program*) alloca(inputPrograms.size() * sizeof(cl_program)); + + if (programs != NULL) { + for (unsigned int i = 0; i < inputPrograms.size(); i++) { + programs[i] = inputPrograms[i](); + } + } + + cl_program prog = ::clLinkProgram( + Context::getDefault()(), + 0, + NULL, + options, + (cl_uint)inputPrograms.size(), + programs, + notifyFptr, + data, + &err_local); + + detail::errHandler(err_local,__COMPILE_PROGRAM_ERR); + if (err != NULL) { + *err = err_local; + } + + return Program(prog); +} +#endif + +template<> +inline VECTOR_CLASS cl::Program::getInfo(cl_int* err) const +{ + VECTOR_CLASS< ::size_t> sizes = getInfo(); + VECTOR_CLASS binaries; + for (VECTOR_CLASS< ::size_t>::iterator s = sizes.begin(); s != sizes.end(); ++s) + { + char *ptr = NULL; + if (*s != 0) + ptr = new char[*s]; + binaries.push_back(ptr); + } + + cl_int result = getInfo(CL_PROGRAM_BINARIES, &binaries); + if (err != NULL) { + *err = result; + } + return binaries; +} + +inline Kernel::Kernel(const Program& program, const char* name, cl_int* err) +{ + cl_int error; + + object_ = ::clCreateKernel(program(), name, &error); + detail::errHandler(error, __CREATE_KERNEL_ERR); + + if (err != NULL) { + *err = error; + } + +} + +/*! \class CommandQueue + * \brief CommandQueue interface for cl_command_queue. + */ +class CommandQueue : public detail::Wrapper +{ +private: + static volatile int default_initialized_; + static CommandQueue default_; + static volatile cl_int default_error_; +public: + CommandQueue( + cl_command_queue_properties properties, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(&error); + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + + if (error != CL_SUCCESS) { + if (err != NULL) { + *err = error; + } + } + else { + Device device = context.getInfo()[0]; + + object_ = ::clCreateCommandQueue( + context(), device(), properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } + } + /*! + * \brief Constructs a CommandQueue for an implementation defined device in the given context + */ + explicit CommandQueue( + const Context& context, + cl_command_queue_properties properties = 0, + cl_int* err = NULL) + { + cl_int error; + VECTOR_CLASS devices; + error = context.getInfo(CL_CONTEXT_DEVICES, &devices); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + + if (error != CL_SUCCESS) + { + if (err != NULL) { + *err = error; + } + return; + } + + object_ = ::clCreateCommandQueue(context(), devices[0](), properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + + if (err != NULL) { + *err = error; + } + + } + + CommandQueue( + const Context& context, + const Device& device, + cl_command_queue_properties properties = 0, + cl_int* err = NULL) + { + cl_int error; + object_ = ::clCreateCommandQueue( + context(), device(), properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } + + static CommandQueue getDefault(cl_int * err = NULL) + { + int state = detail::compare_exchange( + &default_initialized_, + __DEFAULT_BEING_INITIALIZED, __DEFAULT_NOT_INITIALIZED); + + if (state & __DEFAULT_INITIALIZED) { + if (err != NULL) { + *err = default_error_; + } + return default_; + } + + if (state & __DEFAULT_BEING_INITIALIZED) { + // Assume writes will propagate eventually... + while(default_initialized_ != __DEFAULT_INITIALIZED) { + detail::fence(); + } + + if (err != NULL) { + *err = default_error_; + } + return default_; + } + + cl_int error; + + Context context = Context::getDefault(&error); + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + + if (error != CL_SUCCESS) { + if (err != NULL) { + *err = error; + } + } + else { + Device device = context.getInfo()[0]; + + default_ = CommandQueue(context, device, 0, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } + + detail::fence(); + + default_error_ = error; + // Assume writes will propagate eventually... + default_initialized_ = __DEFAULT_INITIALIZED; + + detail::fence(); + + if (err != NULL) { + *err = default_error_; + } + return default_; + + } + + CommandQueue() { } + + CommandQueue(const CommandQueue& commandQueue) : detail::Wrapper(commandQueue) { } + + CommandQueue(const cl_command_queue& commandQueue) : detail::Wrapper(commandQueue) { } + + CommandQueue& operator = (const CommandQueue& rhs) + { + if (this != &rhs) { + detail::Wrapper::operator=(rhs); + } + return *this; + } + + CommandQueue& operator = (const cl_command_queue& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + template + cl_int getInfo(cl_command_queue_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetCommandQueueInfo, object_, name, param), + __GET_COMMAND_QUEUE_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_command_queue_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + cl_int enqueueReadBuffer( + const Buffer& buffer, + cl_bool blocking, + ::size_t offset, + ::size_t size, + void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReadBuffer( + object_, buffer(), blocking, offset, size, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_READ_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueWriteBuffer( + const Buffer& buffer, + cl_bool blocking, + ::size_t offset, + ::size_t size, + const void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueWriteBuffer( + object_, buffer(), blocking, offset, size, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_WRITE_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyBuffer( + const Buffer& src, + const Buffer& dst, + ::size_t src_offset, + ::size_t dst_offset, + ::size_t size, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyBuffer( + object_, src(), dst(), src_offset, dst_offset, size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueReadBufferRect( + const Buffer& buffer, + cl_bool blocking, + const size_t<3>& buffer_offset, + const size_t<3>& host_offset, + const size_t<3>& region, + ::size_t buffer_row_pitch, + ::size_t buffer_slice_pitch, + ::size_t host_row_pitch, + ::size_t host_slice_pitch, + void *ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReadBufferRect( + object_, + buffer(), + blocking, + (const ::size_t *)buffer_offset, + (const ::size_t *)host_offset, + (const ::size_t *)region, + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_READ_BUFFER_RECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueWriteBufferRect( + const Buffer& buffer, + cl_bool blocking, + const size_t<3>& buffer_offset, + const size_t<3>& host_offset, + const size_t<3>& region, + ::size_t buffer_row_pitch, + ::size_t buffer_slice_pitch, + ::size_t host_row_pitch, + ::size_t host_slice_pitch, + void *ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueWriteBufferRect( + object_, + buffer(), + blocking, + (const ::size_t *)buffer_offset, + (const ::size_t *)host_offset, + (const ::size_t *)region, + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_WRITE_BUFFER_RECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyBufferRect( + const Buffer& src, + const Buffer& dst, + const size_t<3>& src_origin, + const size_t<3>& dst_origin, + const size_t<3>& region, + ::size_t src_row_pitch, + ::size_t src_slice_pitch, + ::size_t dst_row_pitch, + ::size_t dst_slice_pitch, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyBufferRect( + object_, + src(), + dst(), + (const ::size_t *)src_origin, + (const ::size_t *)dst_origin, + (const ::size_t *)region, + src_row_pitch, + src_slice_pitch, + dst_row_pitch, + dst_slice_pitch, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_BUFFER_RECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if defined(CL_VERSION_1_2) + /** + * Enqueue a command to fill a buffer object with a pattern + * of a given size. The pattern is specified a as vector. + * \tparam PatternType The datatype of the pattern field. + * The pattern type must be an accepted OpenCL data type. + */ + template + cl_int enqueueFillBuffer( + const Buffer& buffer, + PatternType pattern, + ::size_t offset, + ::size_t size, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillBuffer( + object_, + buffer(), + static_cast(&pattern), + sizeof(PatternType), + offset, + size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // #if defined(CL_VERSION_1_2) + + cl_int enqueueReadImage( + const Image& image, + cl_bool blocking, + const size_t<3>& origin, + const size_t<3>& region, + ::size_t row_pitch, + ::size_t slice_pitch, + void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReadImage( + object_, image(), blocking, (const ::size_t *) origin, + (const ::size_t *) region, row_pitch, slice_pitch, ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_READ_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueWriteImage( + const Image& image, + cl_bool blocking, + const size_t<3>& origin, + const size_t<3>& region, + ::size_t row_pitch, + ::size_t slice_pitch, + void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueWriteImage( + object_, image(), blocking, (const ::size_t *) origin, + (const ::size_t *) region, row_pitch, slice_pitch, ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_WRITE_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyImage( + const Image& src, + const Image& dst, + const size_t<3>& src_origin, + const size_t<3>& dst_origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyImage( + object_, src(), dst(), (const ::size_t *) src_origin, + (const ::size_t *)dst_origin, (const ::size_t *) region, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if defined(CL_VERSION_1_2) + /** + * Enqueue a command to fill an image object with a specified color. + * \param fillColor is the color to use to fill the image. + * This is a four component RGBA floating-point color value if + * the image channel data type is not an unnormalized signed or + * unsigned data type. + */ + cl_int enqueueFillImage( + const Image& image, + cl_float4 fillColor, + const size_t<3>& origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillImage( + object_, + image(), + static_cast(&fillColor), + (const ::size_t *) origin, + (const ::size_t *) region, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueue a command to fill an image object with a specified color. + * \param fillColor is the color to use to fill the image. + * This is a four component RGBA signed integer color value if + * the image channel data type is an unnormalized signed integer + * type. + */ + cl_int enqueueFillImage( + const Image& image, + cl_int4 fillColor, + const size_t<3>& origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillImage( + object_, + image(), + static_cast(&fillColor), + (const ::size_t *) origin, + (const ::size_t *) region, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueue a command to fill an image object with a specified color. + * \param fillColor is the color to use to fill the image. + * This is a four component RGBA unsigned integer color value if + * the image channel data type is an unnormalized unsigned integer + * type. + */ + cl_int enqueueFillImage( + const Image& image, + cl_uint4 fillColor, + const size_t<3>& origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillImage( + object_, + image(), + static_cast(&fillColor), + (const ::size_t *) origin, + (const ::size_t *) region, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // #if defined(CL_VERSION_1_2) + + cl_int enqueueCopyImageToBuffer( + const Image& src, + const Buffer& dst, + const size_t<3>& src_origin, + const size_t<3>& region, + ::size_t dst_offset, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyImageToBuffer( + object_, src(), dst(), (const ::size_t *) src_origin, + (const ::size_t *) region, dst_offset, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_IMAGE_TO_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyBufferToImage( + const Buffer& src, + const Image& dst, + ::size_t src_offset, + const size_t<3>& dst_origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyBufferToImage( + object_, src(), dst(), src_offset, + (const ::size_t *) dst_origin, (const ::size_t *) region, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_BUFFER_TO_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + void* enqueueMapBuffer( + const Buffer& buffer, + cl_bool blocking, + cl_map_flags flags, + ::size_t offset, + ::size_t size, + const VECTOR_CLASS* events = NULL, + Event* event = NULL, + cl_int* err = NULL) const + { + cl_int error; + void * result = ::clEnqueueMapBuffer( + object_, buffer(), blocking, flags, offset, size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (cl_event*) event, + &error); + + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + return result; + } + + void* enqueueMapImage( + const Image& buffer, + cl_bool blocking, + cl_map_flags flags, + const size_t<3>& origin, + const size_t<3>& region, + ::size_t * row_pitch, + ::size_t * slice_pitch, + const VECTOR_CLASS* events = NULL, + Event* event = NULL, + cl_int* err = NULL) const + { + cl_int error; + void * result = ::clEnqueueMapImage( + object_, buffer(), blocking, flags, + (const ::size_t *) origin, (const ::size_t *) region, + row_pitch, slice_pitch, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (cl_event*) event, + &error); + + detail::errHandler(error, __ENQUEUE_MAP_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + return result; + } + + cl_int enqueueUnmapMemObject( + const Memory& memory, + void* mapped_ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueUnmapMemObject( + object_, memory(), mapped_ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if defined(CL_VERSION_1_2) + /** + * Enqueues a marker command which waits for either a list of events to complete, + * or all previously enqueued commands to complete. + * + * Enqueues a marker command which waits for either a list of events to complete, + * or if the list is empty it waits for all commands previously enqueued in command_queue + * to complete before it completes. This command returns an event which can be waited on, + * i.e. this event can be waited on to insure that all events either in the event_wait_list + * or all previously enqueued commands, queued before this command to command_queue, + * have completed. + */ + cl_int enqueueMarkerWithWaitList( + const VECTOR_CLASS *events = 0, + Event *event = 0) + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueMarkerWithWaitList( + object_, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MARKER_WAIT_LIST_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * A synchronization point that enqueues a barrier operation. + * + * Enqueues a barrier command which waits for either a list of events to complete, + * or if the list is empty it waits for all commands previously enqueued in command_queue + * to complete before it completes. This command blocks command execution, that is, any + * following commands enqueued after it do not execute until it completes. This command + * returns an event which can be waited on, i.e. this event can be waited on to insure that + * all events either in the event_wait_list or all previously enqueued commands, queued + * before this command to command_queue, have completed. + */ + cl_int enqueueBarrierWithWaitList( + const VECTOR_CLASS *events = 0, + Event *event = 0) + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueBarrierWithWaitList( + object_, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_BARRIER_WAIT_LIST_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueues a command to indicate with which device a set of memory objects + * should be associated. + */ + cl_int enqueueMigrateMemObjects( + const VECTOR_CLASS &memObjects, + cl_mem_migration_flags flags, + const VECTOR_CLASS* events = NULL, + Event* event = NULL + ) + { + cl_event tmp; + + cl_mem* localMemObjects = static_cast(alloca(memObjects.size() * sizeof(cl_mem))); + for( int i = 0; i < (int)memObjects.size(); ++i ) { + localMemObjects[i] = memObjects[i](); + } + + + cl_int err = detail::errHandler( + ::clEnqueueMigrateMemObjects( + object_, + (cl_uint)memObjects.size(), + static_cast(localMemObjects), + flags, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // #if defined(CL_VERSION_1_2) + + cl_int enqueueNDRangeKernel( + const Kernel& kernel, + const NDRange& offset, + const NDRange& global, + const NDRange& local = NullRange, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueNDRangeKernel( + object_, kernel(), (cl_uint) global.dimensions(), + offset.dimensions() != 0 ? (const ::size_t*) offset : NULL, + (const ::size_t*) global, + local.dimensions() != 0 ? (const ::size_t*) local : NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_NDRANGE_KERNEL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueTask( + const Kernel& kernel, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueTask( + object_, kernel(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_TASK_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueNativeKernel( + void (CL_CALLBACK *userFptr)(void *), + std::pair args, + const VECTOR_CLASS* mem_objects = NULL, + const VECTOR_CLASS* mem_locs = NULL, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_mem * mems = (mem_objects != NULL && mem_objects->size() > 0) + ? (cl_mem*) alloca(mem_objects->size() * sizeof(cl_mem)) + : NULL; + + if (mems != NULL) { + for (unsigned int i = 0; i < mem_objects->size(); i++) { + mems[i] = ((*mem_objects)[i])(); + } + } + + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueNativeKernel( + object_, userFptr, args.first, args.second, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + mems, + (mem_locs != NULL) ? (const void **) &mem_locs->front() : NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_NATIVE_KERNEL); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) || (defined(CL_VERSION_1_1) && !defined(CL_VERSION_1_2)) + CL_EXT_PREFIX__VERSION_1_1_DEPRECATED + cl_int enqueueMarker(Event* event = NULL) const CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + { + return detail::errHandler( + ::clEnqueueMarker(object_, (cl_event*) event), + __ENQUEUE_MARKER_ERR); + } + + CL_EXT_PREFIX__VERSION_1_1_DEPRECATED + cl_int enqueueWaitForEvents(const VECTOR_CLASS& events) const CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + { + return detail::errHandler( + ::clEnqueueWaitForEvents( + object_, + (cl_uint) events.size(), + (const cl_event*) &events.front()), + __ENQUEUE_WAIT_FOR_EVENTS_ERR); + } +#endif // #if defined(CL_VERSION_1_1) + + cl_int enqueueAcquireGLObjects( + const VECTOR_CLASS* mem_objects = NULL, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueAcquireGLObjects( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_ACQUIRE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueReleaseGLObjects( + const VECTOR_CLASS* mem_objects = NULL, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReleaseGLObjects( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_RELEASE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if defined (USE_DX_INTEROP) +typedef CL_API_ENTRY cl_int (CL_API_CALL *PFN_clEnqueueAcquireD3D10ObjectsKHR)( + cl_command_queue command_queue, cl_uint num_objects, + const cl_mem* mem_objects, cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, cl_event* event); +typedef CL_API_ENTRY cl_int (CL_API_CALL *PFN_clEnqueueReleaseD3D10ObjectsKHR)( + cl_command_queue command_queue, cl_uint num_objects, + const cl_mem* mem_objects, cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, cl_event* event); + + cl_int enqueueAcquireD3D10Objects( + const VECTOR_CLASS* mem_objects = NULL, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + static PFN_clEnqueueAcquireD3D10ObjectsKHR pfn_clEnqueueAcquireD3D10ObjectsKHR = NULL; +#if defined(CL_VERSION_1_2) + cl_context context = getInfo(); + cl::Device device(getInfo()); + cl_platform_id platform = device.getInfo(); + __INIT_CL_EXT_FCN_PTR_PLATFORM(platform, clEnqueueAcquireD3D10ObjectsKHR); +#endif +#if defined(CL_VERSION_1_1) + __INIT_CL_EXT_FCN_PTR(clEnqueueAcquireD3D10ObjectsKHR); +#endif + + cl_event tmp; + cl_int err = detail::errHandler( + pfn_clEnqueueAcquireD3D10ObjectsKHR( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_ACQUIRE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueReleaseD3D10Objects( + const VECTOR_CLASS* mem_objects = NULL, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) const + { + static PFN_clEnqueueReleaseD3D10ObjectsKHR pfn_clEnqueueReleaseD3D10ObjectsKHR = NULL; +#if defined(CL_VERSION_1_2) + cl_context context = getInfo(); + cl::Device device(getInfo()); + cl_platform_id platform = device.getInfo(); + __INIT_CL_EXT_FCN_PTR_PLATFORM(platform, clEnqueueReleaseD3D10ObjectsKHR); +#endif // #if defined(CL_VERSION_1_2) +#if defined(CL_VERSION_1_1) + __INIT_CL_EXT_FCN_PTR(clEnqueueReleaseD3D10ObjectsKHR); +#endif // #if defined(CL_VERSION_1_1) + + cl_event tmp; + cl_int err = detail::errHandler( + pfn_clEnqueueReleaseD3D10ObjectsKHR( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_RELEASE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) || (defined(CL_VERSION_1_1) && !defined(CL_VERSION_1_2)) + CL_EXT_PREFIX__VERSION_1_1_DEPRECATED + cl_int enqueueBarrier() const CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + { + return detail::errHandler( + ::clEnqueueBarrier(object_), + __ENQUEUE_BARRIER_ERR); + } +#endif // #if defined(CL_VERSION_1_1) + + cl_int flush() const + { + return detail::errHandler(::clFlush(object_), __FLUSH_ERR); + } + + cl_int finish() const + { + return detail::errHandler(::clFinish(object_), __FINISH_ERR); + } +}; + +#ifdef _WIN32 +__declspec(selectany) volatile int CommandQueue::default_initialized_ = __DEFAULT_NOT_INITIALIZED; +__declspec(selectany) CommandQueue CommandQueue::default_; +__declspec(selectany) volatile cl_int CommandQueue::default_error_ = CL_SUCCESS; +#else +__attribute__((weak)) volatile int CommandQueue::default_initialized_ = __DEFAULT_NOT_INITIALIZED; +__attribute__((weak)) CommandQueue CommandQueue::default_; +__attribute__((weak)) volatile cl_int CommandQueue::default_error_ = CL_SUCCESS; +#endif + +template< typename IteratorType > +Buffer::Buffer( + const Context &context, + IteratorType startIterator, + IteratorType endIterator, + bool readOnly, + bool useHostPtr, + cl_int* err) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + cl_mem_flags flags = 0; + if( readOnly ) { + flags |= CL_MEM_READ_ONLY; + } + else { + flags |= CL_MEM_READ_WRITE; + } + if( useHostPtr ) { + flags |= CL_MEM_USE_HOST_PTR; + } + + ::size_t size = sizeof(DataType)*(endIterator - startIterator); + + if( useHostPtr ) { + object_ = ::clCreateBuffer(context(), flags, size, static_cast(&*startIterator), &error); + } else { + object_ = ::clCreateBuffer(context(), flags, size, 0, &error); + } + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + if( !useHostPtr ) { + CommandQueue queue(context, 0, &error); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + error = cl::copy(queue, startIterator, endIterator, *this); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } +} + +inline cl_int enqueueReadBuffer( + const Buffer& buffer, + cl_bool blocking, + ::size_t offset, + ::size_t size, + void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueReadBuffer(buffer, blocking, offset, size, ptr, events, event); +} + +inline cl_int enqueueWriteBuffer( + const Buffer& buffer, + cl_bool blocking, + ::size_t offset, + ::size_t size, + const void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueWriteBuffer(buffer, blocking, offset, size, ptr, events, event); +} + +inline void* enqueueMapBuffer( + const Buffer& buffer, + cl_bool blocking, + cl_map_flags flags, + ::size_t offset, + ::size_t size, + const VECTOR_CLASS* events = NULL, + Event* event = NULL, + cl_int* err = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + void * result = ::clEnqueueMapBuffer( + queue(), buffer(), blocking, flags, offset, size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (cl_event*) event, + &error); + + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + return result; +} + +inline cl_int enqueueUnmapMemObject( + const Memory& memory, + void* mapped_ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (error != CL_SUCCESS) { + return error; + } + + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueUnmapMemObject( + queue(), memory(), mapped_ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; +} + +inline cl_int enqueueCopyBuffer( + const Buffer& src, + const Buffer& dst, + ::size_t src_offset, + ::size_t dst_offset, + ::size_t size, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyBuffer(src, dst, src_offset, dst_offset, size, events, event); +} + +/** + * Blocking copy operation between iterators and a buffer. + * Host to Device. + * Uses default command queue. + */ +template< typename IteratorType > +inline cl_int copy( IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) + return error; + + return cl::copy(queue, startIterator, endIterator, buffer); +} + +/** + * Blocking copy operation between iterators and a buffer. + * Device to Host. + * Uses default command queue. + */ +template< typename IteratorType > +inline cl_int copy( const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) + return error; + + return cl::copy(queue, buffer, startIterator, endIterator); +} + +/** + * Blocking copy operation between iterators and a buffer. + * Host to Device. + * Uses specified queue. + */ +template< typename IteratorType > +inline cl_int copy( const CommandQueue &queue, IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + ::size_t length = endIterator-startIterator; + ::size_t byteLength = length*sizeof(DataType); + + DataType *pointer = + static_cast(queue.enqueueMapBuffer(buffer, CL_TRUE, CL_MAP_WRITE, 0, byteLength, 0, 0, &error)); + // if exceptions enabled, enqueueMapBuffer will throw + if( error != CL_SUCCESS ) { + return error; + } +#if defined(_MSC_VER) + std::copy( + startIterator, + endIterator, + stdext::checked_array_iterator( + pointer, length)); +#else + std::copy(startIterator, endIterator, pointer); +#endif + Event endEvent; + error = queue.enqueueUnmapMemObject(buffer, pointer, 0, &endEvent); + // if exceptions enabled, enqueueUnmapMemObject will throw + if( error != CL_SUCCESS ) { + return error; + } + endEvent.wait(); + return CL_SUCCESS; +} + +/** + * Blocking copy operation between iterators and a buffer. + * Device to Host. + * Uses specified queue. + */ +template< typename IteratorType > +inline cl_int copy( const CommandQueue &queue, const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + ::size_t length = endIterator-startIterator; + ::size_t byteLength = length*sizeof(DataType); + + DataType *pointer = + static_cast(queue.enqueueMapBuffer(buffer, CL_TRUE, CL_MAP_READ, 0, byteLength, 0, 0, &error)); + // if exceptions enabled, enqueueMapBuffer will throw + if( error != CL_SUCCESS ) { + return error; + } + std::copy(pointer, pointer + length, startIterator); + Event endEvent; + error = queue.enqueueUnmapMemObject(buffer, pointer, 0, &endEvent); + // if exceptions enabled, enqueueUnmapMemObject will throw + if( error != CL_SUCCESS ) { + return error; + } + endEvent.wait(); + return CL_SUCCESS; +} + +#if defined(CL_VERSION_1_1) +inline cl_int enqueueReadBufferRect( + const Buffer& buffer, + cl_bool blocking, + const size_t<3>& buffer_offset, + const size_t<3>& host_offset, + const size_t<3>& region, + ::size_t buffer_row_pitch, + ::size_t buffer_slice_pitch, + ::size_t host_row_pitch, + ::size_t host_slice_pitch, + void *ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueReadBufferRect( + buffer, + blocking, + buffer_offset, + host_offset, + region, + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueWriteBufferRect( + const Buffer& buffer, + cl_bool blocking, + const size_t<3>& buffer_offset, + const size_t<3>& host_offset, + const size_t<3>& region, + ::size_t buffer_row_pitch, + ::size_t buffer_slice_pitch, + ::size_t host_row_pitch, + ::size_t host_slice_pitch, + void *ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueWriteBufferRect( + buffer, + blocking, + buffer_offset, + host_offset, + region, + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueCopyBufferRect( + const Buffer& src, + const Buffer& dst, + const size_t<3>& src_origin, + const size_t<3>& dst_origin, + const size_t<3>& region, + ::size_t src_row_pitch, + ::size_t src_slice_pitch, + ::size_t dst_row_pitch, + ::size_t dst_slice_pitch, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyBufferRect( + src, + dst, + src_origin, + dst_origin, + region, + src_row_pitch, + src_slice_pitch, + dst_row_pitch, + dst_slice_pitch, + events, + event); +} +#endif + +inline cl_int enqueueReadImage( + const Image& image, + cl_bool blocking, + const size_t<3>& origin, + const size_t<3>& region, + ::size_t row_pitch, + ::size_t slice_pitch, + void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueReadImage( + image, + blocking, + origin, + region, + row_pitch, + slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueWriteImage( + const Image& image, + cl_bool blocking, + const size_t<3>& origin, + const size_t<3>& region, + ::size_t row_pitch, + ::size_t slice_pitch, + void* ptr, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueWriteImage( + image, + blocking, + origin, + region, + row_pitch, + slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueCopyImage( + const Image& src, + const Image& dst, + const size_t<3>& src_origin, + const size_t<3>& dst_origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyImage( + src, + dst, + src_origin, + dst_origin, + region, + events, + event); +} + +inline cl_int enqueueCopyImageToBuffer( + const Image& src, + const Buffer& dst, + const size_t<3>& src_origin, + const size_t<3>& region, + ::size_t dst_offset, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyImageToBuffer( + src, + dst, + src_origin, + region, + dst_offset, + events, + event); +} + +inline cl_int enqueueCopyBufferToImage( + const Buffer& src, + const Image& dst, + ::size_t src_offset, + const size_t<3>& dst_origin, + const size_t<3>& region, + const VECTOR_CLASS* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyBufferToImage( + src, + dst, + src_offset, + dst_origin, + region, + events, + event); +} + + +inline cl_int flush(void) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.flush(); +} + +inline cl_int finish(void) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + + return queue.finish(); +} + +// Kernel Functor support +// New interface as of September 2011 +// Requires the C++11 std::tr1::function (note do not support TR1) +// Visual Studio 2010 and GCC 4.2 + +struct EnqueueArgs +{ + CommandQueue queue_; + const NDRange offset_; + const NDRange global_; + const NDRange local_; + VECTOR_CLASS events_; + + EnqueueArgs(NDRange global) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(NullRange) + { + + } + + EnqueueArgs(NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(local) + { + + } + + EnqueueArgs(NDRange offset, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(offset), + global_(global), + local_(local) + { + + } + + EnqueueArgs(Event e, NDRange global) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(NullRange) + { + events_.push_back(e); + } + + EnqueueArgs(Event e, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(Event e, NDRange offset, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(offset), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(const VECTOR_CLASS &events, NDRange global) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(NullRange), + events_(events) + { + + } + + EnqueueArgs(const VECTOR_CLASS &events, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(local), + events_(events) + { + + } + + EnqueueArgs(const VECTOR_CLASS &events, NDRange offset, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(offset), + global_(global), + local_(local), + events_(events) + { + + } + + EnqueueArgs(CommandQueue &queue, NDRange global) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(NullRange) + { + + } + + EnqueueArgs(CommandQueue &queue, NDRange global, NDRange local) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(local) + { + + } + + EnqueueArgs(CommandQueue &queue, NDRange offset, NDRange global, NDRange local) : + queue_(queue), + offset_(offset), + global_(global), + local_(local) + { + + } + + EnqueueArgs(CommandQueue &queue, Event e, NDRange global) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(NullRange) + { + events_.push_back(e); + } + + EnqueueArgs(CommandQueue &queue, Event e, NDRange global, NDRange local) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(CommandQueue &queue, Event e, NDRange offset, NDRange global, NDRange local) : + queue_(queue), + offset_(offset), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(CommandQueue &queue, const VECTOR_CLASS &events, NDRange global) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(NullRange), + events_(events) + { + + } + + EnqueueArgs(CommandQueue &queue, const VECTOR_CLASS &events, NDRange global, NDRange local) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(local), + events_(events) + { + + } + + EnqueueArgs(CommandQueue &queue, const VECTOR_CLASS &events, NDRange offset, NDRange global, NDRange local) : + queue_(queue), + offset_(offset), + global_(global), + local_(local), + events_(events) + { + + } +}; + +namespace detail { + +class NullType {}; + +template +struct SetArg +{ + static void set (Kernel kernel, T0 arg) + { + kernel.setArg(index, arg); + } +}; + +template +struct SetArg +{ + static void set (Kernel, NullType) + { + } +}; + +template < + typename T0, typename T1, typename T2, typename T3, + typename T4, typename T5, typename T6, typename T7, + typename T8, typename T9, typename T10, typename T11, + typename T12, typename T13, typename T14, typename T15, + typename T16, typename T17, typename T18, typename T19, + typename T20, typename T21, typename T22, typename T23, + typename T24, typename T25, typename T26, typename T27, + typename T28, typename T29, typename T30, typename T31 +> +class KernelFunctorGlobal +{ +private: + Kernel kernel_; + +public: + KernelFunctorGlobal( + Kernel kernel) : + kernel_(kernel) + {} + + KernelFunctorGlobal( + const Program& program, + const STRING_CLASS name, + cl_int * err = NULL) : + kernel_(program, name.c_str(), err) + {} + + Event operator() ( + const EnqueueArgs& args, + T0 t0, + T1 t1 = NullType(), + T2 t2 = NullType(), + T3 t3 = NullType(), + T4 t4 = NullType(), + T5 t5 = NullType(), + T6 t6 = NullType(), + T7 t7 = NullType(), + T8 t8 = NullType(), + T9 t9 = NullType(), + T10 t10 = NullType(), + T11 t11 = NullType(), + T12 t12 = NullType(), + T13 t13 = NullType(), + T14 t14 = NullType(), + T15 t15 = NullType(), + T16 t16 = NullType(), + T17 t17 = NullType(), + T18 t18 = NullType(), + T19 t19 = NullType(), + T20 t20 = NullType(), + T21 t21 = NullType(), + T22 t22 = NullType(), + T23 t23 = NullType(), + T24 t24 = NullType(), + T25 t25 = NullType(), + T26 t26 = NullType(), + T27 t27 = NullType(), + T28 t28 = NullType(), + T29 t29 = NullType(), + T30 t30 = NullType(), + T31 t31 = NullType() + ) + { + Event event; + SetArg<0, T0>::set(kernel_, t0); + SetArg<1, T1>::set(kernel_, t1); + SetArg<2, T2>::set(kernel_, t2); + SetArg<3, T3>::set(kernel_, t3); + SetArg<4, T4>::set(kernel_, t4); + SetArg<5, T5>::set(kernel_, t5); + SetArg<6, T6>::set(kernel_, t6); + SetArg<7, T7>::set(kernel_, t7); + SetArg<8, T8>::set(kernel_, t8); + SetArg<9, T9>::set(kernel_, t9); + SetArg<10, T10>::set(kernel_, t10); + SetArg<11, T11>::set(kernel_, t11); + SetArg<12, T12>::set(kernel_, t12); + SetArg<13, T13>::set(kernel_, t13); + SetArg<14, T14>::set(kernel_, t14); + SetArg<15, T15>::set(kernel_, t15); + SetArg<16, T16>::set(kernel_, t16); + SetArg<17, T17>::set(kernel_, t17); + SetArg<18, T18>::set(kernel_, t18); + SetArg<19, T19>::set(kernel_, t19); + SetArg<20, T20>::set(kernel_, t20); + SetArg<21, T21>::set(kernel_, t21); + SetArg<22, T22>::set(kernel_, t22); + SetArg<23, T23>::set(kernel_, t23); + SetArg<24, T24>::set(kernel_, t24); + SetArg<25, T25>::set(kernel_, t25); + SetArg<26, T26>::set(kernel_, t26); + SetArg<27, T27>::set(kernel_, t27); + SetArg<28, T28>::set(kernel_, t28); + SetArg<29, T29>::set(kernel_, t29); + SetArg<30, T30>::set(kernel_, t30); + SetArg<31, T31>::set(kernel_, t31); + + args.queue_.enqueueNDRangeKernel( + kernel_, + args.offset_, + args.global_, + args.local_, + &args.events_, + &event); + + return event; + } + +}; + +//------------------------------------------------------------------------------------------------------ + + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25, + typename T26, + typename T27, + typename T28, + typename T29, + typename T30, + typename T31> +struct functionImplementation_ +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + T30, + T31> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 32)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + T30, + T31); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25, + T26 arg26, + T27 arg27, + T28 arg28, + T29 arg29, + T30 arg30, + T31 arg31) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25, + arg26, + arg27, + arg28, + arg29, + arg30, + arg31); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25, + typename T26, + typename T27, + typename T28, + typename T29, + typename T30> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + T30, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + T30, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 31)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + T30); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25, + T26 arg26, + T27 arg27, + T28 arg28, + T29 arg29, + T30 arg30) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25, + arg26, + arg27, + arg28, + arg29, + arg30); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25, + typename T26, + typename T27, + typename T28, + typename T29> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 30)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + T29); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25, + T26 arg26, + T27 arg27, + T28 arg28, + T29 arg29) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25, + arg26, + arg27, + arg28, + arg29); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25, + typename T26, + typename T27, + typename T28> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 29)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + T28); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25, + T26 arg26, + T27 arg27, + T28 arg28) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25, + arg26, + arg27, + arg28); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25, + typename T26, + typename T27> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 28)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + T27); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25, + T26 arg26, + T27 arg27) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25, + arg26, + arg27); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25, + typename T26> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 27)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + T26); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25, + T26 arg26) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25, + arg26); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24, + typename T25> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 26)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + T25); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24, + T25 arg25) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24, + arg25); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23, + typename T24> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 25)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + T24); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23, + T24 arg24) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23, + arg24); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22, + typename T23> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 24)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + T23); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22, + T23 arg23) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22, + arg23); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21, + typename T22> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 23)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + T22); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21, + T22 arg22) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21, + arg22); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20, + typename T21> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 22)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + T21); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20, + T21 arg21) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20, + arg21); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19, + typename T20> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 21)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + T20); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19, + T20 arg20) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19, + arg20); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18, + typename T19> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 20)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + T19); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18, + T19 arg19) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18, + arg19); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17, + typename T18> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 19)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + T18); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17, + T18 arg18) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17, + arg18); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16, + typename T17> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 18)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + T17); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16, + T17 arg17) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16, + arg17); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15, + typename T16> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 17)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + T16); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15, + T16 arg16) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15, + arg16); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14, + typename T15> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 16)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + T15); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14, + T15 arg15) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14, + arg15); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13, + typename T14> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 15)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + T14); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13, + T14 arg14) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13, + arg14); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12, + typename T13> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 14)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + T13); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12, + T13 arg13) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12, + arg13); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11, + typename T12> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 13)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + T12); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11, + T12 arg12) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11, + arg12); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10, + typename T11> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 12)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + T11); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10, + T11 arg11) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10, + arg11); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9, + typename T10> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 11)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + T10); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9, + T10 arg10) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9, + arg10); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8, + typename T9> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 10)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + T9); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8, + T9 arg9) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8, + arg9); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7, + typename T8> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 9)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + T8); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7, + T8 arg8) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7, + arg8); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6, + typename T7> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 8)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6, + T7); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6, + T7 arg7) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6, + arg7); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5, + typename T6> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + T6, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + T6, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 7)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5, + T6); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5, + T6 arg6) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5, + arg6); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4, + typename T5> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + T5, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + T5, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 6)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4, + T5); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4, + T5 arg5) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4, + arg5); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3, + typename T4> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + T4, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + T4, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 5)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3, + T4); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3, + T4 arg4) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3, + arg4); + } + + +}; + +template< + typename T0, + typename T1, + typename T2, + typename T3> +struct functionImplementation_ +< T0, + T1, + T2, + T3, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + T3, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 4)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2, + T3); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2, + T3 arg3) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2, + arg3); + } + + +}; + +template< + typename T0, + typename T1, + typename T2> +struct functionImplementation_ +< T0, + T1, + T2, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + T2, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 3)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1, + T2); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1, + T2 arg2) + { + return functor_( + enqueueArgs, + arg0, + arg1, + arg2); + } + + +}; + +template< + typename T0, + typename T1> +struct functionImplementation_ +< T0, + T1, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + T1, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 2)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0, + T1); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0, + T1 arg1) + { + return functor_( + enqueueArgs, + arg0, + arg1); + } + + +}; + +template< + typename T0> +struct functionImplementation_ +< T0, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> +{ + typedef detail::KernelFunctorGlobal< + T0, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType, + NullType> FunctorType; + + FunctorType functor_; + + functionImplementation_(const FunctorType &functor) : + functor_(functor) + { + + #if (defined(_WIN32) && defined(_VARIADIC_MAX) && (_VARIADIC_MAX < 1)) + // Fail variadic expansion for dev11 + static_assert(0, "Visual Studio has a hard limit of argument count for a std::function expansion. Please define _VARIADIC_MAX to be 10. If you need more arguments than that VC12 and below cannot support it."); + #endif + + } + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + T0); + + Event operator()( + const EnqueueArgs& enqueueArgs, + T0 arg0) + { + return functor_( + enqueueArgs, + arg0); + } + + +}; + + + + + +} // namespace detail + +//---------------------------------------------------------------------------------------------- + +template < + typename T0, typename T1 = detail::NullType, typename T2 = detail::NullType, + typename T3 = detail::NullType, typename T4 = detail::NullType, + typename T5 = detail::NullType, typename T6 = detail::NullType, + typename T7 = detail::NullType, typename T8 = detail::NullType, + typename T9 = detail::NullType, typename T10 = detail::NullType, + typename T11 = detail::NullType, typename T12 = detail::NullType, + typename T13 = detail::NullType, typename T14 = detail::NullType, + typename T15 = detail::NullType, typename T16 = detail::NullType, + typename T17 = detail::NullType, typename T18 = detail::NullType, + typename T19 = detail::NullType, typename T20 = detail::NullType, + typename T21 = detail::NullType, typename T22 = detail::NullType, + typename T23 = detail::NullType, typename T24 = detail::NullType, + typename T25 = detail::NullType, typename T26 = detail::NullType, + typename T27 = detail::NullType, typename T28 = detail::NullType, + typename T29 = detail::NullType, typename T30 = detail::NullType, + typename T31 = detail::NullType +> +struct make_kernel : + public detail::functionImplementation_< + T0, T1, T2, T3, + T4, T5, T6, T7, + T8, T9, T10, T11, + T12, T13, T14, T15, + T16, T17, T18, T19, + T20, T21, T22, T23, + T24, T25, T26, T27, + T28, T29, T30, T31 + > +{ +public: + typedef detail::KernelFunctorGlobal< + T0, T1, T2, T3, + T4, T5, T6, T7, + T8, T9, T10, T11, + T12, T13, T14, T15, + T16, T17, T18, T19, + T20, T21, T22, T23, + T24, T25, T26, T27, + T28, T29, T30, T31 + > FunctorType; + + make_kernel( + const Program& program, + const STRING_CLASS name, + cl_int * err = NULL) : + detail::functionImplementation_< + T0, T1, T2, T3, + T4, T5, T6, T7, + T8, T9, T10, T11, + T12, T13, T14, T15, + T16, T17, T18, T19, + T20, T21, T22, T23, + T24, T25, T26, T27, + T28, T29, T30, T31 + >( + FunctorType(program, name, err)) + {} + + make_kernel( + const Kernel kernel) : + detail::functionImplementation_< + T0, T1, T2, T3, + T4, T5, T6, T7, + T8, T9, T10, T11, + T12, T13, T14, T15, + T16, T17, T18, T19, + T20, T21, T22, T23, + T24, T25, T26, T27, + T28, T29, T30, T31 + >( + FunctorType(kernel)) + {} +}; + + +//---------------------------------------------------------------------------------------------------------------------- + +#undef __ERR_STR +#if !defined(__CL_USER_OVERRIDE_ERROR_STRINGS) +#undef __GET_DEVICE_INFO_ERR +#undef __GET_PLATFORM_INFO_ERR +#undef __GET_DEVICE_IDS_ERR +#undef __GET_CONTEXT_INFO_ERR +#undef __GET_EVENT_INFO_ERR +#undef __GET_EVENT_PROFILE_INFO_ERR +#undef __GET_MEM_OBJECT_INFO_ERR +#undef __GET_IMAGE_INFO_ERR +#undef __GET_SAMPLER_INFO_ERR +#undef __GET_KERNEL_INFO_ERR +#undef __GET_KERNEL_ARG_INFO_ERR +#undef __GET_KERNEL_WORK_GROUP_INFO_ERR +#undef __GET_PROGRAM_INFO_ERR +#undef __GET_PROGRAM_BUILD_INFO_ERR +#undef __GET_COMMAND_QUEUE_INFO_ERR + +#undef __CREATE_CONTEXT_ERR +#undef __CREATE_CONTEXT_FROM_TYPE_ERR +#undef __GET_SUPPORTED_IMAGE_FORMATS_ERR + +#undef __CREATE_BUFFER_ERR +#undef __CREATE_SUBBUFFER_ERR +#undef __CREATE_IMAGE2D_ERR +#undef __CREATE_IMAGE3D_ERR +#undef __CREATE_SAMPLER_ERR +#undef __SET_MEM_OBJECT_DESTRUCTOR_CALLBACK_ERR + +#undef __CREATE_USER_EVENT_ERR +#undef __SET_USER_EVENT_STATUS_ERR +#undef __SET_EVENT_CALLBACK_ERR +#undef __SET_PRINTF_CALLBACK_ERR + +#undef __WAIT_FOR_EVENTS_ERR + +#undef __CREATE_KERNEL_ERR +#undef __SET_KERNEL_ARGS_ERR +#undef __CREATE_PROGRAM_WITH_SOURCE_ERR +#undef __CREATE_PROGRAM_WITH_BINARY_ERR +#undef __CREATE_PROGRAM_WITH_BUILT_IN_KERNELS_ERR +#undef __BUILD_PROGRAM_ERR +#undef __CREATE_KERNELS_IN_PROGRAM_ERR + +#undef __CREATE_COMMAND_QUEUE_ERR +#undef __SET_COMMAND_QUEUE_PROPERTY_ERR +#undef __ENQUEUE_READ_BUFFER_ERR +#undef __ENQUEUE_WRITE_BUFFER_ERR +#undef __ENQUEUE_READ_BUFFER_RECT_ERR +#undef __ENQUEUE_WRITE_BUFFER_RECT_ERR +#undef __ENQUEUE_COPY_BUFFER_ERR +#undef __ENQUEUE_COPY_BUFFER_RECT_ERR +#undef __ENQUEUE_READ_IMAGE_ERR +#undef __ENQUEUE_WRITE_IMAGE_ERR +#undef __ENQUEUE_COPY_IMAGE_ERR +#undef __ENQUEUE_COPY_IMAGE_TO_BUFFER_ERR +#undef __ENQUEUE_COPY_BUFFER_TO_IMAGE_ERR +#undef __ENQUEUE_MAP_BUFFER_ERR +#undef __ENQUEUE_MAP_IMAGE_ERR +#undef __ENQUEUE_UNMAP_MEM_OBJECT_ERR +#undef __ENQUEUE_NDRANGE_KERNEL_ERR +#undef __ENQUEUE_TASK_ERR +#undef __ENQUEUE_NATIVE_KERNEL + +#undef __CL_EXPLICIT_CONSTRUCTORS + +#undef __UNLOAD_COMPILER_ERR +#endif //__CL_USER_OVERRIDE_ERROR_STRINGS + +#undef __CL_FUNCTION_TYPE + +// Extensions +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_VERSION_1_1) +#undef __INIT_CL_EXT_FCN_PTR +#endif // #if defined(CL_VERSION_1_1) +#undef __CREATE_SUB_DEVICES + +#if defined(USE_CL_DEVICE_FISSION) +#undef __PARAM_NAME_DEVICE_FISSION +#endif // USE_CL_DEVICE_FISSION + +#undef __DEFAULT_NOT_INITIALIZED +#undef __DEFAULT_BEING_INITIALIZED +#undef __DEFAULT_INITIALIZED + +} // namespace cl + +#ifdef _WIN32 +#pragma pop_macro("max") +#endif // _WIN32 + +#endif // CL_HPP_ diff --git a/benchmarks/new_opencl/include/CL/cl2.hpp b/benchmarks/new_opencl/include/CL/cl2.hpp new file mode 100644 index 000000000..da5ee88c1 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl2.hpp @@ -0,0 +1,10119 @@ +/******************************************************************************* + * Copyright (c) 2008-2016 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +/*! \file + * + * \brief C++ bindings for OpenCL 1.0 (rev 48), OpenCL 1.1 (rev 33), + * OpenCL 1.2 (rev 15), OpenCL 2.0 (rev 29) and OpenCL 2.1 (rev 17). + * \author Lee Howes and Bruce Merry + * + * Derived from the OpenCL 1.x C++ bindings written by + * Benedict R. Gaster, Laurent Morichetti and Lee Howes + * With additions and fixes from: + * Brian Cole, March 3rd 2010 and April 2012 + * Matt Gruenke, April 2012. + * Bruce Merry, February 2013. + * Tom Deakin and Simon McIntosh-Smith, July 2013 + * James Price, 2015- + * \version 2.1.0 + * \date 2018-12-07 + * + * Optional extension support + * + * cl_ext_device_fission + * #define CL_HPP_USE_CL_DEVICE_FISSION + * cl_khr_d3d10_sharing + * #define CL_HPP_USE_DX_INTEROP + * cl_khr_sub_groups + * #define CL_HPP_USE_CL_SUB_GROUPS_KHR + * cl_khr_image2d_from_buffer + * #define CL_HPP_USE_CL_IMAGE2D_FROM_BUFFER_KHR + * + * Doxygen documentation for this header is available here: + * + * http://khronosgroup.github.io/OpenCL-CLHPP/ + * + * The latest version of this header can be found on the GitHub releases page: + * + * https://github.com/KhronosGroup/OpenCL-CLHPP/releases + * + * Bugs and patches can be submitted to the GitHub repository: + * + * https://github.com/KhronosGroup/OpenCL-CLHPP + */ + +/*! \mainpage + * \section intro Introduction + * For many large applications C++ is the language of choice and so it seems + * reasonable to define C++ bindings for OpenCL. + * + * The interface is contained with a single C++ header file \em cl2.hpp and all + * definitions are contained within the namespace \em cl. There is no additional + * requirement to include \em cl.h and to use either the C++ or original C + * bindings; it is enough to simply include \em cl2.hpp. + * + * The bindings themselves are lightweight and correspond closely to the + * underlying C API. Using the C++ bindings introduces no additional execution + * overhead. + * + * There are numerous compatibility, portability and memory management + * fixes in the new header as well as additional OpenCL 2.0 features. + * As a result the header is not directly backward compatible and for this + * reason we release it as cl2.hpp rather than a new version of cl.hpp. + * + * + * \section compatibility Compatibility + * Due to the evolution of the underlying OpenCL API the 2.0 C++ bindings + * include an updated approach to defining supported feature versions + * and the range of valid underlying OpenCL runtime versions supported. + * + * The combination of preprocessor macros CL_HPP_TARGET_OPENCL_VERSION and + * CL_HPP_MINIMUM_OPENCL_VERSION control this range. These are three digit + * decimal values representing OpenCL runime versions. The default for + * the target is 200, representing OpenCL 2.0 and the minimum is also + * defined as 200. These settings would use 2.0 API calls only. + * If backward compatibility with a 1.2 runtime is required, the minimum + * version may be set to 120. + * + * Note that this is a compile-time setting, and so affects linking against + * a particular SDK version rather than the versioning of the loaded runtime. + * + * The earlier versions of the header included basic vector and string + * classes based loosely on STL versions. These were difficult to + * maintain and very rarely used. For the 2.0 header we now assume + * the presence of the standard library unless requested otherwise. + * We use std::array, std::vector, std::shared_ptr and std::string + * throughout to safely manage memory and reduce the chance of a + * recurrance of earlier memory management bugs. + * + * These classes are used through typedefs in the cl namespace: + * cl::array, cl::vector, cl::pointer and cl::string. + * In addition cl::allocate_pointer forwards to std::allocate_shared + * by default. + * In all cases these standard library classes can be replaced with + * custom interface-compatible versions using the CL_HPP_NO_STD_ARRAY, + * CL_HPP_NO_STD_VECTOR, CL_HPP_NO_STD_UNIQUE_PTR and + * CL_HPP_NO_STD_STRING macros. + * + * The OpenCL 1.x versions of the C++ bindings included a size_t wrapper + * class to interface with kernel enqueue. This caused unpleasant interactions + * with the standard size_t declaration and led to namespacing bugs. + * In the 2.0 version we have replaced this with a std::array-based interface. + * However, the old behaviour can be regained for backward compatibility + * using the CL_HPP_ENABLE_SIZE_T_COMPATIBILITY macro. + * + * Finally, the program construction interface used a clumsy vector-of-pairs + * design in the earlier versions. We have replaced that with a cleaner + * vector-of-vectors and vector-of-strings design. However, for backward + * compatibility old behaviour can be regained with the + * CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY macro. + * + * In OpenCL 2.0 OpenCL C is not entirely backward compatibility with + * earlier versions. As a result a flag must be passed to the OpenCL C + * compiled to request OpenCL 2.0 compilation of kernels with 1.2 as + * the default in the absence of the flag. + * In some cases the C++ bindings automatically compile code for ease. + * For those cases the compilation defaults to OpenCL C 2.0. + * If this is not wanted, the CL_HPP_CL_1_2_DEFAULT_BUILD macro may + * be specified to assume 1.2 compilation. + * If more fine-grained decisions on a per-kernel bases are required + * then explicit build operations that take the flag should be used. + * + * + * \section parameterization Parameters + * This header may be parameterized by a set of preprocessor macros. + * + * - CL_HPP_TARGET_OPENCL_VERSION + * + * Defines the target OpenCL runtime version to build the header + * against. Defaults to 200, representing OpenCL 2.0. + * + * - CL_HPP_NO_STD_STRING + * + * Do not use the standard library string class. cl::string is not + * defined and may be defined by the user before cl2.hpp is + * included. + * + * - CL_HPP_NO_STD_VECTOR + * + * Do not use the standard library vector class. cl::vector is not + * defined and may be defined by the user before cl2.hpp is + * included. + * + * - CL_HPP_NO_STD_ARRAY + * + * Do not use the standard library array class. cl::array is not + * defined and may be defined by the user before cl2.hpp is + * included. + * + * - CL_HPP_NO_STD_UNIQUE_PTR + * + * Do not use the standard library unique_ptr class. cl::pointer and + * the cl::allocate_pointer functions are not defined and may be + * defined by the user before cl2.hpp is included. + * + * - CL_HPP_ENABLE_DEVICE_FISSION + * + * Enables device fission for OpenCL 1.2 platforms. + * + * - CL_HPP_ENABLE_EXCEPTIONS + * + * Enable exceptions for use in the C++ bindings header. This is the + * preferred error handling mechanism but is not required. + * + * - CL_HPP_ENABLE_SIZE_T_COMPATIBILITY + * + * Backward compatibility option to support cl.hpp-style size_t + * class. Replaces the updated std::array derived version and + * removal of size_t from the namespace. Note that in this case the + * new size_t class is placed in the cl::compatibility namespace and + * thus requires an additional using declaration for direct backward + * compatibility. + * + * - CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY + * + * Enable older vector of pairs interface for construction of + * programs. + * + * - CL_HPP_CL_1_2_DEFAULT_BUILD + * + * Default to OpenCL C 1.2 compilation rather than OpenCL C 2.0 + * applies to use of cl::Program construction and other program + * build variants. + * + * - CL_HPP_USE_CL_SUB_GROUPS_KHR + * + * Enable the cl_khr_subgroups extension. + * + * - CL_HPP_USE_IL_KHR + * + * Enable the cl_khr_il_program extension. + * + * + * \section example Example + * + * The following example shows a general use case for the C++ + * bindings, including support for the optional exception feature and + * also the supplied vector and string classes, see following sections for + * decriptions of these features. + * + * \code + #define CL_HPP_ENABLE_EXCEPTIONS + #define CL_HPP_TARGET_OPENCL_VERSION 200 + + #include + #include + #include + #include + #include + + const int numElements = 32; + + int main(void) + { + // Filter for a 2.0 platform and set it as the default + std::vector platforms; + cl::Platform::get(&platforms); + cl::Platform plat; + for (auto &p : platforms) { + std::string platver = p.getInfo(); + if (platver.find("OpenCL 2.") != std::string::npos) { + plat = p; + } + } + if (plat() == 0) { + std::cout << "No OpenCL 2.0 platform found."; + return -1; + } + + cl::Platform newP = cl::Platform::setDefault(plat); + if (newP != plat) { + std::cout << "Error setting default platform."; + return -1; + } + + // Use C++11 raw string literals for kernel source code + std::string kernel1{R"CLC( + global int globalA; + kernel void updateGlobal() + { + globalA = 75; + } + )CLC"}; + std::string kernel2{R"CLC( + typedef struct { global int *bar; } Foo; + kernel void vectorAdd(global const Foo* aNum, global const int *inputA, global const int *inputB, + global int *output, int val, write_only pipe int outPipe, queue_t childQueue) + { + output[get_global_id(0)] = inputA[get_global_id(0)] + inputB[get_global_id(0)] + val + *(aNum->bar); + write_pipe(outPipe, &val); + queue_t default_queue = get_default_queue(); + ndrange_t ndrange = ndrange_1D(get_global_size(0)/2, get_global_size(0)/2); + + // Have a child kernel write into third quarter of output + enqueue_kernel(default_queue, CLK_ENQUEUE_FLAGS_WAIT_KERNEL, ndrange, + ^{ + output[get_global_size(0)*2 + get_global_id(0)] = + inputA[get_global_size(0)*2 + get_global_id(0)] + inputB[get_global_size(0)*2 + get_global_id(0)] + globalA; + }); + + // Have a child kernel write into last quarter of output + enqueue_kernel(childQueue, CLK_ENQUEUE_FLAGS_WAIT_KERNEL, ndrange, + ^{ + output[get_global_size(0)*3 + get_global_id(0)] = + inputA[get_global_size(0)*3 + get_global_id(0)] + inputB[get_global_size(0)*3 + get_global_id(0)] + globalA + 2; + }); + } + )CLC"}; + + // New simpler string interface style + std::vector programStrings {kernel1, kernel2}; + + cl::Program vectorAddProgram(programStrings); + try { + vectorAddProgram.build("-cl-std=CL2.0"); + } + catch (...) { + // Print build info for all devices + cl_int buildErr = CL_SUCCESS; + auto buildInfo = vectorAddProgram.getBuildInfo(&buildErr); + for (auto &pair : buildInfo) { + std::cerr << pair.second << std::endl << std::endl; + } + + return 1; + } + + typedef struct { int *bar; } Foo; + + // Get and run kernel that initializes the program-scope global + // A test for kernels that take no arguments + auto program2Kernel = + cl::KernelFunctor<>(vectorAddProgram, "updateGlobal"); + program2Kernel( + cl::EnqueueArgs( + cl::NDRange(1))); + + ////////////////// + // SVM allocations + + auto anSVMInt = cl::allocate_svm>(); + *anSVMInt = 5; + cl::SVMAllocator>> svmAllocReadOnly; + auto fooPointer = cl::allocate_pointer(svmAllocReadOnly); + fooPointer->bar = anSVMInt.get(); + cl::SVMAllocator> svmAlloc; + std::vector>> inputA(numElements, 1, svmAlloc); + cl::coarse_svm_vector inputB(numElements, 2, svmAlloc); + + // + ////////////// + + // Traditional cl_mem allocations + std::vector output(numElements, 0xdeadbeef); + cl::Buffer outputBuffer(begin(output), end(output), false); + cl::Pipe aPipe(sizeof(cl_int), numElements / 2); + + // Default command queue, also passed in as a parameter + cl::DeviceCommandQueue defaultDeviceQueue = cl::DeviceCommandQueue::makeDefault( + cl::Context::getDefault(), cl::Device::getDefault()); + + auto vectorAddKernel = + cl::KernelFunctor< + decltype(fooPointer)&, + int*, + cl::coarse_svm_vector&, + cl::Buffer, + int, + cl::Pipe&, + cl::DeviceCommandQueue + >(vectorAddProgram, "vectorAdd"); + + // Ensure that the additional SVM pointer is available to the kernel + // This one was not passed as a parameter + vectorAddKernel.setSVMPointers(anSVMInt); + + // Hand control of coarse allocations to runtime + cl::enqueueUnmapSVM(anSVMInt); + cl::enqueueUnmapSVM(fooPointer); + cl::unmapSVM(inputB); + cl::unmapSVM(output2); + + cl_int error; + vectorAddKernel( + cl::EnqueueArgs( + cl::NDRange(numElements/2), + cl::NDRange(numElements/2)), + fooPointer, + inputA.data(), + inputB, + outputBuffer, + 3, + aPipe, + defaultDeviceQueue, + error + ); + + cl::copy(outputBuffer, begin(output), end(output)); + // Grab the SVM output vector using a map + cl::mapSVM(output2); + + cl::Device d = cl::Device::getDefault(); + + std::cout << "Output:\n"; + for (int i = 1; i < numElements; ++i) { + std::cout << "\t" << output[i] << "\n"; + } + std::cout << "\n\n"; + + return 0; + } + * + * \endcode + * + */ +#ifndef CL_HPP_ +#define CL_HPP_ + +/* Handle deprecated preprocessor definitions. In each case, we only check for + * the old name if the new name is not defined, so that user code can define + * both and hence work with either version of the bindings. + */ +#if !defined(CL_HPP_USE_DX_INTEROP) && defined(USE_DX_INTEROP) +# pragma message("cl2.hpp: USE_DX_INTEROP is deprecated. Define CL_HPP_USE_DX_INTEROP instead") +# define CL_HPP_USE_DX_INTEROP +#endif +#if !defined(CL_HPP_USE_CL_DEVICE_FISSION) && defined(USE_CL_DEVICE_FISSION) +# pragma message("cl2.hpp: USE_CL_DEVICE_FISSION is deprecated. Define CL_HPP_USE_CL_DEVICE_FISSION instead") +# define CL_HPP_USE_CL_DEVICE_FISSION +#endif +#if !defined(CL_HPP_ENABLE_EXCEPTIONS) && defined(__CL_ENABLE_EXCEPTIONS) +# pragma message("cl2.hpp: __CL_ENABLE_EXCEPTIONS is deprecated. Define CL_HPP_ENABLE_EXCEPTIONS instead") +# define CL_HPP_ENABLE_EXCEPTIONS +#endif +#if !defined(CL_HPP_NO_STD_VECTOR) && defined(__NO_STD_VECTOR) +# pragma message("cl2.hpp: __NO_STD_VECTOR is deprecated. Define CL_HPP_NO_STD_VECTOR instead") +# define CL_HPP_NO_STD_VECTOR +#endif +#if !defined(CL_HPP_NO_STD_STRING) && defined(__NO_STD_STRING) +# pragma message("cl2.hpp: __NO_STD_STRING is deprecated. Define CL_HPP_NO_STD_STRING instead") +# define CL_HPP_NO_STD_STRING +#endif +#if defined(VECTOR_CLASS) +# pragma message("cl2.hpp: VECTOR_CLASS is deprecated. Alias cl::vector instead") +#endif +#if defined(STRING_CLASS) +# pragma message("cl2.hpp: STRING_CLASS is deprecated. Alias cl::string instead.") +#endif +#if !defined(CL_HPP_USER_OVERRIDE_ERROR_STRINGS) && defined(__CL_USER_OVERRIDE_ERROR_STRINGS) +# pragma message("cl2.hpp: __CL_USER_OVERRIDE_ERROR_STRINGS is deprecated. Define CL_HPP_USER_OVERRIDE_ERROR_STRINGS instead") +# define CL_HPP_USER_OVERRIDE_ERROR_STRINGS +#endif + +/* Warn about features that are no longer supported + */ +#if defined(__USE_DEV_VECTOR) +# pragma message("cl2.hpp: __USE_DEV_VECTOR is no longer supported. Expect compilation errors") +#endif +#if defined(__USE_DEV_STRING) +# pragma message("cl2.hpp: __USE_DEV_STRING is no longer supported. Expect compilation errors") +#endif + +/* Detect which version to target */ +#if !defined(CL_HPP_TARGET_OPENCL_VERSION) +# pragma message("cl2.hpp: CL_HPP_TARGET_OPENCL_VERSION is not defined. It will default to 210 (OpenCL 2.1)") +# define CL_HPP_TARGET_OPENCL_VERSION 210 +#endif +#if CL_HPP_TARGET_OPENCL_VERSION != 100 && CL_HPP_TARGET_OPENCL_VERSION != 110 && CL_HPP_TARGET_OPENCL_VERSION != 120 && CL_HPP_TARGET_OPENCL_VERSION != 200 && CL_HPP_TARGET_OPENCL_VERSION != 210 +# pragma message("cl2.hpp: CL_HPP_TARGET_OPENCL_VERSION is not a valid value (100, 110, 120, 200 or 210). It will be set to 210") +# undef CL_HPP_TARGET_OPENCL_VERSION +# define CL_HPP_TARGET_OPENCL_VERSION 210 +#endif + +/* Forward target OpenCL version to C headers if necessary */ +#if defined(CL_TARGET_OPENCL_VERSION) +/* Warn if prior definition of CL_TARGET_OPENCL_VERSION is lower than + * requested C++ bindings version */ +#if CL_TARGET_OPENCL_VERSION < CL_HPP_TARGET_OPENCL_VERSION +# pragma message("CL_TARGET_OPENCL_VERSION is already defined as is lower than CL_HPP_TARGET_OPENCL_VERSION") +#endif +#else +# define CL_TARGET_OPENCL_VERSION CL_HPP_TARGET_OPENCL_VERSION +#endif + +#if !defined(CL_HPP_MINIMUM_OPENCL_VERSION) +# define CL_HPP_MINIMUM_OPENCL_VERSION 200 +#endif +#if CL_HPP_MINIMUM_OPENCL_VERSION != 100 && CL_HPP_MINIMUM_OPENCL_VERSION != 110 && CL_HPP_MINIMUM_OPENCL_VERSION != 120 && CL_HPP_MINIMUM_OPENCL_VERSION != 200 && CL_HPP_MINIMUM_OPENCL_VERSION != 210 +# pragma message("cl2.hpp: CL_HPP_MINIMUM_OPENCL_VERSION is not a valid value (100, 110, 120, 200 or 210). It will be set to 100") +# undef CL_HPP_MINIMUM_OPENCL_VERSION +# define CL_HPP_MINIMUM_OPENCL_VERSION 100 +#endif +#if CL_HPP_MINIMUM_OPENCL_VERSION > CL_HPP_TARGET_OPENCL_VERSION +# error "CL_HPP_MINIMUM_OPENCL_VERSION must not be greater than CL_HPP_TARGET_OPENCL_VERSION" +#endif + +#if CL_HPP_MINIMUM_OPENCL_VERSION <= 100 && !defined(CL_USE_DEPRECATED_OPENCL_1_0_APIS) +# define CL_USE_DEPRECATED_OPENCL_1_0_APIS +#endif +#if CL_HPP_MINIMUM_OPENCL_VERSION <= 110 && !defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +# define CL_USE_DEPRECATED_OPENCL_1_1_APIS +#endif +#if CL_HPP_MINIMUM_OPENCL_VERSION <= 120 && !defined(CL_USE_DEPRECATED_OPENCL_1_2_APIS) +# define CL_USE_DEPRECATED_OPENCL_1_2_APIS +#endif +#if CL_HPP_MINIMUM_OPENCL_VERSION <= 200 && !defined(CL_USE_DEPRECATED_OPENCL_2_0_APIS) +# define CL_USE_DEPRECATED_OPENCL_2_0_APIS +#endif +#if CL_HPP_MINIMUM_OPENCL_VERSION <= 210 && !defined(CL_USE_DEPRECATED_OPENCL_2_1_APIS) +# define CL_USE_DEPRECATED_OPENCL_2_1_APIS +#endif + +#ifdef _WIN32 + +#include + +#if defined(CL_HPP_USE_DX_INTEROP) +#include +#include +#endif +#endif // _WIN32 + +#if defined(_MSC_VER) +#include +#endif // _MSC_VER + + // Check for a valid C++ version + +// Need to do both tests here because for some reason __cplusplus is not +// updated in visual studio +#if (!defined(_MSC_VER) && __cplusplus < 201103L) || (defined(_MSC_VER) && _MSC_VER < 1700) +#error Visual studio 2013 or another C++11-supporting compiler required +#endif + +// +#if defined(CL_HPP_USE_CL_DEVICE_FISSION) || defined(CL_HPP_USE_CL_SUB_GROUPS_KHR) +#include +#endif + +#if defined(__APPLE__) || defined(__MACOSX) +#include +#else +#include +#endif // !__APPLE__ + +#if (__cplusplus >= 201103L) +#define CL_HPP_NOEXCEPT_ noexcept +#else +#define CL_HPP_NOEXCEPT_ +#endif + +#if defined(_MSC_VER) +# define CL_HPP_DEFINE_STATIC_MEMBER_ __declspec(selectany) +#else +# define CL_HPP_DEFINE_STATIC_MEMBER_ +#endif // !_MSC_VER + +// Define deprecated prefixes and suffixes to ensure compilation +// in case they are not pre-defined +#if !defined(CL_EXT_PREFIX__VERSION_1_1_DEPRECATED) +#define CL_EXT_PREFIX__VERSION_1_1_DEPRECATED +#endif // #if !defined(CL_EXT_PREFIX__VERSION_1_1_DEPRECATED) +#if !defined(CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED) +#define CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED +#endif // #if !defined(CL_EXT_PREFIX__VERSION_1_1_DEPRECATED) + +#if !defined(CL_EXT_PREFIX__VERSION_1_2_DEPRECATED) +#define CL_EXT_PREFIX__VERSION_1_2_DEPRECATED +#endif // #if !defined(CL_EXT_PREFIX__VERSION_1_2_DEPRECATED) +#if !defined(CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED) +#define CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED +#endif // #if !defined(CL_EXT_PREFIX__VERSION_1_2_DEPRECATED) + +#if !defined(CL_CALLBACK) +#define CL_CALLBACK +#endif //CL_CALLBACK + +#include +#include +#include +#include +#include +#include + + +// Define a size_type to represent a correctly resolved size_t +#if defined(CL_HPP_ENABLE_SIZE_T_COMPATIBILITY) +namespace cl { + using size_type = ::size_t; +} // namespace cl +#else // #if defined(CL_HPP_ENABLE_SIZE_T_COMPATIBILITY) +namespace cl { + using size_type = size_t; +} // namespace cl +#endif // #if defined(CL_HPP_ENABLE_SIZE_T_COMPATIBILITY) + + +#if defined(CL_HPP_ENABLE_EXCEPTIONS) +#include +#endif // #if defined(CL_HPP_ENABLE_EXCEPTIONS) + +#if !defined(CL_HPP_NO_STD_VECTOR) +#include +namespace cl { + template < class T, class Alloc = std::allocator > + using vector = std::vector; +} // namespace cl +#endif // #if !defined(CL_HPP_NO_STD_VECTOR) + +#if !defined(CL_HPP_NO_STD_STRING) +#include +namespace cl { + using string = std::string; +} // namespace cl +#endif // #if !defined(CL_HPP_NO_STD_STRING) + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +#if !defined(CL_HPP_NO_STD_UNIQUE_PTR) +#include +namespace cl { + // Replace unique_ptr and allocate_pointer for internal use + // to allow user to replace them + template + using pointer = std::unique_ptr; +} // namespace cl +#endif +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if !defined(CL_HPP_NO_STD_ARRAY) +#include +namespace cl { + template < class T, size_type N > + using array = std::array; +} // namespace cl +#endif // #if !defined(CL_HPP_NO_STD_ARRAY) + +// Define size_type appropriately to allow backward-compatibility +// use of the old size_t interface class +#if defined(CL_HPP_ENABLE_SIZE_T_COMPATIBILITY) +namespace cl { + namespace compatibility { + /*! \brief class used to interface between C++ and + * OpenCL C calls that require arrays of size_t values, whose + * size is known statically. + */ + template + class size_t + { + private: + size_type data_[N]; + + public: + //! \brief Initialize size_t to all 0s + size_t() + { + for (int i = 0; i < N; ++i) { + data_[i] = 0; + } + } + + size_t(const array &rhs) + { + for (int i = 0; i < N; ++i) { + data_[i] = rhs[i]; + } + } + + size_type& operator[](int index) + { + return data_[index]; + } + + const size_type& operator[](int index) const + { + return data_[index]; + } + + //! \brief Conversion operator to T*. + operator size_type* () { return data_; } + + //! \brief Conversion operator to const T*. + operator const size_type* () const { return data_; } + + operator array() const + { + array ret; + + for (int i = 0; i < N; ++i) { + ret[i] = data_[i]; + } + return ret; + } + }; + } // namespace compatibility + + template + using size_t = compatibility::size_t; +} // namespace cl +#endif // #if defined(CL_HPP_ENABLE_SIZE_T_COMPATIBILITY) + +// Helper alias to avoid confusing the macros +namespace cl { + namespace detail { + using size_t_array = array; + } // namespace detail +} // namespace cl + + +/*! \namespace cl + * + * \brief The OpenCL C++ bindings are defined within this namespace. + * + */ +namespace cl { + class Memory; + +#define CL_HPP_INIT_CL_EXT_FCN_PTR_(name) \ + if (!pfn_##name) { \ + pfn_##name = (PFN_##name) \ + clGetExtensionFunctionAddress(#name); \ + if (!pfn_##name) { \ + } \ + } + +#define CL_HPP_INIT_CL_EXT_FCN_PTR_PLATFORM_(platform, name) \ + if (!pfn_##name) { \ + pfn_##name = (PFN_##name) \ + clGetExtensionFunctionAddressForPlatform(platform, #name); \ + if (!pfn_##name) { \ + } \ + } + + class Program; + class Device; + class Context; + class CommandQueue; + class DeviceCommandQueue; + class Memory; + class Buffer; + class Pipe; + +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + /*! \brief Exception class + * + * This may be thrown by API functions when CL_HPP_ENABLE_EXCEPTIONS is defined. + */ + class Error : public std::exception + { + private: + cl_int err_; + const char * errStr_; + public: + /*! \brief Create a new CL error exception for a given error code + * and corresponding message. + * + * \param err error code value. + * + * \param errStr a descriptive string that must remain in scope until + * handling of the exception has concluded. If set, it + * will be returned by what(). + */ + Error(cl_int err, const char * errStr = NULL) : err_(err), errStr_(errStr) + {} + + ~Error() throw() {} + + /*! \brief Get error string associated with exception + * + * \return A memory pointer to the error message string. + */ + virtual const char * what() const throw () + { + if (errStr_ == NULL) { + return "empty"; + } + else { + return errStr_; + } + } + + /*! \brief Get error code associated with exception + * + * \return The error code. + */ + cl_int err(void) const { return err_; } + }; +#define CL_HPP_ERR_STR_(x) #x +#else +#define CL_HPP_ERR_STR_(x) NULL +#endif // CL_HPP_ENABLE_EXCEPTIONS + + +namespace detail +{ +#if defined(CL_HPP_ENABLE_EXCEPTIONS) +static inline cl_int errHandler ( + cl_int err, + const char * errStr = NULL) +{ + if (err != CL_SUCCESS) { + throw Error(err, errStr); + } + return err; +} +#else +static inline cl_int errHandler (cl_int err, const char * errStr = NULL) +{ + (void) errStr; // suppress unused variable warning + return err; +} +#endif // CL_HPP_ENABLE_EXCEPTIONS +} + + + +//! \cond DOXYGEN_DETAIL +#if !defined(CL_HPP_USER_OVERRIDE_ERROR_STRINGS) +#define __GET_DEVICE_INFO_ERR CL_HPP_ERR_STR_(clGetDeviceInfo) +#define __GET_PLATFORM_INFO_ERR CL_HPP_ERR_STR_(clGetPlatformInfo) +#define __GET_DEVICE_IDS_ERR CL_HPP_ERR_STR_(clGetDeviceIDs) +#define __GET_PLATFORM_IDS_ERR CL_HPP_ERR_STR_(clGetPlatformIDs) +#define __GET_CONTEXT_INFO_ERR CL_HPP_ERR_STR_(clGetContextInfo) +#define __GET_EVENT_INFO_ERR CL_HPP_ERR_STR_(clGetEventInfo) +#define __GET_EVENT_PROFILE_INFO_ERR CL_HPP_ERR_STR_(clGetEventProfileInfo) +#define __GET_MEM_OBJECT_INFO_ERR CL_HPP_ERR_STR_(clGetMemObjectInfo) +#define __GET_IMAGE_INFO_ERR CL_HPP_ERR_STR_(clGetImageInfo) +#define __GET_SAMPLER_INFO_ERR CL_HPP_ERR_STR_(clGetSamplerInfo) +#define __GET_KERNEL_INFO_ERR CL_HPP_ERR_STR_(clGetKernelInfo) +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __GET_KERNEL_ARG_INFO_ERR CL_HPP_ERR_STR_(clGetKernelArgInfo) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +#define __GET_KERNEL_SUB_GROUP_INFO_ERR CL_HPP_ERR_STR_(clGetKernelSubGroupInfo) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#define __GET_KERNEL_WORK_GROUP_INFO_ERR CL_HPP_ERR_STR_(clGetKernelWorkGroupInfo) +#define __GET_PROGRAM_INFO_ERR CL_HPP_ERR_STR_(clGetProgramInfo) +#define __GET_PROGRAM_BUILD_INFO_ERR CL_HPP_ERR_STR_(clGetProgramBuildInfo) +#define __GET_COMMAND_QUEUE_INFO_ERR CL_HPP_ERR_STR_(clGetCommandQueueInfo) + +#define __CREATE_CONTEXT_ERR CL_HPP_ERR_STR_(clCreateContext) +#define __CREATE_CONTEXT_FROM_TYPE_ERR CL_HPP_ERR_STR_(clCreateContextFromType) +#define __GET_SUPPORTED_IMAGE_FORMATS_ERR CL_HPP_ERR_STR_(clGetSupportedImageFormats) + +#define __CREATE_BUFFER_ERR CL_HPP_ERR_STR_(clCreateBuffer) +#define __COPY_ERR CL_HPP_ERR_STR_(cl::copy) +#define __CREATE_SUBBUFFER_ERR CL_HPP_ERR_STR_(clCreateSubBuffer) +#define __CREATE_GL_BUFFER_ERR CL_HPP_ERR_STR_(clCreateFromGLBuffer) +#define __CREATE_GL_RENDER_BUFFER_ERR CL_HPP_ERR_STR_(clCreateFromGLBuffer) +#define __GET_GL_OBJECT_INFO_ERR CL_HPP_ERR_STR_(clGetGLObjectInfo) +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __CREATE_IMAGE_ERR CL_HPP_ERR_STR_(clCreateImage) +#define __CREATE_GL_TEXTURE_ERR CL_HPP_ERR_STR_(clCreateFromGLTexture) +#define __IMAGE_DIMENSION_ERR CL_HPP_ERR_STR_(Incorrect image dimensions) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __SET_MEM_OBJECT_DESTRUCTOR_CALLBACK_ERR CL_HPP_ERR_STR_(clSetMemObjectDestructorCallback) + +#define __CREATE_USER_EVENT_ERR CL_HPP_ERR_STR_(clCreateUserEvent) +#define __SET_USER_EVENT_STATUS_ERR CL_HPP_ERR_STR_(clSetUserEventStatus) +#define __SET_EVENT_CALLBACK_ERR CL_HPP_ERR_STR_(clSetEventCallback) +#define __WAIT_FOR_EVENTS_ERR CL_HPP_ERR_STR_(clWaitForEvents) + +#define __CREATE_KERNEL_ERR CL_HPP_ERR_STR_(clCreateKernel) +#define __SET_KERNEL_ARGS_ERR CL_HPP_ERR_STR_(clSetKernelArg) +#define __CREATE_PROGRAM_WITH_SOURCE_ERR CL_HPP_ERR_STR_(clCreateProgramWithSource) +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +#define __CREATE_PROGRAM_WITH_IL_ERR CL_HPP_ERR_STR_(clCreateProgramWithIL) +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 +#define __CREATE_PROGRAM_WITH_BINARY_ERR CL_HPP_ERR_STR_(clCreateProgramWithBinary) +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 +#define __CREATE_PROGRAM_WITH_IL_ERR CL_HPP_ERR_STR_(clCreateProgramWithIL) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 210 +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __CREATE_PROGRAM_WITH_BUILT_IN_KERNELS_ERR CL_HPP_ERR_STR_(clCreateProgramWithBuiltInKernels) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __BUILD_PROGRAM_ERR CL_HPP_ERR_STR_(clBuildProgram) +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __COMPILE_PROGRAM_ERR CL_HPP_ERR_STR_(clCompileProgram) +#define __LINK_PROGRAM_ERR CL_HPP_ERR_STR_(clLinkProgram) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __CREATE_KERNELS_IN_PROGRAM_ERR CL_HPP_ERR_STR_(clCreateKernelsInProgram) + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +#define __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR CL_HPP_ERR_STR_(clCreateCommandQueueWithProperties) +#define __CREATE_SAMPLER_WITH_PROPERTIES_ERR CL_HPP_ERR_STR_(clCreateSamplerWithProperties) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#define __SET_COMMAND_QUEUE_PROPERTY_ERR CL_HPP_ERR_STR_(clSetCommandQueueProperty) +#define __ENQUEUE_READ_BUFFER_ERR CL_HPP_ERR_STR_(clEnqueueReadBuffer) +#define __ENQUEUE_READ_BUFFER_RECT_ERR CL_HPP_ERR_STR_(clEnqueueReadBufferRect) +#define __ENQUEUE_WRITE_BUFFER_ERR CL_HPP_ERR_STR_(clEnqueueWriteBuffer) +#define __ENQUEUE_WRITE_BUFFER_RECT_ERR CL_HPP_ERR_STR_(clEnqueueWriteBufferRect) +#define __ENQEUE_COPY_BUFFER_ERR CL_HPP_ERR_STR_(clEnqueueCopyBuffer) +#define __ENQEUE_COPY_BUFFER_RECT_ERR CL_HPP_ERR_STR_(clEnqueueCopyBufferRect) +#define __ENQUEUE_FILL_BUFFER_ERR CL_HPP_ERR_STR_(clEnqueueFillBuffer) +#define __ENQUEUE_READ_IMAGE_ERR CL_HPP_ERR_STR_(clEnqueueReadImage) +#define __ENQUEUE_WRITE_IMAGE_ERR CL_HPP_ERR_STR_(clEnqueueWriteImage) +#define __ENQUEUE_COPY_IMAGE_ERR CL_HPP_ERR_STR_(clEnqueueCopyImage) +#define __ENQUEUE_FILL_IMAGE_ERR CL_HPP_ERR_STR_(clEnqueueFillImage) +#define __ENQUEUE_COPY_IMAGE_TO_BUFFER_ERR CL_HPP_ERR_STR_(clEnqueueCopyImageToBuffer) +#define __ENQUEUE_COPY_BUFFER_TO_IMAGE_ERR CL_HPP_ERR_STR_(clEnqueueCopyBufferToImage) +#define __ENQUEUE_MAP_BUFFER_ERR CL_HPP_ERR_STR_(clEnqueueMapBuffer) +#define __ENQUEUE_MAP_IMAGE_ERR CL_HPP_ERR_STR_(clEnqueueMapImage) +#define __ENQUEUE_UNMAP_MEM_OBJECT_ERR CL_HPP_ERR_STR_(clEnqueueUnMapMemObject) +#define __ENQUEUE_NDRANGE_KERNEL_ERR CL_HPP_ERR_STR_(clEnqueueNDRangeKernel) +#define __ENQUEUE_NATIVE_KERNEL CL_HPP_ERR_STR_(clEnqueueNativeKernel) +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __ENQUEUE_MIGRATE_MEM_OBJECTS_ERR CL_HPP_ERR_STR_(clEnqueueMigrateMemObjects) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 +#define __ENQUEUE_MIGRATE_SVM_ERR CL_HPP_ERR_STR_(clEnqueueSVMMigrateMem) +#define __SET_DEFAULT_DEVICE_COMMAND_QUEUE_ERR CL_HPP_ERR_STR_(clSetDefaultDeviceCommandQueue) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 210 + + +#define __ENQUEUE_ACQUIRE_GL_ERR CL_HPP_ERR_STR_(clEnqueueAcquireGLObjects) +#define __ENQUEUE_RELEASE_GL_ERR CL_HPP_ERR_STR_(clEnqueueReleaseGLObjects) + +#define __CREATE_PIPE_ERR CL_HPP_ERR_STR_(clCreatePipe) +#define __GET_PIPE_INFO_ERR CL_HPP_ERR_STR_(clGetPipeInfo) + + +#define __RETAIN_ERR CL_HPP_ERR_STR_(Retain Object) +#define __RELEASE_ERR CL_HPP_ERR_STR_(Release Object) +#define __FLUSH_ERR CL_HPP_ERR_STR_(clFlush) +#define __FINISH_ERR CL_HPP_ERR_STR_(clFinish) +#define __VECTOR_CAPACITY_ERR CL_HPP_ERR_STR_(Vector capacity error) + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 +#define __GET_HOST_TIMER_ERR CL_HPP_ERR_STR_(clGetHostTimer) +#define __GET_DEVICE_AND_HOST_TIMER_ERR CL_HPP_ERR_STR_(clGetDeviceAndHostTimer) +#endif + + +/** + * CL 1.2 version that uses device fission. + */ +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __CREATE_SUB_DEVICES_ERR CL_HPP_ERR_STR_(clCreateSubDevices) +#else +#define __CREATE_SUB_DEVICES_ERR CL_HPP_ERR_STR_(clCreateSubDevicesEXT) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +#define __ENQUEUE_MARKER_ERR CL_HPP_ERR_STR_(clEnqueueMarker) +#define __ENQUEUE_WAIT_FOR_EVENTS_ERR CL_HPP_ERR_STR_(clEnqueueWaitForEvents) +#define __ENQUEUE_BARRIER_ERR CL_HPP_ERR_STR_(clEnqueueBarrier) +#define __UNLOAD_COMPILER_ERR CL_HPP_ERR_STR_(clUnloadCompiler) +#define __CREATE_GL_TEXTURE_2D_ERR CL_HPP_ERR_STR_(clCreateFromGLTexture2D) +#define __CREATE_GL_TEXTURE_3D_ERR CL_HPP_ERR_STR_(clCreateFromGLTexture3D) +#define __CREATE_IMAGE2D_ERR CL_HPP_ERR_STR_(clCreateImage2D) +#define __CREATE_IMAGE3D_ERR CL_HPP_ERR_STR_(clCreateImage3D) +#endif // #if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + +/** + * Deprecated APIs for 2.0 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_2_APIS) +#define __CREATE_COMMAND_QUEUE_ERR CL_HPP_ERR_STR_(clCreateCommandQueue) +#define __ENQUEUE_TASK_ERR CL_HPP_ERR_STR_(clEnqueueTask) +#define __CREATE_SAMPLER_ERR CL_HPP_ERR_STR_(clCreateSampler) +#endif // #if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + +/** + * CL 1.2 marker and barrier commands + */ +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#define __ENQUEUE_MARKER_WAIT_LIST_ERR CL_HPP_ERR_STR_(clEnqueueMarkerWithWaitList) +#define __ENQUEUE_BARRIER_WAIT_LIST_ERR CL_HPP_ERR_STR_(clEnqueueBarrierWithWaitList) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 +#define __CLONE_KERNEL_ERR CL_HPP_ERR_STR_(clCloneKernel) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 210 + +#endif // CL_HPP_USER_OVERRIDE_ERROR_STRINGS +//! \endcond + + +namespace detail { + +// Generic getInfoHelper. The final parameter is used to guide overload +// resolution: the actual parameter passed is an int, which makes this +// a worse conversion sequence than a specialization that declares the +// parameter as an int. +template +inline cl_int getInfoHelper(Functor f, cl_uint name, T* param, long) +{ + return f(name, sizeof(T), param, NULL); +} + +// Specialized for getInfo +// Assumes that the output vector was correctly resized on the way in +template +inline cl_int getInfoHelper(Func f, cl_uint name, vector>* param, int) +{ + if (name != CL_PROGRAM_BINARIES) { + return CL_INVALID_VALUE; + } + if (param) { + // Create array of pointers, calculate total size and pass pointer array in + size_type numBinaries = param->size(); + vector binariesPointers(numBinaries); + + for (size_type i = 0; i < numBinaries; ++i) + { + binariesPointers[i] = (*param)[i].data(); + } + + cl_int err = f(name, numBinaries * sizeof(unsigned char*), binariesPointers.data(), NULL); + + if (err != CL_SUCCESS) { + return err; + } + } + + + return CL_SUCCESS; +} + +// Specialized getInfoHelper for vector params +template +inline cl_int getInfoHelper(Func f, cl_uint name, vector* param, long) +{ + size_type required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + const size_type elements = required / sizeof(T); + + // Temporary to avoid changing param on an error + vector localData(elements); + err = f(name, required, localData.data(), NULL); + if (err != CL_SUCCESS) { + return err; + } + if (param) { + *param = std::move(localData); + } + + return CL_SUCCESS; +} + +/* Specialization for reference-counted types. This depends on the + * existence of Wrapper::cl_type, and none of the other types having the + * cl_type member. Note that simplify specifying the parameter as Wrapper + * does not work, because when using a derived type (e.g. Context) the generic + * template will provide a better match. + */ +template +inline cl_int getInfoHelper( + Func f, cl_uint name, vector* param, int, typename T::cl_type = 0) +{ + size_type required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + const size_type elements = required / sizeof(typename T::cl_type); + + vector value(elements); + err = f(name, required, value.data(), NULL); + if (err != CL_SUCCESS) { + return err; + } + + if (param) { + // Assign to convert CL type to T for each element + param->resize(elements); + + // Assign to param, constructing with retain behaviour + // to correctly capture each underlying CL object + for (size_type i = 0; i < elements; i++) { + (*param)[i] = T(value[i], true); + } + } + return CL_SUCCESS; +} + +// Specialized GetInfoHelper for string params +template +inline cl_int getInfoHelper(Func f, cl_uint name, string* param, long) +{ + size_type required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + // std::string has a constant data member + // a char vector does not + if (required > 0) { + vector value(required); + err = f(name, required, value.data(), NULL); + if (err != CL_SUCCESS) { + return err; + } + if (param) { + param->assign(begin(value), prev(end(value))); + } + } + else if (param) { + param->assign(""); + } + return CL_SUCCESS; +} + +// Specialized GetInfoHelper for clsize_t params +template +inline cl_int getInfoHelper(Func f, cl_uint name, array* param, long) +{ + size_type required; + cl_int err = f(name, 0, NULL, &required); + if (err != CL_SUCCESS) { + return err; + } + + size_type elements = required / sizeof(size_type); + vector value(elements, 0); + + err = f(name, required, value.data(), NULL); + if (err != CL_SUCCESS) { + return err; + } + + // Bound the copy with N to prevent overruns + // if passed N > than the amount copied + if (elements > N) { + elements = N; + } + for (size_type i = 0; i < elements; ++i) { + (*param)[i] = value[i]; + } + + return CL_SUCCESS; +} + +template struct ReferenceHandler; + +/* Specialization for reference-counted types. This depends on the + * existence of Wrapper::cl_type, and none of the other types having the + * cl_type member. Note that simplify specifying the parameter as Wrapper + * does not work, because when using a derived type (e.g. Context) the generic + * template will provide a better match. + */ +template +inline cl_int getInfoHelper(Func f, cl_uint name, T* param, int, typename T::cl_type = 0) +{ + typename T::cl_type value; + cl_int err = f(name, sizeof(value), &value, NULL); + if (err != CL_SUCCESS) { + return err; + } + *param = value; + if (value != NULL) + { + err = param->retain(); + if (err != CL_SUCCESS) { + return err; + } + } + return CL_SUCCESS; +} + +#define CL_HPP_PARAM_NAME_INFO_1_0_(F) \ + F(cl_platform_info, CL_PLATFORM_PROFILE, string) \ + F(cl_platform_info, CL_PLATFORM_VERSION, string) \ + F(cl_platform_info, CL_PLATFORM_NAME, string) \ + F(cl_platform_info, CL_PLATFORM_VENDOR, string) \ + F(cl_platform_info, CL_PLATFORM_EXTENSIONS, string) \ + \ + F(cl_device_info, CL_DEVICE_TYPE, cl_device_type) \ + F(cl_device_info, CL_DEVICE_VENDOR_ID, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_COMPUTE_UNITS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_WORK_ITEM_DIMENSIONS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_WORK_GROUP_SIZE, size_type) \ + F(cl_device_info, CL_DEVICE_MAX_WORK_ITEM_SIZES, cl::vector) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_CHAR, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_SHORT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_INT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_LONG, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_FLOAT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_DOUBLE, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_CLOCK_FREQUENCY, cl_uint) \ + F(cl_device_info, CL_DEVICE_ADDRESS_BITS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_READ_IMAGE_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_WRITE_IMAGE_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_MEM_ALLOC_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_IMAGE2D_MAX_WIDTH, size_type) \ + F(cl_device_info, CL_DEVICE_IMAGE2D_MAX_HEIGHT, size_type) \ + F(cl_device_info, CL_DEVICE_IMAGE3D_MAX_WIDTH, size_type) \ + F(cl_device_info, CL_DEVICE_IMAGE3D_MAX_HEIGHT, size_type) \ + F(cl_device_info, CL_DEVICE_IMAGE3D_MAX_DEPTH, size_type) \ + F(cl_device_info, CL_DEVICE_IMAGE_SUPPORT, cl_bool) \ + F(cl_device_info, CL_DEVICE_MAX_PARAMETER_SIZE, size_type) \ + F(cl_device_info, CL_DEVICE_MAX_SAMPLERS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MEM_BASE_ADDR_ALIGN, cl_uint) \ + F(cl_device_info, CL_DEVICE_MIN_DATA_TYPE_ALIGN_SIZE, cl_uint) \ + F(cl_device_info, CL_DEVICE_SINGLE_FP_CONFIG, cl_device_fp_config) \ + F(cl_device_info, CL_DEVICE_DOUBLE_FP_CONFIG, cl_device_fp_config) \ + F(cl_device_info, CL_DEVICE_HALF_FP_CONFIG, cl_device_fp_config) \ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_CACHE_TYPE, cl_device_mem_cache_type) \ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_CACHELINE_SIZE, cl_uint)\ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_CACHE_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_GLOBAL_MEM_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_MAX_CONSTANT_BUFFER_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_MAX_CONSTANT_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_LOCAL_MEM_TYPE, cl_device_local_mem_type) \ + F(cl_device_info, CL_DEVICE_LOCAL_MEM_SIZE, cl_ulong) \ + F(cl_device_info, CL_DEVICE_ERROR_CORRECTION_SUPPORT, cl_bool) \ + F(cl_device_info, CL_DEVICE_PROFILING_TIMER_RESOLUTION, size_type) \ + F(cl_device_info, CL_DEVICE_ENDIAN_LITTLE, cl_bool) \ + F(cl_device_info, CL_DEVICE_AVAILABLE, cl_bool) \ + F(cl_device_info, CL_DEVICE_COMPILER_AVAILABLE, cl_bool) \ + F(cl_device_info, CL_DEVICE_EXECUTION_CAPABILITIES, cl_device_exec_capabilities) \ + F(cl_device_info, CL_DEVICE_PLATFORM, cl_platform_id) \ + F(cl_device_info, CL_DEVICE_NAME, string) \ + F(cl_device_info, CL_DEVICE_VENDOR, string) \ + F(cl_device_info, CL_DRIVER_VERSION, string) \ + F(cl_device_info, CL_DEVICE_PROFILE, string) \ + F(cl_device_info, CL_DEVICE_VERSION, string) \ + F(cl_device_info, CL_DEVICE_EXTENSIONS, string) \ + \ + F(cl_context_info, CL_CONTEXT_REFERENCE_COUNT, cl_uint) \ + F(cl_context_info, CL_CONTEXT_DEVICES, cl::vector) \ + F(cl_context_info, CL_CONTEXT_PROPERTIES, cl::vector) \ + \ + F(cl_event_info, CL_EVENT_COMMAND_QUEUE, cl::CommandQueue) \ + F(cl_event_info, CL_EVENT_COMMAND_TYPE, cl_command_type) \ + F(cl_event_info, CL_EVENT_REFERENCE_COUNT, cl_uint) \ + F(cl_event_info, CL_EVENT_COMMAND_EXECUTION_STATUS, cl_int) \ + \ + F(cl_profiling_info, CL_PROFILING_COMMAND_QUEUED, cl_ulong) \ + F(cl_profiling_info, CL_PROFILING_COMMAND_SUBMIT, cl_ulong) \ + F(cl_profiling_info, CL_PROFILING_COMMAND_START, cl_ulong) \ + F(cl_profiling_info, CL_PROFILING_COMMAND_END, cl_ulong) \ + \ + F(cl_mem_info, CL_MEM_TYPE, cl_mem_object_type) \ + F(cl_mem_info, CL_MEM_FLAGS, cl_mem_flags) \ + F(cl_mem_info, CL_MEM_SIZE, size_type) \ + F(cl_mem_info, CL_MEM_HOST_PTR, void*) \ + F(cl_mem_info, CL_MEM_MAP_COUNT, cl_uint) \ + F(cl_mem_info, CL_MEM_REFERENCE_COUNT, cl_uint) \ + F(cl_mem_info, CL_MEM_CONTEXT, cl::Context) \ + \ + F(cl_image_info, CL_IMAGE_FORMAT, cl_image_format) \ + F(cl_image_info, CL_IMAGE_ELEMENT_SIZE, size_type) \ + F(cl_image_info, CL_IMAGE_ROW_PITCH, size_type) \ + F(cl_image_info, CL_IMAGE_SLICE_PITCH, size_type) \ + F(cl_image_info, CL_IMAGE_WIDTH, size_type) \ + F(cl_image_info, CL_IMAGE_HEIGHT, size_type) \ + F(cl_image_info, CL_IMAGE_DEPTH, size_type) \ + \ + F(cl_sampler_info, CL_SAMPLER_REFERENCE_COUNT, cl_uint) \ + F(cl_sampler_info, CL_SAMPLER_CONTEXT, cl::Context) \ + F(cl_sampler_info, CL_SAMPLER_NORMALIZED_COORDS, cl_bool) \ + F(cl_sampler_info, CL_SAMPLER_ADDRESSING_MODE, cl_addressing_mode) \ + F(cl_sampler_info, CL_SAMPLER_FILTER_MODE, cl_filter_mode) \ + \ + F(cl_program_info, CL_PROGRAM_REFERENCE_COUNT, cl_uint) \ + F(cl_program_info, CL_PROGRAM_CONTEXT, cl::Context) \ + F(cl_program_info, CL_PROGRAM_NUM_DEVICES, cl_uint) \ + F(cl_program_info, CL_PROGRAM_DEVICES, cl::vector) \ + F(cl_program_info, CL_PROGRAM_SOURCE, string) \ + F(cl_program_info, CL_PROGRAM_BINARY_SIZES, cl::vector) \ + F(cl_program_info, CL_PROGRAM_BINARIES, cl::vector>) \ + \ + F(cl_program_build_info, CL_PROGRAM_BUILD_STATUS, cl_build_status) \ + F(cl_program_build_info, CL_PROGRAM_BUILD_OPTIONS, string) \ + F(cl_program_build_info, CL_PROGRAM_BUILD_LOG, string) \ + \ + F(cl_kernel_info, CL_KERNEL_FUNCTION_NAME, string) \ + F(cl_kernel_info, CL_KERNEL_NUM_ARGS, cl_uint) \ + F(cl_kernel_info, CL_KERNEL_REFERENCE_COUNT, cl_uint) \ + F(cl_kernel_info, CL_KERNEL_CONTEXT, cl::Context) \ + F(cl_kernel_info, CL_KERNEL_PROGRAM, cl::Program) \ + \ + F(cl_kernel_work_group_info, CL_KERNEL_WORK_GROUP_SIZE, size_type) \ + F(cl_kernel_work_group_info, CL_KERNEL_COMPILE_WORK_GROUP_SIZE, cl::detail::size_t_array) \ + F(cl_kernel_work_group_info, CL_KERNEL_LOCAL_MEM_SIZE, cl_ulong) \ + \ + F(cl_command_queue_info, CL_QUEUE_CONTEXT, cl::Context) \ + F(cl_command_queue_info, CL_QUEUE_DEVICE, cl::Device) \ + F(cl_command_queue_info, CL_QUEUE_REFERENCE_COUNT, cl_uint) \ + F(cl_command_queue_info, CL_QUEUE_PROPERTIES, cl_command_queue_properties) + + +#define CL_HPP_PARAM_NAME_INFO_1_1_(F) \ + F(cl_context_info, CL_CONTEXT_NUM_DEVICES, cl_uint)\ + F(cl_device_info, CL_DEVICE_PREFERRED_VECTOR_WIDTH_HALF, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_CHAR, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_SHORT, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_INT, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_LONG, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_FLOAT, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_DOUBLE, cl_uint) \ + F(cl_device_info, CL_DEVICE_NATIVE_VECTOR_WIDTH_HALF, cl_uint) \ + F(cl_device_info, CL_DEVICE_OPENCL_C_VERSION, string) \ + \ + F(cl_mem_info, CL_MEM_ASSOCIATED_MEMOBJECT, cl::Memory) \ + F(cl_mem_info, CL_MEM_OFFSET, size_type) \ + \ + F(cl_kernel_work_group_info, CL_KERNEL_PREFERRED_WORK_GROUP_SIZE_MULTIPLE, size_type) \ + F(cl_kernel_work_group_info, CL_KERNEL_PRIVATE_MEM_SIZE, cl_ulong) \ + \ + F(cl_event_info, CL_EVENT_CONTEXT, cl::Context) + +#define CL_HPP_PARAM_NAME_INFO_1_2_(F) \ + F(cl_program_info, CL_PROGRAM_NUM_KERNELS, size_type) \ + F(cl_program_info, CL_PROGRAM_KERNEL_NAMES, string) \ + \ + F(cl_program_build_info, CL_PROGRAM_BINARY_TYPE, cl_program_binary_type) \ + \ + F(cl_kernel_info, CL_KERNEL_ATTRIBUTES, string) \ + \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_ADDRESS_QUALIFIER, cl_kernel_arg_address_qualifier) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_ACCESS_QUALIFIER, cl_kernel_arg_access_qualifier) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_TYPE_NAME, string) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_NAME, string) \ + F(cl_kernel_arg_info, CL_KERNEL_ARG_TYPE_QUALIFIER, cl_kernel_arg_type_qualifier) \ + \ + F(cl_device_info, CL_DEVICE_PARENT_DEVICE, cl::Device) \ + F(cl_device_info, CL_DEVICE_PARTITION_PROPERTIES, cl::vector) \ + F(cl_device_info, CL_DEVICE_PARTITION_TYPE, cl::vector) \ + F(cl_device_info, CL_DEVICE_REFERENCE_COUNT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_INTEROP_USER_SYNC, size_type) \ + F(cl_device_info, CL_DEVICE_PARTITION_AFFINITY_DOMAIN, cl_device_affinity_domain) \ + F(cl_device_info, CL_DEVICE_BUILT_IN_KERNELS, string) \ + \ + F(cl_image_info, CL_IMAGE_ARRAY_SIZE, size_type) \ + F(cl_image_info, CL_IMAGE_NUM_MIP_LEVELS, cl_uint) \ + F(cl_image_info, CL_IMAGE_NUM_SAMPLES, cl_uint) + +#define CL_HPP_PARAM_NAME_INFO_2_0_(F) \ + F(cl_device_info, CL_DEVICE_QUEUE_ON_HOST_PROPERTIES, cl_command_queue_properties) \ + F(cl_device_info, CL_DEVICE_QUEUE_ON_DEVICE_PROPERTIES, cl_command_queue_properties) \ + F(cl_device_info, CL_DEVICE_QUEUE_ON_DEVICE_PREFERRED_SIZE, cl_uint) \ + F(cl_device_info, CL_DEVICE_QUEUE_ON_DEVICE_MAX_SIZE, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_ON_DEVICE_QUEUES, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_ON_DEVICE_EVENTS, cl_uint) \ + F(cl_device_info, CL_DEVICE_MAX_PIPE_ARGS, cl_uint) \ + F(cl_device_info, CL_DEVICE_PIPE_MAX_ACTIVE_RESERVATIONS, cl_uint) \ + F(cl_device_info, CL_DEVICE_PIPE_MAX_PACKET_SIZE, cl_uint) \ + F(cl_device_info, CL_DEVICE_SVM_CAPABILITIES, cl_device_svm_capabilities) \ + F(cl_device_info, CL_DEVICE_PREFERRED_PLATFORM_ATOMIC_ALIGNMENT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_GLOBAL_ATOMIC_ALIGNMENT, cl_uint) \ + F(cl_device_info, CL_DEVICE_PREFERRED_LOCAL_ATOMIC_ALIGNMENT, cl_uint) \ + F(cl_command_queue_info, CL_QUEUE_SIZE, cl_uint) \ + F(cl_mem_info, CL_MEM_USES_SVM_POINTER, cl_bool) \ + F(cl_program_build_info, CL_PROGRAM_BUILD_GLOBAL_VARIABLE_TOTAL_SIZE, size_type) \ + F(cl_pipe_info, CL_PIPE_PACKET_SIZE, cl_uint) \ + F(cl_pipe_info, CL_PIPE_MAX_PACKETS, cl_uint) + +#define CL_HPP_PARAM_NAME_INFO_SUBGROUP_KHR_(F) \ + F(cl_kernel_sub_group_info, CL_KERNEL_MAX_SUB_GROUP_SIZE_FOR_NDRANGE_KHR, size_type) \ + F(cl_kernel_sub_group_info, CL_KERNEL_SUB_GROUP_COUNT_FOR_NDRANGE_KHR, size_type) + +#define CL_HPP_PARAM_NAME_INFO_IL_KHR_(F) \ + F(cl_device_info, CL_DEVICE_IL_VERSION_KHR, string) \ + F(cl_program_info, CL_PROGRAM_IL_KHR, cl::vector) + +#define CL_HPP_PARAM_NAME_INFO_2_1_(F) \ + F(cl_platform_info, CL_PLATFORM_HOST_TIMER_RESOLUTION, size_type) \ + F(cl_program_info, CL_PROGRAM_IL, cl::vector) \ + F(cl_kernel_info, CL_KERNEL_MAX_NUM_SUB_GROUPS, size_type) \ + F(cl_kernel_info, CL_KERNEL_COMPILE_NUM_SUB_GROUPS, size_type) \ + F(cl_device_info, CL_DEVICE_MAX_NUM_SUB_GROUPS, cl_uint) \ + F(cl_device_info, CL_DEVICE_IL_VERSION, string) \ + F(cl_device_info, CL_DEVICE_SUB_GROUP_INDEPENDENT_FORWARD_PROGRESS, cl_bool) \ + F(cl_command_queue_info, CL_QUEUE_DEVICE_DEFAULT, cl::DeviceCommandQueue) \ + F(cl_kernel_sub_group_info, CL_KERNEL_MAX_SUB_GROUP_SIZE_FOR_NDRANGE, size_type) \ + F(cl_kernel_sub_group_info, CL_KERNEL_SUB_GROUP_COUNT_FOR_NDRANGE, size_type) \ + F(cl_kernel_sub_group_info, CL_KERNEL_LOCAL_SIZE_FOR_SUB_GROUP_COUNT, cl::detail::size_t_array) + +#define CL_HPP_PARAM_NAME_DEVICE_FISSION_(F) \ + F(cl_device_info, CL_DEVICE_PARENT_DEVICE_EXT, cl_device_id) \ + F(cl_device_info, CL_DEVICE_PARTITION_TYPES_EXT, cl::vector) \ + F(cl_device_info, CL_DEVICE_AFFINITY_DOMAINS_EXT, cl::vector) \ + F(cl_device_info, CL_DEVICE_REFERENCE_COUNT_EXT , cl_uint) \ + F(cl_device_info, CL_DEVICE_PARTITION_STYLE_EXT, cl::vector) + +template +struct param_traits {}; + +#define CL_HPP_DECLARE_PARAM_TRAITS_(token, param_name, T) \ +struct token; \ +template<> \ +struct param_traits \ +{ \ + enum { value = param_name }; \ + typedef T param_type; \ +}; + +CL_HPP_PARAM_NAME_INFO_1_0_(CL_HPP_DECLARE_PARAM_TRAITS_) +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 +CL_HPP_PARAM_NAME_INFO_1_1_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +CL_HPP_PARAM_NAME_INFO_1_2_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +CL_HPP_PARAM_NAME_INFO_2_0_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 +CL_HPP_PARAM_NAME_INFO_2_1_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 210 + +#if defined(CL_HPP_USE_CL_SUB_GROUPS_KHR) && CL_HPP_TARGET_OPENCL_VERSION < 210 +CL_HPP_PARAM_NAME_INFO_SUBGROUP_KHR_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // #if defined(CL_HPP_USE_CL_SUB_GROUPS_KHR) && CL_HPP_TARGET_OPENCL_VERSION < 210 + +#if defined(CL_HPP_USE_IL_KHR) +CL_HPP_PARAM_NAME_INFO_IL_KHR_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // #if defined(CL_HPP_USE_IL_KHR) + + +// Flags deprecated in OpenCL 2.0 +#define CL_HPP_PARAM_NAME_INFO_1_0_DEPRECATED_IN_2_0_(F) \ + F(cl_device_info, CL_DEVICE_QUEUE_PROPERTIES, cl_command_queue_properties) + +#define CL_HPP_PARAM_NAME_INFO_1_1_DEPRECATED_IN_2_0_(F) \ + F(cl_device_info, CL_DEVICE_HOST_UNIFIED_MEMORY, cl_bool) + +#define CL_HPP_PARAM_NAME_INFO_1_2_DEPRECATED_IN_2_0_(F) \ + F(cl_image_info, CL_IMAGE_BUFFER, cl::Buffer) + +// Include deprecated query flags based on versions +// Only include deprecated 1.0 flags if 2.0 not active as there is an enum clash +#if CL_HPP_TARGET_OPENCL_VERSION > 100 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 && CL_HPP_TARGET_OPENCL_VERSION < 200 +CL_HPP_PARAM_NAME_INFO_1_0_DEPRECATED_IN_2_0_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 110 +#if CL_HPP_TARGET_OPENCL_VERSION > 110 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 +CL_HPP_PARAM_NAME_INFO_1_1_DEPRECATED_IN_2_0_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 120 +#if CL_HPP_TARGET_OPENCL_VERSION > 120 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 +CL_HPP_PARAM_NAME_INFO_1_2_DEPRECATED_IN_2_0_(CL_HPP_DECLARE_PARAM_TRAITS_) +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + +#if defined(CL_HPP_USE_CL_DEVICE_FISSION) +CL_HPP_PARAM_NAME_DEVICE_FISSION_(CL_HPP_DECLARE_PARAM_TRAITS_); +#endif // CL_HPP_USE_CL_DEVICE_FISSION + +#ifdef CL_PLATFORM_ICD_SUFFIX_KHR +CL_HPP_DECLARE_PARAM_TRAITS_(cl_platform_info, CL_PLATFORM_ICD_SUFFIX_KHR, string) +#endif + +#ifdef CL_DEVICE_PROFILING_TIMER_OFFSET_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_PROFILING_TIMER_OFFSET_AMD, cl_ulong) +#endif + +#ifdef CL_DEVICE_GLOBAL_FREE_MEMORY_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_GLOBAL_FREE_MEMORY_AMD, vector) +#endif +#ifdef CL_DEVICE_SIMD_PER_COMPUTE_UNIT_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_SIMD_PER_COMPUTE_UNIT_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_SIMD_WIDTH_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_SIMD_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_SIMD_INSTRUCTION_WIDTH_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_SIMD_INSTRUCTION_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_WAVEFRONT_WIDTH_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_WAVEFRONT_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_GLOBAL_MEM_CHANNELS_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_GLOBAL_MEM_CHANNELS_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_GLOBAL_MEM_CHANNEL_BANKS_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_GLOBAL_MEM_CHANNEL_BANKS_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_GLOBAL_MEM_CHANNEL_BANK_WIDTH_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_GLOBAL_MEM_CHANNEL_BANK_WIDTH_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_LOCAL_MEM_SIZE_PER_COMPUTE_UNIT_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_LOCAL_MEM_SIZE_PER_COMPUTE_UNIT_AMD, cl_uint) +#endif +#ifdef CL_DEVICE_LOCAL_MEM_BANKS_AMD +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_LOCAL_MEM_BANKS_AMD, cl_uint) +#endif + +#ifdef CL_DEVICE_COMPUTE_CAPABILITY_MAJOR_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_COMPUTE_CAPABILITY_MAJOR_NV, cl_uint) +#endif +#ifdef CL_DEVICE_COMPUTE_CAPABILITY_MINOR_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_COMPUTE_CAPABILITY_MINOR_NV, cl_uint) +#endif +#ifdef CL_DEVICE_REGISTERS_PER_BLOCK_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_REGISTERS_PER_BLOCK_NV, cl_uint) +#endif +#ifdef CL_DEVICE_WARP_SIZE_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_WARP_SIZE_NV, cl_uint) +#endif +#ifdef CL_DEVICE_GPU_OVERLAP_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_GPU_OVERLAP_NV, cl_bool) +#endif +#ifdef CL_DEVICE_KERNEL_EXEC_TIMEOUT_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_KERNEL_EXEC_TIMEOUT_NV, cl_bool) +#endif +#ifdef CL_DEVICE_INTEGRATED_MEMORY_NV +CL_HPP_DECLARE_PARAM_TRAITS_(cl_device_info, CL_DEVICE_INTEGRATED_MEMORY_NV, cl_bool) +#endif + +// Convenience functions + +template +inline cl_int +getInfo(Func f, cl_uint name, T* param) +{ + return getInfoHelper(f, name, param, 0); +} + +template +struct GetInfoFunctor0 +{ + Func f_; const Arg0& arg0_; + cl_int operator ()( + cl_uint param, size_type size, void* value, size_type* size_ret) + { return f_(arg0_, param, size, value, size_ret); } +}; + +template +struct GetInfoFunctor1 +{ + Func f_; const Arg0& arg0_; const Arg1& arg1_; + cl_int operator ()( + cl_uint param, size_type size, void* value, size_type* size_ret) + { return f_(arg0_, arg1_, param, size, value, size_ret); } +}; + +template +inline cl_int +getInfo(Func f, const Arg0& arg0, cl_uint name, T* param) +{ + GetInfoFunctor0 f0 = { f, arg0 }; + return getInfoHelper(f0, name, param, 0); +} + +template +inline cl_int +getInfo(Func f, const Arg0& arg0, const Arg1& arg1, cl_uint name, T* param) +{ + GetInfoFunctor1 f0 = { f, arg0, arg1 }; + return getInfoHelper(f0, name, param, 0); +} + + +template +struct ReferenceHandler +{ }; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +/** + * OpenCL 1.2 devices do have retain/release. + */ +template <> +struct ReferenceHandler +{ + /** + * Retain the device. + * \param device A valid device created using createSubDevices + * \return + * CL_SUCCESS if the function executed successfully. + * CL_INVALID_DEVICE if device was not a valid subdevice + * CL_OUT_OF_RESOURCES + * CL_OUT_OF_HOST_MEMORY + */ + static cl_int retain(cl_device_id device) + { return ::clRetainDevice(device); } + /** + * Retain the device. + * \param device A valid device created using createSubDevices + * \return + * CL_SUCCESS if the function executed successfully. + * CL_INVALID_DEVICE if device was not a valid subdevice + * CL_OUT_OF_RESOURCES + * CL_OUT_OF_HOST_MEMORY + */ + static cl_int release(cl_device_id device) + { return ::clReleaseDevice(device); } +}; +#else // CL_HPP_TARGET_OPENCL_VERSION >= 120 +/** + * OpenCL 1.1 devices do not have retain/release. + */ +template <> +struct ReferenceHandler +{ + // cl_device_id does not have retain(). + static cl_int retain(cl_device_id) + { return CL_SUCCESS; } + // cl_device_id does not have release(). + static cl_int release(cl_device_id) + { return CL_SUCCESS; } +}; +#endif // ! (CL_HPP_TARGET_OPENCL_VERSION >= 120) + +template <> +struct ReferenceHandler +{ + // cl_platform_id does not have retain(). + static cl_int retain(cl_platform_id) + { return CL_SUCCESS; } + // cl_platform_id does not have release(). + static cl_int release(cl_platform_id) + { return CL_SUCCESS; } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_context context) + { return ::clRetainContext(context); } + static cl_int release(cl_context context) + { return ::clReleaseContext(context); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_command_queue queue) + { return ::clRetainCommandQueue(queue); } + static cl_int release(cl_command_queue queue) + { return ::clReleaseCommandQueue(queue); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_mem memory) + { return ::clRetainMemObject(memory); } + static cl_int release(cl_mem memory) + { return ::clReleaseMemObject(memory); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_sampler sampler) + { return ::clRetainSampler(sampler); } + static cl_int release(cl_sampler sampler) + { return ::clReleaseSampler(sampler); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_program program) + { return ::clRetainProgram(program); } + static cl_int release(cl_program program) + { return ::clReleaseProgram(program); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_kernel kernel) + { return ::clRetainKernel(kernel); } + static cl_int release(cl_kernel kernel) + { return ::clReleaseKernel(kernel); } +}; + +template <> +struct ReferenceHandler +{ + static cl_int retain(cl_event event) + { return ::clRetainEvent(event); } + static cl_int release(cl_event event) + { return ::clReleaseEvent(event); } +}; + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 && CL_HPP_MINIMUM_OPENCL_VERSION < 120 +// Extracts version number with major in the upper 16 bits, minor in the lower 16 +static cl_uint getVersion(const vector &versionInfo) +{ + int highVersion = 0; + int lowVersion = 0; + int index = 7; + while(versionInfo[index] != '.' ) { + highVersion *= 10; + highVersion += versionInfo[index]-'0'; + ++index; + } + ++index; + while(versionInfo[index] != ' ' && versionInfo[index] != '\0') { + lowVersion *= 10; + lowVersion += versionInfo[index]-'0'; + ++index; + } + return (highVersion << 16) | lowVersion; +} + +static cl_uint getPlatformVersion(cl_platform_id platform) +{ + size_type size = 0; + clGetPlatformInfo(platform, CL_PLATFORM_VERSION, 0, NULL, &size); + + vector versionInfo(size); + clGetPlatformInfo(platform, CL_PLATFORM_VERSION, size, versionInfo.data(), &size); + return getVersion(versionInfo); +} + +static cl_uint getDevicePlatformVersion(cl_device_id device) +{ + cl_platform_id platform; + clGetDeviceInfo(device, CL_DEVICE_PLATFORM, sizeof(platform), &platform, NULL); + return getPlatformVersion(platform); +} + +static cl_uint getContextPlatformVersion(cl_context context) +{ + // The platform cannot be queried directly, so we first have to grab a + // device and obtain its context + size_type size = 0; + clGetContextInfo(context, CL_CONTEXT_DEVICES, 0, NULL, &size); + if (size == 0) + return 0; + vector devices(size/sizeof(cl_device_id)); + clGetContextInfo(context, CL_CONTEXT_DEVICES, size, devices.data(), NULL); + return getDevicePlatformVersion(devices[0]); +} +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 && CL_HPP_MINIMUM_OPENCL_VERSION < 120 + +template +class Wrapper +{ +public: + typedef T cl_type; + +protected: + cl_type object_; + +public: + Wrapper() : object_(NULL) { } + + Wrapper(const cl_type &obj, bool retainObject) : object_(obj) + { + if (retainObject) { + detail::errHandler(retain(), __RETAIN_ERR); + } + } + + ~Wrapper() + { + if (object_ != NULL) { release(); } + } + + Wrapper(const Wrapper& rhs) + { + object_ = rhs.object_; + detail::errHandler(retain(), __RETAIN_ERR); + } + + Wrapper(Wrapper&& rhs) CL_HPP_NOEXCEPT_ + { + object_ = rhs.object_; + rhs.object_ = NULL; + } + + Wrapper& operator = (const Wrapper& rhs) + { + if (this != &rhs) { + detail::errHandler(release(), __RELEASE_ERR); + object_ = rhs.object_; + detail::errHandler(retain(), __RETAIN_ERR); + } + return *this; + } + + Wrapper& operator = (Wrapper&& rhs) + { + if (this != &rhs) { + detail::errHandler(release(), __RELEASE_ERR); + object_ = rhs.object_; + rhs.object_ = NULL; + } + return *this; + } + + Wrapper& operator = (const cl_type &rhs) + { + detail::errHandler(release(), __RELEASE_ERR); + object_ = rhs; + return *this; + } + + const cl_type& operator ()() const { return object_; } + + cl_type& operator ()() { return object_; } + + const cl_type get() const { return object_; } + + cl_type get() { return object_; } + + +protected: + template + friend inline cl_int getInfoHelper(Func, cl_uint, U*, int, typename U::cl_type); + + cl_int retain() const + { + if (object_ != nullptr) { + return ReferenceHandler::retain(object_); + } + else { + return CL_SUCCESS; + } + } + + cl_int release() const + { + if (object_ != nullptr) { + return ReferenceHandler::release(object_); + } + else { + return CL_SUCCESS; + } + } +}; + +template <> +class Wrapper +{ +public: + typedef cl_device_id cl_type; + +protected: + cl_type object_; + bool referenceCountable_; + + static bool isReferenceCountable(cl_device_id device) + { + bool retVal = false; +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 120 + if (device != NULL) { + int version = getDevicePlatformVersion(device); + if(version > ((1 << 16) + 1)) { + retVal = true; + } + } +#else // CL_HPP_MINIMUM_OPENCL_VERSION < 120 + retVal = true; +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 120 +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + return retVal; + } + +public: + Wrapper() : object_(NULL), referenceCountable_(false) + { + } + + Wrapper(const cl_type &obj, bool retainObject) : + object_(obj), + referenceCountable_(false) + { + referenceCountable_ = isReferenceCountable(obj); + + if (retainObject) { + detail::errHandler(retain(), __RETAIN_ERR); + } + } + + ~Wrapper() + { + release(); + } + + Wrapper(const Wrapper& rhs) + { + object_ = rhs.object_; + referenceCountable_ = isReferenceCountable(object_); + detail::errHandler(retain(), __RETAIN_ERR); + } + + Wrapper(Wrapper&& rhs) CL_HPP_NOEXCEPT_ + { + object_ = rhs.object_; + referenceCountable_ = rhs.referenceCountable_; + rhs.object_ = NULL; + rhs.referenceCountable_ = false; + } + + Wrapper& operator = (const Wrapper& rhs) + { + if (this != &rhs) { + detail::errHandler(release(), __RELEASE_ERR); + object_ = rhs.object_; + referenceCountable_ = rhs.referenceCountable_; + detail::errHandler(retain(), __RETAIN_ERR); + } + return *this; + } + + Wrapper& operator = (Wrapper&& rhs) + { + if (this != &rhs) { + detail::errHandler(release(), __RELEASE_ERR); + object_ = rhs.object_; + referenceCountable_ = rhs.referenceCountable_; + rhs.object_ = NULL; + rhs.referenceCountable_ = false; + } + return *this; + } + + Wrapper& operator = (const cl_type &rhs) + { + detail::errHandler(release(), __RELEASE_ERR); + object_ = rhs; + referenceCountable_ = isReferenceCountable(object_); + return *this; + } + + const cl_type& operator ()() const { return object_; } + + cl_type& operator ()() { return object_; } + + cl_type get() const { return object_; } + +protected: + template + friend inline cl_int getInfoHelper(Func, cl_uint, U*, int, typename U::cl_type); + + template + friend inline cl_int getInfoHelper(Func, cl_uint, vector*, int, typename U::cl_type); + + cl_int retain() const + { + if( object_ != nullptr && referenceCountable_ ) { + return ReferenceHandler::retain(object_); + } + else { + return CL_SUCCESS; + } + } + + cl_int release() const + { + if (object_ != nullptr && referenceCountable_) { + return ReferenceHandler::release(object_); + } + else { + return CL_SUCCESS; + } + } +}; + +template +inline bool operator==(const Wrapper &lhs, const Wrapper &rhs) +{ + return lhs() == rhs(); +} + +template +inline bool operator!=(const Wrapper &lhs, const Wrapper &rhs) +{ + return !operator==(lhs, rhs); +} + +} // namespace detail +//! \endcond + + +using BuildLogType = vector::param_type>>; +#if defined(CL_HPP_ENABLE_EXCEPTIONS) +/** +* Exception class for build errors to carry build info +*/ +class BuildError : public Error +{ +private: + BuildLogType buildLogs; +public: + BuildError(cl_int err, const char * errStr, const BuildLogType &vec) : Error(err, errStr), buildLogs(vec) + { + } + + BuildLogType getBuildLog() const + { + return buildLogs; + } +}; +namespace detail { + static inline cl_int buildErrHandler( + cl_int err, + const char * errStr, + const BuildLogType &buildLogs) + { + if (err != CL_SUCCESS) { + throw BuildError(err, errStr, buildLogs); + } + return err; + } +} // namespace detail + +#else +namespace detail { + static inline cl_int buildErrHandler( + cl_int err, + const char * errStr, + const BuildLogType &buildLogs) + { + (void)buildLogs; // suppress unused variable warning + (void)errStr; + return err; + } +} // namespace detail +#endif // #if defined(CL_HPP_ENABLE_EXCEPTIONS) + + +/*! \stuct ImageFormat + * \brief Adds constructors and member functions for cl_image_format. + * + * \see cl_image_format + */ +struct ImageFormat : public cl_image_format +{ + //! \brief Default constructor - performs no initialization. + ImageFormat(){} + + //! \brief Initializing constructor. + ImageFormat(cl_channel_order order, cl_channel_type type) + { + image_channel_order = order; + image_channel_data_type = type; + } + + //! \brief Assignment operator. + ImageFormat& operator = (const ImageFormat& rhs) + { + if (this != &rhs) { + this->image_channel_data_type = rhs.image_channel_data_type; + this->image_channel_order = rhs.image_channel_order; + } + return *this; + } +}; + +/*! \brief Class interface for cl_device_id. + * + * \note Copies of these objects are inexpensive, since they don't 'own' + * any underlying resources or data structures. + * + * \see cl_device_id + */ +class Device : public detail::Wrapper +{ +private: + static std::once_flag default_initialized_; + static Device default_; + static cl_int default_error_; + + /*! \brief Create the default context. + * + * This sets @c default_ and @c default_error_. It does not throw + * @c cl::Error. + */ + static void makeDefault(); + + /*! \brief Create the default platform from a provided platform. + * + * This sets @c default_. It does not throw + * @c cl::Error. + */ + static void makeDefaultProvided(const Device &p) { + default_ = p; + } + +public: +#ifdef CL_HPP_UNIT_TEST_ENABLE + /*! \brief Reset the default. + * + * This sets @c default_ to an empty value to support cleanup in + * the unit test framework. + * This function is not thread safe. + */ + static void unitTestClearDefault() { + default_ = Device(); + } +#endif // #ifdef CL_HPP_UNIT_TEST_ENABLE + + //! \brief Default constructor - initializes to NULL. + Device() : detail::Wrapper() { } + + /*! \brief Constructor from cl_device_id. + * + * This simply copies the device ID value, which is an inexpensive operation. + */ + explicit Device(const cl_device_id &device, bool retainObject = false) : + detail::Wrapper(device, retainObject) { } + + /*! \brief Returns the first device on the default context. + * + * \see Context::getDefault() + */ + static Device getDefault( + cl_int *errResult = NULL) + { + std::call_once(default_initialized_, makeDefault); + detail::errHandler(default_error_); + if (errResult != NULL) { + *errResult = default_error_; + } + return default_; + } + + /** + * Modify the default device to be used by + * subsequent operations. + * Will only set the default if no default was previously created. + * @return updated default device. + * Should be compared to the passed value to ensure that it was updated. + */ + static Device setDefault(const Device &default_device) + { + std::call_once(default_initialized_, makeDefaultProvided, std::cref(default_device)); + detail::errHandler(default_error_); + return default_; + } + + /*! \brief Assignment operator from cl_device_id. + * + * This simply copies the device ID value, which is an inexpensive operation. + */ + Device& operator = (const cl_device_id& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Device(const Device& dev) : detail::Wrapper(dev) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Device& operator = (const Device &dev) + { + detail::Wrapper::operator=(dev); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Device(Device&& dev) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(dev)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Device& operator = (Device &&dev) + { + detail::Wrapper::operator=(std::move(dev)); + return *this; + } + + //! \brief Wrapper for clGetDeviceInfo(). + template + cl_int getInfo(cl_device_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetDeviceInfo, object_, name, param), + __GET_DEVICE_INFO_ERR); + } + + //! \brief Wrapper for clGetDeviceInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_device_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + /** + * Return the current value of the host clock as seen by the device. + * The resolution of the device timer may be queried with the + * CL_DEVICE_PROFILING_TIMER_RESOLUTION query. + * @return The host timer value. + */ + cl_ulong getHostTimer(cl_int *error = nullptr) + { + cl_ulong retVal = 0; + cl_int err = + clGetHostTimer(this->get(), &retVal); + detail::errHandler( + err, + __GET_HOST_TIMER_ERR); + if (error) { + *error = err; + } + return retVal; + } + + /** + * Return a synchronized pair of host and device timestamps as seen by device. + * Use to correlate the clocks and get the host timer only using getHostTimer + * as a lower cost mechanism in between calls. + * The resolution of the host timer may be queried with the + * CL_PLATFORM_HOST_TIMER_RESOLUTION query. + * The resolution of the device timer may be queried with the + * CL_DEVICE_PROFILING_TIMER_RESOLUTION query. + * @return A pair of (device timer, host timer) timer values. + */ + std::pair getDeviceAndHostTimer(cl_int *error = nullptr) + { + std::pair retVal; + cl_int err = + clGetDeviceAndHostTimer(this->get(), &(retVal.first), &(retVal.second)); + detail::errHandler( + err, + __GET_DEVICE_AND_HOST_TIMER_ERR); + if (error) { + *error = err; + } + return retVal; + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + /** + * CL 1.2 version + */ +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + //! \brief Wrapper for clCreateSubDevices(). + cl_int createSubDevices( + const cl_device_partition_property * properties, + vector* devices) + { + cl_uint n = 0; + cl_int err = clCreateSubDevices(object_, properties, 0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES_ERR); + } + + vector ids(n); + err = clCreateSubDevices(object_, properties, n, ids.data(), NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES_ERR); + } + + // Cannot trivially assign because we need to capture intermediates + // with safe construction + if (devices) { + devices->resize(ids.size()); + + // Assign to param, constructing with retain behaviour + // to correctly capture each underlying CL object + for (size_type i = 0; i < ids.size(); i++) { + // We do not need to retain because this device is being created + // by the runtime + (*devices)[i] = Device(ids[i], false); + } + } + + return CL_SUCCESS; + } +#elif defined(CL_HPP_USE_CL_DEVICE_FISSION) + +/** + * CL 1.1 version that uses device fission extension. + */ + cl_int createSubDevices( + const cl_device_partition_property_ext * properties, + vector* devices) + { + typedef CL_API_ENTRY cl_int + ( CL_API_CALL * PFN_clCreateSubDevicesEXT)( + cl_device_id /*in_device*/, + const cl_device_partition_property_ext * /* properties */, + cl_uint /*num_entries*/, + cl_device_id * /*out_devices*/, + cl_uint * /*num_devices*/ ) CL_EXT_SUFFIX__VERSION_1_1; + + static PFN_clCreateSubDevicesEXT pfn_clCreateSubDevicesEXT = NULL; + CL_HPP_INIT_CL_EXT_FCN_PTR_(clCreateSubDevicesEXT); + + cl_uint n = 0; + cl_int err = pfn_clCreateSubDevicesEXT(object_, properties, 0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES_ERR); + } + + vector ids(n); + err = pfn_clCreateSubDevicesEXT(object_, properties, n, ids.data(), NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_SUB_DEVICES_ERR); + } + // Cannot trivially assign because we need to capture intermediates + // with safe construction + if (devices) { + devices->resize(ids.size()); + + // Assign to param, constructing with retain behaviour + // to correctly capture each underlying CL object + for (size_type i = 0; i < ids.size(); i++) { + // We do not need to retain because this device is being created + // by the runtime + (*devices)[i] = Device(ids[i], false); + } + } + return CL_SUCCESS; + } +#endif // defined(CL_HPP_USE_CL_DEVICE_FISSION) +}; + +CL_HPP_DEFINE_STATIC_MEMBER_ std::once_flag Device::default_initialized_; +CL_HPP_DEFINE_STATIC_MEMBER_ Device Device::default_; +CL_HPP_DEFINE_STATIC_MEMBER_ cl_int Device::default_error_ = CL_SUCCESS; + +/*! \brief Class interface for cl_platform_id. + * + * \note Copies of these objects are inexpensive, since they don't 'own' + * any underlying resources or data structures. + * + * \see cl_platform_id + */ +class Platform : public detail::Wrapper +{ +private: + static std::once_flag default_initialized_; + static Platform default_; + static cl_int default_error_; + + /*! \brief Create the default context. + * + * This sets @c default_ and @c default_error_. It does not throw + * @c cl::Error. + */ + static void makeDefault() { + /* Throwing an exception from a call_once invocation does not do + * what we wish, so we catch it and save the error. + */ +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + try +#endif + { + // If default wasn't passed ,generate one + // Otherwise set it + cl_uint n = 0; + + cl_int err = ::clGetPlatformIDs(0, NULL, &n); + if (err != CL_SUCCESS) { + default_error_ = err; + return; + } + if (n == 0) { + default_error_ = CL_INVALID_PLATFORM; + return; + } + + vector ids(n); + err = ::clGetPlatformIDs(n, ids.data(), NULL); + if (err != CL_SUCCESS) { + default_error_ = err; + return; + } + + default_ = Platform(ids[0]); + } +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + catch (cl::Error &e) { + default_error_ = e.err(); + } +#endif + } + + /*! \brief Create the default platform from a provided platform. + * + * This sets @c default_. It does not throw + * @c cl::Error. + */ + static void makeDefaultProvided(const Platform &p) { + default_ = p; + } + +public: +#ifdef CL_HPP_UNIT_TEST_ENABLE + /*! \brief Reset the default. + * + * This sets @c default_ to an empty value to support cleanup in + * the unit test framework. + * This function is not thread safe. + */ + static void unitTestClearDefault() { + default_ = Platform(); + } +#endif // #ifdef CL_HPP_UNIT_TEST_ENABLE + + //! \brief Default constructor - initializes to NULL. + Platform() : detail::Wrapper() { } + + /*! \brief Constructor from cl_platform_id. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * This simply copies the platform ID value, which is an inexpensive operation. + */ + explicit Platform(const cl_platform_id &platform, bool retainObject = false) : + detail::Wrapper(platform, retainObject) { } + + /*! \brief Assignment operator from cl_platform_id. + * + * This simply copies the platform ID value, which is an inexpensive operation. + */ + Platform& operator = (const cl_platform_id& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + static Platform getDefault( + cl_int *errResult = NULL) + { + std::call_once(default_initialized_, makeDefault); + detail::errHandler(default_error_); + if (errResult != NULL) { + *errResult = default_error_; + } + return default_; + } + + /** + * Modify the default platform to be used by + * subsequent operations. + * Will only set the default if no default was previously created. + * @return updated default platform. + * Should be compared to the passed value to ensure that it was updated. + */ + static Platform setDefault(const Platform &default_platform) + { + std::call_once(default_initialized_, makeDefaultProvided, std::cref(default_platform)); + detail::errHandler(default_error_); + return default_; + } + + //! \brief Wrapper for clGetPlatformInfo(). + cl_int getInfo(cl_platform_info name, string* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetPlatformInfo, object_, name, param), + __GET_PLATFORM_INFO_ERR); + } + + //! \brief Wrapper for clGetPlatformInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_platform_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! \brief Gets a list of devices for this platform. + * + * Wraps clGetDeviceIDs(). + */ + cl_int getDevices( + cl_device_type type, + vector* devices) const + { + cl_uint n = 0; + if( devices == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_DEVICE_IDS_ERR); + } + cl_int err = ::clGetDeviceIDs(object_, type, 0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + vector ids(n); + err = ::clGetDeviceIDs(object_, type, n, ids.data(), NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + // Cannot trivially assign because we need to capture intermediates + // with safe construction + // We must retain things we obtain from the API to avoid releasing + // API-owned objects. + if (devices) { + devices->resize(ids.size()); + + // Assign to param, constructing with retain behaviour + // to correctly capture each underlying CL object + for (size_type i = 0; i < ids.size(); i++) { + (*devices)[i] = Device(ids[i], true); + } + } + return CL_SUCCESS; + } + +#if defined(CL_HPP_USE_DX_INTEROP) + /*! \brief Get the list of available D3D10 devices. + * + * \param d3d_device_source. + * + * \param d3d_object. + * + * \param d3d_device_set. + * + * \param devices returns a vector of OpenCL D3D10 devices found. The cl::Device + * values returned in devices can be used to identify a specific OpenCL + * device. If \a devices argument is NULL, this argument is ignored. + * + * \return One of the following values: + * - CL_SUCCESS if the function is executed successfully. + * + * The application can query specific capabilities of the OpenCL device(s) + * returned by cl::getDevices. This can be used by the application to + * determine which device(s) to use. + * + * \note In the case that exceptions are enabled and a return value + * other than CL_SUCCESS is generated, then cl::Error exception is + * generated. + */ + cl_int getDevices( + cl_d3d10_device_source_khr d3d_device_source, + void * d3d_object, + cl_d3d10_device_set_khr d3d_device_set, + vector* devices) const + { + typedef CL_API_ENTRY cl_int (CL_API_CALL *PFN_clGetDeviceIDsFromD3D10KHR)( + cl_platform_id platform, + cl_d3d10_device_source_khr d3d_device_source, + void * d3d_object, + cl_d3d10_device_set_khr d3d_device_set, + cl_uint num_entries, + cl_device_id * devices, + cl_uint* num_devices); + + if( devices == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_DEVICE_IDS_ERR); + } + + static PFN_clGetDeviceIDsFromD3D10KHR pfn_clGetDeviceIDsFromD3D10KHR = NULL; + CL_HPP_INIT_CL_EXT_FCN_PTR_PLATFORM_(object_, clGetDeviceIDsFromD3D10KHR); + + cl_uint n = 0; + cl_int err = pfn_clGetDeviceIDsFromD3D10KHR( + object_, + d3d_device_source, + d3d_object, + d3d_device_set, + 0, + NULL, + &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + vector ids(n); + err = pfn_clGetDeviceIDsFromD3D10KHR( + object_, + d3d_device_source, + d3d_object, + d3d_device_set, + n, + ids.data(), + NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_DEVICE_IDS_ERR); + } + + // Cannot trivially assign because we need to capture intermediates + // with safe construction + // We must retain things we obtain from the API to avoid releasing + // API-owned objects. + if (devices) { + devices->resize(ids.size()); + + // Assign to param, constructing with retain behaviour + // to correctly capture each underlying CL object + for (size_type i = 0; i < ids.size(); i++) { + (*devices)[i] = Device(ids[i], true); + } + } + return CL_SUCCESS; + } +#endif + + /*! \brief Gets a list of available platforms. + * + * Wraps clGetPlatformIDs(). + */ + static cl_int get( + vector* platforms) + { + cl_uint n = 0; + + if( platforms == NULL ) { + return detail::errHandler(CL_INVALID_ARG_VALUE, __GET_PLATFORM_IDS_ERR); + } + + cl_int err = ::clGetPlatformIDs(0, NULL, &n); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + vector ids(n); + err = ::clGetPlatformIDs(n, ids.data(), NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_PLATFORM_IDS_ERR); + } + + if (platforms) { + platforms->resize(ids.size()); + + // Platforms don't reference count + for (size_type i = 0; i < ids.size(); i++) { + (*platforms)[i] = Platform(ids[i]); + } + } + return CL_SUCCESS; + } + + /*! \brief Gets the first available platform. + * + * Wraps clGetPlatformIDs(), returning the first result. + */ + static cl_int get( + Platform * platform) + { + cl_int err; + Platform default_platform = Platform::getDefault(&err); + if (platform) { + *platform = default_platform; + } + return err; + } + + /*! \brief Gets the first available platform, returning it by value. + * + * \return Returns a valid platform if one is available. + * If no platform is available will return a null platform. + * Throws an exception if no platforms are available + * or an error condition occurs. + * Wraps clGetPlatformIDs(), returning the first result. + */ + static Platform get( + cl_int * errResult = NULL) + { + cl_int err; + Platform default_platform = Platform::getDefault(&err); + if (errResult) { + *errResult = err; + } + return default_platform; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + //! \brief Wrapper for clUnloadCompiler(). + cl_int + unloadCompiler() + { + return ::clUnloadPlatformCompiler(object_); + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +}; // class Platform + +CL_HPP_DEFINE_STATIC_MEMBER_ std::once_flag Platform::default_initialized_; +CL_HPP_DEFINE_STATIC_MEMBER_ Platform Platform::default_; +CL_HPP_DEFINE_STATIC_MEMBER_ cl_int Platform::default_error_ = CL_SUCCESS; + + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +/** + * Unload the OpenCL compiler. + * \note Deprecated for OpenCL 1.2. Use Platform::unloadCompiler instead. + */ +inline CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_int +UnloadCompiler() CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; +inline cl_int +UnloadCompiler() +{ + return ::clUnloadCompiler(); +} +#endif // #if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + +/*! \brief Class interface for cl_context. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_context as the original. For details, see + * clRetainContext() and clReleaseContext(). + * + * \see cl_context + */ +class Context + : public detail::Wrapper +{ +private: + static std::once_flag default_initialized_; + static Context default_; + static cl_int default_error_; + + /*! \brief Create the default context from the default device type in the default platform. + * + * This sets @c default_ and @c default_error_. It does not throw + * @c cl::Error. + */ + static void makeDefault() { + /* Throwing an exception from a call_once invocation does not do + * what we wish, so we catch it and save the error. + */ +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + try +#endif + { +#if !defined(__APPLE__) && !defined(__MACOS) + const Platform &p = Platform::getDefault(); + cl_platform_id defaultPlatform = p(); + cl_context_properties properties[3] = { + CL_CONTEXT_PLATFORM, (cl_context_properties)defaultPlatform, 0 + }; +#else // #if !defined(__APPLE__) && !defined(__MACOS) + cl_context_properties *properties = nullptr; +#endif // #if !defined(__APPLE__) && !defined(__MACOS) + + default_ = Context( + CL_DEVICE_TYPE_DEFAULT, + properties, + NULL, + NULL, + &default_error_); + } +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + catch (cl::Error &e) { + default_error_ = e.err(); + } +#endif + } + + + /*! \brief Create the default context from a provided Context. + * + * This sets @c default_. It does not throw + * @c cl::Error. + */ + static void makeDefaultProvided(const Context &c) { + default_ = c; + } + +public: +#ifdef CL_HPP_UNIT_TEST_ENABLE + /*! \brief Reset the default. + * + * This sets @c default_ to an empty value to support cleanup in + * the unit test framework. + * This function is not thread safe. + */ + static void unitTestClearDefault() { + default_ = Context(); + } +#endif // #ifdef CL_HPP_UNIT_TEST_ENABLE + + /*! \brief Constructs a context including a list of specified devices. + * + * Wraps clCreateContext(). + */ + Context( + const vector& devices, + cl_context_properties* properties = NULL, + void (CL_CALLBACK * notifyFptr)( + const char *, + const void *, + size_type, + void *) = NULL, + void* data = NULL, + cl_int* err = NULL) + { + cl_int error; + + size_type numDevices = devices.size(); + vector deviceIDs(numDevices); + + for( size_type deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + object_ = ::clCreateContext( + properties, (cl_uint) numDevices, + deviceIDs.data(), + notifyFptr, data, &error); + + detail::errHandler(error, __CREATE_CONTEXT_ERR); + if (err != NULL) { + *err = error; + } + } + + Context( + const Device& device, + cl_context_properties* properties = NULL, + void (CL_CALLBACK * notifyFptr)( + const char *, + const void *, + size_type, + void *) = NULL, + void* data = NULL, + cl_int* err = NULL) + { + cl_int error; + + cl_device_id deviceID = device(); + + object_ = ::clCreateContext( + properties, 1, + &deviceID, + notifyFptr, data, &error); + + detail::errHandler(error, __CREATE_CONTEXT_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Constructs a context including all or a subset of devices of a specified type. + * + * Wraps clCreateContextFromType(). + */ + Context( + cl_device_type type, + cl_context_properties* properties = NULL, + void (CL_CALLBACK * notifyFptr)( + const char *, + const void *, + size_type, + void *) = NULL, + void* data = NULL, + cl_int* err = NULL) + { + cl_int error; + +#if !defined(__APPLE__) && !defined(__MACOS) + cl_context_properties prop[4] = {CL_CONTEXT_PLATFORM, 0, 0, 0 }; + + if (properties == NULL) { + // Get a valid platform ID as we cannot send in a blank one + vector platforms; + error = Platform::get(&platforms); + if (error != CL_SUCCESS) { + detail::errHandler(error, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = error; + } + return; + } + + // Check the platforms we found for a device of our specified type + cl_context_properties platform_id = 0; + for (unsigned int i = 0; i < platforms.size(); i++) { + + vector devices; + +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + try { +#endif + + error = platforms[i].getDevices(type, &devices); + +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + } catch (cl::Error& e) { + error = e.err(); + } + // Catch if exceptions are enabled as we don't want to exit if first platform has no devices of type + // We do error checking next anyway, and can throw there if needed +#endif + + // Only squash CL_SUCCESS and CL_DEVICE_NOT_FOUND + if (error != CL_SUCCESS && error != CL_DEVICE_NOT_FOUND) { + detail::errHandler(error, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = error; + } + } + + if (devices.size() > 0) { + platform_id = (cl_context_properties)platforms[i](); + break; + } + } + + if (platform_id == 0) { + detail::errHandler(CL_DEVICE_NOT_FOUND, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = CL_DEVICE_NOT_FOUND; + } + return; + } + + prop[1] = platform_id; + properties = &prop[0]; + } +#endif + object_ = ::clCreateContextFromType( + properties, type, notifyFptr, data, &error); + + detail::errHandler(error, __CREATE_CONTEXT_FROM_TYPE_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Context(const Context& ctx) : detail::Wrapper(ctx) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Context& operator = (const Context &ctx) + { + detail::Wrapper::operator=(ctx); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Context(Context&& ctx) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(ctx)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Context& operator = (Context &&ctx) + { + detail::Wrapper::operator=(std::move(ctx)); + return *this; + } + + + /*! \brief Returns a singleton context including all devices of CL_DEVICE_TYPE_DEFAULT. + * + * \note All calls to this function return the same cl_context as the first. + */ + static Context getDefault(cl_int * err = NULL) + { + std::call_once(default_initialized_, makeDefault); + detail::errHandler(default_error_); + if (err != NULL) { + *err = default_error_; + } + return default_; + } + + /** + * Modify the default context to be used by + * subsequent operations. + * Will only set the default if no default was previously created. + * @return updated default context. + * Should be compared to the passed value to ensure that it was updated. + */ + static Context setDefault(const Context &default_context) + { + std::call_once(default_initialized_, makeDefaultProvided, std::cref(default_context)); + detail::errHandler(default_error_); + return default_; + } + + //! \brief Default constructor - initializes to NULL. + Context() : detail::Wrapper() { } + + /*! \brief Constructor from cl_context - takes ownership. + * + * This effectively transfers ownership of a refcount on the cl_context + * into the new Context object. + */ + explicit Context(const cl_context& context, bool retainObject = false) : + detail::Wrapper(context, retainObject) { } + + /*! \brief Assignment operator from cl_context - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseContext() on the value previously held by this instance. + */ + Context& operator = (const cl_context& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetContextInfo(). + template + cl_int getInfo(cl_context_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetContextInfo, object_, name, param), + __GET_CONTEXT_INFO_ERR); + } + + //! \brief Wrapper for clGetContextInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_context_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! \brief Gets a list of supported image formats. + * + * Wraps clGetSupportedImageFormats(). + */ + cl_int getSupportedImageFormats( + cl_mem_flags flags, + cl_mem_object_type type, + vector* formats) const + { + cl_uint numEntries; + + if (!formats) { + return CL_SUCCESS; + } + + cl_int err = ::clGetSupportedImageFormats( + object_, + flags, + type, + 0, + NULL, + &numEntries); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_SUPPORTED_IMAGE_FORMATS_ERR); + } + + if (numEntries > 0) { + vector value(numEntries); + err = ::clGetSupportedImageFormats( + object_, + flags, + type, + numEntries, + (cl_image_format*)value.data(), + NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __GET_SUPPORTED_IMAGE_FORMATS_ERR); + } + + formats->assign(begin(value), end(value)); + } + else { + // If no values are being returned, ensure an empty vector comes back + formats->clear(); + } + + return CL_SUCCESS; + } +}; + +inline void Device::makeDefault() +{ + /* Throwing an exception from a call_once invocation does not do + * what we wish, so we catch it and save the error. + */ +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + try +#endif + { + cl_int error = 0; + + Context context = Context::getDefault(&error); + detail::errHandler(error, __CREATE_CONTEXT_ERR); + + if (error != CL_SUCCESS) { + default_error_ = error; + } + else { + default_ = context.getInfo()[0]; + default_error_ = CL_SUCCESS; + } + } +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + catch (cl::Error &e) { + default_error_ = e.err(); + } +#endif +} + +CL_HPP_DEFINE_STATIC_MEMBER_ std::once_flag Context::default_initialized_; +CL_HPP_DEFINE_STATIC_MEMBER_ Context Context::default_; +CL_HPP_DEFINE_STATIC_MEMBER_ cl_int Context::default_error_ = CL_SUCCESS; + +/*! \brief Class interface for cl_event. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_event as the original. For details, see + * clRetainEvent() and clReleaseEvent(). + * + * \see cl_event + */ +class Event : public detail::Wrapper +{ +public: + //! \brief Default constructor - initializes to NULL. + Event() : detail::Wrapper() { } + + /*! \brief Constructor from cl_event - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * This effectively transfers ownership of a refcount on the cl_event + * into the new Event object. + */ + explicit Event(const cl_event& event, bool retainObject = false) : + detail::Wrapper(event, retainObject) { } + + /*! \brief Assignment operator from cl_event - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseEvent() on the value previously held by this instance. + */ + Event& operator = (const cl_event& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + //! \brief Wrapper for clGetEventInfo(). + template + cl_int getInfo(cl_event_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetEventInfo, object_, name, param), + __GET_EVENT_INFO_ERR); + } + + //! \brief Wrapper for clGetEventInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_event_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + //! \brief Wrapper for clGetEventProfilingInfo(). + template + cl_int getProfilingInfo(cl_profiling_info name, T* param) const + { + return detail::errHandler(detail::getInfo( + &::clGetEventProfilingInfo, object_, name, param), + __GET_EVENT_PROFILE_INFO_ERR); + } + + //! \brief Wrapper for clGetEventProfilingInfo() that returns by value. + template typename + detail::param_traits::param_type + getProfilingInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_profiling_info, name>::param_type param; + cl_int result = getProfilingInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! \brief Blocks the calling thread until this event completes. + * + * Wraps clWaitForEvents(). + */ + cl_int wait() const + { + return detail::errHandler( + ::clWaitForEvents(1, &object_), + __WAIT_FOR_EVENTS_ERR); + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 + /*! \brief Registers a user callback function for a specific command execution status. + * + * Wraps clSetEventCallback(). + */ + cl_int setCallback( + cl_int type, + void (CL_CALLBACK * pfn_notify)(cl_event, cl_int, void *), + void * user_data = NULL) + { + return detail::errHandler( + ::clSetEventCallback( + object_, + type, + pfn_notify, + user_data), + __SET_EVENT_CALLBACK_ERR); + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 + + /*! \brief Blocks the calling thread until every event specified is complete. + * + * Wraps clWaitForEvents(). + */ + static cl_int + waitForEvents(const vector& events) + { + return detail::errHandler( + ::clWaitForEvents( + (cl_uint) events.size(), (events.size() > 0) ? (cl_event*)&events.front() : NULL), + __WAIT_FOR_EVENTS_ERR); + } +}; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 +/*! \brief Class interface for user events (a subset of cl_event's). + * + * See Event for details about copy semantics, etc. + */ +class UserEvent : public Event +{ +public: + /*! \brief Constructs a user event on a given context. + * + * Wraps clCreateUserEvent(). + */ + UserEvent( + const Context& context, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateUserEvent( + context(), + &error); + + detail::errHandler(error, __CREATE_USER_EVENT_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + UserEvent() : Event() { } + + /*! \brief Sets the execution status of a user event object. + * + * Wraps clSetUserEventStatus(). + */ + cl_int setStatus(cl_int status) + { + return detail::errHandler( + ::clSetUserEventStatus(object_,status), + __SET_USER_EVENT_STATUS_ERR); + } +}; +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 + +/*! \brief Blocks the calling thread until every event specified is complete. + * + * Wraps clWaitForEvents(). + */ +inline static cl_int +WaitForEvents(const vector& events) +{ + return detail::errHandler( + ::clWaitForEvents( + (cl_uint) events.size(), (events.size() > 0) ? (cl_event*)&events.front() : NULL), + __WAIT_FOR_EVENTS_ERR); +} + +/*! \brief Class interface for cl_mem. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_mem as the original. For details, see + * clRetainMemObject() and clReleaseMemObject(). + * + * \see cl_mem + */ +class Memory : public detail::Wrapper +{ +public: + //! \brief Default constructor - initializes to NULL. + Memory() : detail::Wrapper() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * Optionally transfer ownership of a refcount on the cl_mem + * into the new Memory object. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * + * See Memory for further details. + */ + explicit Memory(const cl_mem& memory, bool retainObject) : + detail::Wrapper(memory, retainObject) { } + + /*! \brief Assignment operator from cl_mem - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseMemObject() on the value previously held by this instance. + */ + Memory& operator = (const cl_mem& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Memory(const Memory& mem) : detail::Wrapper(mem) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Memory& operator = (const Memory &mem) + { + detail::Wrapper::operator=(mem); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Memory(Memory&& mem) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(mem)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Memory& operator = (Memory &&mem) + { + detail::Wrapper::operator=(std::move(mem)); + return *this; + } + + + //! \brief Wrapper for clGetMemObjectInfo(). + template + cl_int getInfo(cl_mem_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetMemObjectInfo, object_, name, param), + __GET_MEM_OBJECT_INFO_ERR); + } + + //! \brief Wrapper for clGetMemObjectInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_mem_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 + /*! \brief Registers a callback function to be called when the memory object + * is no longer needed. + * + * Wraps clSetMemObjectDestructorCallback(). + * + * Repeated calls to this function, for a given cl_mem value, will append + * to the list of functions called (in reverse order) when memory object's + * resources are freed and the memory object is deleted. + * + * \note + * The registered callbacks are associated with the underlying cl_mem + * value - not the Memory class instance. + */ + cl_int setDestructorCallback( + void (CL_CALLBACK * pfn_notify)(cl_mem, void *), + void * user_data = NULL) + { + return detail::errHandler( + ::clSetMemObjectDestructorCallback( + object_, + pfn_notify, + user_data), + __SET_MEM_OBJECT_DESTRUCTOR_CALLBACK_ERR); + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 + +}; + +// Pre-declare copy functions +class Buffer; +template< typename IteratorType > +cl_int copy( IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ); +template< typename IteratorType > +cl_int copy( const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ); +template< typename IteratorType > +cl_int copy( const CommandQueue &queue, IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ); +template< typename IteratorType > +cl_int copy( const CommandQueue &queue, const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ); + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +namespace detail +{ + class SVMTraitNull + { + public: + static cl_svm_mem_flags getSVMMemFlags() + { + return 0; + } + }; +} // namespace detail + +template +class SVMTraitReadWrite +{ +public: + static cl_svm_mem_flags getSVMMemFlags() + { + return CL_MEM_READ_WRITE | + Trait::getSVMMemFlags(); + } +}; + +template +class SVMTraitReadOnly +{ +public: + static cl_svm_mem_flags getSVMMemFlags() + { + return CL_MEM_READ_ONLY | + Trait::getSVMMemFlags(); + } +}; + +template +class SVMTraitWriteOnly +{ +public: + static cl_svm_mem_flags getSVMMemFlags() + { + return CL_MEM_WRITE_ONLY | + Trait::getSVMMemFlags(); + } +}; + +template> +class SVMTraitCoarse +{ +public: + static cl_svm_mem_flags getSVMMemFlags() + { + return Trait::getSVMMemFlags(); + } +}; + +template> +class SVMTraitFine +{ +public: + static cl_svm_mem_flags getSVMMemFlags() + { + return CL_MEM_SVM_FINE_GRAIN_BUFFER | + Trait::getSVMMemFlags(); + } +}; + +template> +class SVMTraitAtomic +{ +public: + static cl_svm_mem_flags getSVMMemFlags() + { + return + CL_MEM_SVM_FINE_GRAIN_BUFFER | + CL_MEM_SVM_ATOMICS | + Trait::getSVMMemFlags(); + } +}; + +// Pre-declare SVM map function +template +inline cl_int enqueueMapSVM( + T* ptr, + cl_bool blocking, + cl_map_flags flags, + size_type size, + const vector* events = NULL, + Event* event = NULL); + +/** + * STL-like allocator class for managing SVM objects provided for convenience. + * + * Note that while this behaves like an allocator for the purposes of constructing vectors and similar objects, + * care must be taken when using with smart pointers. + * The allocator should not be used to construct a unique_ptr if we are using coarse-grained SVM mode because + * the coarse-grained management behaviour would behave incorrectly with respect to reference counting. + * + * Instead the allocator embeds a Deleter which may be used with unique_ptr and is used + * with the allocate_shared and allocate_ptr supplied operations. + */ +template +class SVMAllocator { +private: + Context context_; + +public: + typedef T value_type; + typedef value_type* pointer; + typedef const value_type* const_pointer; + typedef value_type& reference; + typedef const value_type& const_reference; + typedef std::size_t size_type; + typedef std::ptrdiff_t difference_type; + + template + struct rebind + { + typedef SVMAllocator other; + }; + + template + friend class SVMAllocator; + + SVMAllocator() : + context_(Context::getDefault()) + { + } + + explicit SVMAllocator(cl::Context context) : + context_(context) + { + } + + + SVMAllocator(const SVMAllocator &other) : + context_(other.context_) + { + } + + template + SVMAllocator(const SVMAllocator &other) : + context_(other.context_) + { + } + + ~SVMAllocator() + { + } + + pointer address(reference r) CL_HPP_NOEXCEPT_ + { + return std::addressof(r); + } + + const_pointer address(const_reference r) CL_HPP_NOEXCEPT_ + { + return std::addressof(r); + } + + /** + * Allocate an SVM pointer. + * + * If the allocator is coarse-grained, this will take ownership to allow + * containers to correctly construct data in place. + */ + pointer allocate( + size_type size, + typename cl::SVMAllocator::const_pointer = 0) + { + // Allocate memory with default alignment matching the size of the type + void* voidPointer = + clSVMAlloc( + context_(), + SVMTrait::getSVMMemFlags(), + size*sizeof(T), + 0); + pointer retValue = reinterpret_cast( + voidPointer); +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + if (!retValue) { + std::bad_alloc excep; + throw excep; + } +#endif // #if defined(CL_HPP_ENABLE_EXCEPTIONS) + + // If allocation was coarse-grained then map it + if (!(SVMTrait::getSVMMemFlags() & CL_MEM_SVM_FINE_GRAIN_BUFFER)) { + cl_int err = enqueueMapSVM(retValue, CL_TRUE, CL_MAP_READ | CL_MAP_WRITE, size*sizeof(T)); + if (err != CL_SUCCESS) { + std::bad_alloc excep; + throw excep; + } + } + + // If exceptions disabled, return null pointer from allocator + return retValue; + } + + void deallocate(pointer p, size_type) + { + clSVMFree(context_(), p); + } + + /** + * Return the maximum possible allocation size. + * This is the minimum of the maximum sizes of all devices in the context. + */ + size_type max_size() const CL_HPP_NOEXCEPT_ + { + size_type maxSize = std::numeric_limits::max() / sizeof(T); + + for (const Device &d : context_.getInfo()) { + maxSize = std::min( + maxSize, + static_cast(d.getInfo())); + } + + return maxSize; + } + + template< class U, class... Args > + void construct(U* p, Args&&... args) + { + new(p)T(args...); + } + + template< class U > + void destroy(U* p) + { + p->~U(); + } + + /** + * Returns true if the contexts match. + */ + inline bool operator==(SVMAllocator const& rhs) + { + return (context_==rhs.context_); + } + + inline bool operator!=(SVMAllocator const& a) + { + return !operator==(a); + } +}; // class SVMAllocator return cl::pointer(tmp, detail::Deleter{alloc, copies}); + + +template +class SVMAllocator { +public: + typedef void value_type; + typedef value_type* pointer; + typedef const value_type* const_pointer; + + template + struct rebind + { + typedef SVMAllocator other; + }; + + template + friend class SVMAllocator; +}; + +#if !defined(CL_HPP_NO_STD_UNIQUE_PTR) +namespace detail +{ + template + class Deleter { + private: + Alloc alloc_; + size_type copies_; + + public: + typedef typename std::allocator_traits::pointer pointer; + + Deleter(const Alloc &alloc, size_type copies) : alloc_{ alloc }, copies_{ copies } + { + } + + void operator()(pointer ptr) const { + Alloc tmpAlloc{ alloc_ }; + std::allocator_traits::destroy(tmpAlloc, std::addressof(*ptr)); + std::allocator_traits::deallocate(tmpAlloc, ptr, copies_); + } + }; +} // namespace detail + +/** + * Allocation operation compatible with std::allocate_ptr. + * Creates a unique_ptr by default. + * This requirement is to ensure that the control block is not + * allocated in memory inaccessible to the host. + */ +template +cl::pointer> allocate_pointer(const Alloc &alloc_, Args&&... args) +{ + Alloc alloc(alloc_); + static const size_type copies = 1; + + // Ensure that creation of the management block and the + // object are dealt with separately such that we only provide a deleter + + T* tmp = std::allocator_traits::allocate(alloc, copies); + if (!tmp) { + std::bad_alloc excep; + throw excep; + } + try { + std::allocator_traits::construct( + alloc, + std::addressof(*tmp), + std::forward(args)...); + + return cl::pointer>(tmp, detail::Deleter{alloc, copies}); + } + catch (std::bad_alloc b) + { + std::allocator_traits::deallocate(alloc, tmp, copies); + throw; + } +} + +template< class T, class SVMTrait, class... Args > +cl::pointer>> allocate_svm(Args... args) +{ + SVMAllocator alloc; + return cl::allocate_pointer(alloc, args...); +} + +template< class T, class SVMTrait, class... Args > +cl::pointer>> allocate_svm(const cl::Context &c, Args... args) +{ + SVMAllocator alloc(c); + return cl::allocate_pointer(alloc, args...); +} +#endif // #if !defined(CL_HPP_NO_STD_UNIQUE_PTR) + +/*! \brief Vector alias to simplify contruction of coarse-grained SVM containers. + * + */ +template < class T > +using coarse_svm_vector = vector>>; + +/*! \brief Vector alias to simplify contruction of fine-grained SVM containers. +* +*/ +template < class T > +using fine_svm_vector = vector>>; + +/*! \brief Vector alias to simplify contruction of fine-grained SVM containers that support platform atomics. +* +*/ +template < class T > +using atomic_svm_vector = vector>>; + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + + +/*! \brief Class interface for Buffer Memory Objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Buffer : public Memory +{ +public: + + /*! \brief Constructs a Buffer in a specified context. + * + * Wraps clCreateBuffer(). + * + * \param host_ptr Storage to be used if the CL_MEM_USE_HOST_PTR flag was + * specified. Note alignment & exclusivity requirements. + */ + Buffer( + const Context& context, + cl_mem_flags flags, + size_type size, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + object_ = ::clCreateBuffer(context(), flags, size, host_ptr, &error); + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Constructs a Buffer in the default context. + * + * Wraps clCreateBuffer(). + * + * \param host_ptr Storage to be used if the CL_MEM_USE_HOST_PTR flag was + * specified. Note alignment & exclusivity requirements. + * + * \see Context::getDefault() + */ + Buffer( + cl_mem_flags flags, + size_type size, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(err); + + object_ = ::clCreateBuffer(context(), flags, size, host_ptr, &error); + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! + * \brief Construct a Buffer from a host container via iterators. + * IteratorType must be random access. + * If useHostPtr is specified iterators must represent contiguous data. + */ + template< typename IteratorType > + Buffer( + IteratorType startIterator, + IteratorType endIterator, + bool readOnly, + bool useHostPtr = false, + cl_int* err = NULL) + { + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + cl_mem_flags flags = 0; + if( readOnly ) { + flags |= CL_MEM_READ_ONLY; + } + else { + flags |= CL_MEM_READ_WRITE; + } + if( useHostPtr ) { + flags |= CL_MEM_USE_HOST_PTR; + } + + size_type size = sizeof(DataType)*(endIterator - startIterator); + + Context context = Context::getDefault(err); + + if( useHostPtr ) { + object_ = ::clCreateBuffer(context(), flags, size, static_cast(&*startIterator), &error); + } else { + object_ = ::clCreateBuffer(context(), flags, size, 0, &error); + } + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + if( !useHostPtr ) { + error = cl::copy(startIterator, endIterator, *this); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + } + + /*! + * \brief Construct a Buffer from a host container via iterators using a specified context. + * IteratorType must be random access. + * If useHostPtr is specified iterators must represent contiguous data. + */ + template< typename IteratorType > + Buffer(const Context &context, IteratorType startIterator, IteratorType endIterator, + bool readOnly, bool useHostPtr = false, cl_int* err = NULL); + + /*! + * \brief Construct a Buffer from a host container via iterators using a specified queue. + * If useHostPtr is specified iterators must be random access. + */ + template< typename IteratorType > + Buffer(const CommandQueue &queue, IteratorType startIterator, IteratorType endIterator, + bool readOnly, bool useHostPtr = false, cl_int* err = NULL); + + //! \brief Default constructor - initializes to NULL. + Buffer() : Memory() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with earlier versions. + * + * See Memory for further details. + */ + explicit Buffer(const cl_mem& buffer, bool retainObject = false) : + Memory(buffer, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Buffer& operator = (const cl_mem& rhs) + { + Memory::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Buffer(const Buffer& buf) : Memory(buf) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Buffer& operator = (const Buffer &buf) + { + Memory::operator=(buf); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Buffer(Buffer&& buf) CL_HPP_NOEXCEPT_ : Memory(std::move(buf)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Buffer& operator = (Buffer &&buf) + { + Memory::operator=(std::move(buf)); + return *this; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 + /*! \brief Creates a new buffer object from this. + * + * Wraps clCreateSubBuffer(). + */ + Buffer createSubBuffer( + cl_mem_flags flags, + cl_buffer_create_type buffer_create_type, + const void * buffer_create_info, + cl_int * err = NULL) + { + Buffer result; + cl_int error; + result.object_ = ::clCreateSubBuffer( + object_, + flags, + buffer_create_type, + buffer_create_info, + &error); + + detail::errHandler(error, __CREATE_SUBBUFFER_ERR); + if (err != NULL) { + *err = error; + } + + return result; + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 +}; + +#if defined (CL_HPP_USE_DX_INTEROP) +/*! \brief Class interface for creating OpenCL buffers from ID3D10Buffer's. + * + * This is provided to facilitate interoperability with Direct3D. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class BufferD3D10 : public Buffer +{ +public: + + + /*! \brief Constructs a BufferD3D10, in a specified context, from a + * given ID3D10Buffer. + * + * Wraps clCreateFromD3D10BufferKHR(). + */ + BufferD3D10( + const Context& context, + cl_mem_flags flags, + ID3D10Buffer* bufobj, + cl_int * err = NULL) : pfn_clCreateFromD3D10BufferKHR(nullptr) + { + typedef CL_API_ENTRY cl_mem (CL_API_CALL *PFN_clCreateFromD3D10BufferKHR)( + cl_context context, cl_mem_flags flags, ID3D10Buffer* buffer, + cl_int* errcode_ret); + PFN_clCreateFromD3D10BufferKHR pfn_clCreateFromD3D10BufferKHR; +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + vector props = context.getInfo(); + cl_platform platform = -1; + for( int i = 0; i < props.size(); ++i ) { + if( props[i] == CL_CONTEXT_PLATFORM ) { + platform = props[i+1]; + } + } + CL_HPP_INIT_CL_EXT_FCN_PTR_PLATFORM_(platform, clCreateFromD3D10BufferKHR); +#elif CL_HPP_TARGET_OPENCL_VERSION >= 110 + CL_HPP_INIT_CL_EXT_FCN_PTR_(clCreateFromD3D10BufferKHR); +#endif + + cl_int error; + object_ = pfn_clCreateFromD3D10BufferKHR( + context(), + flags, + bufobj, + &error); + + detail::errHandler(error, __CREATE_GL_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + BufferD3D10() : Buffer() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit BufferD3D10(const cl_mem& buffer, bool retainObject = false) : + Buffer(buffer, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + BufferD3D10& operator = (const cl_mem& rhs) + { + Buffer::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + BufferD3D10(const BufferD3D10& buf) : + Buffer(buf) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + BufferD3D10& operator = (const BufferD3D10 &buf) + { + Buffer::operator=(buf); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + BufferD3D10(BufferD3D10&& buf) CL_HPP_NOEXCEPT_ : Buffer(std::move(buf)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + BufferD3D10& operator = (BufferD3D10 &&buf) + { + Buffer::operator=(std::move(buf)); + return *this; + } +}; +#endif + +/*! \brief Class interface for GL Buffer Memory Objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class BufferGL : public Buffer +{ +public: + /*! \brief Constructs a BufferGL in a specified context, from a given + * GL buffer. + * + * Wraps clCreateFromGLBuffer(). + */ + BufferGL( + const Context& context, + cl_mem_flags flags, + cl_GLuint bufobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLBuffer( + context(), + flags, + bufobj, + &error); + + detail::errHandler(error, __CREATE_GL_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + BufferGL() : Buffer() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit BufferGL(const cl_mem& buffer, bool retainObject = false) : + Buffer(buffer, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + BufferGL& operator = (const cl_mem& rhs) + { + Buffer::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + BufferGL(const BufferGL& buf) : Buffer(buf) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + BufferGL& operator = (const BufferGL &buf) + { + Buffer::operator=(buf); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + BufferGL(BufferGL&& buf) CL_HPP_NOEXCEPT_ : Buffer(std::move(buf)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + BufferGL& operator = (BufferGL &&buf) + { + Buffer::operator=(std::move(buf)); + return *this; + } + + //! \brief Wrapper for clGetGLObjectInfo(). + cl_int getObjectInfo( + cl_gl_object_type *type, + cl_GLuint * gl_object_name) + { + return detail::errHandler( + ::clGetGLObjectInfo(object_,type,gl_object_name), + __GET_GL_OBJECT_INFO_ERR); + } +}; + +/*! \brief Class interface for GL Render Buffer Memory Objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class BufferRenderGL : public Buffer +{ +public: + /*! \brief Constructs a BufferRenderGL in a specified context, from a given + * GL Renderbuffer. + * + * Wraps clCreateFromGLRenderbuffer(). + */ + BufferRenderGL( + const Context& context, + cl_mem_flags flags, + cl_GLuint bufobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLRenderbuffer( + context(), + flags, + bufobj, + &error); + + detail::errHandler(error, __CREATE_GL_RENDER_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + BufferRenderGL() : Buffer() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit BufferRenderGL(const cl_mem& buffer, bool retainObject = false) : + Buffer(buffer, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + BufferRenderGL& operator = (const cl_mem& rhs) + { + Buffer::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + BufferRenderGL(const BufferRenderGL& buf) : Buffer(buf) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + BufferRenderGL& operator = (const BufferRenderGL &buf) + { + Buffer::operator=(buf); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + BufferRenderGL(BufferRenderGL&& buf) CL_HPP_NOEXCEPT_ : Buffer(std::move(buf)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + BufferRenderGL& operator = (BufferRenderGL &&buf) + { + Buffer::operator=(std::move(buf)); + return *this; + } + + //! \brief Wrapper for clGetGLObjectInfo(). + cl_int getObjectInfo( + cl_gl_object_type *type, + cl_GLuint * gl_object_name) + { + return detail::errHandler( + ::clGetGLObjectInfo(object_,type,gl_object_name), + __GET_GL_OBJECT_INFO_ERR); + } +}; + +/*! \brief C++ base class for Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image : public Memory +{ +protected: + //! \brief Default constructor - initializes to NULL. + Image() : Memory() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image(const cl_mem& image, bool retainObject = false) : + Memory(image, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image& operator = (const cl_mem& rhs) + { + Memory::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image(const Image& img) : Memory(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image& operator = (const Image &img) + { + Memory::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image(Image&& img) CL_HPP_NOEXCEPT_ : Memory(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image& operator = (Image &&img) + { + Memory::operator=(std::move(img)); + return *this; + } + + +public: + //! \brief Wrapper for clGetImageInfo(). + template + cl_int getImageInfo(cl_image_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetImageInfo, object_, name, param), + __GET_IMAGE_INFO_ERR); + } + + //! \brief Wrapper for clGetImageInfo() that returns by value. + template typename + detail::param_traits::param_type + getImageInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_image_info, name>::param_type param; + cl_int result = getImageInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +}; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +/*! \brief Class interface for 1D Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image1D : public Image +{ +public: + /*! \brief Constructs a 1D Image in a specified context. + * + * Wraps clCreateImage(). + */ + Image1D( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + size_type width, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE1D, + width, + 0, 0, 0, 0, 0, 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + Image1D() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image1D(const cl_mem& image1D, bool retainObject = false) : + Image(image1D, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image1D& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image1D(const Image1D& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image1D& operator = (const Image1D &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image1D(Image1D&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image1D& operator = (Image1D &&img) + { + Image::operator=(std::move(img)); + return *this; + } + +}; + +/*! \class Image1DBuffer + * \brief Image interface for 1D buffer images. + */ +class Image1DBuffer : public Image +{ +public: + Image1DBuffer( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + size_type width, + const Buffer &buffer, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE1D_BUFFER, + width, + 0, 0, 0, 0, 0, 0, 0, + buffer() + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + NULL, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + Image1DBuffer() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image1DBuffer(const cl_mem& image1D, bool retainObject = false) : + Image(image1D, retainObject) { } + + Image1DBuffer& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image1DBuffer(const Image1DBuffer& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image1DBuffer& operator = (const Image1DBuffer &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image1DBuffer(Image1DBuffer&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image1DBuffer& operator = (Image1DBuffer &&img) + { + Image::operator=(std::move(img)); + return *this; + } + +}; + +/*! \class Image1DArray + * \brief Image interface for arrays of 1D images. + */ +class Image1DArray : public Image +{ +public: + Image1DArray( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + size_type arraySize, + size_type width, + size_type rowPitch, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE1D_ARRAY, + width, + 0, 0, // height, depth (unused) + arraySize, + rowPitch, + 0, 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + Image1DArray() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image1DArray(const cl_mem& imageArray, bool retainObject = false) : + Image(imageArray, retainObject) { } + + + Image1DArray& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image1DArray(const Image1DArray& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image1DArray& operator = (const Image1DArray &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image1DArray(Image1DArray&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image1DArray& operator = (Image1DArray &&img) + { + Image::operator=(std::move(img)); + return *this; + } + +}; +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 120 + + +/*! \brief Class interface for 2D Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image2D : public Image +{ +public: + /*! \brief Constructs a 2D Image in a specified context. + * + * Wraps clCreateImage(). + */ + Image2D( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + size_type width, + size_type height, + size_type row_pitch = 0, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + bool useCreateImage; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 && CL_HPP_MINIMUM_OPENCL_VERSION < 120 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useCreateImage = (version >= 0x10002); // OpenCL 1.2 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 120 + useCreateImage = true; +#else + useCreateImage = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + if (useCreateImage) + { + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE2D, + width, + height, + 0, 0, // depth, array size (unused) + row_pitch, + 0, 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 120 + if (!useCreateImage) + { + object_ = ::clCreateImage2D( + context(), flags,&format, width, height, row_pitch, host_ptr, &error); + + detail::errHandler(error, __CREATE_IMAGE2D_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 120 + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 || defined(CL_HPP_USE_CL_IMAGE2D_FROM_BUFFER_KHR) + /*! \brief Constructs a 2D Image from a buffer. + * \note This will share storage with the underlying buffer. + * + * Wraps clCreateImage(). + */ + Image2D( + const Context& context, + ImageFormat format, + const Buffer &sourceBuffer, + size_type width, + size_type height, + size_type row_pitch = 0, + cl_int* err = nullptr) + { + cl_int error; + + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE2D, + width, + height, + 0, 0, // depth, array size (unused) + row_pitch, + 0, 0, 0, + // Use buffer as input to image + sourceBuffer() + }; + object_ = ::clCreateImage( + context(), + 0, // flags inherited from buffer + &format, + &desc, + nullptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != nullptr) { + *err = error; + } + } +#endif //#if CL_HPP_TARGET_OPENCL_VERSION >= 200 || defined(CL_HPP_USE_CL_IMAGE2D_FROM_BUFFER_KHR) + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + /*! \brief Constructs a 2D Image from an image. + * \note This will share storage with the underlying image but may + * reinterpret the channel order and type. + * + * The image will be created matching with a descriptor matching the source. + * + * \param order is the channel order to reinterpret the image data as. + * The channel order may differ as described in the OpenCL + * 2.0 API specification. + * + * Wraps clCreateImage(). + */ + Image2D( + const Context& context, + cl_channel_order order, + const Image &sourceImage, + cl_int* err = nullptr) + { + cl_int error; + + // Descriptor fields have to match source image + size_type sourceWidth = + sourceImage.getImageInfo(); + size_type sourceHeight = + sourceImage.getImageInfo(); + size_type sourceRowPitch = + sourceImage.getImageInfo(); + cl_uint sourceNumMIPLevels = + sourceImage.getImageInfo(); + cl_uint sourceNumSamples = + sourceImage.getImageInfo(); + cl_image_format sourceFormat = + sourceImage.getImageInfo(); + + // Update only the channel order. + // Channel format inherited from source. + sourceFormat.image_channel_order = order; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE2D, + sourceWidth, + sourceHeight, + 0, 0, // depth (unused), array size (unused) + sourceRowPitch, + 0, // slice pitch (unused) + sourceNumMIPLevels, + sourceNumSamples, + // Use buffer as input to image + sourceImage() + }; + object_ = ::clCreateImage( + context(), + 0, // flags should be inherited from mem_object + &sourceFormat, + &desc, + nullptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != nullptr) { + *err = error; + } + } +#endif //#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + + //! \brief Default constructor - initializes to NULL. + Image2D() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image2D(const cl_mem& image2D, bool retainObject = false) : + Image(image2D, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image2D& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image2D(const Image2D& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image2D& operator = (const Image2D &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image2D(Image2D&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image2D& operator = (Image2D &&img) + { + Image::operator=(std::move(img)); + return *this; + } + +}; + + +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +/*! \brief Class interface for GL 2D Image Memory objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + * \note Deprecated for OpenCL 1.2. Please use ImageGL instead. + */ +class CL_EXT_PREFIX__VERSION_1_1_DEPRECATED Image2DGL : public Image2D +{ +public: + /*! \brief Constructs an Image2DGL in a specified context, from a given + * GL Texture. + * + * Wraps clCreateFromGLTexture2D(). + */ + Image2DGL( + const Context& context, + cl_mem_flags flags, + cl_GLenum target, + cl_GLint miplevel, + cl_GLuint texobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLTexture2D( + context(), + flags, + target, + miplevel, + texobj, + &error); + + detail::errHandler(error, __CREATE_GL_TEXTURE_2D_ERR); + if (err != NULL) { + *err = error; + } + + } + + //! \brief Default constructor - initializes to NULL. + Image2DGL() : Image2D() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image2DGL(const cl_mem& image, bool retainObject = false) : + Image2D(image, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + *c + * See Memory for further details. + */ + Image2DGL& operator = (const cl_mem& rhs) + { + Image2D::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image2DGL(const Image2DGL& img) : Image2D(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image2DGL& operator = (const Image2DGL &img) + { + Image2D::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image2DGL(Image2DGL&& img) CL_HPP_NOEXCEPT_ : Image2D(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image2DGL& operator = (Image2DGL &&img) + { + Image2D::operator=(std::move(img)); + return *this; + } + +} CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; +#endif // CL_USE_DEPRECATED_OPENCL_1_1_APIS + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +/*! \class Image2DArray + * \brief Image interface for arrays of 2D images. + */ +class Image2DArray : public Image +{ +public: + Image2DArray( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + size_type arraySize, + size_type width, + size_type height, + size_type rowPitch, + size_type slicePitch, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE2D_ARRAY, + width, + height, + 0, // depth (unused) + arraySize, + rowPitch, + slicePitch, + 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } + + Image2DArray() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image2DArray(const cl_mem& imageArray, bool retainObject = false) : Image(imageArray, retainObject) { } + + Image2DArray& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image2DArray(const Image2DArray& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image2DArray& operator = (const Image2DArray &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image2DArray(Image2DArray&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image2DArray& operator = (Image2DArray &&img) + { + Image::operator=(std::move(img)); + return *this; + } +}; +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 120 + +/*! \brief Class interface for 3D Image Memory objects. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image3D : public Image +{ +public: + /*! \brief Constructs a 3D Image in a specified context. + * + * Wraps clCreateImage(). + */ + Image3D( + const Context& context, + cl_mem_flags flags, + ImageFormat format, + size_type width, + size_type height, + size_type depth, + size_type row_pitch = 0, + size_type slice_pitch = 0, + void* host_ptr = NULL, + cl_int* err = NULL) + { + cl_int error; + bool useCreateImage; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 && CL_HPP_MINIMUM_OPENCL_VERSION < 120 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useCreateImage = (version >= 0x10002); // OpenCL 1.2 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 120 + useCreateImage = true; +#else + useCreateImage = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + if (useCreateImage) + { + cl_image_desc desc = + { + CL_MEM_OBJECT_IMAGE3D, + width, + height, + depth, + 0, // array size (unused) + row_pitch, + slice_pitch, + 0, 0, 0 + }; + object_ = ::clCreateImage( + context(), + flags, + &format, + &desc, + host_ptr, + &error); + + detail::errHandler(error, __CREATE_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 120 + if (!useCreateImage) + { + object_ = ::clCreateImage3D( + context(), flags, &format, width, height, depth, row_pitch, + slice_pitch, host_ptr, &error); + + detail::errHandler(error, __CREATE_IMAGE3D_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 120 + } + + //! \brief Default constructor - initializes to NULL. + Image3D() : Image() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image3D(const cl_mem& image3D, bool retainObject = false) : + Image(image3D, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image3D& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image3D(const Image3D& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image3D& operator = (const Image3D &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image3D(Image3D&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image3D& operator = (Image3D &&img) + { + Image::operator=(std::move(img)); + return *this; + } +}; + +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +/*! \brief Class interface for GL 3D Image Memory objects. + * + * This is provided to facilitate interoperability with OpenGL. + * + * See Memory for details about copy semantics, etc. + * + * \see Memory + */ +class Image3DGL : public Image3D +{ +public: + /*! \brief Constructs an Image3DGL in a specified context, from a given + * GL Texture. + * + * Wraps clCreateFromGLTexture3D(). + */ + Image3DGL( + const Context& context, + cl_mem_flags flags, + cl_GLenum target, + cl_GLint miplevel, + cl_GLuint texobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLTexture3D( + context(), + flags, + target, + miplevel, + texobj, + &error); + + detail::errHandler(error, __CREATE_GL_TEXTURE_3D_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + Image3DGL() : Image3D() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit Image3DGL(const cl_mem& image, bool retainObject = false) : + Image3D(image, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Image3DGL& operator = (const cl_mem& rhs) + { + Image3D::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image3DGL(const Image3DGL& img) : Image3D(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Image3DGL& operator = (const Image3DGL &img) + { + Image3D::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Image3DGL(Image3DGL&& img) CL_HPP_NOEXCEPT_ : Image3D(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Image3DGL& operator = (Image3DGL &&img) + { + Image3D::operator=(std::move(img)); + return *this; + } +}; +#endif // CL_USE_DEPRECATED_OPENCL_1_1_APIS + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +/*! \class ImageGL + * \brief general image interface for GL interop. + * We abstract the 2D and 3D GL images into a single instance here + * that wraps all GL sourced images on the grounds that setup information + * was performed by OpenCL anyway. + */ +class ImageGL : public Image +{ +public: + ImageGL( + const Context& context, + cl_mem_flags flags, + cl_GLenum target, + cl_GLint miplevel, + cl_GLuint texobj, + cl_int * err = NULL) + { + cl_int error; + object_ = ::clCreateFromGLTexture( + context(), + flags, + target, + miplevel, + texobj, + &error); + + detail::errHandler(error, __CREATE_GL_TEXTURE_ERR); + if (err != NULL) { + *err = error; + } + } + + ImageGL() : Image() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * See Memory for further details. + */ + explicit ImageGL(const cl_mem& image, bool retainObject = false) : + Image(image, retainObject) { } + + ImageGL& operator = (const cl_mem& rhs) + { + Image::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + ImageGL(const ImageGL& img) : Image(img) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + ImageGL& operator = (const ImageGL &img) + { + Image::operator=(img); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + ImageGL(ImageGL&& img) CL_HPP_NOEXCEPT_ : Image(std::move(img)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + ImageGL& operator = (ImageGL &&img) + { + Image::operator=(std::move(img)); + return *this; + } +}; +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +/*! \brief Class interface for Pipe Memory Objects. +* +* See Memory for details about copy semantics, etc. +* +* \see Memory +*/ +class Pipe : public Memory +{ +public: + + /*! \brief Constructs a Pipe in a specified context. + * + * Wraps clCreatePipe(). + * @param context Context in which to create the pipe. + * @param flags Bitfield. Only CL_MEM_READ_WRITE and CL_MEM_HOST_NO_ACCESS are valid. + * @param packet_size Size in bytes of a single packet of the pipe. + * @param max_packets Number of packets that may be stored in the pipe. + * + */ + Pipe( + const Context& context, + cl_uint packet_size, + cl_uint max_packets, + cl_int* err = NULL) + { + cl_int error; + + cl_mem_flags flags = CL_MEM_READ_WRITE | CL_MEM_HOST_NO_ACCESS; + object_ = ::clCreatePipe(context(), flags, packet_size, max_packets, nullptr, &error); + + detail::errHandler(error, __CREATE_PIPE_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Constructs a Pipe in a the default context. + * + * Wraps clCreatePipe(). + * @param flags Bitfield. Only CL_MEM_READ_WRITE and CL_MEM_HOST_NO_ACCESS are valid. + * @param packet_size Size in bytes of a single packet of the pipe. + * @param max_packets Number of packets that may be stored in the pipe. + * + */ + Pipe( + cl_uint packet_size, + cl_uint max_packets, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(err); + + cl_mem_flags flags = CL_MEM_READ_WRITE | CL_MEM_HOST_NO_ACCESS; + object_ = ::clCreatePipe(context(), flags, packet_size, max_packets, nullptr, &error); + + detail::errHandler(error, __CREATE_PIPE_ERR); + if (err != NULL) { + *err = error; + } + } + + //! \brief Default constructor - initializes to NULL. + Pipe() : Memory() { } + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with earlier versions. + * + * See Memory for further details. + */ + explicit Pipe(const cl_mem& pipe, bool retainObject = false) : + Memory(pipe, retainObject) { } + + /*! \brief Assignment from cl_mem - performs shallow copy. + * + * See Memory for further details. + */ + Pipe& operator = (const cl_mem& rhs) + { + Memory::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Pipe(const Pipe& pipe) : Memory(pipe) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Pipe& operator = (const Pipe &pipe) + { + Memory::operator=(pipe); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Pipe(Pipe&& pipe) CL_HPP_NOEXCEPT_ : Memory(std::move(pipe)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Pipe& operator = (Pipe &&pipe) + { + Memory::operator=(std::move(pipe)); + return *this; + } + + //! \brief Wrapper for clGetMemObjectInfo(). + template + cl_int getInfo(cl_pipe_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetPipeInfo, object_, name, param), + __GET_PIPE_INFO_ERR); + } + + //! \brief Wrapper for clGetMemObjectInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_pipe_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +}; // class Pipe +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 + + +/*! \brief Class interface for cl_sampler. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_sampler as the original. For details, see + * clRetainSampler() and clReleaseSampler(). + * + * \see cl_sampler + */ +class Sampler : public detail::Wrapper +{ +public: + //! \brief Default constructor - initializes to NULL. + Sampler() { } + + /*! \brief Constructs a Sampler in a specified context. + * + * Wraps clCreateSampler(). + */ + Sampler( + const Context& context, + cl_bool normalized_coords, + cl_addressing_mode addressing_mode, + cl_filter_mode filter_mode, + cl_int* err = NULL) + { + cl_int error; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + cl_sampler_properties sampler_properties[] = { + CL_SAMPLER_NORMALIZED_COORDS, normalized_coords, + CL_SAMPLER_ADDRESSING_MODE, addressing_mode, + CL_SAMPLER_FILTER_MODE, filter_mode, + 0 }; + object_ = ::clCreateSamplerWithProperties( + context(), + sampler_properties, + &error); + + detail::errHandler(error, __CREATE_SAMPLER_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } +#else + object_ = ::clCreateSampler( + context(), + normalized_coords, + addressing_mode, + filter_mode, + &error); + + detail::errHandler(error, __CREATE_SAMPLER_ERR); + if (err != NULL) { + *err = error; + } +#endif + } + + /*! \brief Constructor from cl_sampler - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * This effectively transfers ownership of a refcount on the cl_sampler + * into the new Sampler object. + */ + explicit Sampler(const cl_sampler& sampler, bool retainObject = false) : + detail::Wrapper(sampler, retainObject) { } + + /*! \brief Assignment operator from cl_sampler - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseSampler() on the value previously held by this instance. + */ + Sampler& operator = (const cl_sampler& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Sampler(const Sampler& sam) : detail::Wrapper(sam) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Sampler& operator = (const Sampler &sam) + { + detail::Wrapper::operator=(sam); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Sampler(Sampler&& sam) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(sam)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Sampler& operator = (Sampler &&sam) + { + detail::Wrapper::operator=(std::move(sam)); + return *this; + } + + //! \brief Wrapper for clGetSamplerInfo(). + template + cl_int getInfo(cl_sampler_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetSamplerInfo, object_, name, param), + __GET_SAMPLER_INFO_ERR); + } + + //! \brief Wrapper for clGetSamplerInfo() that returns by value. + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_sampler_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +}; + +class Program; +class CommandQueue; +class DeviceCommandQueue; +class Kernel; + +//! \brief Class interface for specifying NDRange values. +class NDRange +{ +private: + size_type sizes_[3]; + cl_uint dimensions_; + +public: + //! \brief Default constructor - resulting range has zero dimensions. + NDRange() + : dimensions_(0) + { + sizes_[0] = 0; + sizes_[1] = 0; + sizes_[2] = 0; + } + + //! \brief Constructs one-dimensional range. + NDRange(size_type size0) + : dimensions_(1) + { + sizes_[0] = size0; + sizes_[1] = 1; + sizes_[2] = 1; + } + + //! \brief Constructs two-dimensional range. + NDRange(size_type size0, size_type size1) + : dimensions_(2) + { + sizes_[0] = size0; + sizes_[1] = size1; + sizes_[2] = 1; + } + + //! \brief Constructs three-dimensional range. + NDRange(size_type size0, size_type size1, size_type size2) + : dimensions_(3) + { + sizes_[0] = size0; + sizes_[1] = size1; + sizes_[2] = size2; + } + + /*! \brief Conversion operator to const size_type *. + * + * \returns a pointer to the size of the first dimension. + */ + operator const size_type*() const { + return sizes_; + } + + //! \brief Queries the number of dimensions in the range. + size_type dimensions() const + { + return dimensions_; + } + + //! \brief Returns the size of the object in bytes based on the + // runtime number of dimensions + size_type size() const + { + return dimensions_*sizeof(size_type); + } + + size_type* get() + { + return sizes_; + } + + const size_type* get() const + { + return sizes_; + } +}; + +//! \brief A zero-dimensional range. +static const NDRange NullRange; + +//! \brief Local address wrapper for use with Kernel::setArg +struct LocalSpaceArg +{ + size_type size_; +}; + +namespace detail { + +template +struct KernelArgumentHandler; + +// Enable for objects that are not subclasses of memory +// Pointers, constants etc +template +struct KernelArgumentHandler::value>::type> +{ + static size_type size(const T&) { return sizeof(T); } + static const T* ptr(const T& value) { return &value; } +}; + +// Enable for subclasses of memory where we want to get a reference to the cl_mem out +// and pass that in for safety +template +struct KernelArgumentHandler::value>::type> +{ + static size_type size(const T&) { return sizeof(cl_mem); } + static const cl_mem* ptr(const T& value) { return &(value()); } +}; + +// Specialization for DeviceCommandQueue defined later + +template <> +struct KernelArgumentHandler +{ + static size_type size(const LocalSpaceArg& value) { return value.size_; } + static const void* ptr(const LocalSpaceArg&) { return NULL; } +}; + +} +//! \endcond + +/*! Local + * \brief Helper function for generating LocalSpaceArg objects. + */ +inline LocalSpaceArg +Local(size_type size) +{ + LocalSpaceArg ret = { size }; + return ret; +} + +/*! \brief Class interface for cl_kernel. + * + * \note Copies of these objects are shallow, meaning that the copy will refer + * to the same underlying cl_kernel as the original. For details, see + * clRetainKernel() and clReleaseKernel(). + * + * \see cl_kernel + */ +class Kernel : public detail::Wrapper +{ +public: + inline Kernel(const Program& program, const char* name, cl_int* err = NULL); + + //! \brief Default constructor - initializes to NULL. + Kernel() { } + + /*! \brief Constructor from cl_kernel - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + * This effectively transfers ownership of a refcount on the cl_kernel + * into the new Kernel object. + */ + explicit Kernel(const cl_kernel& kernel, bool retainObject = false) : + detail::Wrapper(kernel, retainObject) { } + + /*! \brief Assignment operator from cl_kernel - takes ownership. + * + * This effectively transfers ownership of a refcount on the rhs and calls + * clReleaseKernel() on the value previously held by this instance. + */ + Kernel& operator = (const cl_kernel& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Kernel(const Kernel& kernel) : detail::Wrapper(kernel) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Kernel& operator = (const Kernel &kernel) + { + detail::Wrapper::operator=(kernel); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Kernel(Kernel&& kernel) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(kernel)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Kernel& operator = (Kernel &&kernel) + { + detail::Wrapper::operator=(std::move(kernel)); + return *this; + } + + template + cl_int getInfo(cl_kernel_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetKernelInfo, object_, name, param), + __GET_KERNEL_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_kernel_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + template + cl_int getArgInfo(cl_uint argIndex, cl_kernel_arg_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetKernelArgInfo, object_, argIndex, name, param), + __GET_KERNEL_ARG_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getArgInfo(cl_uint argIndex, cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_kernel_arg_info, name>::param_type param; + cl_int result = getArgInfo(argIndex, name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + template + cl_int getWorkGroupInfo( + const Device& device, cl_kernel_work_group_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetKernelWorkGroupInfo, object_, device(), name, param), + __GET_KERNEL_WORK_GROUP_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getWorkGroupInfo(const Device& device, cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_kernel_work_group_info, name>::param_type param; + cl_int result = getWorkGroupInfo(device, name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + +#if (CL_HPP_TARGET_OPENCL_VERSION >= 200 && defined(CL_HPP_USE_CL_SUB_GROUPS_KHR)) || CL_HPP_TARGET_OPENCL_VERSION >= 210 + cl_int getSubGroupInfo(const cl::Device &dev, cl_kernel_sub_group_info name, const cl::NDRange &range, size_type* param) const + { +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + return detail::errHandler( + clGetKernelSubGroupInfo(object_, dev(), name, range.size(), range.get(), sizeof(size_type), param, nullptr), + __GET_KERNEL_SUB_GROUP_INFO_ERR); + +#else // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + typedef clGetKernelSubGroupInfoKHR_fn PFN_clGetKernelSubGroupInfoKHR; + static PFN_clGetKernelSubGroupInfoKHR pfn_clGetKernelSubGroupInfoKHR = NULL; + CL_HPP_INIT_CL_EXT_FCN_PTR_(clGetKernelSubGroupInfoKHR); + + return detail::errHandler( + pfn_clGetKernelSubGroupInfoKHR(object_, dev(), name, range.size(), range.get(), sizeof(size_type), param, nullptr), + __GET_KERNEL_SUB_GROUP_INFO_ERR); + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + } + + template + size_type getSubGroupInfo(const cl::Device &dev, const cl::NDRange &range, cl_int* err = NULL) const + { + size_type param; + cl_int result = getSubGroupInfo(dev, name, range, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + /*! \brief setArg overload taking a shared_ptr type + */ + template + cl_int setArg(cl_uint index, const cl::pointer &argPtr) + { + return detail::errHandler( + ::clSetKernelArgSVMPointer(object_, index, argPtr.get()), + __SET_KERNEL_ARGS_ERR); + } + + /*! \brief setArg overload taking a vector type. + */ + template + cl_int setArg(cl_uint index, const cl::vector &argPtr) + { + return detail::errHandler( + ::clSetKernelArgSVMPointer(object_, index, argPtr.data()), + __SET_KERNEL_ARGS_ERR); + } + + /*! \brief setArg overload taking a pointer type + */ + template + typename std::enable_if::value, cl_int>::type + setArg(cl_uint index, const T argPtr) + { + return detail::errHandler( + ::clSetKernelArgSVMPointer(object_, index, argPtr), + __SET_KERNEL_ARGS_ERR); + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + + /*! \brief setArg overload taking a POD type + */ + template + typename std::enable_if::value, cl_int>::type + setArg(cl_uint index, const T &value) + { + return detail::errHandler( + ::clSetKernelArg( + object_, + index, + detail::KernelArgumentHandler::size(value), + detail::KernelArgumentHandler::ptr(value)), + __SET_KERNEL_ARGS_ERR); + } + + cl_int setArg(cl_uint index, size_type size, const void* argPtr) + { + return detail::errHandler( + ::clSetKernelArg(object_, index, size, argPtr), + __SET_KERNEL_ARGS_ERR); + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + /*! + * Specify a vector of SVM pointers that the kernel may access in + * addition to its arguments. + */ + cl_int setSVMPointers(const vector &pointerList) + { + return detail::errHandler( + ::clSetKernelExecInfo( + object_, + CL_KERNEL_EXEC_INFO_SVM_PTRS, + sizeof(void*)*pointerList.size(), + pointerList.data())); + } + + /*! + * Specify a std::array of SVM pointers that the kernel may access in + * addition to its arguments. + */ + template + cl_int setSVMPointers(const std::array &pointerList) + { + return detail::errHandler( + ::clSetKernelExecInfo( + object_, + CL_KERNEL_EXEC_INFO_SVM_PTRS, + sizeof(void*)*pointerList.size(), + pointerList.data())); + } + + /*! \brief Enable fine-grained system SVM. + * + * \note It is only possible to enable fine-grained system SVM if all devices + * in the context associated with kernel support it. + * + * \param svmEnabled True if fine-grained system SVM is requested. False otherwise. + * \return CL_SUCCESS if the function was executed succesfully. CL_INVALID_OPERATION + * if no devices in the context support fine-grained system SVM. + * + * \see clSetKernelExecInfo + */ + cl_int enableFineGrainedSystemSVM(bool svmEnabled) + { + cl_bool svmEnabled_ = svmEnabled ? CL_TRUE : CL_FALSE; + return detail::errHandler( + ::clSetKernelExecInfo( + object_, + CL_KERNEL_EXEC_INFO_SVM_FINE_GRAIN_SYSTEM, + sizeof(cl_bool), + &svmEnabled_ + ) + ); + } + + template + void setSVMPointersHelper(std::array &pointerList, const pointer &t0, const pointer &t1, Ts & ... ts) + { + pointerList[index] = static_cast(t0.get()); + setSVMPointersHelper(pointerList, t1, ts...); + } + + template + typename std::enable_if::value, void>::type + setSVMPointersHelper(std::array &pointerList, T0 t0, T1 t1, Ts... ts) + { + pointerList[index] = static_cast(t0); + setSVMPointersHelper(pointerList, t1, ts...); + } + + template + void setSVMPointersHelper(std::array &pointerList, const pointer &t0) + { + pointerList[index] = static_cast(t0.get()); + } + + + template + typename std::enable_if::value, void>::type + setSVMPointersHelper(std::array &pointerList, T0 t0) + { + pointerList[index] = static_cast(t0); + } + + template + cl_int setSVMPointers(const T0 &t0, Ts & ... ts) + { + std::array pointerList; + + setSVMPointersHelper<0, 1 + sizeof...(Ts)>(pointerList, t0, ts...); + return detail::errHandler( + ::clSetKernelExecInfo( + object_, + CL_KERNEL_EXEC_INFO_SVM_PTRS, + sizeof(void*)*(1 + sizeof...(Ts)), + pointerList.data())); + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + /** + * Make a deep copy of the kernel object including its arguments. + * @return A new kernel object with internal state entirely separate from that + * of the original but with any arguments set on the original intact. + */ + Kernel clone() + { + cl_int error; + Kernel retValue(clCloneKernel(this->get(), &error)); + + detail::errHandler(error, __CLONE_KERNEL_ERR); + return retValue; + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 +}; + +/*! \class Program + * \brief Program interface that implements cl_program. + */ +class Program : public detail::Wrapper +{ +public: +#if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + typedef vector> Binaries; + typedef vector Sources; +#else // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + typedef vector > Binaries; + typedef vector > Sources; +#endif // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + + Program( + const string& source, + bool build = false, + cl_int* err = NULL) + { + cl_int error; + + const char * strings = source.c_str(); + const size_type length = source.size(); + + Context context = Context::getDefault(err); + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)1, &strings, &length, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + + if (error == CL_SUCCESS && build) { + + error = ::clBuildProgram( + object_, + 0, + NULL, +#if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + "-cl-std=CL2.0", +#else + "", +#endif // #if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + NULL, + NULL); + + detail::buildErrHandler(error, __BUILD_PROGRAM_ERR, getBuildInfo()); + } + + if (err != NULL) { + *err = error; + } + } + + Program( + const Context& context, + const string& source, + bool build = false, + cl_int* err = NULL) + { + cl_int error; + + const char * strings = source.c_str(); + const size_type length = source.size(); + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)1, &strings, &length, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + + if (error == CL_SUCCESS && build) { + error = ::clBuildProgram( + object_, + 0, + NULL, +#if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + "-cl-std=CL2.0", +#else + "", +#endif // #if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + NULL, + NULL); + + detail::buildErrHandler(error, __BUILD_PROGRAM_ERR, getBuildInfo()); + } + + if (err != NULL) { + *err = error; + } + } + + /** + * Create a program from a vector of source strings and the default context. + * Does not compile or link the program. + */ + Program( + const Sources& sources, + cl_int* err = NULL) + { + cl_int error; + Context context = Context::getDefault(err); + + const size_type n = (size_type)sources.size(); + + vector lengths(n); + vector strings(n); + + for (size_type i = 0; i < n; ++i) { +#if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + strings[i] = sources[(int)i].data(); + lengths[i] = sources[(int)i].length(); +#else // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + strings[i] = sources[(int)i].first; + lengths[i] = sources[(int)i].second; +#endif // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + } + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)n, strings.data(), lengths.data(), &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + if (err != NULL) { + *err = error; + } + } + + /** + * Create a program from a vector of source strings and a provided context. + * Does not compile or link the program. + */ + Program( + const Context& context, + const Sources& sources, + cl_int* err = NULL) + { + cl_int error; + + const size_type n = (size_type)sources.size(); + + vector lengths(n); + vector strings(n); + + for (size_type i = 0; i < n; ++i) { +#if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + strings[i] = sources[(int)i].data(); + lengths[i] = sources[(int)i].length(); +#else // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + strings[i] = sources[(int)i].first; + lengths[i] = sources[(int)i].second; +#endif // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + } + + object_ = ::clCreateProgramWithSource( + context(), (cl_uint)n, strings.data(), lengths.data(), &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_SOURCE_ERR); + if (err != NULL) { + *err = error; + } + } + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 || (CL_HPP_TARGET_OPENCL_VERSION==200 && defined(CL_HPP_USE_IL_KHR)) + /** + * Program constructor to allow construction of program from SPIR-V or another IL. + * Valid for either OpenCL >= 2.1 or when CL_HPP_USE_IL_KHR is defined. + */ + Program( + const vector& IL, + bool build = false, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(err); + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + object_ = ::clCreateProgramWithIL( + context(), static_cast(IL.data()), IL.size(), &error); + +#else // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + typedef clCreateProgramWithILKHR_fn PFN_clCreateProgramWithILKHR; + static PFN_clCreateProgramWithILKHR pfn_clCreateProgramWithILKHR = NULL; + CL_HPP_INIT_CL_EXT_FCN_PTR_(clCreateProgramWithILKHR); + + return detail::errHandler( + pfn_clCreateProgramWithILKHR( + context(), static_cast(IL.data()), IL.size(), &error); + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + detail::errHandler(error, __CREATE_PROGRAM_WITH_IL_ERR); + + if (error == CL_SUCCESS && build) { + + error = ::clBuildProgram( + object_, + 0, + NULL, +#if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + "-cl-std=CL2.0", +#else + "", +#endif // #if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + NULL, + NULL); + + detail::buildErrHandler(error, __BUILD_PROGRAM_ERR, getBuildInfo()); + } + + if (err != NULL) { + *err = error; + } + } + + /** + * Program constructor to allow construction of program from SPIR-V or another IL + * for a specific context. + * Valid for either OpenCL >= 2.1 or when CL_HPP_USE_IL_KHR is defined. + */ + Program( + const Context& context, + const vector& IL, + bool build = false, + cl_int* err = NULL) + { + cl_int error; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + object_ = ::clCreateProgramWithIL( + context(), static_cast(IL.data()), IL.size(), &error); + +#else // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + typedef clCreateProgramWithILKHR_fn PFN_clCreateProgramWithILKHR; + static PFN_clCreateProgramWithILKHR pfn_clCreateProgramWithILKHR = NULL; + CL_HPP_INIT_CL_EXT_FCN_PTR_(clCreateProgramWithILKHR); + + return detail::errHandler( + pfn_clCreateProgramWithILKHR( + context(), static_cast(IL.data()), IL.size(), &error); + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + detail::errHandler(error, __CREATE_PROGRAM_WITH_IL_ERR); + + if (error == CL_SUCCESS && build) { + error = ::clBuildProgram( + object_, + 0, + NULL, +#if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + "-cl-std=CL2.0", +#else + "", +#endif // #if !defined(CL_HPP_CL_1_2_DEFAULT_BUILD) + NULL, + NULL); + + detail::buildErrHandler(error, __BUILD_PROGRAM_ERR, getBuildInfo()); + } + + if (err != NULL) { + *err = error; + } + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + /** + * Construct a program object from a list of devices and a per-device list of binaries. + * \param context A valid OpenCL context in which to construct the program. + * \param devices A vector of OpenCL device objects for which the program will be created. + * \param binaries A vector of pairs of a pointer to a binary object and its length. + * \param binaryStatus An optional vector that on completion will be resized to + * match the size of binaries and filled with values to specify if each binary + * was successfully loaded. + * Set to CL_SUCCESS if the binary was successfully loaded. + * Set to CL_INVALID_VALUE if the length is 0 or the binary pointer is NULL. + * Set to CL_INVALID_BINARY if the binary provided is not valid for the matching device. + * \param err if non-NULL will be set to CL_SUCCESS on successful operation or one of the following errors: + * CL_INVALID_CONTEXT if context is not a valid context. + * CL_INVALID_VALUE if the length of devices is zero; or if the length of binaries does not match the length of devices; + * or if any entry in binaries is NULL or has length 0. + * CL_INVALID_DEVICE if OpenCL devices listed in devices are not in the list of devices associated with context. + * CL_INVALID_BINARY if an invalid program binary was encountered for any device. binaryStatus will return specific status for each device. + * CL_OUT_OF_HOST_MEMORY if there is a failure to allocate resources required by the OpenCL implementation on the host. + */ + Program( + const Context& context, + const vector& devices, + const Binaries& binaries, + vector* binaryStatus = NULL, + cl_int* err = NULL) + { + cl_int error; + + const size_type numDevices = devices.size(); + + // Catch size mismatch early and return + if(binaries.size() != numDevices) { + error = CL_INVALID_VALUE; + detail::errHandler(error, __CREATE_PROGRAM_WITH_BINARY_ERR); + if (err != NULL) { + *err = error; + } + return; + } + + + vector lengths(numDevices); + vector images(numDevices); +#if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + for (size_type i = 0; i < numDevices; ++i) { + images[i] = binaries[i].data(); + lengths[i] = binaries[(int)i].size(); + } +#else // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + for (size_type i = 0; i < numDevices; ++i) { + images[i] = (const unsigned char*)binaries[i].first; + lengths[i] = binaries[(int)i].second; + } +#endif // #if !defined(CL_HPP_ENABLE_PROGRAM_CONSTRUCTION_FROM_ARRAY_COMPATIBILITY) + + vector deviceIDs(numDevices); + for( size_type deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + if(binaryStatus) { + binaryStatus->resize(numDevices); + } + + object_ = ::clCreateProgramWithBinary( + context(), (cl_uint) devices.size(), + deviceIDs.data(), + lengths.data(), images.data(), (binaryStatus != NULL && numDevices > 0) + ? &binaryStatus->front() + : NULL, &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_BINARY_ERR); + if (err != NULL) { + *err = error; + } + } + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + /** + * Create program using builtin kernels. + * \param kernelNames Semi-colon separated list of builtin kernel names + */ + Program( + const Context& context, + const vector& devices, + const string& kernelNames, + cl_int* err = NULL) + { + cl_int error; + + + size_type numDevices = devices.size(); + vector deviceIDs(numDevices); + for( size_type deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + object_ = ::clCreateProgramWithBuiltInKernels( + context(), + (cl_uint) devices.size(), + deviceIDs.data(), + kernelNames.c_str(), + &error); + + detail::errHandler(error, __CREATE_PROGRAM_WITH_BUILT_IN_KERNELS_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + Program() { } + + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + */ + explicit Program(const cl_program& program, bool retainObject = false) : + detail::Wrapper(program, retainObject) { } + + Program& operator = (const cl_program& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + Program(const Program& program) : detail::Wrapper(program) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + Program& operator = (const Program &program) + { + detail::Wrapper::operator=(program); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + Program(Program&& program) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(program)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + Program& operator = (Program &&program) + { + detail::Wrapper::operator=(std::move(program)); + return *this; + } + + cl_int build( + const vector& devices, + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL) const + { + size_type numDevices = devices.size(); + vector deviceIDs(numDevices); + + for( size_type deviceIndex = 0; deviceIndex < numDevices; ++deviceIndex ) { + deviceIDs[deviceIndex] = (devices[deviceIndex])(); + } + + cl_int buildError = ::clBuildProgram( + object_, + (cl_uint) + devices.size(), + deviceIDs.data(), + options, + notifyFptr, + data); + + return detail::buildErrHandler(buildError, __BUILD_PROGRAM_ERR, getBuildInfo()); + } + + cl_int build( + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL) const + { + cl_int buildError = ::clBuildProgram( + object_, + 0, + NULL, + options, + notifyFptr, + data); + + + return detail::buildErrHandler(buildError, __BUILD_PROGRAM_ERR, getBuildInfo()); + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + cl_int compile( + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL) const + { + cl_int error = ::clCompileProgram( + object_, + 0, + NULL, + options, + 0, + NULL, + NULL, + notifyFptr, + data); + return detail::buildErrHandler(error, __COMPILE_PROGRAM_ERR, getBuildInfo()); + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + template + cl_int getInfo(cl_program_info name, T* param) const + { + return detail::errHandler( + detail::getInfo(&::clGetProgramInfo, object_, name, param), + __GET_PROGRAM_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_program_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + template + cl_int getBuildInfo( + const Device& device, cl_program_build_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetProgramBuildInfo, object_, device(), name, param), + __GET_PROGRAM_BUILD_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getBuildInfo(const Device& device, cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_program_build_info, name>::param_type param; + cl_int result = getBuildInfo(device, name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /** + * Build info function that returns a vector of device/info pairs for the specified + * info type and for all devices in the program. + * On an error reading the info for any device, an empty vector of info will be returned. + */ + template + vector::param_type>> + getBuildInfo(cl_int *err = NULL) const + { + cl_int result = CL_SUCCESS; + + auto devs = getInfo(&result); + vector::param_type>> + devInfo; + + // If there was an initial error from getInfo return the error + if (result != CL_SUCCESS) { + if (err != NULL) { + *err = result; + } + return devInfo; + } + + for (const cl::Device &d : devs) { + typename detail::param_traits< + detail::cl_program_build_info, name>::param_type param; + result = getBuildInfo(d, name, ¶m); + devInfo.push_back( + std::pair::param_type> + (d, param)); + if (result != CL_SUCCESS) { + // On error, leave the loop and return the error code + break; + } + } + if (err != NULL) { + *err = result; + } + if (result != CL_SUCCESS) { + devInfo.clear(); + } + return devInfo; + } + + cl_int createKernels(vector* kernels) + { + cl_uint numKernels; + cl_int err = ::clCreateKernelsInProgram(object_, 0, NULL, &numKernels); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_KERNELS_IN_PROGRAM_ERR); + } + + vector value(numKernels); + + err = ::clCreateKernelsInProgram( + object_, numKernels, value.data(), NULL); + if (err != CL_SUCCESS) { + return detail::errHandler(err, __CREATE_KERNELS_IN_PROGRAM_ERR); + } + + if (kernels) { + kernels->resize(value.size()); + + // Assign to param, constructing with retain behaviour + // to correctly capture each underlying CL object + for (size_type i = 0; i < value.size(); i++) { + // We do not need to retain because this kernel is being created + // by the runtime + (*kernels)[i] = Kernel(value[i], false); + } + } + return CL_SUCCESS; + } +}; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 +inline Program linkProgram( + Program input1, + Program input2, + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL, + cl_int* err = NULL) +{ + cl_int error_local = CL_SUCCESS; + + cl_program programs[2] = { input1(), input2() }; + + Context ctx = input1.getInfo(&error_local); + if(error_local!=CL_SUCCESS) { + detail::errHandler(error_local, __LINK_PROGRAM_ERR); + } + + cl_program prog = ::clLinkProgram( + ctx(), + 0, + NULL, + options, + 2, + programs, + notifyFptr, + data, + &error_local); + + detail::errHandler(error_local,__COMPILE_PROGRAM_ERR); + if (err != NULL) { + *err = error_local; + } + + return Program(prog); +} + +inline Program linkProgram( + vector inputPrograms, + const char* options = NULL, + void (CL_CALLBACK * notifyFptr)(cl_program, void *) = NULL, + void* data = NULL, + cl_int* err = NULL) +{ + cl_int error_local = CL_SUCCESS; + + vector programs(inputPrograms.size()); + + for (unsigned int i = 0; i < inputPrograms.size(); i++) { + programs[i] = inputPrograms[i](); + } + + Context ctx; + if(inputPrograms.size() > 0) { + ctx = inputPrograms[0].getInfo(&error_local); + if(error_local!=CL_SUCCESS) { + detail::errHandler(error_local, __LINK_PROGRAM_ERR); + } + } + cl_program prog = ::clLinkProgram( + ctx(), + 0, + NULL, + options, + (cl_uint)inputPrograms.size(), + programs.data(), + notifyFptr, + data, + &error_local); + + detail::errHandler(error_local,__COMPILE_PROGRAM_ERR); + if (err != NULL) { + *err = error_local; + } + + return Program(prog, false); +} +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + +// Template specialization for CL_PROGRAM_BINARIES +template <> +inline cl_int cl::Program::getInfo(cl_program_info name, vector>* param) const +{ + if (name != CL_PROGRAM_BINARIES) { + return CL_INVALID_VALUE; + } + if (param) { + // Resize the parameter array appropriately for each allocation + // and pass down to the helper + + vector sizes = getInfo(); + size_type numBinaries = sizes.size(); + + // Resize the parameter array and constituent arrays + param->resize(numBinaries); + for (size_type i = 0; i < numBinaries; ++i) { + (*param)[i].resize(sizes[i]); + } + + return detail::errHandler( + detail::getInfo(&::clGetProgramInfo, object_, name, param), + __GET_PROGRAM_INFO_ERR); + } + + return CL_SUCCESS; +} + +template<> +inline vector> cl::Program::getInfo(cl_int* err) const +{ + vector> binariesVectors; + + cl_int result = getInfo(CL_PROGRAM_BINARIES, &binariesVectors); + if (err != NULL) { + *err = result; + } + return binariesVectors; +} + +inline Kernel::Kernel(const Program& program, const char* name, cl_int* err) +{ + cl_int error; + + object_ = ::clCreateKernel(program(), name, &error); + detail::errHandler(error, __CREATE_KERNEL_ERR); + + if (err != NULL) { + *err = error; + } + +} + +enum class QueueProperties : cl_command_queue_properties +{ + None = 0, + Profiling = CL_QUEUE_PROFILING_ENABLE, + OutOfOrder = CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE, +}; + +inline QueueProperties operator|(QueueProperties lhs, QueueProperties rhs) +{ + return static_cast(static_cast(lhs) | static_cast(rhs)); +} + +/*! \class CommandQueue + * \brief CommandQueue interface for cl_command_queue. + */ +class CommandQueue : public detail::Wrapper +{ +private: + static std::once_flag default_initialized_; + static CommandQueue default_; + static cl_int default_error_; + + /*! \brief Create the default command queue returned by @ref getDefault. + * + * It sets default_error_ to indicate success or failure. It does not throw + * @c cl::Error. + */ + static void makeDefault() + { + /* We don't want to throw an error from this function, so we have to + * catch and set the error flag. + */ +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + try +#endif + { + int error; + Context context = Context::getDefault(&error); + + if (error != CL_SUCCESS) { + default_error_ = error; + } + else { + Device device = Device::getDefault(); + default_ = CommandQueue(context, device, 0, &default_error_); + } + } +#if defined(CL_HPP_ENABLE_EXCEPTIONS) + catch (cl::Error &e) { + default_error_ = e.err(); + } +#endif + } + + /*! \brief Create the default command queue. + * + * This sets @c default_. It does not throw + * @c cl::Error. + */ + static void makeDefaultProvided(const CommandQueue &c) { + default_ = c; + } + +public: +#ifdef CL_HPP_UNIT_TEST_ENABLE + /*! \brief Reset the default. + * + * This sets @c default_ to an empty value to support cleanup in + * the unit test framework. + * This function is not thread safe. + */ + static void unitTestClearDefault() { + default_ = CommandQueue(); + } +#endif // #ifdef CL_HPP_UNIT_TEST_ENABLE + + + /*! + * \brief Constructs a CommandQueue based on passed properties. + * Will return an CL_INVALID_QUEUE_PROPERTIES error if CL_QUEUE_ON_DEVICE is specified. + */ + CommandQueue( + cl_command_queue_properties properties, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(&error); + detail::errHandler(error, __CREATE_CONTEXT_ERR); + + if (error != CL_SUCCESS) { + if (err != NULL) { + *err = error; + } + } + else { + Device device = context.getInfo()[0]; + bool useWithProperties; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useWithProperties = (version >= 0x20000); // OpenCL 2.0 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 200 + useWithProperties = true; +#else + useWithProperties = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (useWithProperties) { + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, properties, 0 }; + if ((properties & CL_QUEUE_ON_DEVICE) == 0) { + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + } + else { + error = CL_INVALID_QUEUE_PROPERTIES; + } + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 200 + if (!useWithProperties) { + object_ = ::clCreateCommandQueue( + context(), device(), properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + } + } + + /*! + * \brief Constructs a CommandQueue based on passed properties. + * Will return an CL_INVALID_QUEUE_PROPERTIES error if CL_QUEUE_ON_DEVICE is specified. + */ + CommandQueue( + QueueProperties properties, + cl_int* err = NULL) + { + cl_int error; + + Context context = Context::getDefault(&error); + detail::errHandler(error, __CREATE_CONTEXT_ERR); + + if (error != CL_SUCCESS) { + if (err != NULL) { + *err = error; + } + } + else { + Device device = context.getInfo()[0]; + bool useWithProperties; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useWithProperties = (version >= 0x20000); // OpenCL 2.0 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 200 + useWithProperties = true; +#else + useWithProperties = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (useWithProperties) { + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, static_cast(properties), 0 }; + + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 200 + if (!useWithProperties) { + object_ = ::clCreateCommandQueue( + context(), device(), static_cast(properties), &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + + } + } + + /*! + * \brief Constructs a CommandQueue for an implementation defined device in the given context + * Will return an CL_INVALID_QUEUE_PROPERTIES error if CL_QUEUE_ON_DEVICE is specified. + */ + explicit CommandQueue( + const Context& context, + cl_command_queue_properties properties = 0, + cl_int* err = NULL) + { + cl_int error; + bool useWithProperties; + vector devices; + error = context.getInfo(CL_CONTEXT_DEVICES, &devices); + + detail::errHandler(error, __CREATE_CONTEXT_ERR); + + if (error != CL_SUCCESS) + { + if (err != NULL) { + *err = error; + } + return; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useWithProperties = (version >= 0x20000); // OpenCL 2.0 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 200 + useWithProperties = true; +#else + useWithProperties = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (useWithProperties) { + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, properties, 0 }; + if ((properties & CL_QUEUE_ON_DEVICE) == 0) { + object_ = ::clCreateCommandQueueWithProperties( + context(), devices[0](), queue_properties, &error); + } + else { + error = CL_INVALID_QUEUE_PROPERTIES; + } + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 200 + if (!useWithProperties) { + object_ = ::clCreateCommandQueue( + context(), devices[0](), properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + } + + /*! + * \brief Constructs a CommandQueue for an implementation defined device in the given context + * Will return an CL_INVALID_QUEUE_PROPERTIES error if CL_QUEUE_ON_DEVICE is specified. + */ + explicit CommandQueue( + const Context& context, + QueueProperties properties, + cl_int* err = NULL) + { + cl_int error; + bool useWithProperties; + vector devices; + error = context.getInfo(CL_CONTEXT_DEVICES, &devices); + + detail::errHandler(error, __CREATE_CONTEXT_ERR); + + if (error != CL_SUCCESS) + { + if (err != NULL) { + *err = error; + } + return; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useWithProperties = (version >= 0x20000); // OpenCL 2.0 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 200 + useWithProperties = true; +#else + useWithProperties = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (useWithProperties) { + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, static_cast(properties), 0 }; + object_ = ::clCreateCommandQueueWithProperties( + context(), devices[0](), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 200 + if (!useWithProperties) { + object_ = ::clCreateCommandQueue( + context(), devices[0](), static_cast(properties), &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + } + + /*! + * \brief Constructs a CommandQueue for a passed device and context + * Will return an CL_INVALID_QUEUE_PROPERTIES error if CL_QUEUE_ON_DEVICE is specified. + */ + CommandQueue( + const Context& context, + const Device& device, + cl_command_queue_properties properties = 0, + cl_int* err = NULL) + { + cl_int error; + bool useWithProperties; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useWithProperties = (version >= 0x20000); // OpenCL 2.0 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 200 + useWithProperties = true; +#else + useWithProperties = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (useWithProperties) { + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, properties, 0 }; + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 200 + if (!useWithProperties) { + object_ = ::clCreateCommandQueue( + context(), device(), properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + } + + /*! + * \brief Constructs a CommandQueue for a passed device and context + * Will return an CL_INVALID_QUEUE_PROPERTIES error if CL_QUEUE_ON_DEVICE is specified. + */ + CommandQueue( + const Context& context, + const Device& device, + QueueProperties properties, + cl_int* err = NULL) + { + cl_int error; + bool useWithProperties; + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 && CL_HPP_MINIMUM_OPENCL_VERSION < 200 + // Run-time decision based on the actual platform + { + cl_uint version = detail::getContextPlatformVersion(context()); + useWithProperties = (version >= 0x20000); // OpenCL 2.0 or above + } +#elif CL_HPP_TARGET_OPENCL_VERSION >= 200 + useWithProperties = true; +#else + useWithProperties = false; +#endif + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (useWithProperties) { + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, static_cast(properties), 0 }; + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 +#if CL_HPP_MINIMUM_OPENCL_VERSION < 200 + if (!useWithProperties) { + object_ = ::clCreateCommandQueue( + context(), device(), static_cast(properties), &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + } +#endif // CL_HPP_MINIMUM_OPENCL_VERSION < 200 + } + + static CommandQueue getDefault(cl_int * err = NULL) + { + std::call_once(default_initialized_, makeDefault); +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + detail::errHandler(default_error_, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); +#else // CL_HPP_TARGET_OPENCL_VERSION >= 200 + detail::errHandler(default_error_, __CREATE_COMMAND_QUEUE_ERR); +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 200 + if (err != NULL) { + *err = default_error_; + } + return default_; + } + + /** + * Modify the default command queue to be used by + * subsequent operations. + * Will only set the default if no default was previously created. + * @return updated default command queue. + * Should be compared to the passed value to ensure that it was updated. + */ + static CommandQueue setDefault(const CommandQueue &default_queue) + { + std::call_once(default_initialized_, makeDefaultProvided, std::cref(default_queue)); + detail::errHandler(default_error_); + return default_; + } + + CommandQueue() { } + + + /*! \brief Constructor from cl_mem - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + */ + explicit CommandQueue(const cl_command_queue& commandQueue, bool retainObject = false) : + detail::Wrapper(commandQueue, retainObject) { } + + CommandQueue& operator = (const cl_command_queue& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + CommandQueue(const CommandQueue& queue) : detail::Wrapper(queue) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + CommandQueue& operator = (const CommandQueue &queue) + { + detail::Wrapper::operator=(queue); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + CommandQueue(CommandQueue&& queue) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(queue)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + CommandQueue& operator = (CommandQueue &&queue) + { + detail::Wrapper::operator=(std::move(queue)); + return *this; + } + + template + cl_int getInfo(cl_command_queue_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetCommandQueueInfo, object_, name, param), + __GET_COMMAND_QUEUE_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_command_queue_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + cl_int enqueueReadBuffer( + const Buffer& buffer, + cl_bool blocking, + size_type offset, + size_type size, + void* ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReadBuffer( + object_, buffer(), blocking, offset, size, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_READ_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueWriteBuffer( + const Buffer& buffer, + cl_bool blocking, + size_type offset, + size_type size, + const void* ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueWriteBuffer( + object_, buffer(), blocking, offset, size, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_WRITE_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyBuffer( + const Buffer& src, + const Buffer& dst, + size_type src_offset, + size_type dst_offset, + size_type size, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyBuffer( + object_, src(), dst(), src_offset, dst_offset, size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQEUE_COPY_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 + cl_int enqueueReadBufferRect( + const Buffer& buffer, + cl_bool blocking, + const array& buffer_offset, + const array& host_offset, + const array& region, + size_type buffer_row_pitch, + size_type buffer_slice_pitch, + size_type host_row_pitch, + size_type host_slice_pitch, + void *ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReadBufferRect( + object_, + buffer(), + blocking, + buffer_offset.data(), + host_offset.data(), + region.data(), + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_READ_BUFFER_RECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueWriteBufferRect( + const Buffer& buffer, + cl_bool blocking, + const array& buffer_offset, + const array& host_offset, + const array& region, + size_type buffer_row_pitch, + size_type buffer_slice_pitch, + size_type host_row_pitch, + size_type host_slice_pitch, + const void *ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueWriteBufferRect( + object_, + buffer(), + blocking, + buffer_offset.data(), + host_offset.data(), + region.data(), + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_WRITE_BUFFER_RECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyBufferRect( + const Buffer& src, + const Buffer& dst, + const array& src_origin, + const array& dst_origin, + const array& region, + size_type src_row_pitch, + size_type src_slice_pitch, + size_type dst_row_pitch, + size_type dst_slice_pitch, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyBufferRect( + object_, + src(), + dst(), + src_origin.data(), + dst_origin.data(), + region.data(), + src_row_pitch, + src_slice_pitch, + dst_row_pitch, + dst_slice_pitch, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQEUE_COPY_BUFFER_RECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + /** + * Enqueue a command to fill a buffer object with a pattern + * of a given size. The pattern is specified as a vector type. + * \tparam PatternType The datatype of the pattern field. + * The pattern type must be an accepted OpenCL data type. + * \tparam offset Is the offset in bytes into the buffer at + * which to start filling. This must be a multiple of + * the pattern size. + * \tparam size Is the size in bytes of the region to fill. + * This must be a multiple of the pattern size. + */ + template + cl_int enqueueFillBuffer( + const Buffer& buffer, + PatternType pattern, + size_type offset, + size_type size, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillBuffer( + object_, + buffer(), + static_cast(&pattern), + sizeof(PatternType), + offset, + size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + cl_int enqueueReadImage( + const Image& image, + cl_bool blocking, + const array& origin, + const array& region, + size_type row_pitch, + size_type slice_pitch, + void* ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReadImage( + object_, + image(), + blocking, + origin.data(), + region.data(), + row_pitch, + slice_pitch, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_READ_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueWriteImage( + const Image& image, + cl_bool blocking, + const array& origin, + const array& region, + size_type row_pitch, + size_type slice_pitch, + const void* ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueWriteImage( + object_, + image(), + blocking, + origin.data(), + region.data(), + row_pitch, + slice_pitch, + ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_WRITE_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyImage( + const Image& src, + const Image& dst, + const array& src_origin, + const array& dst_origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyImage( + object_, + src(), + dst(), + src_origin.data(), + dst_origin.data(), + region.data(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + /** + * Enqueue a command to fill an image object with a specified color. + * \param fillColor is the color to use to fill the image. + * This is a four component RGBA floating-point color value if + * the image channel data type is not an unnormalized signed or + * unsigned data type. + */ + cl_int enqueueFillImage( + const Image& image, + cl_float4 fillColor, + const array& origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillImage( + object_, + image(), + static_cast(&fillColor), + origin.data(), + region.data(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueue a command to fill an image object with a specified color. + * \param fillColor is the color to use to fill the image. + * This is a four component RGBA signed integer color value if + * the image channel data type is an unnormalized signed integer + * type. + */ + cl_int enqueueFillImage( + const Image& image, + cl_int4 fillColor, + const array& origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillImage( + object_, + image(), + static_cast(&fillColor), + origin.data(), + region.data(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueue a command to fill an image object with a specified color. + * \param fillColor is the color to use to fill the image. + * This is a four component RGBA unsigned integer color value if + * the image channel data type is an unnormalized unsigned integer + * type. + */ + cl_int enqueueFillImage( + const Image& image, + cl_uint4 fillColor, + const array& origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueFillImage( + object_, + image(), + static_cast(&fillColor), + origin.data(), + region.data(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_FILL_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + cl_int enqueueCopyImageToBuffer( + const Image& src, + const Buffer& dst, + const array& src_origin, + const array& region, + size_type dst_offset, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyImageToBuffer( + object_, + src(), + dst(), + src_origin.data(), + region.data(), + dst_offset, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_IMAGE_TO_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueCopyBufferToImage( + const Buffer& src, + const Image& dst, + size_type src_offset, + const array& dst_origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueCopyBufferToImage( + object_, + src(), + dst(), + src_offset, + dst_origin.data(), + region.data(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_COPY_BUFFER_TO_IMAGE_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + void* enqueueMapBuffer( + const Buffer& buffer, + cl_bool blocking, + cl_map_flags flags, + size_type offset, + size_type size, + const vector* events = NULL, + Event* event = NULL, + cl_int* err = NULL) const + { + cl_event tmp; + cl_int error; + void * result = ::clEnqueueMapBuffer( + object_, buffer(), blocking, flags, offset, size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL, + &error); + + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + if (event != NULL && error == CL_SUCCESS) + *event = tmp; + + return result; + } + + void* enqueueMapImage( + const Image& buffer, + cl_bool blocking, + cl_map_flags flags, + const array& origin, + const array& region, + size_type * row_pitch, + size_type * slice_pitch, + const vector* events = NULL, + Event* event = NULL, + cl_int* err = NULL) const + { + cl_event tmp; + cl_int error; + void * result = ::clEnqueueMapImage( + object_, buffer(), blocking, flags, + origin.data(), + region.data(), + row_pitch, slice_pitch, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL, + &error); + + detail::errHandler(error, __ENQUEUE_MAP_IMAGE_ERR); + if (err != NULL) { + *err = error; + } + if (event != NULL && error == CL_SUCCESS) + *event = tmp; + return result; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + /** + * Enqueues a command that will allow the host to update a region of a coarse-grained SVM buffer. + * This variant takes a raw SVM pointer. + */ + template + cl_int enqueueMapSVM( + T* ptr, + cl_bool blocking, + cl_map_flags flags, + size_type size, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler(::clEnqueueSVMMap( + object_, blocking, flags, static_cast(ptr), size, + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MAP_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + + /** + * Enqueues a command that will allow the host to update a region of a coarse-grained SVM buffer. + * This variant takes a cl::pointer instance. + */ + template + cl_int enqueueMapSVM( + cl::pointer &ptr, + cl_bool blocking, + cl_map_flags flags, + size_type size, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler(::clEnqueueSVMMap( + object_, blocking, flags, static_cast(ptr.get()), size, + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MAP_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueues a command that will allow the host to update a region of a coarse-grained SVM buffer. + * This variant takes a cl::vector instance. + */ + template + cl_int enqueueMapSVM( + cl::vector &container, + cl_bool blocking, + cl_map_flags flags, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler(::clEnqueueSVMMap( + object_, blocking, flags, static_cast(container.data()), container.size(), + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MAP_BUFFER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + + cl_int enqueueUnmapMemObject( + const Memory& memory, + void* mapped_ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueUnmapMemObject( + object_, memory(), mapped_ptr, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + /** + * Enqueues a command that will release a coarse-grained SVM buffer back to the OpenCL runtime. + * This variant takes a raw SVM pointer. + */ + template + cl_int enqueueUnmapSVM( + T* ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueSVMUnmap( + object_, static_cast(ptr), + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueues a command that will release a coarse-grained SVM buffer back to the OpenCL runtime. + * This variant takes a cl::pointer instance. + */ + template + cl_int enqueueUnmapSVM( + cl::pointer &ptr, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueSVMUnmap( + object_, static_cast(ptr.get()), + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueues a command that will release a coarse-grained SVM buffer back to the OpenCL runtime. + * This variant takes a cl::vector instance. + */ + template + cl_int enqueueUnmapSVM( + cl::vector &container, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueSVMUnmap( + object_, static_cast(container.data()), + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + /** + * Enqueues a marker command which waits for either a list of events to complete, + * or all previously enqueued commands to complete. + * + * Enqueues a marker command which waits for either a list of events to complete, + * or if the list is empty it waits for all commands previously enqueued in command_queue + * to complete before it completes. This command returns an event which can be waited on, + * i.e. this event can be waited on to insure that all events either in the event_wait_list + * or all previously enqueued commands, queued before this command to command_queue, + * have completed. + */ + cl_int enqueueMarkerWithWaitList( + const vector *events = 0, + Event *event = 0) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueMarkerWithWaitList( + object_, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MARKER_WAIT_LIST_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * A synchronization point that enqueues a barrier operation. + * + * Enqueues a barrier command which waits for either a list of events to complete, + * or if the list is empty it waits for all commands previously enqueued in command_queue + * to complete before it completes. This command blocks command execution, that is, any + * following commands enqueued after it do not execute until it completes. This command + * returns an event which can be waited on, i.e. this event can be waited on to insure that + * all events either in the event_wait_list or all previously enqueued commands, queued + * before this command to command_queue, have completed. + */ + cl_int enqueueBarrierWithWaitList( + const vector *events = 0, + Event *event = 0) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueBarrierWithWaitList( + object_, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_BARRIER_WAIT_LIST_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueues a command to indicate with which device a set of memory objects + * should be associated. + */ + cl_int enqueueMigrateMemObjects( + const vector &memObjects, + cl_mem_migration_flags flags, + const vector* events = NULL, + Event* event = NULL + ) const + { + cl_event tmp; + + vector localMemObjects(memObjects.size()); + + for( int i = 0; i < (int)memObjects.size(); ++i ) { + localMemObjects[i] = memObjects[i](); + } + + cl_int err = detail::errHandler( + ::clEnqueueMigrateMemObjects( + object_, + (cl_uint)memObjects.size(), + localMemObjects.data(), + flags, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + /** + * Enqueues a command that will allow the host associate ranges within a set of + * SVM allocations with a device. + * @param sizes - The length from each pointer to migrate. + */ + template + cl_int enqueueMigrateSVM( + const cl::vector &svmRawPointers, + const cl::vector &sizes, + cl_mem_migration_flags flags = 0, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler(::clEnqueueSVMMigrateMem( + object_, + svmRawPointers.size(), static_cast(svmRawPointers.data()), + sizes.data(), // array of sizes not passed + flags, + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MIGRATE_SVM_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + /** + * Enqueues a command that will allow the host associate a set of SVM allocations with + * a device. + */ + template + cl_int enqueueMigrateSVM( + const cl::vector &svmRawPointers, + cl_mem_migration_flags flags = 0, + const vector* events = NULL, + Event* event = NULL) const + { + return enqueueMigrateSVM(svmRawPointers, cl::vector(svmRawPointers.size()), flags, events, event); + } + + + /** + * Enqueues a command that will allow the host associate ranges within a set of + * SVM allocations with a device. + * @param sizes - The length from each pointer to migrate. + */ + template + cl_int enqueueMigrateSVM( + const cl::vector> &svmPointers, + const cl::vector &sizes, + cl_mem_migration_flags flags = 0, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl::vector svmRawPointers; + svmRawPointers.reserve(svmPointers.size()); + for (auto p : svmPointers) { + svmRawPointers.push_back(static_cast(p.get())); + } + + return enqueueMigrateSVM(svmRawPointers, sizes, flags, events, event); + } + + + /** + * Enqueues a command that will allow the host associate a set of SVM allocations with + * a device. + */ + template + cl_int enqueueMigrateSVM( + const cl::vector> &svmPointers, + cl_mem_migration_flags flags = 0, + const vector* events = NULL, + Event* event = NULL) const + { + return enqueueMigrateSVM(svmPointers, cl::vector(svmPointers.size()), flags, events, event); + } + + /** + * Enqueues a command that will allow the host associate ranges within a set of + * SVM allocations with a device. + * @param sizes - The length from the beginning of each container to migrate. + */ + template + cl_int enqueueMigrateSVM( + const cl::vector> &svmContainers, + const cl::vector &sizes, + cl_mem_migration_flags flags = 0, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl::vector svmRawPointers; + svmRawPointers.reserve(svmContainers.size()); + for (auto p : svmContainers) { + svmRawPointers.push_back(static_cast(p.data())); + } + + return enqueueMigrateSVM(svmRawPointers, sizes, flags, events, event); + } + + /** + * Enqueues a command that will allow the host associate a set of SVM allocations with + * a device. + */ + template + cl_int enqueueMigrateSVM( + const cl::vector> &svmContainers, + cl_mem_migration_flags flags = 0, + const vector* events = NULL, + Event* event = NULL) const + { + return enqueueMigrateSVM(svmContainers, cl::vector(svmContainers.size()), flags, events, event); + } + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 + + cl_int enqueueNDRangeKernel( + const Kernel& kernel, + const NDRange& offset, + const NDRange& global, + const NDRange& local = NullRange, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueNDRangeKernel( + object_, kernel(), (cl_uint) global.dimensions(), + offset.dimensions() != 0 ? (const size_type*) offset : NULL, + (const size_type*) global, + local.dimensions() != 0 ? (const size_type*) local : NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_NDRANGE_KERNEL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if defined(CL_USE_DEPRECATED_OPENCL_1_2_APIS) + CL_EXT_PREFIX__VERSION_1_2_DEPRECATED cl_int enqueueTask( + const Kernel& kernel, + const vector* events = NULL, + Event* event = NULL) const CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueTask( + object_, kernel(), + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_TASK_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif // #if defined(CL_USE_DEPRECATED_OPENCL_1_2_APIS) + + cl_int enqueueNativeKernel( + void (CL_CALLBACK *userFptr)(void *), + std::pair args, + const vector* mem_objects = NULL, + const vector* mem_locs = NULL, + const vector* events = NULL, + Event* event = NULL) const + { + size_type elements = 0; + if (mem_objects != NULL) { + elements = mem_objects->size(); + } + vector mems(elements); + for (unsigned int i = 0; i < elements; i++) { + mems[i] = ((*mem_objects)[i])(); + } + + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueNativeKernel( + object_, userFptr, args.first, args.second, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + mems.data(), + (mem_locs != NULL && mem_locs->size() > 0) ? (const void **) &mem_locs->front() : NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_NATIVE_KERNEL); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + CL_EXT_PREFIX__VERSION_1_1_DEPRECATED + cl_int enqueueMarker(Event* event = NULL) const CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueMarker( + object_, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_MARKER_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + CL_EXT_PREFIX__VERSION_1_1_DEPRECATED + cl_int enqueueWaitForEvents(const vector& events) const CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + { + return detail::errHandler( + ::clEnqueueWaitForEvents( + object_, + (cl_uint) events.size(), + events.size() > 0 ? (const cl_event*) &events.front() : NULL), + __ENQUEUE_WAIT_FOR_EVENTS_ERR); + } +#endif // defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + + cl_int enqueueAcquireGLObjects( + const vector* mem_objects = NULL, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueAcquireGLObjects( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL && mem_objects->size() > 0) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_ACQUIRE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueReleaseGLObjects( + const vector* mem_objects = NULL, + const vector* events = NULL, + Event* event = NULL) const + { + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueReleaseGLObjects( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL && mem_objects->size() > 0) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_RELEASE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + +#if defined (CL_HPP_USE_DX_INTEROP) +typedef CL_API_ENTRY cl_int (CL_API_CALL *PFN_clEnqueueAcquireD3D10ObjectsKHR)( + cl_command_queue command_queue, cl_uint num_objects, + const cl_mem* mem_objects, cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, cl_event* event); +typedef CL_API_ENTRY cl_int (CL_API_CALL *PFN_clEnqueueReleaseD3D10ObjectsKHR)( + cl_command_queue command_queue, cl_uint num_objects, + const cl_mem* mem_objects, cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, cl_event* event); + + cl_int enqueueAcquireD3D10Objects( + const vector* mem_objects = NULL, + const vector* events = NULL, + Event* event = NULL) const + { + static PFN_clEnqueueAcquireD3D10ObjectsKHR pfn_clEnqueueAcquireD3D10ObjectsKHR = NULL; +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + cl_context context = getInfo(); + cl::Device device(getInfo()); + cl_platform_id platform = device.getInfo(); + CL_HPP_INIT_CL_EXT_FCN_PTR_PLATFORM_(platform, clEnqueueAcquireD3D10ObjectsKHR); +#endif +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 + CL_HPP_INIT_CL_EXT_FCN_PTR_(clEnqueueAcquireD3D10ObjectsKHR); +#endif + + cl_event tmp; + cl_int err = detail::errHandler( + pfn_clEnqueueAcquireD3D10ObjectsKHR( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL && mem_objects->size() > 0) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_ACQUIRE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } + + cl_int enqueueReleaseD3D10Objects( + const vector* mem_objects = NULL, + const vector* events = NULL, + Event* event = NULL) const + { + static PFN_clEnqueueReleaseD3D10ObjectsKHR pfn_clEnqueueReleaseD3D10ObjectsKHR = NULL; +#if CL_HPP_TARGET_OPENCL_VERSION >= 120 + cl_context context = getInfo(); + cl::Device device(getInfo()); + cl_platform_id platform = device.getInfo(); + CL_HPP_INIT_CL_EXT_FCN_PTR_PLATFORM_(platform, clEnqueueReleaseD3D10ObjectsKHR); +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 120 +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 + CL_HPP_INIT_CL_EXT_FCN_PTR_(clEnqueueReleaseD3D10ObjectsKHR); +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 + + cl_event tmp; + cl_int err = detail::errHandler( + pfn_clEnqueueReleaseD3D10ObjectsKHR( + object_, + (mem_objects != NULL) ? (cl_uint) mem_objects->size() : 0, + (mem_objects != NULL && mem_objects->size() > 0) ? (const cl_mem *) &mem_objects->front(): NULL, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_RELEASE_GL_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; + } +#endif + +/** + * Deprecated APIs for 1.2 + */ +#if defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) + CL_EXT_PREFIX__VERSION_1_1_DEPRECATED + cl_int enqueueBarrier() const CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + { + return detail::errHandler( + ::clEnqueueBarrier(object_), + __ENQUEUE_BARRIER_ERR); + } +#endif // CL_USE_DEPRECATED_OPENCL_1_1_APIS + + cl_int flush() const + { + return detail::errHandler(::clFlush(object_), __FLUSH_ERR); + } + + cl_int finish() const + { + return detail::errHandler(::clFinish(object_), __FINISH_ERR); + } +}; // CommandQueue + +CL_HPP_DEFINE_STATIC_MEMBER_ std::once_flag CommandQueue::default_initialized_; +CL_HPP_DEFINE_STATIC_MEMBER_ CommandQueue CommandQueue::default_; +CL_HPP_DEFINE_STATIC_MEMBER_ cl_int CommandQueue::default_error_ = CL_SUCCESS; + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +enum class DeviceQueueProperties : cl_command_queue_properties +{ + None = 0, + Profiling = CL_QUEUE_PROFILING_ENABLE, +}; + +inline DeviceQueueProperties operator|(DeviceQueueProperties lhs, DeviceQueueProperties rhs) +{ + return static_cast(static_cast(lhs) | static_cast(rhs)); +} + +/*! \class DeviceCommandQueue + * \brief DeviceCommandQueue interface for device cl_command_queues. + */ +class DeviceCommandQueue : public detail::Wrapper +{ +public: + + /*! + * Trivial empty constructor to create a null queue. + */ + DeviceCommandQueue() { } + + /*! + * Default construct device command queue on default context and device + */ + DeviceCommandQueue(DeviceQueueProperties properties, cl_int* err = NULL) + { + cl_int error; + cl::Context context = cl::Context::getDefault(); + cl::Device device = cl::Device::getDefault(); + + cl_command_queue_properties mergedProperties = + CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE | CL_QUEUE_ON_DEVICE | static_cast(properties); + + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, mergedProperties, 0 }; + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! + * Create a device command queue for a specified device in the passed context. + */ + DeviceCommandQueue( + const Context& context, + const Device& device, + DeviceQueueProperties properties = DeviceQueueProperties::None, + cl_int* err = NULL) + { + cl_int error; + + cl_command_queue_properties mergedProperties = + CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE | CL_QUEUE_ON_DEVICE | static_cast(properties); + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, mergedProperties, 0 }; + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! + * Create a device command queue for a specified device in the passed context. + */ + DeviceCommandQueue( + const Context& context, + const Device& device, + cl_uint queueSize, + DeviceQueueProperties properties = DeviceQueueProperties::None, + cl_int* err = NULL) + { + cl_int error; + + cl_command_queue_properties mergedProperties = + CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE | CL_QUEUE_ON_DEVICE | static_cast(properties); + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, mergedProperties, + CL_QUEUE_SIZE, queueSize, + 0 }; + object_ = ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + } + + /*! \brief Constructor from cl_command_queue - takes ownership. + * + * \param retainObject will cause the constructor to retain its cl object. + * Defaults to false to maintain compatibility with + * earlier versions. + */ + explicit DeviceCommandQueue(const cl_command_queue& commandQueue, bool retainObject = false) : + detail::Wrapper(commandQueue, retainObject) { } + + DeviceCommandQueue& operator = (const cl_command_queue& rhs) + { + detail::Wrapper::operator=(rhs); + return *this; + } + + /*! \brief Copy constructor to forward copy to the superclass correctly. + * Required for MSVC. + */ + DeviceCommandQueue(const DeviceCommandQueue& queue) : detail::Wrapper(queue) {} + + /*! \brief Copy assignment to forward copy to the superclass correctly. + * Required for MSVC. + */ + DeviceCommandQueue& operator = (const DeviceCommandQueue &queue) + { + detail::Wrapper::operator=(queue); + return *this; + } + + /*! \brief Move constructor to forward move to the superclass correctly. + * Required for MSVC. + */ + DeviceCommandQueue(DeviceCommandQueue&& queue) CL_HPP_NOEXCEPT_ : detail::Wrapper(std::move(queue)) {} + + /*! \brief Move assignment to forward move to the superclass correctly. + * Required for MSVC. + */ + DeviceCommandQueue& operator = (DeviceCommandQueue &&queue) + { + detail::Wrapper::operator=(std::move(queue)); + return *this; + } + + template + cl_int getInfo(cl_command_queue_info name, T* param) const + { + return detail::errHandler( + detail::getInfo( + &::clGetCommandQueueInfo, object_, name, param), + __GET_COMMAND_QUEUE_INFO_ERR); + } + + template typename + detail::param_traits::param_type + getInfo(cl_int* err = NULL) const + { + typename detail::param_traits< + detail::cl_command_queue_info, name>::param_type param; + cl_int result = getInfo(name, ¶m); + if (err != NULL) { + *err = result; + } + return param; + } + + /*! + * Create a new default device command queue for the default device, + * in the default context and of the default size. + * If there is already a default queue for the specified device this + * function will return the pre-existing queue. + */ + static DeviceCommandQueue makeDefault( + cl_int *err = nullptr) + { + cl_int error; + cl::Context context = cl::Context::getDefault(); + cl::Device device = cl::Device::getDefault(); + + cl_command_queue_properties properties = + CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE | CL_QUEUE_ON_DEVICE | CL_QUEUE_ON_DEVICE_DEFAULT; + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, properties, + 0 }; + DeviceCommandQueue deviceQueue( + ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error)); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + + return deviceQueue; + } + + /*! + * Create a new default device command queue for the specified device + * and of the default size. + * If there is already a default queue for the specified device this + * function will return the pre-existing queue. + */ + static DeviceCommandQueue makeDefault( + const Context &context, const Device &device, cl_int *err = nullptr) + { + cl_int error; + + cl_command_queue_properties properties = + CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE | CL_QUEUE_ON_DEVICE | CL_QUEUE_ON_DEVICE_DEFAULT; + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, properties, + 0 }; + DeviceCommandQueue deviceQueue( + ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error)); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + + return deviceQueue; + } + + /*! + * Create a new default device command queue for the specified device + * and of the requested size in bytes. + * If there is already a default queue for the specified device this + * function will return the pre-existing queue. + */ + static DeviceCommandQueue makeDefault( + const Context &context, const Device &device, cl_uint queueSize, cl_int *err = nullptr) + { + cl_int error; + + cl_command_queue_properties properties = + CL_QUEUE_OUT_OF_ORDER_EXEC_MODE_ENABLE | CL_QUEUE_ON_DEVICE | CL_QUEUE_ON_DEVICE_DEFAULT; + cl_queue_properties queue_properties[] = { + CL_QUEUE_PROPERTIES, properties, + CL_QUEUE_SIZE, queueSize, + 0 }; + DeviceCommandQueue deviceQueue( + ::clCreateCommandQueueWithProperties( + context(), device(), queue_properties, &error)); + + detail::errHandler(error, __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR); + if (err != NULL) { + *err = error; + } + + return deviceQueue; + } + + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 210 + /*! + * Modify the default device command queue to be used for subsequent kernels. + * This can update the default command queue for a device repeatedly to account + * for kernels that rely on the default. + * @return updated default device command queue. + */ + static DeviceCommandQueue updateDefault(const Context &context, const Device &device, const DeviceCommandQueue &default_queue, cl_int *err = nullptr) + { + cl_int error; + error = clSetDefaultDeviceCommandQueue(context.get(), device.get(), default_queue.get()); + + detail::errHandler(error, __SET_DEFAULT_DEVICE_COMMAND_QUEUE_ERR); + if (err != NULL) { + *err = error; + } + return default_queue; + } + + /*! + * Return the current default command queue for the specified command queue + */ + static DeviceCommandQueue getDefault(const CommandQueue &queue, cl_int * err = NULL) + { + return queue.getInfo(err); + } + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 210 +}; // DeviceCommandQueue + +namespace detail +{ + // Specialization for device command queue + template <> + struct KernelArgumentHandler + { + static size_type size(const cl::DeviceCommandQueue&) { return sizeof(cl_command_queue); } + static const cl_command_queue* ptr(const cl::DeviceCommandQueue& value) { return &(value()); } + }; +} // namespace detail + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + + +template< typename IteratorType > +Buffer::Buffer( + const Context &context, + IteratorType startIterator, + IteratorType endIterator, + bool readOnly, + bool useHostPtr, + cl_int* err) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + cl_mem_flags flags = 0; + if( readOnly ) { + flags |= CL_MEM_READ_ONLY; + } + else { + flags |= CL_MEM_READ_WRITE; + } + if( useHostPtr ) { + flags |= CL_MEM_USE_HOST_PTR; + } + + size_type size = sizeof(DataType)*(endIterator - startIterator); + + if( useHostPtr ) { + object_ = ::clCreateBuffer(context(), flags, size, static_cast(&*startIterator), &error); + } else { + object_ = ::clCreateBuffer(context(), flags, size, 0, &error); + } + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + if( !useHostPtr ) { + CommandQueue queue(context, 0, &error); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + error = cl::copy(queue, startIterator, endIterator, *this); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } +} + +template< typename IteratorType > +Buffer::Buffer( + const CommandQueue &queue, + IteratorType startIterator, + IteratorType endIterator, + bool readOnly, + bool useHostPtr, + cl_int* err) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + cl_mem_flags flags = 0; + if (readOnly) { + flags |= CL_MEM_READ_ONLY; + } + else { + flags |= CL_MEM_READ_WRITE; + } + if (useHostPtr) { + flags |= CL_MEM_USE_HOST_PTR; + } + + size_type size = sizeof(DataType)*(endIterator - startIterator); + + Context context = queue.getInfo(); + + if (useHostPtr) { + object_ = ::clCreateBuffer(context(), flags, size, static_cast(&*startIterator), &error); + } + else { + object_ = ::clCreateBuffer(context(), flags, size, 0, &error); + } + + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + if (!useHostPtr) { + error = cl::copy(queue, startIterator, endIterator, *this); + detail::errHandler(error, __CREATE_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + } +} + +inline cl_int enqueueReadBuffer( + const Buffer& buffer, + cl_bool blocking, + size_type offset, + size_type size, + void* ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueReadBuffer(buffer, blocking, offset, size, ptr, events, event); +} + +inline cl_int enqueueWriteBuffer( + const Buffer& buffer, + cl_bool blocking, + size_type offset, + size_type size, + const void* ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueWriteBuffer(buffer, blocking, offset, size, ptr, events, event); +} + +inline void* enqueueMapBuffer( + const Buffer& buffer, + cl_bool blocking, + cl_map_flags flags, + size_type offset, + size_type size, + const vector* events = NULL, + Event* event = NULL, + cl_int* err = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + + void * result = ::clEnqueueMapBuffer( + queue(), buffer(), blocking, flags, offset, size, + (events != NULL) ? (cl_uint) events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*) &events->front() : NULL, + (cl_event*) event, + &error); + + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (err != NULL) { + *err = error; + } + return result; +} + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +/** + * Enqueues to the default queue a command that will allow the host to + * update a region of a coarse-grained SVM buffer. + * This variant takes a raw SVM pointer. + */ +template +inline cl_int enqueueMapSVM( + T* ptr, + cl_bool blocking, + cl_map_flags flags, + size_type size, + const vector* events, + Event* event) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) { + return detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + } + + return queue.enqueueMapSVM( + ptr, blocking, flags, size, events, event); +} + +/** + * Enqueues to the default queue a command that will allow the host to + * update a region of a coarse-grained SVM buffer. + * This variant takes a cl::pointer instance. + */ +template +inline cl_int enqueueMapSVM( + cl::pointer ptr, + cl_bool blocking, + cl_map_flags flags, + size_type size, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) { + return detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + } + + return queue.enqueueMapSVM( + ptr, blocking, flags, size, events, event); +} + +/** + * Enqueues to the default queue a command that will allow the host to + * update a region of a coarse-grained SVM buffer. + * This variant takes a cl::vector instance. + */ +template +inline cl_int enqueueMapSVM( + cl::vector container, + cl_bool blocking, + cl_map_flags flags, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) { + return detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + } + + return queue.enqueueMapSVM( + container, blocking, flags, events, event); +} + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +inline cl_int enqueueUnmapMemObject( + const Memory& memory, + void* mapped_ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + detail::errHandler(error, __ENQUEUE_MAP_BUFFER_ERR); + if (error != CL_SUCCESS) { + return error; + } + + cl_event tmp; + cl_int err = detail::errHandler( + ::clEnqueueUnmapMemObject( + queue(), memory(), mapped_ptr, + (events != NULL) ? (cl_uint)events->size() : 0, + (events != NULL && events->size() > 0) ? (cl_event*)&events->front() : NULL, + (event != NULL) ? &tmp : NULL), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + + if (event != NULL && err == CL_SUCCESS) + *event = tmp; + + return err; +} + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +/** + * Enqueues to the default queue a command that will release a coarse-grained + * SVM buffer back to the OpenCL runtime. + * This variant takes a raw SVM pointer. + */ +template +inline cl_int enqueueUnmapSVM( + T* ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) { + return detail::errHandler(error, __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + } + + return detail::errHandler(queue.enqueueUnmapSVM(ptr, events, event), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + +} + +/** + * Enqueues to the default queue a command that will release a coarse-grained + * SVM buffer back to the OpenCL runtime. + * This variant takes a cl::pointer instance. + */ +template +inline cl_int enqueueUnmapSVM( + cl::pointer &ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) { + return detail::errHandler(error, __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + } + + return detail::errHandler(queue.enqueueUnmapSVM(ptr, events, event), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); +} + +/** + * Enqueues to the default queue a command that will release a coarse-grained + * SVM buffer back to the OpenCL runtime. + * This variant takes a cl::vector instance. + */ +template +inline cl_int enqueueUnmapSVM( + cl::vector &container, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) { + return detail::errHandler(error, __ENQUEUE_UNMAP_MEM_OBJECT_ERR); + } + + return detail::errHandler(queue.enqueueUnmapSVM(container, events, event), + __ENQUEUE_UNMAP_MEM_OBJECT_ERR); +} + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +inline cl_int enqueueCopyBuffer( + const Buffer& src, + const Buffer& dst, + size_type src_offset, + size_type dst_offset, + size_type size, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyBuffer(src, dst, src_offset, dst_offset, size, events, event); +} + +/** + * Blocking copy operation between iterators and a buffer. + * Host to Device. + * Uses default command queue. + */ +template< typename IteratorType > +inline cl_int copy( IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) + return error; + + return cl::copy(queue, startIterator, endIterator, buffer); +} + +/** + * Blocking copy operation between iterators and a buffer. + * Device to Host. + * Uses default command queue. + */ +template< typename IteratorType > +inline cl_int copy( const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + if (error != CL_SUCCESS) + return error; + + return cl::copy(queue, buffer, startIterator, endIterator); +} + +/** + * Blocking copy operation between iterators and a buffer. + * Host to Device. + * Uses specified queue. + */ +template< typename IteratorType > +inline cl_int copy( const CommandQueue &queue, IteratorType startIterator, IteratorType endIterator, cl::Buffer &buffer ) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + size_type length = endIterator-startIterator; + size_type byteLength = length*sizeof(DataType); + + DataType *pointer = + static_cast(queue.enqueueMapBuffer(buffer, CL_TRUE, CL_MAP_WRITE, 0, byteLength, 0, 0, &error)); + // if exceptions enabled, enqueueMapBuffer will throw + if( error != CL_SUCCESS ) { + return error; + } +#if defined(_MSC_VER) + std::copy( + startIterator, + endIterator, + stdext::checked_array_iterator( + pointer, length)); +#else + std::copy(startIterator, endIterator, pointer); +#endif + Event endEvent; + error = queue.enqueueUnmapMemObject(buffer, pointer, 0, &endEvent); + // if exceptions enabled, enqueueUnmapMemObject will throw + if( error != CL_SUCCESS ) { + return error; + } + endEvent.wait(); + return CL_SUCCESS; +} + +/** + * Blocking copy operation between iterators and a buffer. + * Device to Host. + * Uses specified queue. + */ +template< typename IteratorType > +inline cl_int copy( const CommandQueue &queue, const cl::Buffer &buffer, IteratorType startIterator, IteratorType endIterator ) +{ + typedef typename std::iterator_traits::value_type DataType; + cl_int error; + + size_type length = endIterator-startIterator; + size_type byteLength = length*sizeof(DataType); + + DataType *pointer = + static_cast(queue.enqueueMapBuffer(buffer, CL_TRUE, CL_MAP_READ, 0, byteLength, 0, 0, &error)); + // if exceptions enabled, enqueueMapBuffer will throw + if( error != CL_SUCCESS ) { + return error; + } + std::copy(pointer, pointer + length, startIterator); + Event endEvent; + error = queue.enqueueUnmapMemObject(buffer, pointer, 0, &endEvent); + // if exceptions enabled, enqueueUnmapMemObject will throw + if( error != CL_SUCCESS ) { + return error; + } + endEvent.wait(); + return CL_SUCCESS; +} + + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 +/** + * Blocking SVM map operation - performs a blocking map underneath. + */ +template +inline cl_int mapSVM(cl::vector &container) +{ + return enqueueMapSVM(container, CL_TRUE, CL_MAP_READ | CL_MAP_WRITE); +} + +/** +* Blocking SVM map operation - performs a blocking map underneath. +*/ +template +inline cl_int unmapSVM(cl::vector &container) +{ + return enqueueUnmapSVM(container); +} + +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + +#if CL_HPP_TARGET_OPENCL_VERSION >= 110 +inline cl_int enqueueReadBufferRect( + const Buffer& buffer, + cl_bool blocking, + const array& buffer_offset, + const array& host_offset, + const array& region, + size_type buffer_row_pitch, + size_type buffer_slice_pitch, + size_type host_row_pitch, + size_type host_slice_pitch, + void *ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueReadBufferRect( + buffer, + blocking, + buffer_offset, + host_offset, + region, + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueWriteBufferRect( + const Buffer& buffer, + cl_bool blocking, + const array& buffer_offset, + const array& host_offset, + const array& region, + size_type buffer_row_pitch, + size_type buffer_slice_pitch, + size_type host_row_pitch, + size_type host_slice_pitch, + const void *ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueWriteBufferRect( + buffer, + blocking, + buffer_offset, + host_offset, + region, + buffer_row_pitch, + buffer_slice_pitch, + host_row_pitch, + host_slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueCopyBufferRect( + const Buffer& src, + const Buffer& dst, + const array& src_origin, + const array& dst_origin, + const array& region, + size_type src_row_pitch, + size_type src_slice_pitch, + size_type dst_row_pitch, + size_type dst_slice_pitch, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyBufferRect( + src, + dst, + src_origin, + dst_origin, + region, + src_row_pitch, + src_slice_pitch, + dst_row_pitch, + dst_slice_pitch, + events, + event); +} +#endif // CL_HPP_TARGET_OPENCL_VERSION >= 110 + +inline cl_int enqueueReadImage( + const Image& image, + cl_bool blocking, + const array& origin, + const array& region, + size_type row_pitch, + size_type slice_pitch, + void* ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueReadImage( + image, + blocking, + origin, + region, + row_pitch, + slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueWriteImage( + const Image& image, + cl_bool blocking, + const array& origin, + const array& region, + size_type row_pitch, + size_type slice_pitch, + const void* ptr, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueWriteImage( + image, + blocking, + origin, + region, + row_pitch, + slice_pitch, + ptr, + events, + event); +} + +inline cl_int enqueueCopyImage( + const Image& src, + const Image& dst, + const array& src_origin, + const array& dst_origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyImage( + src, + dst, + src_origin, + dst_origin, + region, + events, + event); +} + +inline cl_int enqueueCopyImageToBuffer( + const Image& src, + const Buffer& dst, + const array& src_origin, + const array& region, + size_type dst_offset, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyImageToBuffer( + src, + dst, + src_origin, + region, + dst_offset, + events, + event); +} + +inline cl_int enqueueCopyBufferToImage( + const Buffer& src, + const Image& dst, + size_type src_offset, + const array& dst_origin, + const array& region, + const vector* events = NULL, + Event* event = NULL) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.enqueueCopyBufferToImage( + src, + dst, + src_offset, + dst_origin, + region, + events, + event); +} + + +inline cl_int flush(void) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + return queue.flush(); +} + +inline cl_int finish(void) +{ + cl_int error; + CommandQueue queue = CommandQueue::getDefault(&error); + + if (error != CL_SUCCESS) { + return error; + } + + + return queue.finish(); +} + +class EnqueueArgs +{ +private: + CommandQueue queue_; + const NDRange offset_; + const NDRange global_; + const NDRange local_; + vector events_; + + template + friend class KernelFunctor; + +public: + EnqueueArgs(NDRange global) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(NullRange) + { + + } + + EnqueueArgs(NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(local) + { + + } + + EnqueueArgs(NDRange offset, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(offset), + global_(global), + local_(local) + { + + } + + EnqueueArgs(Event e, NDRange global) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(NullRange) + { + events_.push_back(e); + } + + EnqueueArgs(Event e, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(Event e, NDRange offset, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(offset), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(const vector &events, NDRange global) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(NullRange), + events_(events) + { + + } + + EnqueueArgs(const vector &events, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(NullRange), + global_(global), + local_(local), + events_(events) + { + + } + + EnqueueArgs(const vector &events, NDRange offset, NDRange global, NDRange local) : + queue_(CommandQueue::getDefault()), + offset_(offset), + global_(global), + local_(local), + events_(events) + { + + } + + EnqueueArgs(CommandQueue &queue, NDRange global) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(NullRange) + { + + } + + EnqueueArgs(CommandQueue &queue, NDRange global, NDRange local) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(local) + { + + } + + EnqueueArgs(CommandQueue &queue, NDRange offset, NDRange global, NDRange local) : + queue_(queue), + offset_(offset), + global_(global), + local_(local) + { + + } + + EnqueueArgs(CommandQueue &queue, Event e, NDRange global) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(NullRange) + { + events_.push_back(e); + } + + EnqueueArgs(CommandQueue &queue, Event e, NDRange global, NDRange local) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(CommandQueue &queue, Event e, NDRange offset, NDRange global, NDRange local) : + queue_(queue), + offset_(offset), + global_(global), + local_(local) + { + events_.push_back(e); + } + + EnqueueArgs(CommandQueue &queue, const vector &events, NDRange global) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(NullRange), + events_(events) + { + + } + + EnqueueArgs(CommandQueue &queue, const vector &events, NDRange global, NDRange local) : + queue_(queue), + offset_(NullRange), + global_(global), + local_(local), + events_(events) + { + + } + + EnqueueArgs(CommandQueue &queue, const vector &events, NDRange offset, NDRange global, NDRange local) : + queue_(queue), + offset_(offset), + global_(global), + local_(local), + events_(events) + { + + } +}; + + +//---------------------------------------------------------------------------------------------- + + +/** + * Type safe kernel functor. + * + */ +template +class KernelFunctor +{ +private: + Kernel kernel_; + + template + void setArgs(T0&& t0, T1s&&... t1s) + { + kernel_.setArg(index, t0); + setArgs(std::forward(t1s)...); + } + + template + void setArgs(T0&& t0) + { + kernel_.setArg(index, t0); + } + + template + void setArgs() + { + } + + +public: + KernelFunctor(Kernel kernel) : kernel_(kernel) + {} + + KernelFunctor( + const Program& program, + const string name, + cl_int * err = NULL) : + kernel_(program, name.c_str(), err) + {} + + //! \brief Return type of the functor + typedef Event result_type; + + /** + * Enqueue kernel. + * @param args Launch parameters of the kernel. + * @param t0... List of kernel arguments based on the template type of the functor. + */ + Event operator() ( + const EnqueueArgs& args, + Ts... ts) + { + Event event; + setArgs<0>(std::forward(ts)...); + + args.queue_.enqueueNDRangeKernel( + kernel_, + args.offset_, + args.global_, + args.local_, + &args.events_, + &event); + + return event; + } + + /** + * Enqueue kernel with support for error code. + * @param args Launch parameters of the kernel. + * @param t0... List of kernel arguments based on the template type of the functor. + * @param error Out parameter returning the error code from the execution. + */ + Event operator() ( + const EnqueueArgs& args, + Ts... ts, + cl_int &error) + { + Event event; + setArgs<0>(std::forward(ts)...); + + error = args.queue_.enqueueNDRangeKernel( + kernel_, + args.offset_, + args.global_, + args.local_, + &args.events_, + &event); + + return event; + } + +#if CL_HPP_TARGET_OPENCL_VERSION >= 200 + cl_int setSVMPointers(const vector &pointerList) + { + return kernel_.setSVMPointers(pointerList); + } + + template + cl_int setSVMPointers(const T0 &t0, T1s &... ts) + { + return kernel_.setSVMPointers(t0, ts...); + } +#endif // #if CL_HPP_TARGET_OPENCL_VERSION >= 200 + + Kernel getKernel() + { + return kernel_; + } +}; + +namespace compatibility { + /** + * Backward compatibility class to ensure that cl.hpp code works with cl2.hpp. + * Please use KernelFunctor directly. + */ + template + struct make_kernel + { + typedef KernelFunctor FunctorType; + + FunctorType functor_; + + make_kernel( + const Program& program, + const string name, + cl_int * err = NULL) : + functor_(FunctorType(program, name, err)) + {} + + make_kernel( + const Kernel kernel) : + functor_(FunctorType(kernel)) + {} + + //! \brief Return type of the functor + typedef Event result_type; + + //! \brief Function signature of kernel functor with no event dependency. + typedef Event type_( + const EnqueueArgs&, + Ts...); + + Event operator()( + const EnqueueArgs& enqueueArgs, + Ts... args) + { + return functor_( + enqueueArgs, args...); + } + }; +} // namespace compatibility + + +//---------------------------------------------------------------------------------------------------------------------- + +#undef CL_HPP_ERR_STR_ +#if !defined(CL_HPP_USER_OVERRIDE_ERROR_STRINGS) +#undef __GET_DEVICE_INFO_ERR +#undef __GET_PLATFORM_INFO_ERR +#undef __GET_DEVICE_IDS_ERR +#undef __GET_PLATFORM_IDS_ERR +#undef __GET_CONTEXT_INFO_ERR +#undef __GET_EVENT_INFO_ERR +#undef __GET_EVENT_PROFILE_INFO_ERR +#undef __GET_MEM_OBJECT_INFO_ERR +#undef __GET_IMAGE_INFO_ERR +#undef __GET_SAMPLER_INFO_ERR +#undef __GET_KERNEL_INFO_ERR +#undef __GET_KERNEL_ARG_INFO_ERR +#undef __GET_KERNEL_SUB_GROUP_INFO_ERR +#undef __GET_KERNEL_WORK_GROUP_INFO_ERR +#undef __GET_PROGRAM_INFO_ERR +#undef __GET_PROGRAM_BUILD_INFO_ERR +#undef __GET_COMMAND_QUEUE_INFO_ERR +#undef __CREATE_CONTEXT_ERR +#undef __CREATE_CONTEXT_FROM_TYPE_ERR +#undef __GET_SUPPORTED_IMAGE_FORMATS_ERR +#undef __CREATE_BUFFER_ERR +#undef __COPY_ERR +#undef __CREATE_SUBBUFFER_ERR +#undef __CREATE_GL_BUFFER_ERR +#undef __CREATE_GL_RENDER_BUFFER_ERR +#undef __GET_GL_OBJECT_INFO_ERR +#undef __CREATE_IMAGE_ERR +#undef __CREATE_GL_TEXTURE_ERR +#undef __IMAGE_DIMENSION_ERR +#undef __SET_MEM_OBJECT_DESTRUCTOR_CALLBACK_ERR +#undef __CREATE_USER_EVENT_ERR +#undef __SET_USER_EVENT_STATUS_ERR +#undef __SET_EVENT_CALLBACK_ERR +#undef __WAIT_FOR_EVENTS_ERR +#undef __CREATE_KERNEL_ERR +#undef __SET_KERNEL_ARGS_ERR +#undef __CREATE_PROGRAM_WITH_SOURCE_ERR +#undef __CREATE_PROGRAM_WITH_IL_ERR +#undef __CREATE_PROGRAM_WITH_BINARY_ERR +#undef __CREATE_PROGRAM_WITH_IL_ERR +#undef __CREATE_PROGRAM_WITH_BUILT_IN_KERNELS_ERR +#undef __BUILD_PROGRAM_ERR +#undef __COMPILE_PROGRAM_ERR +#undef __LINK_PROGRAM_ERR +#undef __CREATE_KERNELS_IN_PROGRAM_ERR +#undef __CREATE_COMMAND_QUEUE_WITH_PROPERTIES_ERR +#undef __CREATE_SAMPLER_WITH_PROPERTIES_ERR +#undef __SET_COMMAND_QUEUE_PROPERTY_ERR +#undef __ENQUEUE_READ_BUFFER_ERR +#undef __ENQUEUE_READ_BUFFER_RECT_ERR +#undef __ENQUEUE_WRITE_BUFFER_ERR +#undef __ENQUEUE_WRITE_BUFFER_RECT_ERR +#undef __ENQEUE_COPY_BUFFER_ERR +#undef __ENQEUE_COPY_BUFFER_RECT_ERR +#undef __ENQUEUE_FILL_BUFFER_ERR +#undef __ENQUEUE_READ_IMAGE_ERR +#undef __ENQUEUE_WRITE_IMAGE_ERR +#undef __ENQUEUE_COPY_IMAGE_ERR +#undef __ENQUEUE_FILL_IMAGE_ERR +#undef __ENQUEUE_COPY_IMAGE_TO_BUFFER_ERR +#undef __ENQUEUE_COPY_BUFFER_TO_IMAGE_ERR +#undef __ENQUEUE_MAP_BUFFER_ERR +#undef __ENQUEUE_MAP_IMAGE_ERR +#undef __ENQUEUE_UNMAP_MEM_OBJECT_ERR +#undef __ENQUEUE_NDRANGE_KERNEL_ERR +#undef __ENQUEUE_NATIVE_KERNEL +#undef __ENQUEUE_MIGRATE_MEM_OBJECTS_ERR +#undef __ENQUEUE_MIGRATE_SVM_ERR +#undef __ENQUEUE_ACQUIRE_GL_ERR +#undef __ENQUEUE_RELEASE_GL_ERR +#undef __CREATE_PIPE_ERR +#undef __GET_PIPE_INFO_ERR +#undef __RETAIN_ERR +#undef __RELEASE_ERR +#undef __FLUSH_ERR +#undef __FINISH_ERR +#undef __VECTOR_CAPACITY_ERR +#undef __CREATE_SUB_DEVICES_ERR +#undef __CREATE_SUB_DEVICES_ERR +#undef __ENQUEUE_MARKER_ERR +#undef __ENQUEUE_WAIT_FOR_EVENTS_ERR +#undef __ENQUEUE_BARRIER_ERR +#undef __UNLOAD_COMPILER_ERR +#undef __CREATE_GL_TEXTURE_2D_ERR +#undef __CREATE_GL_TEXTURE_3D_ERR +#undef __CREATE_IMAGE2D_ERR +#undef __CREATE_IMAGE3D_ERR +#undef __CREATE_COMMAND_QUEUE_ERR +#undef __ENQUEUE_TASK_ERR +#undef __CREATE_SAMPLER_ERR +#undef __ENQUEUE_MARKER_WAIT_LIST_ERR +#undef __ENQUEUE_BARRIER_WAIT_LIST_ERR +#undef __CLONE_KERNEL_ERR +#undef __GET_HOST_TIMER_ERR +#undef __GET_DEVICE_AND_HOST_TIMER_ERR + +#endif //CL_HPP_USER_OVERRIDE_ERROR_STRINGS + +// Extensions +#undef CL_HPP_INIT_CL_EXT_FCN_PTR_ +#undef CL_HPP_INIT_CL_EXT_FCN_PTR_PLATFORM_ + +#if defined(CL_HPP_USE_CL_DEVICE_FISSION) +#undef CL_HPP_PARAM_NAME_DEVICE_FISSION_ +#endif // CL_HPP_USE_CL_DEVICE_FISSION + +#undef CL_HPP_NOEXCEPT_ +#undef CL_HPP_DEFINE_STATIC_MEMBER_ + +} // namespace cl + +#endif // CL_HPP_ diff --git a/benchmarks/new_opencl/include/CL/cl_d3d10.h b/benchmarks/new_opencl/include/CL/cl_d3d10.h new file mode 100644 index 000000000..d5960a43f --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_d3d10.h @@ -0,0 +1,131 @@ +/********************************************************************************** + * Copyright (c) 2008-2015 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ + +/* $Revision: 11708 $ on $Date: 2010-06-13 23:36:24 -0700 (Sun, 13 Jun 2010) $ */ + +#ifndef __OPENCL_CL_D3D10_H +#define __OPENCL_CL_D3D10_H + +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/****************************************************************************** + * cl_khr_d3d10_sharing */ +#define cl_khr_d3d10_sharing 1 + +typedef cl_uint cl_d3d10_device_source_khr; +typedef cl_uint cl_d3d10_device_set_khr; + +/******************************************************************************/ + +/* Error Codes */ +#define CL_INVALID_D3D10_DEVICE_KHR -1002 +#define CL_INVALID_D3D10_RESOURCE_KHR -1003 +#define CL_D3D10_RESOURCE_ALREADY_ACQUIRED_KHR -1004 +#define CL_D3D10_RESOURCE_NOT_ACQUIRED_KHR -1005 + +/* cl_d3d10_device_source_nv */ +#define CL_D3D10_DEVICE_KHR 0x4010 +#define CL_D3D10_DXGI_ADAPTER_KHR 0x4011 + +/* cl_d3d10_device_set_nv */ +#define CL_PREFERRED_DEVICES_FOR_D3D10_KHR 0x4012 +#define CL_ALL_DEVICES_FOR_D3D10_KHR 0x4013 + +/* cl_context_info */ +#define CL_CONTEXT_D3D10_DEVICE_KHR 0x4014 +#define CL_CONTEXT_D3D10_PREFER_SHARED_RESOURCES_KHR 0x402C + +/* cl_mem_info */ +#define CL_MEM_D3D10_RESOURCE_KHR 0x4015 + +/* cl_image_info */ +#define CL_IMAGE_D3D10_SUBRESOURCE_KHR 0x4016 + +/* cl_command_type */ +#define CL_COMMAND_ACQUIRE_D3D10_OBJECTS_KHR 0x4017 +#define CL_COMMAND_RELEASE_D3D10_OBJECTS_KHR 0x4018 + +/******************************************************************************/ + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clGetDeviceIDsFromD3D10KHR_fn)( + cl_platform_id platform, + cl_d3d10_device_source_khr d3d_device_source, + void * d3d_object, + cl_d3d10_device_set_khr d3d_device_set, + cl_uint num_entries, + cl_device_id * devices, + cl_uint * num_devices) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromD3D10BufferKHR_fn)( + cl_context context, + cl_mem_flags flags, + ID3D10Buffer * resource, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromD3D10Texture2DKHR_fn)( + cl_context context, + cl_mem_flags flags, + ID3D10Texture2D * resource, + UINT subresource, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromD3D10Texture3DKHR_fn)( + cl_context context, + cl_mem_flags flags, + ID3D10Texture3D * resource, + UINT subresource, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueAcquireD3D10ObjectsKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueReleaseD3D10ObjectsKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_D3D10_H */ + diff --git a/benchmarks/new_opencl/include/CL/cl_d3d11.h b/benchmarks/new_opencl/include/CL/cl_d3d11.h new file mode 100644 index 000000000..39f907239 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_d3d11.h @@ -0,0 +1,131 @@ +/********************************************************************************** + * Copyright (c) 2008-2015 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ + +/* $Revision: 11708 $ on $Date: 2010-06-13 23:36:24 -0700 (Sun, 13 Jun 2010) $ */ + +#ifndef __OPENCL_CL_D3D11_H +#define __OPENCL_CL_D3D11_H + +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/****************************************************************************** + * cl_khr_d3d11_sharing */ +#define cl_khr_d3d11_sharing 1 + +typedef cl_uint cl_d3d11_device_source_khr; +typedef cl_uint cl_d3d11_device_set_khr; + +/******************************************************************************/ + +/* Error Codes */ +#define CL_INVALID_D3D11_DEVICE_KHR -1006 +#define CL_INVALID_D3D11_RESOURCE_KHR -1007 +#define CL_D3D11_RESOURCE_ALREADY_ACQUIRED_KHR -1008 +#define CL_D3D11_RESOURCE_NOT_ACQUIRED_KHR -1009 + +/* cl_d3d11_device_source */ +#define CL_D3D11_DEVICE_KHR 0x4019 +#define CL_D3D11_DXGI_ADAPTER_KHR 0x401A + +/* cl_d3d11_device_set */ +#define CL_PREFERRED_DEVICES_FOR_D3D11_KHR 0x401B +#define CL_ALL_DEVICES_FOR_D3D11_KHR 0x401C + +/* cl_context_info */ +#define CL_CONTEXT_D3D11_DEVICE_KHR 0x401D +#define CL_CONTEXT_D3D11_PREFER_SHARED_RESOURCES_KHR 0x402D + +/* cl_mem_info */ +#define CL_MEM_D3D11_RESOURCE_KHR 0x401E + +/* cl_image_info */ +#define CL_IMAGE_D3D11_SUBRESOURCE_KHR 0x401F + +/* cl_command_type */ +#define CL_COMMAND_ACQUIRE_D3D11_OBJECTS_KHR 0x4020 +#define CL_COMMAND_RELEASE_D3D11_OBJECTS_KHR 0x4021 + +/******************************************************************************/ + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clGetDeviceIDsFromD3D11KHR_fn)( + cl_platform_id platform, + cl_d3d11_device_source_khr d3d_device_source, + void * d3d_object, + cl_d3d11_device_set_khr d3d_device_set, + cl_uint num_entries, + cl_device_id * devices, + cl_uint * num_devices) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromD3D11BufferKHR_fn)( + cl_context context, + cl_mem_flags flags, + ID3D11Buffer * resource, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromD3D11Texture2DKHR_fn)( + cl_context context, + cl_mem_flags flags, + ID3D11Texture2D * resource, + UINT subresource, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromD3D11Texture3DKHR_fn)( + cl_context context, + cl_mem_flags flags, + ID3D11Texture3D * resource, + UINT subresource, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueAcquireD3D11ObjectsKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueReleaseD3D11ObjectsKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_D3D11_H */ + diff --git a/benchmarks/new_opencl/include/CL/cl_dx9_media_sharing.h b/benchmarks/new_opencl/include/CL/cl_dx9_media_sharing.h new file mode 100644 index 000000000..2729e8b9e --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_dx9_media_sharing.h @@ -0,0 +1,132 @@ +/********************************************************************************** + * Copyright (c) 2008-2015 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ + +/* $Revision: 11708 $ on $Date: 2010-06-13 23:36:24 -0700 (Sun, 13 Jun 2010) $ */ + +#ifndef __OPENCL_CL_DX9_MEDIA_SHARING_H +#define __OPENCL_CL_DX9_MEDIA_SHARING_H + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/******************************************************************************/ +/* cl_khr_dx9_media_sharing */ +#define cl_khr_dx9_media_sharing 1 + +typedef cl_uint cl_dx9_media_adapter_type_khr; +typedef cl_uint cl_dx9_media_adapter_set_khr; + +#if defined(_WIN32) +#include +typedef struct _cl_dx9_surface_info_khr +{ + IDirect3DSurface9 *resource; + HANDLE shared_handle; +} cl_dx9_surface_info_khr; +#endif + + +/******************************************************************************/ + +/* Error Codes */ +#define CL_INVALID_DX9_MEDIA_ADAPTER_KHR -1010 +#define CL_INVALID_DX9_MEDIA_SURFACE_KHR -1011 +#define CL_DX9_MEDIA_SURFACE_ALREADY_ACQUIRED_KHR -1012 +#define CL_DX9_MEDIA_SURFACE_NOT_ACQUIRED_KHR -1013 + +/* cl_media_adapter_type_khr */ +#define CL_ADAPTER_D3D9_KHR 0x2020 +#define CL_ADAPTER_D3D9EX_KHR 0x2021 +#define CL_ADAPTER_DXVA_KHR 0x2022 + +/* cl_media_adapter_set_khr */ +#define CL_PREFERRED_DEVICES_FOR_DX9_MEDIA_ADAPTER_KHR 0x2023 +#define CL_ALL_DEVICES_FOR_DX9_MEDIA_ADAPTER_KHR 0x2024 + +/* cl_context_info */ +#define CL_CONTEXT_ADAPTER_D3D9_KHR 0x2025 +#define CL_CONTEXT_ADAPTER_D3D9EX_KHR 0x2026 +#define CL_CONTEXT_ADAPTER_DXVA_KHR 0x2027 + +/* cl_mem_info */ +#define CL_MEM_DX9_MEDIA_ADAPTER_TYPE_KHR 0x2028 +#define CL_MEM_DX9_MEDIA_SURFACE_INFO_KHR 0x2029 + +/* cl_image_info */ +#define CL_IMAGE_DX9_MEDIA_PLANE_KHR 0x202A + +/* cl_command_type */ +#define CL_COMMAND_ACQUIRE_DX9_MEDIA_SURFACES_KHR 0x202B +#define CL_COMMAND_RELEASE_DX9_MEDIA_SURFACES_KHR 0x202C + +/******************************************************************************/ + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clGetDeviceIDsFromDX9MediaAdapterKHR_fn)( + cl_platform_id platform, + cl_uint num_media_adapters, + cl_dx9_media_adapter_type_khr * media_adapter_type, + void * media_adapters, + cl_dx9_media_adapter_set_khr media_adapter_set, + cl_uint num_entries, + cl_device_id * devices, + cl_uint * num_devices) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromDX9MediaSurfaceKHR_fn)( + cl_context context, + cl_mem_flags flags, + cl_dx9_media_adapter_type_khr adapter_type, + void * surface_info, + cl_uint plane, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueAcquireDX9MediaSurfacesKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueReleaseDX9MediaSurfacesKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_2; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_DX9_MEDIA_SHARING_H */ + diff --git a/benchmarks/new_opencl/include/CL/cl_dx9_media_sharing_intel.h b/benchmarks/new_opencl/include/CL/cl_dx9_media_sharing_intel.h new file mode 100644 index 000000000..737e68564 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_dx9_media_sharing_intel.h @@ -0,0 +1,182 @@ +/********************************************************************************** + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ +/*****************************************************************************\ + +Copyright (c) 2013-2019 Intel Corporation All Rights Reserved. + +THESE MATERIALS ARE PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR +A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL INTEL OR ITS +CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR +PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY +OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY OR TORT (INCLUDING +NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THESE +MATERIALS, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + +File Name: cl_dx9_media_sharing_intel.h + +Abstract: + +Notes: + +\*****************************************************************************/ + +#ifndef __OPENCL_CL_DX9_MEDIA_SHARING_INTEL_H +#define __OPENCL_CL_DX9_MEDIA_SHARING_INTEL_H + +#include +#include +#include +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/*************************************** +* cl_intel_dx9_media_sharing extension * +****************************************/ + +#define cl_intel_dx9_media_sharing 1 + +typedef cl_uint cl_dx9_device_source_intel; +typedef cl_uint cl_dx9_device_set_intel; + +/* error codes */ +#define CL_INVALID_DX9_DEVICE_INTEL -1010 +#define CL_INVALID_DX9_RESOURCE_INTEL -1011 +#define CL_DX9_RESOURCE_ALREADY_ACQUIRED_INTEL -1012 +#define CL_DX9_RESOURCE_NOT_ACQUIRED_INTEL -1013 + +/* cl_dx9_device_source_intel */ +#define CL_D3D9_DEVICE_INTEL 0x4022 +#define CL_D3D9EX_DEVICE_INTEL 0x4070 +#define CL_DXVA_DEVICE_INTEL 0x4071 + +/* cl_dx9_device_set_intel */ +#define CL_PREFERRED_DEVICES_FOR_DX9_INTEL 0x4024 +#define CL_ALL_DEVICES_FOR_DX9_INTEL 0x4025 + +/* cl_context_info */ +#define CL_CONTEXT_D3D9_DEVICE_INTEL 0x4026 +#define CL_CONTEXT_D3D9EX_DEVICE_INTEL 0x4072 +#define CL_CONTEXT_DXVA_DEVICE_INTEL 0x4073 + +/* cl_mem_info */ +#define CL_MEM_DX9_RESOURCE_INTEL 0x4027 +#define CL_MEM_DX9_SHARED_HANDLE_INTEL 0x4074 + +/* cl_image_info */ +#define CL_IMAGE_DX9_PLANE_INTEL 0x4075 + +/* cl_command_type */ +#define CL_COMMAND_ACQUIRE_DX9_OBJECTS_INTEL 0x402A +#define CL_COMMAND_RELEASE_DX9_OBJECTS_INTEL 0x402B +/******************************************************************************/ + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetDeviceIDsFromDX9INTEL( + cl_platform_id platform, + cl_dx9_device_source_intel dx9_device_source, + void* dx9_object, + cl_dx9_device_set_intel dx9_device_set, + cl_uint num_entries, + cl_device_id* devices, + cl_uint* num_devices) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_int (CL_API_CALL* clGetDeviceIDsFromDX9INTEL_fn)( + cl_platform_id platform, + cl_dx9_device_source_intel dx9_device_source, + void* dx9_object, + cl_dx9_device_set_intel dx9_device_set, + cl_uint num_entries, + cl_device_id* devices, + cl_uint* num_devices) CL_EXT_SUFFIX__VERSION_1_1; + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateFromDX9MediaSurfaceINTEL( + cl_context context, + cl_mem_flags flags, + IDirect3DSurface9* resource, + HANDLE sharedHandle, + UINT plane, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromDX9MediaSurfaceINTEL_fn)( + cl_context context, + cl_mem_flags flags, + IDirect3DSurface9* resource, + HANDLE sharedHandle, + UINT plane, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_1; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueAcquireDX9ObjectsINTEL( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueAcquireDX9ObjectsINTEL_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_1; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReleaseDX9ObjectsINTEL( + cl_command_queue command_queue, + cl_uint num_objects, + cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueReleaseDX9ObjectsINTEL_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_1; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_DX9_MEDIA_SHARING_INTEL_H */ + diff --git a/benchmarks/new_opencl/include/CL/cl_egl.h b/benchmarks/new_opencl/include/CL/cl_egl.h new file mode 100644 index 000000000..bc4d998eb --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_egl.h @@ -0,0 +1,132 @@ +/******************************************************************************* + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +#ifndef __OPENCL_CL_EGL_H +#define __OPENCL_CL_EGL_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + + +/* Command type for events created with clEnqueueAcquireEGLObjectsKHR */ +#define CL_COMMAND_EGL_FENCE_SYNC_OBJECT_KHR 0x202F +#define CL_COMMAND_ACQUIRE_EGL_OBJECTS_KHR 0x202D +#define CL_COMMAND_RELEASE_EGL_OBJECTS_KHR 0x202E + +/* Error type for clCreateFromEGLImageKHR */ +#define CL_INVALID_EGL_OBJECT_KHR -1093 +#define CL_EGL_RESOURCE_NOT_ACQUIRED_KHR -1092 + +/* CLeglImageKHR is an opaque handle to an EGLImage */ +typedef void* CLeglImageKHR; + +/* CLeglDisplayKHR is an opaque handle to an EGLDisplay */ +typedef void* CLeglDisplayKHR; + +/* CLeglSyncKHR is an opaque handle to an EGLSync object */ +typedef void* CLeglSyncKHR; + +/* properties passed to clCreateFromEGLImageKHR */ +typedef intptr_t cl_egl_image_properties_khr; + + +#define cl_khr_egl_image 1 + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateFromEGLImageKHR(cl_context context, + CLeglDisplayKHR egldisplay, + CLeglImageKHR eglimage, + cl_mem_flags flags, + const cl_egl_image_properties_khr * properties, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL *clCreateFromEGLImageKHR_fn)( + cl_context context, + CLeglDisplayKHR egldisplay, + CLeglImageKHR eglimage, + cl_mem_flags flags, + const cl_egl_image_properties_khr * properties, + cl_int * errcode_ret); + + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueAcquireEGLObjectsKHR(cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueAcquireEGLObjectsKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event); + + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReleaseEGLObjectsKHR(cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueReleaseEGLObjectsKHR_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event); + + +#define cl_khr_egl_event 1 + +extern CL_API_ENTRY cl_event CL_API_CALL +clCreateEventFromEGLSyncKHR(cl_context context, + CLeglSyncKHR sync, + CLeglDisplayKHR display, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_event (CL_API_CALL *clCreateEventFromEGLSyncKHR_fn)( + cl_context context, + CLeglSyncKHR sync, + CLeglDisplayKHR display, + cl_int * errcode_ret); + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_EGL_H */ diff --git a/benchmarks/new_opencl/include/CL/cl_ext.h b/benchmarks/new_opencl/include/CL/cl_ext.h new file mode 100644 index 000000000..5c185915c --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_ext.h @@ -0,0 +1,762 @@ +/******************************************************************************* + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +/* cl_ext.h contains OpenCL extensions which don't have external */ +/* (OpenGL, D3D) dependencies. */ + +#ifndef __CL_EXT_H +#define __CL_EXT_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include + +/* cl_khr_fp64 extension - no extension #define since it has no functions */ +/* CL_DEVICE_DOUBLE_FP_CONFIG is defined in CL.h for OpenCL >= 120 */ + +#if CL_TARGET_OPENCL_VERSION <= 110 +#define CL_DEVICE_DOUBLE_FP_CONFIG 0x1032 +#endif + +/* cl_khr_fp16 extension - no extension #define since it has no functions */ +#define CL_DEVICE_HALF_FP_CONFIG 0x1033 + +/* Memory object destruction + * + * Apple extension for use to manage externally allocated buffers used with cl_mem objects with CL_MEM_USE_HOST_PTR + * + * Registers a user callback function that will be called when the memory object is deleted and its resources + * freed. Each call to clSetMemObjectCallbackFn registers the specified user callback function on a callback + * stack associated with memobj. The registered user callback functions are called in the reverse order in + * which they were registered. The user callback functions are called and then the memory object is deleted + * and its resources freed. This provides a mechanism for the application (and libraries) using memobj to be + * notified when the memory referenced by host_ptr, specified when the memory object is created and used as + * the storage bits for the memory object, can be reused or freed. + * + * The application may not call CL api's with the cl_mem object passed to the pfn_notify. + * + * Please check for the "cl_APPLE_SetMemObjectDestructor" extension using clGetDeviceInfo(CL_DEVICE_EXTENSIONS) + * before using. + */ +#define cl_APPLE_SetMemObjectDestructor 1 +cl_int CL_API_ENTRY clSetMemObjectDestructorAPPLE( cl_mem memobj, + void (* pfn_notify)(cl_mem memobj, void * user_data), + void * user_data) CL_EXT_SUFFIX__VERSION_1_0; + + +/* Context Logging Functions + * + * The next three convenience functions are intended to be used as the pfn_notify parameter to clCreateContext(). + * Please check for the "cl_APPLE_ContextLoggingFunctions" extension using clGetDeviceInfo(CL_DEVICE_EXTENSIONS) + * before using. + * + * clLogMessagesToSystemLog forwards on all log messages to the Apple System Logger + */ +#define cl_APPLE_ContextLoggingFunctions 1 +extern void CL_API_ENTRY clLogMessagesToSystemLogAPPLE( const char * errstr, + const void * private_info, + size_t cb, + void * user_data) CL_EXT_SUFFIX__VERSION_1_0; + +/* clLogMessagesToStdout sends all log messages to the file descriptor stdout */ +extern void CL_API_ENTRY clLogMessagesToStdoutAPPLE( const char * errstr, + const void * private_info, + size_t cb, + void * user_data) CL_EXT_SUFFIX__VERSION_1_0; + +/* clLogMessagesToStderr sends all log messages to the file descriptor stderr */ +extern void CL_API_ENTRY clLogMessagesToStderrAPPLE( const char * errstr, + const void * private_info, + size_t cb, + void * user_data) CL_EXT_SUFFIX__VERSION_1_0; + + +/************************ +* cl_khr_icd extension * +************************/ +#define cl_khr_icd 1 + +/* cl_platform_info */ +#define CL_PLATFORM_ICD_SUFFIX_KHR 0x0920 + +/* Additional Error Codes */ +#define CL_PLATFORM_NOT_FOUND_KHR -1001 + +extern CL_API_ENTRY cl_int CL_API_CALL +clIcdGetPlatformIDsKHR(cl_uint num_entries, + cl_platform_id * platforms, + cl_uint * num_platforms); + +typedef CL_API_ENTRY cl_int +(CL_API_CALL *clIcdGetPlatformIDsKHR_fn)(cl_uint num_entries, + cl_platform_id * platforms, + cl_uint * num_platforms); + + +/******************************* + * cl_khr_il_program extension * + *******************************/ +#define cl_khr_il_program 1 + +/* New property to clGetDeviceInfo for retrieving supported intermediate + * languages + */ +#define CL_DEVICE_IL_VERSION_KHR 0x105B + +/* New property to clGetProgramInfo for retrieving for retrieving the IL of a + * program + */ +#define CL_PROGRAM_IL_KHR 0x1169 + +extern CL_API_ENTRY cl_program CL_API_CALL +clCreateProgramWithILKHR(cl_context context, + const void * il, + size_t length, + cl_int * errcode_ret); + +typedef CL_API_ENTRY cl_program +(CL_API_CALL *clCreateProgramWithILKHR_fn)(cl_context context, + const void * il, + size_t length, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + +/* Extension: cl_khr_image2d_from_buffer + * + * This extension allows a 2D image to be created from a cl_mem buffer without + * a copy. The type associated with a 2D image created from a buffer in an + * OpenCL program is image2d_t. Both the sampler and sampler-less read_image + * built-in functions are supported for 2D images and 2D images created from + * a buffer. Similarly, the write_image built-ins are also supported for 2D + * images created from a buffer. + * + * When the 2D image from buffer is created, the client must specify the + * width, height, image format (i.e. channel order and channel data type) + * and optionally the row pitch. + * + * The pitch specified must be a multiple of + * CL_DEVICE_IMAGE_PITCH_ALIGNMENT_KHR pixels. + * The base address of the buffer must be aligned to + * CL_DEVICE_IMAGE_BASE_ADDRESS_ALIGNMENT_KHR pixels. + */ + +#define CL_DEVICE_IMAGE_PITCH_ALIGNMENT_KHR 0x104A +#define CL_DEVICE_IMAGE_BASE_ADDRESS_ALIGNMENT_KHR 0x104B + + +/************************************** + * cl_khr_initialize_memory extension * + **************************************/ + +#define CL_CONTEXT_MEMORY_INITIALIZE_KHR 0x2030 + + +/************************************** + * cl_khr_terminate_context extension * + **************************************/ + +#define CL_DEVICE_TERMINATE_CAPABILITY_KHR 0x2031 +#define CL_CONTEXT_TERMINATE_KHR 0x2032 + +#define cl_khr_terminate_context 1 +extern CL_API_ENTRY cl_int CL_API_CALL +clTerminateContextKHR(cl_context context) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int +(CL_API_CALL *clTerminateContextKHR_fn)(cl_context context) CL_EXT_SUFFIX__VERSION_1_2; + + +/* + * Extension: cl_khr_spir + * + * This extension adds support to create an OpenCL program object from a + * Standard Portable Intermediate Representation (SPIR) instance + */ + +#define CL_DEVICE_SPIR_VERSIONS 0x40E0 +#define CL_PROGRAM_BINARY_TYPE_INTERMEDIATE 0x40E1 + + +/***************************************** + * cl_khr_create_command_queue extension * + *****************************************/ +#define cl_khr_create_command_queue 1 + +typedef cl_bitfield cl_queue_properties_khr; + +extern CL_API_ENTRY cl_command_queue CL_API_CALL +clCreateCommandQueueWithPropertiesKHR(cl_context context, + cl_device_id device, + const cl_queue_properties_khr* properties, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_command_queue +(CL_API_CALL *clCreateCommandQueueWithPropertiesKHR_fn)(cl_context context, + cl_device_id device, + const cl_queue_properties_khr* properties, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + + +/****************************************** +* cl_nv_device_attribute_query extension * +******************************************/ + +/* cl_nv_device_attribute_query extension - no extension #define since it has no functions */ +#define CL_DEVICE_COMPUTE_CAPABILITY_MAJOR_NV 0x4000 +#define CL_DEVICE_COMPUTE_CAPABILITY_MINOR_NV 0x4001 +#define CL_DEVICE_REGISTERS_PER_BLOCK_NV 0x4002 +#define CL_DEVICE_WARP_SIZE_NV 0x4003 +#define CL_DEVICE_GPU_OVERLAP_NV 0x4004 +#define CL_DEVICE_KERNEL_EXEC_TIMEOUT_NV 0x4005 +#define CL_DEVICE_INTEGRATED_MEMORY_NV 0x4006 + + +/********************************* +* cl_amd_device_attribute_query * +*********************************/ + +#define CL_DEVICE_PROFILING_TIMER_OFFSET_AMD 0x4036 + + +/********************************* +* cl_arm_printf extension +*********************************/ + +#define CL_PRINTF_CALLBACK_ARM 0x40B0 +#define CL_PRINTF_BUFFERSIZE_ARM 0x40B1 + + +/*********************************** +* cl_ext_device_fission extension +***********************************/ +#define cl_ext_device_fission 1 + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseDeviceEXT(cl_device_id device) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_int +(CL_API_CALL *clReleaseDeviceEXT_fn)(cl_device_id device) CL_EXT_SUFFIX__VERSION_1_1; + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainDeviceEXT(cl_device_id device) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_int +(CL_API_CALL *clRetainDeviceEXT_fn)(cl_device_id device) CL_EXT_SUFFIX__VERSION_1_1; + +typedef cl_ulong cl_device_partition_property_ext; +extern CL_API_ENTRY cl_int CL_API_CALL +clCreateSubDevicesEXT(cl_device_id in_device, + const cl_device_partition_property_ext * properties, + cl_uint num_entries, + cl_device_id * out_devices, + cl_uint * num_devices) CL_EXT_SUFFIX__VERSION_1_1; + +typedef CL_API_ENTRY cl_int +(CL_API_CALL * clCreateSubDevicesEXT_fn)(cl_device_id in_device, + const cl_device_partition_property_ext * properties, + cl_uint num_entries, + cl_device_id * out_devices, + cl_uint * num_devices) CL_EXT_SUFFIX__VERSION_1_1; + +/* cl_device_partition_property_ext */ +#define CL_DEVICE_PARTITION_EQUALLY_EXT 0x4050 +#define CL_DEVICE_PARTITION_BY_COUNTS_EXT 0x4051 +#define CL_DEVICE_PARTITION_BY_NAMES_EXT 0x4052 +#define CL_DEVICE_PARTITION_BY_AFFINITY_DOMAIN_EXT 0x4053 + +/* clDeviceGetInfo selectors */ +#define CL_DEVICE_PARENT_DEVICE_EXT 0x4054 +#define CL_DEVICE_PARTITION_TYPES_EXT 0x4055 +#define CL_DEVICE_AFFINITY_DOMAINS_EXT 0x4056 +#define CL_DEVICE_REFERENCE_COUNT_EXT 0x4057 +#define CL_DEVICE_PARTITION_STYLE_EXT 0x4058 + +/* error codes */ +#define CL_DEVICE_PARTITION_FAILED_EXT -1057 +#define CL_INVALID_PARTITION_COUNT_EXT -1058 +#define CL_INVALID_PARTITION_NAME_EXT -1059 + +/* CL_AFFINITY_DOMAINs */ +#define CL_AFFINITY_DOMAIN_L1_CACHE_EXT 0x1 +#define CL_AFFINITY_DOMAIN_L2_CACHE_EXT 0x2 +#define CL_AFFINITY_DOMAIN_L3_CACHE_EXT 0x3 +#define CL_AFFINITY_DOMAIN_L4_CACHE_EXT 0x4 +#define CL_AFFINITY_DOMAIN_NUMA_EXT 0x10 +#define CL_AFFINITY_DOMAIN_NEXT_FISSIONABLE_EXT 0x100 + +/* cl_device_partition_property_ext list terminators */ +#define CL_PROPERTIES_LIST_END_EXT ((cl_device_partition_property_ext) 0) +#define CL_PARTITION_BY_COUNTS_LIST_END_EXT ((cl_device_partition_property_ext) 0) +#define CL_PARTITION_BY_NAMES_LIST_END_EXT ((cl_device_partition_property_ext) 0 - 1) + + +/*********************************** + * cl_ext_migrate_memobject extension definitions + ***********************************/ +#define cl_ext_migrate_memobject 1 + +typedef cl_bitfield cl_mem_migration_flags_ext; + +#define CL_MIGRATE_MEM_OBJECT_HOST_EXT 0x1 + +#define CL_COMMAND_MIGRATE_MEM_OBJECT_EXT 0x4040 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueMigrateMemObjectEXT(cl_command_queue command_queue, + cl_uint num_mem_objects, + const cl_mem * mem_objects, + cl_mem_migration_flags_ext flags, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event); + +typedef CL_API_ENTRY cl_int +(CL_API_CALL *clEnqueueMigrateMemObjectEXT_fn)(cl_command_queue command_queue, + cl_uint num_mem_objects, + const cl_mem * mem_objects, + cl_mem_migration_flags_ext flags, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event); + + +/********************************* +* cl_qcom_ext_host_ptr extension +*********************************/ +#define cl_qcom_ext_host_ptr 1 + +#define CL_MEM_EXT_HOST_PTR_QCOM (1 << 29) + +#define CL_DEVICE_EXT_MEM_PADDING_IN_BYTES_QCOM 0x40A0 +#define CL_DEVICE_PAGE_SIZE_QCOM 0x40A1 +#define CL_IMAGE_ROW_ALIGNMENT_QCOM 0x40A2 +#define CL_IMAGE_SLICE_ALIGNMENT_QCOM 0x40A3 +#define CL_MEM_HOST_UNCACHED_QCOM 0x40A4 +#define CL_MEM_HOST_WRITEBACK_QCOM 0x40A5 +#define CL_MEM_HOST_WRITETHROUGH_QCOM 0x40A6 +#define CL_MEM_HOST_WRITE_COMBINING_QCOM 0x40A7 + +typedef cl_uint cl_image_pitch_info_qcom; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetDeviceImageInfoQCOM(cl_device_id device, + size_t image_width, + size_t image_height, + const cl_image_format *image_format, + cl_image_pitch_info_qcom param_name, + size_t param_value_size, + void *param_value, + size_t *param_value_size_ret); + +typedef struct _cl_mem_ext_host_ptr +{ + /* Type of external memory allocation. */ + /* Legal values will be defined in layered extensions. */ + cl_uint allocation_type; + + /* Host cache policy for this external memory allocation. */ + cl_uint host_cache_policy; + +} cl_mem_ext_host_ptr; + + +/******************************************* +* cl_qcom_ext_host_ptr_iocoherent extension +********************************************/ + +/* Cache policy specifying io-coherence */ +#define CL_MEM_HOST_IOCOHERENT_QCOM 0x40A9 + + +/********************************* +* cl_qcom_ion_host_ptr extension +*********************************/ + +#define CL_MEM_ION_HOST_PTR_QCOM 0x40A8 + +typedef struct _cl_mem_ion_host_ptr +{ + /* Type of external memory allocation. */ + /* Must be CL_MEM_ION_HOST_PTR_QCOM for ION allocations. */ + cl_mem_ext_host_ptr ext_host_ptr; + + /* ION file descriptor */ + int ion_filedesc; + + /* Host pointer to the ION allocated memory */ + void* ion_hostptr; + +} cl_mem_ion_host_ptr; + + +/********************************* +* cl_qcom_android_native_buffer_host_ptr extension +*********************************/ + +#define CL_MEM_ANDROID_NATIVE_BUFFER_HOST_PTR_QCOM 0x40C6 + +typedef struct _cl_mem_android_native_buffer_host_ptr +{ + /* Type of external memory allocation. */ + /* Must be CL_MEM_ANDROID_NATIVE_BUFFER_HOST_PTR_QCOM for Android native buffers. */ + cl_mem_ext_host_ptr ext_host_ptr; + + /* Virtual pointer to the android native buffer */ + void* anb_ptr; + +} cl_mem_android_native_buffer_host_ptr; + + +/****************************************** + * cl_img_yuv_image extension * + ******************************************/ + +/* Image formats used in clCreateImage */ +#define CL_NV21_IMG 0x40D0 +#define CL_YV12_IMG 0x40D1 + + +/****************************************** + * cl_img_cached_allocations extension * + ******************************************/ + +/* Flag values used by clCreateBuffer */ +#define CL_MEM_USE_UNCACHED_CPU_MEMORY_IMG (1 << 26) +#define CL_MEM_USE_CACHED_CPU_MEMORY_IMG (1 << 27) + + +/****************************************** + * cl_img_use_gralloc_ptr extension * + ******************************************/ +#define cl_img_use_gralloc_ptr 1 + +/* Flag values used by clCreateBuffer */ +#define CL_MEM_USE_GRALLOC_PTR_IMG (1 << 28) + +/* To be used by clGetEventInfo: */ +#define CL_COMMAND_ACQUIRE_GRALLOC_OBJECTS_IMG 0x40D2 +#define CL_COMMAND_RELEASE_GRALLOC_OBJECTS_IMG 0x40D3 + +/* Error code from clEnqueueReleaseGrallocObjectsIMG */ +#define CL_GRALLOC_RESOURCE_NOT_ACQUIRED_IMG 0x40D4 + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueAcquireGrallocObjectsIMG(cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReleaseGrallocObjectsIMG(cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + + +/********************************* +* cl_khr_subgroups extension +*********************************/ +#define cl_khr_subgroups 1 + +#if !defined(CL_VERSION_2_1) +/* For OpenCL 2.1 and newer, cl_kernel_sub_group_info is declared in CL.h. + In hindsight, there should have been a khr suffix on this type for + the extension, but keeping it un-suffixed to maintain backwards + compatibility. */ +typedef cl_uint cl_kernel_sub_group_info; +#endif + +/* cl_kernel_sub_group_info */ +#define CL_KERNEL_MAX_SUB_GROUP_SIZE_FOR_NDRANGE_KHR 0x2033 +#define CL_KERNEL_SUB_GROUP_COUNT_FOR_NDRANGE_KHR 0x2034 + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetKernelSubGroupInfoKHR(cl_kernel in_kernel, + cl_device_id in_device, + cl_kernel_sub_group_info param_name, + size_t input_value_size, + const void * input_value, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_EXT_SUFFIX__VERSION_2_0_DEPRECATED; + +typedef CL_API_ENTRY cl_int +(CL_API_CALL * clGetKernelSubGroupInfoKHR_fn)(cl_kernel in_kernel, + cl_device_id in_device, + cl_kernel_sub_group_info param_name, + size_t input_value_size, + const void * input_value, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_EXT_SUFFIX__VERSION_2_0_DEPRECATED; + + +/********************************* +* cl_khr_mipmap_image extension +*********************************/ + +/* cl_sampler_properties */ +#define CL_SAMPLER_MIP_FILTER_MODE_KHR 0x1155 +#define CL_SAMPLER_LOD_MIN_KHR 0x1156 +#define CL_SAMPLER_LOD_MAX_KHR 0x1157 + + +/********************************* +* cl_khr_priority_hints extension +*********************************/ +/* This extension define is for backwards compatibility. + It shouldn't be required since this extension has no new functions. */ +#define cl_khr_priority_hints 1 + +typedef cl_uint cl_queue_priority_khr; + +/* cl_command_queue_properties */ +#define CL_QUEUE_PRIORITY_KHR 0x1096 + +/* cl_queue_priority_khr */ +#define CL_QUEUE_PRIORITY_HIGH_KHR (1<<0) +#define CL_QUEUE_PRIORITY_MED_KHR (1<<1) +#define CL_QUEUE_PRIORITY_LOW_KHR (1<<2) + + +/********************************* +* cl_khr_throttle_hints extension +*********************************/ +/* This extension define is for backwards compatibility. + It shouldn't be required since this extension has no new functions. */ +#define cl_khr_throttle_hints 1 + +typedef cl_uint cl_queue_throttle_khr; + +/* cl_command_queue_properties */ +#define CL_QUEUE_THROTTLE_KHR 0x1097 + +/* cl_queue_throttle_khr */ +#define CL_QUEUE_THROTTLE_HIGH_KHR (1<<0) +#define CL_QUEUE_THROTTLE_MED_KHR (1<<1) +#define CL_QUEUE_THROTTLE_LOW_KHR (1<<2) + + +/********************************* +* cl_khr_subgroup_named_barrier +*********************************/ +/* This extension define is for backwards compatibility. + It shouldn't be required since this extension has no new functions. */ +#define cl_khr_subgroup_named_barrier 1 + +/* cl_device_info */ +#define CL_DEVICE_MAX_NAMED_BARRIER_COUNT_KHR 0x2035 + + +/********************************** + * cl_arm_import_memory extension * + **********************************/ +#define cl_arm_import_memory 1 + +typedef intptr_t cl_import_properties_arm; + +/* Default and valid proporties name for cl_arm_import_memory */ +#define CL_IMPORT_TYPE_ARM 0x40B2 + +/* Host process memory type default value for CL_IMPORT_TYPE_ARM property */ +#define CL_IMPORT_TYPE_HOST_ARM 0x40B3 + +/* DMA BUF memory type value for CL_IMPORT_TYPE_ARM property */ +#define CL_IMPORT_TYPE_DMA_BUF_ARM 0x40B4 + +/* Protected DMA BUF memory type value for CL_IMPORT_TYPE_ARM property */ +#define CL_IMPORT_TYPE_PROTECTED_ARM 0x40B5 + +/* This extension adds a new function that allows for direct memory import into + * OpenCL via the clImportMemoryARM function. + * + * Memory imported through this interface will be mapped into the device's page + * tables directly, providing zero copy access. It will never fall back to copy + * operations and aliased buffers. + * + * Types of memory supported for import are specified as additional extension + * strings. + * + * This extension produces cl_mem allocations which are compatible with all other + * users of cl_mem in the standard API. + * + * This extension maps pages with the same properties as the normal buffer creation + * function clCreateBuffer. + */ +extern CL_API_ENTRY cl_mem CL_API_CALL +clImportMemoryARM( cl_context context, + cl_mem_flags flags, + const cl_import_properties_arm *properties, + void *memory, + size_t size, + cl_int *errcode_ret) CL_EXT_SUFFIX__VERSION_1_0; + + +/****************************************** + * cl_arm_shared_virtual_memory extension * + ******************************************/ +#define cl_arm_shared_virtual_memory 1 + +/* Used by clGetDeviceInfo */ +#define CL_DEVICE_SVM_CAPABILITIES_ARM 0x40B6 + +/* Used by clGetMemObjectInfo */ +#define CL_MEM_USES_SVM_POINTER_ARM 0x40B7 + +/* Used by clSetKernelExecInfoARM: */ +#define CL_KERNEL_EXEC_INFO_SVM_PTRS_ARM 0x40B8 +#define CL_KERNEL_EXEC_INFO_SVM_FINE_GRAIN_SYSTEM_ARM 0x40B9 + +/* To be used by clGetEventInfo: */ +#define CL_COMMAND_SVM_FREE_ARM 0x40BA +#define CL_COMMAND_SVM_MEMCPY_ARM 0x40BB +#define CL_COMMAND_SVM_MEMFILL_ARM 0x40BC +#define CL_COMMAND_SVM_MAP_ARM 0x40BD +#define CL_COMMAND_SVM_UNMAP_ARM 0x40BE + +/* Flag values returned by clGetDeviceInfo with CL_DEVICE_SVM_CAPABILITIES_ARM as the param_name. */ +#define CL_DEVICE_SVM_COARSE_GRAIN_BUFFER_ARM (1 << 0) +#define CL_DEVICE_SVM_FINE_GRAIN_BUFFER_ARM (1 << 1) +#define CL_DEVICE_SVM_FINE_GRAIN_SYSTEM_ARM (1 << 2) +#define CL_DEVICE_SVM_ATOMICS_ARM (1 << 3) + +/* Flag values used by clSVMAllocARM: */ +#define CL_MEM_SVM_FINE_GRAIN_BUFFER_ARM (1 << 10) +#define CL_MEM_SVM_ATOMICS_ARM (1 << 11) + +typedef cl_bitfield cl_svm_mem_flags_arm; +typedef cl_uint cl_kernel_exec_info_arm; +typedef cl_bitfield cl_device_svm_capabilities_arm; + +extern CL_API_ENTRY void * CL_API_CALL +clSVMAllocARM(cl_context context, + cl_svm_mem_flags_arm flags, + size_t size, + cl_uint alignment) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY void CL_API_CALL +clSVMFreeARM(cl_context context, + void * svm_pointer) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMFreeARM(cl_command_queue command_queue, + cl_uint num_svm_pointers, + void * svm_pointers[], + void (CL_CALLBACK * pfn_free_func)(cl_command_queue queue, + cl_uint num_svm_pointers, + void * svm_pointers[], + void * user_data), + void * user_data, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMemcpyARM(cl_command_queue command_queue, + cl_bool blocking_copy, + void * dst_ptr, + const void * src_ptr, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMemFillARM(cl_command_queue command_queue, + void * svm_ptr, + const void * pattern, + size_t pattern_size, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMMapARM(cl_command_queue command_queue, + cl_bool blocking_map, + cl_map_flags flags, + void * svm_ptr, + size_t size, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueSVMUnmapARM(cl_command_queue command_queue, + void * svm_ptr, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetKernelArgSVMPointerARM(cl_kernel kernel, + cl_uint arg_index, + const void * arg_value) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clSetKernelExecInfoARM(cl_kernel kernel, + cl_kernel_exec_info_arm param_name, + size_t param_value_size, + const void * param_value) CL_EXT_SUFFIX__VERSION_1_2; + +/******************************** + * cl_arm_get_core_id extension * + ********************************/ + +#ifdef CL_VERSION_1_2 + +#define cl_arm_get_core_id 1 + +/* Device info property for bitfield of cores present */ +#define CL_DEVICE_COMPUTE_UNITS_BITFIELD_ARM 0x40BF + +#endif /* CL_VERSION_1_2 */ + +/********************************* +* cl_arm_job_slot_selection +*********************************/ + +#define cl_arm_job_slot_selection 1 + +/* cl_device_info */ +#define CL_DEVICE_JOB_SLOTS_ARM 0x41E0 + +/* cl_command_queue_properties */ +#define CL_QUEUE_JOB_SLOT_ARM 0x41E1 + +#ifdef __cplusplus +} +#endif + + +#endif /* __CL_EXT_H */ diff --git a/benchmarks/new_opencl/include/CL/cl_ext_intel.h b/benchmarks/new_opencl/include/CL/cl_ext_intel.h new file mode 100644 index 000000000..9d1e4b587 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_ext_intel.h @@ -0,0 +1,423 @@ +/******************************************************************************* + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ +/*****************************************************************************\ + +Copyright (c) 2013-2019 Intel Corporation All Rights Reserved. + +THESE MATERIALS ARE PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR +A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL INTEL OR ITS +CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR +PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY +OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY OR TORT (INCLUDING +NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THESE +MATERIALS, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + +File Name: cl_ext_intel.h + +Abstract: + +Notes: + +\*****************************************************************************/ + +#ifndef __CL_EXT_INTEL_H +#define __CL_EXT_INTEL_H + +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/*************************************** +* cl_intel_thread_local_exec extension * +****************************************/ + +#define cl_intel_thread_local_exec 1 + +#define CL_QUEUE_THREAD_LOCAL_EXEC_ENABLE_INTEL (((cl_bitfield)1) << 31) + +/*********************************************** +* cl_intel_device_partition_by_names extension * +************************************************/ + +#define cl_intel_device_partition_by_names 1 + +#define CL_DEVICE_PARTITION_BY_NAMES_INTEL 0x4052 +#define CL_PARTITION_BY_NAMES_LIST_END_INTEL -1 + +/************************************************ +* cl_intel_accelerator extension * +* cl_intel_motion_estimation extension * +* cl_intel_advanced_motion_estimation extension * +*************************************************/ + +#define cl_intel_accelerator 1 +#define cl_intel_motion_estimation 1 +#define cl_intel_advanced_motion_estimation 1 + +typedef struct _cl_accelerator_intel* cl_accelerator_intel; +typedef cl_uint cl_accelerator_type_intel; +typedef cl_uint cl_accelerator_info_intel; + +typedef struct _cl_motion_estimation_desc_intel { + cl_uint mb_block_type; + cl_uint subpixel_mode; + cl_uint sad_adjust_mode; + cl_uint search_path_type; +} cl_motion_estimation_desc_intel; + +/* error codes */ +#define CL_INVALID_ACCELERATOR_INTEL -1094 +#define CL_INVALID_ACCELERATOR_TYPE_INTEL -1095 +#define CL_INVALID_ACCELERATOR_DESCRIPTOR_INTEL -1096 +#define CL_ACCELERATOR_TYPE_NOT_SUPPORTED_INTEL -1097 + +/* cl_accelerator_type_intel */ +#define CL_ACCELERATOR_TYPE_MOTION_ESTIMATION_INTEL 0x0 + +/* cl_accelerator_info_intel */ +#define CL_ACCELERATOR_DESCRIPTOR_INTEL 0x4090 +#define CL_ACCELERATOR_REFERENCE_COUNT_INTEL 0x4091 +#define CL_ACCELERATOR_CONTEXT_INTEL 0x4092 +#define CL_ACCELERATOR_TYPE_INTEL 0x4093 + +/* cl_motion_detect_desc_intel flags */ +#define CL_ME_MB_TYPE_16x16_INTEL 0x0 +#define CL_ME_MB_TYPE_8x8_INTEL 0x1 +#define CL_ME_MB_TYPE_4x4_INTEL 0x2 + +#define CL_ME_SUBPIXEL_MODE_INTEGER_INTEL 0x0 +#define CL_ME_SUBPIXEL_MODE_HPEL_INTEL 0x1 +#define CL_ME_SUBPIXEL_MODE_QPEL_INTEL 0x2 + +#define CL_ME_SAD_ADJUST_MODE_NONE_INTEL 0x0 +#define CL_ME_SAD_ADJUST_MODE_HAAR_INTEL 0x1 + +#define CL_ME_SEARCH_PATH_RADIUS_2_2_INTEL 0x0 +#define CL_ME_SEARCH_PATH_RADIUS_4_4_INTEL 0x1 +#define CL_ME_SEARCH_PATH_RADIUS_16_12_INTEL 0x5 + +#define CL_ME_SKIP_BLOCK_TYPE_16x16_INTEL 0x0 +#define CL_ME_CHROMA_INTRA_PREDICT_ENABLED_INTEL 0x1 +#define CL_ME_LUMA_INTRA_PREDICT_ENABLED_INTEL 0x2 +#define CL_ME_SKIP_BLOCK_TYPE_8x8_INTEL 0x4 + +#define CL_ME_FORWARD_INPUT_MODE_INTEL 0x1 +#define CL_ME_BACKWARD_INPUT_MODE_INTEL 0x2 +#define CL_ME_BIDIRECTION_INPUT_MODE_INTEL 0x3 + +#define CL_ME_BIDIR_WEIGHT_QUARTER_INTEL 16 +#define CL_ME_BIDIR_WEIGHT_THIRD_INTEL 21 +#define CL_ME_BIDIR_WEIGHT_HALF_INTEL 32 +#define CL_ME_BIDIR_WEIGHT_TWO_THIRD_INTEL 43 +#define CL_ME_BIDIR_WEIGHT_THREE_QUARTER_INTEL 48 + +#define CL_ME_COST_PENALTY_NONE_INTEL 0x0 +#define CL_ME_COST_PENALTY_LOW_INTEL 0x1 +#define CL_ME_COST_PENALTY_NORMAL_INTEL 0x2 +#define CL_ME_COST_PENALTY_HIGH_INTEL 0x3 + +#define CL_ME_COST_PRECISION_QPEL_INTEL 0x0 +#define CL_ME_COST_PRECISION_HPEL_INTEL 0x1 +#define CL_ME_COST_PRECISION_PEL_INTEL 0x2 +#define CL_ME_COST_PRECISION_DPEL_INTEL 0x3 + +#define CL_ME_LUMA_PREDICTOR_MODE_VERTICAL_INTEL 0x0 +#define CL_ME_LUMA_PREDICTOR_MODE_HORIZONTAL_INTEL 0x1 +#define CL_ME_LUMA_PREDICTOR_MODE_DC_INTEL 0x2 +#define CL_ME_LUMA_PREDICTOR_MODE_DIAGONAL_DOWN_LEFT_INTEL 0x3 + +#define CL_ME_LUMA_PREDICTOR_MODE_DIAGONAL_DOWN_RIGHT_INTEL 0x4 +#define CL_ME_LUMA_PREDICTOR_MODE_PLANE_INTEL 0x4 +#define CL_ME_LUMA_PREDICTOR_MODE_VERTICAL_RIGHT_INTEL 0x5 +#define CL_ME_LUMA_PREDICTOR_MODE_HORIZONTAL_DOWN_INTEL 0x6 +#define CL_ME_LUMA_PREDICTOR_MODE_VERTICAL_LEFT_INTEL 0x7 +#define CL_ME_LUMA_PREDICTOR_MODE_HORIZONTAL_UP_INTEL 0x8 + +#define CL_ME_CHROMA_PREDICTOR_MODE_DC_INTEL 0x0 +#define CL_ME_CHROMA_PREDICTOR_MODE_HORIZONTAL_INTEL 0x1 +#define CL_ME_CHROMA_PREDICTOR_MODE_VERTICAL_INTEL 0x2 +#define CL_ME_CHROMA_PREDICTOR_MODE_PLANE_INTEL 0x3 + +/* cl_device_info */ +#define CL_DEVICE_ME_VERSION_INTEL 0x407E + +#define CL_ME_VERSION_LEGACY_INTEL 0x0 +#define CL_ME_VERSION_ADVANCED_VER_1_INTEL 0x1 +#define CL_ME_VERSION_ADVANCED_VER_2_INTEL 0x2 + +extern CL_API_ENTRY cl_accelerator_intel CL_API_CALL +clCreateAcceleratorINTEL( + cl_context context, + cl_accelerator_type_intel accelerator_type, + size_t descriptor_size, + const void* descriptor, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_accelerator_intel (CL_API_CALL *clCreateAcceleratorINTEL_fn)( + cl_context context, + cl_accelerator_type_intel accelerator_type, + size_t descriptor_size, + const void* descriptor, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetAcceleratorInfoINTEL( + cl_accelerator_intel accelerator, + cl_accelerator_info_intel param_name, + size_t param_value_size, + void* param_value, + size_t* param_value_size_ret) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clGetAcceleratorInfoINTEL_fn)( + cl_accelerator_intel accelerator, + cl_accelerator_info_intel param_name, + size_t param_value_size, + void* param_value, + size_t* param_value_size_ret) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clRetainAcceleratorINTEL( + cl_accelerator_intel accelerator) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clRetainAcceleratorINTEL_fn)( + cl_accelerator_intel accelerator) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clReleaseAcceleratorINTEL( + cl_accelerator_intel accelerator) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clReleaseAcceleratorINTEL_fn)( + cl_accelerator_intel accelerator) CL_EXT_SUFFIX__VERSION_1_2; + +/****************************************** +* cl_intel_simultaneous_sharing extension * +*******************************************/ + +#define cl_intel_simultaneous_sharing 1 + +#define CL_DEVICE_SIMULTANEOUS_INTEROPS_INTEL 0x4104 +#define CL_DEVICE_NUM_SIMULTANEOUS_INTEROPS_INTEL 0x4105 + +/*********************************** +* cl_intel_egl_image_yuv extension * +************************************/ + +#define cl_intel_egl_image_yuv 1 + +#define CL_EGL_YUV_PLANE_INTEL 0x4107 + +/******************************** +* cl_intel_packed_yuv extension * +*********************************/ + +#define cl_intel_packed_yuv 1 + +#define CL_YUYV_INTEL 0x4076 +#define CL_UYVY_INTEL 0x4077 +#define CL_YVYU_INTEL 0x4078 +#define CL_VYUY_INTEL 0x4079 + +/******************************************** +* cl_intel_required_subgroup_size extension * +*********************************************/ + +#define cl_intel_required_subgroup_size 1 + +#define CL_DEVICE_SUB_GROUP_SIZES_INTEL 0x4108 +#define CL_KERNEL_SPILL_MEM_SIZE_INTEL 0x4109 +#define CL_KERNEL_COMPILE_SUB_GROUP_SIZE_INTEL 0x410A + +/**************************************** +* cl_intel_driver_diagnostics extension * +*****************************************/ + +#define cl_intel_driver_diagnostics 1 + +typedef cl_uint cl_diagnostics_verbose_level; + +#define CL_CONTEXT_SHOW_DIAGNOSTICS_INTEL 0x4106 + +#define CL_CONTEXT_DIAGNOSTICS_LEVEL_ALL_INTEL ( 0xff ) +#define CL_CONTEXT_DIAGNOSTICS_LEVEL_GOOD_INTEL ( 1 ) +#define CL_CONTEXT_DIAGNOSTICS_LEVEL_BAD_INTEL ( 1 << 1 ) +#define CL_CONTEXT_DIAGNOSTICS_LEVEL_NEUTRAL_INTEL ( 1 << 2 ) + +/******************************** +* cl_intel_planar_yuv extension * +*********************************/ + +#define CL_NV12_INTEL 0x410E + +#define CL_MEM_NO_ACCESS_INTEL ( 1 << 24 ) +#define CL_MEM_ACCESS_FLAGS_UNRESTRICTED_INTEL ( 1 << 25 ) + +#define CL_DEVICE_PLANAR_YUV_MAX_WIDTH_INTEL 0x417E +#define CL_DEVICE_PLANAR_YUV_MAX_HEIGHT_INTEL 0x417F + +/******************************************************* +* cl_intel_device_side_avc_motion_estimation extension * +********************************************************/ + +#define CL_DEVICE_AVC_ME_VERSION_INTEL 0x410B +#define CL_DEVICE_AVC_ME_SUPPORTS_TEXTURE_SAMPLER_USE_INTEL 0x410C +#define CL_DEVICE_AVC_ME_SUPPORTS_PREEMPTION_INTEL 0x410D + +#define CL_AVC_ME_VERSION_0_INTEL 0x0; // No support. +#define CL_AVC_ME_VERSION_1_INTEL 0x1; // First supported version. + +#define CL_AVC_ME_MAJOR_16x16_INTEL 0x0 +#define CL_AVC_ME_MAJOR_16x8_INTEL 0x1 +#define CL_AVC_ME_MAJOR_8x16_INTEL 0x2 +#define CL_AVC_ME_MAJOR_8x8_INTEL 0x3 + +#define CL_AVC_ME_MINOR_8x8_INTEL 0x0 +#define CL_AVC_ME_MINOR_8x4_INTEL 0x1 +#define CL_AVC_ME_MINOR_4x8_INTEL 0x2 +#define CL_AVC_ME_MINOR_4x4_INTEL 0x3 + +#define CL_AVC_ME_MAJOR_FORWARD_INTEL 0x0 +#define CL_AVC_ME_MAJOR_BACKWARD_INTEL 0x1 +#define CL_AVC_ME_MAJOR_BIDIRECTIONAL_INTEL 0x2 + +#define CL_AVC_ME_PARTITION_MASK_ALL_INTEL 0x0 +#define CL_AVC_ME_PARTITION_MASK_16x16_INTEL 0x7E +#define CL_AVC_ME_PARTITION_MASK_16x8_INTEL 0x7D +#define CL_AVC_ME_PARTITION_MASK_8x16_INTEL 0x7B +#define CL_AVC_ME_PARTITION_MASK_8x8_INTEL 0x77 +#define CL_AVC_ME_PARTITION_MASK_8x4_INTEL 0x6F +#define CL_AVC_ME_PARTITION_MASK_4x8_INTEL 0x5F +#define CL_AVC_ME_PARTITION_MASK_4x4_INTEL 0x3F + +#define CL_AVC_ME_SEARCH_WINDOW_EXHAUSTIVE_INTEL 0x0 +#define CL_AVC_ME_SEARCH_WINDOW_SMALL_INTEL 0x1 +#define CL_AVC_ME_SEARCH_WINDOW_TINY_INTEL 0x2 +#define CL_AVC_ME_SEARCH_WINDOW_EXTRA_TINY_INTEL 0x3 +#define CL_AVC_ME_SEARCH_WINDOW_DIAMOND_INTEL 0x4 +#define CL_AVC_ME_SEARCH_WINDOW_LARGE_DIAMOND_INTEL 0x5 +#define CL_AVC_ME_SEARCH_WINDOW_RESERVED0_INTEL 0x6 +#define CL_AVC_ME_SEARCH_WINDOW_RESERVED1_INTEL 0x7 +#define CL_AVC_ME_SEARCH_WINDOW_CUSTOM_INTEL 0x8 +#define CL_AVC_ME_SEARCH_WINDOW_16x12_RADIUS_INTEL 0x9 +#define CL_AVC_ME_SEARCH_WINDOW_4x4_RADIUS_INTEL 0x2 +#define CL_AVC_ME_SEARCH_WINDOW_2x2_RADIUS_INTEL 0xa + +#define CL_AVC_ME_SAD_ADJUST_MODE_NONE_INTEL 0x0 +#define CL_AVC_ME_SAD_ADJUST_MODE_HAAR_INTEL 0x2 + +#define CL_AVC_ME_SUBPIXEL_MODE_INTEGER_INTEL 0x0 +#define CL_AVC_ME_SUBPIXEL_MODE_HPEL_INTEL 0x1 +#define CL_AVC_ME_SUBPIXEL_MODE_QPEL_INTEL 0x3 + +#define CL_AVC_ME_COST_PRECISION_QPEL_INTEL 0x0 +#define CL_AVC_ME_COST_PRECISION_HPEL_INTEL 0x1 +#define CL_AVC_ME_COST_PRECISION_PEL_INTEL 0x2 +#define CL_AVC_ME_COST_PRECISION_DPEL_INTEL 0x3 + +#define CL_AVC_ME_BIDIR_WEIGHT_QUARTER_INTEL 0x10 +#define CL_AVC_ME_BIDIR_WEIGHT_THIRD_INTEL 0x15 +#define CL_AVC_ME_BIDIR_WEIGHT_HALF_INTEL 0x20 +#define CL_AVC_ME_BIDIR_WEIGHT_TWO_THIRD_INTEL 0x2B +#define CL_AVC_ME_BIDIR_WEIGHT_THREE_QUARTER_INTEL 0x30 + +#define CL_AVC_ME_BORDER_REACHED_LEFT_INTEL 0x0 +#define CL_AVC_ME_BORDER_REACHED_RIGHT_INTEL 0x2 +#define CL_AVC_ME_BORDER_REACHED_TOP_INTEL 0x4 +#define CL_AVC_ME_BORDER_REACHED_BOTTOM_INTEL 0x8 + +#define CL_AVC_ME_SKIP_BLOCK_PARTITION_16x16_INTEL 0x0 +#define CL_AVC_ME_SKIP_BLOCK_PARTITION_8x8_INTEL 0x4000 + +#define CL_AVC_ME_SKIP_BLOCK_16x16_FORWARD_ENABLE_INTEL ( 0x1 << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_16x16_BACKWARD_ENABLE_INTEL ( 0x2 << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_16x16_DUAL_ENABLE_INTEL ( 0x3 << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_FORWARD_ENABLE_INTEL ( 0x55 << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_BACKWARD_ENABLE_INTEL ( 0xAA << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_DUAL_ENABLE_INTEL ( 0xFF << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_0_FORWARD_ENABLE_INTEL ( 0x1 << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_0_BACKWARD_ENABLE_INTEL ( 0x2 << 24 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_1_FORWARD_ENABLE_INTEL ( 0x1 << 26 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_1_BACKWARD_ENABLE_INTEL ( 0x2 << 26 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_2_FORWARD_ENABLE_INTEL ( 0x1 << 28 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_2_BACKWARD_ENABLE_INTEL ( 0x2 << 28 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_3_FORWARD_ENABLE_INTEL ( 0x1 << 30 ) +#define CL_AVC_ME_SKIP_BLOCK_8x8_3_BACKWARD_ENABLE_INTEL ( 0x2 << 30 ) + +#define CL_AVC_ME_BLOCK_BASED_SKIP_4x4_INTEL 0x00 +#define CL_AVC_ME_BLOCK_BASED_SKIP_8x8_INTEL 0x80 + +#define CL_AVC_ME_INTRA_16x16_INTEL 0x0 +#define CL_AVC_ME_INTRA_8x8_INTEL 0x1 +#define CL_AVC_ME_INTRA_4x4_INTEL 0x2 + +#define CL_AVC_ME_INTRA_LUMA_PARTITION_MASK_16x16_INTEL 0x6 +#define CL_AVC_ME_INTRA_LUMA_PARTITION_MASK_8x8_INTEL 0x5 +#define CL_AVC_ME_INTRA_LUMA_PARTITION_MASK_4x4_INTEL 0x3 + +#define CL_AVC_ME_INTRA_NEIGHBOR_LEFT_MASK_ENABLE_INTEL 0x60 +#define CL_AVC_ME_INTRA_NEIGHBOR_UPPER_MASK_ENABLE_INTEL 0x10 +#define CL_AVC_ME_INTRA_NEIGHBOR_UPPER_RIGHT_MASK_ENABLE_INTEL 0x8 +#define CL_AVC_ME_INTRA_NEIGHBOR_UPPER_LEFT_MASK_ENABLE_INTEL 0x4 + +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_VERTICAL_INTEL 0x0 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_HORIZONTAL_INTEL 0x1 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_DC_INTEL 0x2 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_DIAGONAL_DOWN_LEFT_INTEL 0x3 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_DIAGONAL_DOWN_RIGHT_INTEL 0x4 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_PLANE_INTEL 0x4 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_VERTICAL_RIGHT_INTEL 0x5 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_HORIZONTAL_DOWN_INTEL 0x6 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_VERTICAL_LEFT_INTEL 0x7 +#define CL_AVC_ME_LUMA_PREDICTOR_MODE_HORIZONTAL_UP_INTEL 0x8 +#define CL_AVC_ME_CHROMA_PREDICTOR_MODE_DC_INTEL 0x0 +#define CL_AVC_ME_CHROMA_PREDICTOR_MODE_HORIZONTAL_INTEL 0x1 +#define CL_AVC_ME_CHROMA_PREDICTOR_MODE_VERTICAL_INTEL 0x2 +#define CL_AVC_ME_CHROMA_PREDICTOR_MODE_PLANE_INTEL 0x3 + +#define CL_AVC_ME_FRAME_FORWARD_INTEL 0x1 +#define CL_AVC_ME_FRAME_BACKWARD_INTEL 0x2 +#define CL_AVC_ME_FRAME_DUAL_INTEL 0x3 + +#define CL_AVC_ME_SLICE_TYPE_PRED_INTEL 0x0 +#define CL_AVC_ME_SLICE_TYPE_BPRED_INTEL 0x1 +#define CL_AVC_ME_SLICE_TYPE_INTRA_INTEL 0x2 + +#define CL_AVC_ME_INTERLACED_SCAN_TOP_FIELD_INTEL 0x0 +#define CL_AVC_ME_INTERLACED_SCAN_BOTTOM_FIELD_INTEL 0x1 + +#ifdef __cplusplus +} +#endif + +#endif /* __CL_EXT_INTEL_H */ diff --git a/benchmarks/new_opencl/include/CL/cl_gl.h b/benchmarks/new_opencl/include/CL/cl_gl.h new file mode 100644 index 000000000..fbdaf6297 --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_gl.h @@ -0,0 +1,171 @@ +/********************************************************************************** + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ + +#ifndef __OPENCL_CL_GL_H +#define __OPENCL_CL_GL_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +typedef cl_uint cl_gl_object_type; +typedef cl_uint cl_gl_texture_info; +typedef cl_uint cl_gl_platform_info; +typedef struct __GLsync *cl_GLsync; + +/* cl_gl_object_type = 0x2000 - 0x200F enum values are currently taken */ +#define CL_GL_OBJECT_BUFFER 0x2000 +#define CL_GL_OBJECT_TEXTURE2D 0x2001 +#define CL_GL_OBJECT_TEXTURE3D 0x2002 +#define CL_GL_OBJECT_RENDERBUFFER 0x2003 +#ifdef CL_VERSION_1_2 +#define CL_GL_OBJECT_TEXTURE2D_ARRAY 0x200E +#define CL_GL_OBJECT_TEXTURE1D 0x200F +#define CL_GL_OBJECT_TEXTURE1D_ARRAY 0x2010 +#define CL_GL_OBJECT_TEXTURE_BUFFER 0x2011 +#endif + +/* cl_gl_texture_info */ +#define CL_GL_TEXTURE_TARGET 0x2004 +#define CL_GL_MIPMAP_LEVEL 0x2005 +#ifdef CL_VERSION_1_2 +#define CL_GL_NUM_SAMPLES 0x2012 +#endif + + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateFromGLBuffer(cl_context context, + cl_mem_flags flags, + cl_GLuint bufobj, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +#ifdef CL_VERSION_1_2 + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateFromGLTexture(cl_context context, + cl_mem_flags flags, + cl_GLenum target, + cl_GLint miplevel, + cl_GLuint texture, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_2; + +#endif + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateFromGLRenderbuffer(cl_context context, + cl_mem_flags flags, + cl_GLuint renderbuffer, + cl_int * errcode_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetGLObjectInfo(cl_mem memobj, + cl_gl_object_type * gl_object_type, + cl_GLuint * gl_object_name) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetGLTextureInfo(cl_mem memobj, + cl_gl_texture_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueAcquireGLObjects(cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReleaseGLObjects(cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem * mem_objects, + cl_uint num_events_in_wait_list, + const cl_event * event_wait_list, + cl_event * event) CL_API_SUFFIX__VERSION_1_0; + + +/* Deprecated OpenCL 1.1 APIs */ +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_mem CL_API_CALL +clCreateFromGLTexture2D(cl_context context, + cl_mem_flags flags, + cl_GLenum target, + cl_GLint miplevel, + cl_GLuint texture, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +extern CL_API_ENTRY CL_EXT_PREFIX__VERSION_1_1_DEPRECATED cl_mem CL_API_CALL +clCreateFromGLTexture3D(cl_context context, + cl_mem_flags flags, + cl_GLenum target, + cl_GLint miplevel, + cl_GLuint texture, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED; + +/* cl_khr_gl_sharing extension */ + +#define cl_khr_gl_sharing 1 + +typedef cl_uint cl_gl_context_info; + +/* Additional Error Codes */ +#define CL_INVALID_GL_SHAREGROUP_REFERENCE_KHR -1000 + +/* cl_gl_context_info */ +#define CL_CURRENT_DEVICE_FOR_GL_CONTEXT_KHR 0x2006 +#define CL_DEVICES_FOR_GL_CONTEXT_KHR 0x2007 + +/* Additional cl_context_properties */ +#define CL_GL_CONTEXT_KHR 0x2008 +#define CL_EGL_DISPLAY_KHR 0x2009 +#define CL_GLX_DISPLAY_KHR 0x200A +#define CL_WGL_HDC_KHR 0x200B +#define CL_CGL_SHAREGROUP_KHR 0x200C + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetGLContextInfoKHR(const cl_context_properties * properties, + cl_gl_context_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret) CL_API_SUFFIX__VERSION_1_0; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clGetGLContextInfoKHR_fn)( + const cl_context_properties * properties, + cl_gl_context_info param_name, + size_t param_value_size, + void * param_value, + size_t * param_value_size_ret); + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_GL_H */ diff --git a/benchmarks/new_opencl/include/CL/cl_gl_ext.h b/benchmarks/new_opencl/include/CL/cl_gl_ext.h new file mode 100644 index 000000000..c26d31abe --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_gl_ext.h @@ -0,0 +1,52 @@ +/********************************************************************************** + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ + +#ifndef __OPENCL_CL_GL_EXT_H +#define __OPENCL_CL_GL_EXT_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include + +/* + * cl_khr_gl_event extension + */ +#define CL_COMMAND_GL_FENCE_SYNC_OBJECT_KHR 0x200D + +extern CL_API_ENTRY cl_event CL_API_CALL +clCreateEventFromGLsyncKHR(cl_context context, + cl_GLsync cl_GLsync, + cl_int * errcode_ret) CL_EXT_SUFFIX__VERSION_1_1; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_GL_EXT_H */ diff --git a/benchmarks/new_opencl/include/CL/cl_platform.h b/benchmarks/new_opencl/include/CL/cl_platform.h new file mode 100644 index 000000000..7f4ddea5b --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_platform.h @@ -0,0 +1,1384 @@ +/********************************************************************************** + * Copyright (c) 2008-2018 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ + +#ifndef __CL_PLATFORM_H +#define __CL_PLATFORM_H + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined(_WIN32) + #define CL_API_ENTRY + #define CL_API_CALL __stdcall + #define CL_CALLBACK __stdcall +#else + #define CL_API_ENTRY + #define CL_API_CALL + #define CL_CALLBACK +#endif + +/* + * Deprecation flags refer to the last version of the header in which the + * feature was not deprecated. + * + * E.g. VERSION_1_1_DEPRECATED means the feature is present in 1.1 without + * deprecation but is deprecated in versions later than 1.1. + */ + +#define CL_EXTENSION_WEAK_LINK +#define CL_API_SUFFIX__VERSION_1_0 +#define CL_EXT_SUFFIX__VERSION_1_0 +#define CL_API_SUFFIX__VERSION_1_1 +#define CL_EXT_SUFFIX__VERSION_1_1 +#define CL_API_SUFFIX__VERSION_1_2 +#define CL_EXT_SUFFIX__VERSION_1_2 +#define CL_API_SUFFIX__VERSION_2_0 +#define CL_EXT_SUFFIX__VERSION_2_0 +#define CL_API_SUFFIX__VERSION_2_1 +#define CL_EXT_SUFFIX__VERSION_2_1 +#define CL_API_SUFFIX__VERSION_2_2 +#define CL_EXT_SUFFIX__VERSION_2_2 + + +#ifdef __GNUC__ + #define CL_EXT_SUFFIX_DEPRECATED __attribute__((deprecated)) + #define CL_EXT_PREFIX_DEPRECATED +#elif defined(_WIN32) + #define CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX_DEPRECATED __declspec(deprecated) +#else + #define CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX_DEPRECATED +#endif + +#ifdef CL_USE_DEPRECATED_OPENCL_1_0_APIS + #define CL_EXT_SUFFIX__VERSION_1_0_DEPRECATED + #define CL_EXT_PREFIX__VERSION_1_0_DEPRECATED +#else + #define CL_EXT_SUFFIX__VERSION_1_0_DEPRECATED CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX__VERSION_1_0_DEPRECATED CL_EXT_PREFIX_DEPRECATED +#endif + +#ifdef CL_USE_DEPRECATED_OPENCL_1_1_APIS + #define CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED + #define CL_EXT_PREFIX__VERSION_1_1_DEPRECATED +#else + #define CL_EXT_SUFFIX__VERSION_1_1_DEPRECATED CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX__VERSION_1_1_DEPRECATED CL_EXT_PREFIX_DEPRECATED +#endif + +#ifdef CL_USE_DEPRECATED_OPENCL_1_2_APIS + #define CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED + #define CL_EXT_PREFIX__VERSION_1_2_DEPRECATED +#else + #define CL_EXT_SUFFIX__VERSION_1_2_DEPRECATED CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX__VERSION_1_2_DEPRECATED CL_EXT_PREFIX_DEPRECATED + #endif + +#ifdef CL_USE_DEPRECATED_OPENCL_2_0_APIS + #define CL_EXT_SUFFIX__VERSION_2_0_DEPRECATED + #define CL_EXT_PREFIX__VERSION_2_0_DEPRECATED +#else + #define CL_EXT_SUFFIX__VERSION_2_0_DEPRECATED CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX__VERSION_2_0_DEPRECATED CL_EXT_PREFIX_DEPRECATED +#endif + +#ifdef CL_USE_DEPRECATED_OPENCL_2_1_APIS + #define CL_EXT_SUFFIX__VERSION_2_1_DEPRECATED + #define CL_EXT_PREFIX__VERSION_2_1_DEPRECATED +#else + #define CL_EXT_SUFFIX__VERSION_2_1_DEPRECATED CL_EXT_SUFFIX_DEPRECATED + #define CL_EXT_PREFIX__VERSION_2_1_DEPRECATED CL_EXT_PREFIX_DEPRECATED +#endif + +#if (defined (_WIN32) && defined(_MSC_VER)) + +/* scalar types */ +typedef signed __int8 cl_char; +typedef unsigned __int8 cl_uchar; +typedef signed __int16 cl_short; +typedef unsigned __int16 cl_ushort; +typedef signed __int32 cl_int; +typedef unsigned __int32 cl_uint; +typedef signed __int64 cl_long; +typedef unsigned __int64 cl_ulong; + +typedef unsigned __int16 cl_half; +typedef float cl_float; +typedef double cl_double; + +/* Macro names and corresponding values defined by OpenCL */ +#define CL_CHAR_BIT 8 +#define CL_SCHAR_MAX 127 +#define CL_SCHAR_MIN (-127-1) +#define CL_CHAR_MAX CL_SCHAR_MAX +#define CL_CHAR_MIN CL_SCHAR_MIN +#define CL_UCHAR_MAX 255 +#define CL_SHRT_MAX 32767 +#define CL_SHRT_MIN (-32767-1) +#define CL_USHRT_MAX 65535 +#define CL_INT_MAX 2147483647 +#define CL_INT_MIN (-2147483647-1) +#define CL_UINT_MAX 0xffffffffU +#define CL_LONG_MAX ((cl_long) 0x7FFFFFFFFFFFFFFFLL) +#define CL_LONG_MIN ((cl_long) -0x7FFFFFFFFFFFFFFFLL - 1LL) +#define CL_ULONG_MAX ((cl_ulong) 0xFFFFFFFFFFFFFFFFULL) + +#define CL_FLT_DIG 6 +#define CL_FLT_MANT_DIG 24 +#define CL_FLT_MAX_10_EXP +38 +#define CL_FLT_MAX_EXP +128 +#define CL_FLT_MIN_10_EXP -37 +#define CL_FLT_MIN_EXP -125 +#define CL_FLT_RADIX 2 +#define CL_FLT_MAX 340282346638528859811704183484516925440.0f +#define CL_FLT_MIN 1.175494350822287507969e-38f +#define CL_FLT_EPSILON 1.1920928955078125e-7f + +#define CL_HALF_DIG 3 +#define CL_HALF_MANT_DIG 11 +#define CL_HALF_MAX_10_EXP +4 +#define CL_HALF_MAX_EXP +16 +#define CL_HALF_MIN_10_EXP -4 +#define CL_HALF_MIN_EXP -13 +#define CL_HALF_RADIX 2 +#define CL_HALF_MAX 65504.0f +#define CL_HALF_MIN 6.103515625e-05f +#define CL_HALF_EPSILON 9.765625e-04f + +#define CL_DBL_DIG 15 +#define CL_DBL_MANT_DIG 53 +#define CL_DBL_MAX_10_EXP +308 +#define CL_DBL_MAX_EXP +1024 +#define CL_DBL_MIN_10_EXP -307 +#define CL_DBL_MIN_EXP -1021 +#define CL_DBL_RADIX 2 +#define CL_DBL_MAX 1.7976931348623158e+308 +#define CL_DBL_MIN 2.225073858507201383090e-308 +#define CL_DBL_EPSILON 2.220446049250313080847e-16 + +#define CL_M_E 2.7182818284590452354 +#define CL_M_LOG2E 1.4426950408889634074 +#define CL_M_LOG10E 0.43429448190325182765 +#define CL_M_LN2 0.69314718055994530942 +#define CL_M_LN10 2.30258509299404568402 +#define CL_M_PI 3.14159265358979323846 +#define CL_M_PI_2 1.57079632679489661923 +#define CL_M_PI_4 0.78539816339744830962 +#define CL_M_1_PI 0.31830988618379067154 +#define CL_M_2_PI 0.63661977236758134308 +#define CL_M_2_SQRTPI 1.12837916709551257390 +#define CL_M_SQRT2 1.41421356237309504880 +#define CL_M_SQRT1_2 0.70710678118654752440 + +#define CL_M_E_F 2.718281828f +#define CL_M_LOG2E_F 1.442695041f +#define CL_M_LOG10E_F 0.434294482f +#define CL_M_LN2_F 0.693147181f +#define CL_M_LN10_F 2.302585093f +#define CL_M_PI_F 3.141592654f +#define CL_M_PI_2_F 1.570796327f +#define CL_M_PI_4_F 0.785398163f +#define CL_M_1_PI_F 0.318309886f +#define CL_M_2_PI_F 0.636619772f +#define CL_M_2_SQRTPI_F 1.128379167f +#define CL_M_SQRT2_F 1.414213562f +#define CL_M_SQRT1_2_F 0.707106781f + +#define CL_NAN (CL_INFINITY - CL_INFINITY) +#define CL_HUGE_VALF ((cl_float) 1e50) +#define CL_HUGE_VAL ((cl_double) 1e500) +#define CL_MAXFLOAT CL_FLT_MAX +#define CL_INFINITY CL_HUGE_VALF + +#else + +#include + +/* scalar types */ +typedef int8_t cl_char; +typedef uint8_t cl_uchar; +typedef int16_t cl_short; +typedef uint16_t cl_ushort; +typedef int32_t cl_int; +typedef uint32_t cl_uint; +typedef int64_t cl_long; +typedef uint64_t cl_ulong; + +typedef uint16_t cl_half; +typedef float cl_float; +typedef double cl_double; + +/* Macro names and corresponding values defined by OpenCL */ +#define CL_CHAR_BIT 8 +#define CL_SCHAR_MAX 127 +#define CL_SCHAR_MIN (-127-1) +#define CL_CHAR_MAX CL_SCHAR_MAX +#define CL_CHAR_MIN CL_SCHAR_MIN +#define CL_UCHAR_MAX 255 +#define CL_SHRT_MAX 32767 +#define CL_SHRT_MIN (-32767-1) +#define CL_USHRT_MAX 65535 +#define CL_INT_MAX 2147483647 +#define CL_INT_MIN (-2147483647-1) +#define CL_UINT_MAX 0xffffffffU +#define CL_LONG_MAX ((cl_long) 0x7FFFFFFFFFFFFFFFLL) +#define CL_LONG_MIN ((cl_long) -0x7FFFFFFFFFFFFFFFLL - 1LL) +#define CL_ULONG_MAX ((cl_ulong) 0xFFFFFFFFFFFFFFFFULL) + +#define CL_FLT_DIG 6 +#define CL_FLT_MANT_DIG 24 +#define CL_FLT_MAX_10_EXP +38 +#define CL_FLT_MAX_EXP +128 +#define CL_FLT_MIN_10_EXP -37 +#define CL_FLT_MIN_EXP -125 +#define CL_FLT_RADIX 2 +#define CL_FLT_MAX 340282346638528859811704183484516925440.0f +#define CL_FLT_MIN 1.175494350822287507969e-38f +#define CL_FLT_EPSILON 1.1920928955078125e-7f + +#define CL_HALF_DIG 3 +#define CL_HALF_MANT_DIG 11 +#define CL_HALF_MAX_10_EXP +4 +#define CL_HALF_MAX_EXP +16 +#define CL_HALF_MIN_10_EXP -4 +#define CL_HALF_MIN_EXP -13 +#define CL_HALF_RADIX 2 +#define CL_HALF_MAX 65504.0f +#define CL_HALF_MIN 6.103515625e-05f +#define CL_HALF_EPSILON 9.765625e-04f + +#define CL_DBL_DIG 15 +#define CL_DBL_MANT_DIG 53 +#define CL_DBL_MAX_10_EXP +308 +#define CL_DBL_MAX_EXP +1024 +#define CL_DBL_MIN_10_EXP -307 +#define CL_DBL_MIN_EXP -1021 +#define CL_DBL_RADIX 2 +#define CL_DBL_MAX 179769313486231570814527423731704356798070567525844996598917476803157260780028538760589558632766878171540458953514382464234321326889464182768467546703537516986049910576551282076245490090389328944075868508455133942304583236903222948165808559332123348274797826204144723168738177180919299881250404026184124858368.0 +#define CL_DBL_MIN 2.225073858507201383090e-308 +#define CL_DBL_EPSILON 2.220446049250313080847e-16 + +#define CL_M_E 2.7182818284590452354 +#define CL_M_LOG2E 1.4426950408889634074 +#define CL_M_LOG10E 0.43429448190325182765 +#define CL_M_LN2 0.69314718055994530942 +#define CL_M_LN10 2.30258509299404568402 +#define CL_M_PI 3.14159265358979323846 +#define CL_M_PI_2 1.57079632679489661923 +#define CL_M_PI_4 0.78539816339744830962 +#define CL_M_1_PI 0.31830988618379067154 +#define CL_M_2_PI 0.63661977236758134308 +#define CL_M_2_SQRTPI 1.12837916709551257390 +#define CL_M_SQRT2 1.41421356237309504880 +#define CL_M_SQRT1_2 0.70710678118654752440 + +#define CL_M_E_F 2.718281828f +#define CL_M_LOG2E_F 1.442695041f +#define CL_M_LOG10E_F 0.434294482f +#define CL_M_LN2_F 0.693147181f +#define CL_M_LN10_F 2.302585093f +#define CL_M_PI_F 3.141592654f +#define CL_M_PI_2_F 1.570796327f +#define CL_M_PI_4_F 0.785398163f +#define CL_M_1_PI_F 0.318309886f +#define CL_M_2_PI_F 0.636619772f +#define CL_M_2_SQRTPI_F 1.128379167f +#define CL_M_SQRT2_F 1.414213562f +#define CL_M_SQRT1_2_F 0.707106781f + +#if defined( __GNUC__ ) + #define CL_HUGE_VALF __builtin_huge_valf() + #define CL_HUGE_VAL __builtin_huge_val() + #define CL_NAN __builtin_nanf( "" ) +#else + #define CL_HUGE_VALF ((cl_float) 1e50) + #define CL_HUGE_VAL ((cl_double) 1e500) + float nanf( const char * ); + #define CL_NAN nanf( "" ) +#endif +#define CL_MAXFLOAT CL_FLT_MAX +#define CL_INFINITY CL_HUGE_VALF + +#endif + +#include + +/* Mirror types to GL types. Mirror types allow us to avoid deciding which 87s to load based on whether we are using GL or GLES here. */ +typedef unsigned int cl_GLuint; +typedef int cl_GLint; +typedef unsigned int cl_GLenum; + +/* + * Vector types + * + * Note: OpenCL requires that all types be naturally aligned. + * This means that vector types must be naturally aligned. + * For example, a vector of four floats must be aligned to + * a 16 byte boundary (calculated as 4 * the natural 4-byte + * alignment of the float). The alignment qualifiers here + * will only function properly if your compiler supports them + * and if you don't actively work to defeat them. For example, + * in order for a cl_float4 to be 16 byte aligned in a struct, + * the start of the struct must itself be 16-byte aligned. + * + * Maintaining proper alignment is the user's responsibility. + */ + +/* Define basic vector types */ +#if defined( __VEC__ ) + #include /* may be omitted depending on compiler. AltiVec spec provides no way to detect whether the header is required. */ + typedef __vector unsigned char __cl_uchar16; + typedef __vector signed char __cl_char16; + typedef __vector unsigned short __cl_ushort8; + typedef __vector signed short __cl_short8; + typedef __vector unsigned int __cl_uint4; + typedef __vector signed int __cl_int4; + typedef __vector float __cl_float4; + #define __CL_UCHAR16__ 1 + #define __CL_CHAR16__ 1 + #define __CL_USHORT8__ 1 + #define __CL_SHORT8__ 1 + #define __CL_UINT4__ 1 + #define __CL_INT4__ 1 + #define __CL_FLOAT4__ 1 +#endif + +#if defined( __SSE__ ) + #if defined( __MINGW64__ ) + #include + #else + #include + #endif + #if defined( __GNUC__ ) + typedef float __cl_float4 __attribute__((vector_size(16))); + #else + typedef __m128 __cl_float4; + #endif + #define __CL_FLOAT4__ 1 +#endif + +#if defined( __SSE2__ ) + #if defined( __MINGW64__ ) + #include + #else + #include + #endif + #if defined( __GNUC__ ) + typedef cl_uchar __cl_uchar16 __attribute__((vector_size(16))); + typedef cl_char __cl_char16 __attribute__((vector_size(16))); + typedef cl_ushort __cl_ushort8 __attribute__((vector_size(16))); + typedef cl_short __cl_short8 __attribute__((vector_size(16))); + typedef cl_uint __cl_uint4 __attribute__((vector_size(16))); + typedef cl_int __cl_int4 __attribute__((vector_size(16))); + typedef cl_ulong __cl_ulong2 __attribute__((vector_size(16))); + typedef cl_long __cl_long2 __attribute__((vector_size(16))); + typedef cl_double __cl_double2 __attribute__((vector_size(16))); + #else + typedef __m128i __cl_uchar16; + typedef __m128i __cl_char16; + typedef __m128i __cl_ushort8; + typedef __m128i __cl_short8; + typedef __m128i __cl_uint4; + typedef __m128i __cl_int4; + typedef __m128i __cl_ulong2; + typedef __m128i __cl_long2; + typedef __m128d __cl_double2; + #endif + #define __CL_UCHAR16__ 1 + #define __CL_CHAR16__ 1 + #define __CL_USHORT8__ 1 + #define __CL_SHORT8__ 1 + #define __CL_INT4__ 1 + #define __CL_UINT4__ 1 + #define __CL_ULONG2__ 1 + #define __CL_LONG2__ 1 + #define __CL_DOUBLE2__ 1 +#endif + +#if defined( __MMX__ ) + #include + #if defined( __GNUC__ ) + typedef cl_uchar __cl_uchar8 __attribute__((vector_size(8))); + typedef cl_char __cl_char8 __attribute__((vector_size(8))); + typedef cl_ushort __cl_ushort4 __attribute__((vector_size(8))); + typedef cl_short __cl_short4 __attribute__((vector_size(8))); + typedef cl_uint __cl_uint2 __attribute__((vector_size(8))); + typedef cl_int __cl_int2 __attribute__((vector_size(8))); + typedef cl_ulong __cl_ulong1 __attribute__((vector_size(8))); + typedef cl_long __cl_long1 __attribute__((vector_size(8))); + typedef cl_float __cl_float2 __attribute__((vector_size(8))); + #else + typedef __m64 __cl_uchar8; + typedef __m64 __cl_char8; + typedef __m64 __cl_ushort4; + typedef __m64 __cl_short4; + typedef __m64 __cl_uint2; + typedef __m64 __cl_int2; + typedef __m64 __cl_ulong1; + typedef __m64 __cl_long1; + typedef __m64 __cl_float2; + #endif + #define __CL_UCHAR8__ 1 + #define __CL_CHAR8__ 1 + #define __CL_USHORT4__ 1 + #define __CL_SHORT4__ 1 + #define __CL_INT2__ 1 + #define __CL_UINT2__ 1 + #define __CL_ULONG1__ 1 + #define __CL_LONG1__ 1 + #define __CL_FLOAT2__ 1 +#endif + +#if defined( __AVX__ ) + #if defined( __MINGW64__ ) + #include + #else + #include + #endif + #if defined( __GNUC__ ) + typedef cl_float __cl_float8 __attribute__((vector_size(32))); + typedef cl_double __cl_double4 __attribute__((vector_size(32))); + #else + typedef __m256 __cl_float8; + typedef __m256d __cl_double4; + #endif + #define __CL_FLOAT8__ 1 + #define __CL_DOUBLE4__ 1 +#endif + +/* Define capabilities for anonymous struct members. */ +#if !defined(__cplusplus) && defined(__STDC_VERSION__) && __STDC_VERSION__ >= 201112L +#define __CL_HAS_ANON_STRUCT__ 1 +#define __CL_ANON_STRUCT__ +#elif defined( __GNUC__) && ! defined( __STRICT_ANSI__ ) +#define __CL_HAS_ANON_STRUCT__ 1 +#define __CL_ANON_STRUCT__ __extension__ +#elif defined( _WIN32) && defined(_MSC_VER) + #if _MSC_VER >= 1500 + /* Microsoft Developer Studio 2008 supports anonymous structs, but + * complains by default. */ + #define __CL_HAS_ANON_STRUCT__ 1 + #define __CL_ANON_STRUCT__ + /* Disable warning C4201: nonstandard extension used : nameless + * struct/union */ + #pragma warning( push ) + #pragma warning( disable : 4201 ) + #endif +#else +#define __CL_HAS_ANON_STRUCT__ 0 +#define __CL_ANON_STRUCT__ +#endif + +/* Define alignment keys */ +#if defined( __GNUC__ ) + #define CL_ALIGNED(_x) __attribute__ ((aligned(_x))) +#elif defined( _WIN32) && (_MSC_VER) + /* Alignment keys neutered on windows because MSVC can't swallow function arguments with alignment requirements */ + /* http://msdn.microsoft.com/en-us/library/373ak2y1%28VS.71%29.aspx */ + /* #include */ + /* #define CL_ALIGNED(_x) _CRT_ALIGN(_x) */ + #define CL_ALIGNED(_x) +#else + #warning Need to implement some method to align data here + #define CL_ALIGNED(_x) +#endif + +/* Indicate whether .xyzw, .s0123 and .hi.lo are supported */ +#if __CL_HAS_ANON_STRUCT__ + /* .xyzw and .s0123...{f|F} are supported */ + #define CL_HAS_NAMED_VECTOR_FIELDS 1 + /* .hi and .lo are supported */ + #define CL_HAS_HI_LO_VECTOR_FIELDS 1 +#endif + +/* Define cl_vector types */ + +/* ---- cl_charn ---- */ +typedef union +{ + cl_char CL_ALIGNED(2) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_char x, y; }; + __CL_ANON_STRUCT__ struct{ cl_char s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_char lo, hi; }; +#endif +#if defined( __CL_CHAR2__) + __cl_char2 v2; +#endif +}cl_char2; + +typedef union +{ + cl_char CL_ALIGNED(4) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_char x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_char s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_char2 lo, hi; }; +#endif +#if defined( __CL_CHAR2__) + __cl_char2 v2[2]; +#endif +#if defined( __CL_CHAR4__) + __cl_char4 v4; +#endif +}cl_char4; + +/* cl_char3 is identical in size, alignment and behavior to cl_char4. See section 6.1.5. */ +typedef cl_char4 cl_char3; + +typedef union +{ + cl_char CL_ALIGNED(8) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_char x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_char s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_char4 lo, hi; }; +#endif +#if defined( __CL_CHAR2__) + __cl_char2 v2[4]; +#endif +#if defined( __CL_CHAR4__) + __cl_char4 v4[2]; +#endif +#if defined( __CL_CHAR8__ ) + __cl_char8 v8; +#endif +}cl_char8; + +typedef union +{ + cl_char CL_ALIGNED(16) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_char x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_char s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_char8 lo, hi; }; +#endif +#if defined( __CL_CHAR2__) + __cl_char2 v2[8]; +#endif +#if defined( __CL_CHAR4__) + __cl_char4 v4[4]; +#endif +#if defined( __CL_CHAR8__ ) + __cl_char8 v8[2]; +#endif +#if defined( __CL_CHAR16__ ) + __cl_char16 v16; +#endif +}cl_char16; + + +/* ---- cl_ucharn ---- */ +typedef union +{ + cl_uchar CL_ALIGNED(2) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uchar x, y; }; + __CL_ANON_STRUCT__ struct{ cl_uchar s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_uchar lo, hi; }; +#endif +#if defined( __cl_uchar2__) + __cl_uchar2 v2; +#endif +}cl_uchar2; + +typedef union +{ + cl_uchar CL_ALIGNED(4) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uchar x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_uchar s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_uchar2 lo, hi; }; +#endif +#if defined( __CL_UCHAR2__) + __cl_uchar2 v2[2]; +#endif +#if defined( __CL_UCHAR4__) + __cl_uchar4 v4; +#endif +}cl_uchar4; + +/* cl_uchar3 is identical in size, alignment and behavior to cl_uchar4. See section 6.1.5. */ +typedef cl_uchar4 cl_uchar3; + +typedef union +{ + cl_uchar CL_ALIGNED(8) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uchar x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_uchar s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_uchar4 lo, hi; }; +#endif +#if defined( __CL_UCHAR2__) + __cl_uchar2 v2[4]; +#endif +#if defined( __CL_UCHAR4__) + __cl_uchar4 v4[2]; +#endif +#if defined( __CL_UCHAR8__ ) + __cl_uchar8 v8; +#endif +}cl_uchar8; + +typedef union +{ + cl_uchar CL_ALIGNED(16) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uchar x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_uchar s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_uchar8 lo, hi; }; +#endif +#if defined( __CL_UCHAR2__) + __cl_uchar2 v2[8]; +#endif +#if defined( __CL_UCHAR4__) + __cl_uchar4 v4[4]; +#endif +#if defined( __CL_UCHAR8__ ) + __cl_uchar8 v8[2]; +#endif +#if defined( __CL_UCHAR16__ ) + __cl_uchar16 v16; +#endif +}cl_uchar16; + + +/* ---- cl_shortn ---- */ +typedef union +{ + cl_short CL_ALIGNED(4) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_short x, y; }; + __CL_ANON_STRUCT__ struct{ cl_short s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_short lo, hi; }; +#endif +#if defined( __CL_SHORT2__) + __cl_short2 v2; +#endif +}cl_short2; + +typedef union +{ + cl_short CL_ALIGNED(8) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_short x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_short s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_short2 lo, hi; }; +#endif +#if defined( __CL_SHORT2__) + __cl_short2 v2[2]; +#endif +#if defined( __CL_SHORT4__) + __cl_short4 v4; +#endif +}cl_short4; + +/* cl_short3 is identical in size, alignment and behavior to cl_short4. See section 6.1.5. */ +typedef cl_short4 cl_short3; + +typedef union +{ + cl_short CL_ALIGNED(16) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_short x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_short s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_short4 lo, hi; }; +#endif +#if defined( __CL_SHORT2__) + __cl_short2 v2[4]; +#endif +#if defined( __CL_SHORT4__) + __cl_short4 v4[2]; +#endif +#if defined( __CL_SHORT8__ ) + __cl_short8 v8; +#endif +}cl_short8; + +typedef union +{ + cl_short CL_ALIGNED(32) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_short x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_short s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_short8 lo, hi; }; +#endif +#if defined( __CL_SHORT2__) + __cl_short2 v2[8]; +#endif +#if defined( __CL_SHORT4__) + __cl_short4 v4[4]; +#endif +#if defined( __CL_SHORT8__ ) + __cl_short8 v8[2]; +#endif +#if defined( __CL_SHORT16__ ) + __cl_short16 v16; +#endif +}cl_short16; + + +/* ---- cl_ushortn ---- */ +typedef union +{ + cl_ushort CL_ALIGNED(4) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ushort x, y; }; + __CL_ANON_STRUCT__ struct{ cl_ushort s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_ushort lo, hi; }; +#endif +#if defined( __CL_USHORT2__) + __cl_ushort2 v2; +#endif +}cl_ushort2; + +typedef union +{ + cl_ushort CL_ALIGNED(8) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ushort x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_ushort s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_ushort2 lo, hi; }; +#endif +#if defined( __CL_USHORT2__) + __cl_ushort2 v2[2]; +#endif +#if defined( __CL_USHORT4__) + __cl_ushort4 v4; +#endif +}cl_ushort4; + +/* cl_ushort3 is identical in size, alignment and behavior to cl_ushort4. See section 6.1.5. */ +typedef cl_ushort4 cl_ushort3; + +typedef union +{ + cl_ushort CL_ALIGNED(16) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ushort x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_ushort s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_ushort4 lo, hi; }; +#endif +#if defined( __CL_USHORT2__) + __cl_ushort2 v2[4]; +#endif +#if defined( __CL_USHORT4__) + __cl_ushort4 v4[2]; +#endif +#if defined( __CL_USHORT8__ ) + __cl_ushort8 v8; +#endif +}cl_ushort8; + +typedef union +{ + cl_ushort CL_ALIGNED(32) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ushort x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_ushort s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_ushort8 lo, hi; }; +#endif +#if defined( __CL_USHORT2__) + __cl_ushort2 v2[8]; +#endif +#if defined( __CL_USHORT4__) + __cl_ushort4 v4[4]; +#endif +#if defined( __CL_USHORT8__ ) + __cl_ushort8 v8[2]; +#endif +#if defined( __CL_USHORT16__ ) + __cl_ushort16 v16; +#endif +}cl_ushort16; + + +/* ---- cl_halfn ---- */ +typedef union +{ + cl_half CL_ALIGNED(4) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_half x, y; }; + __CL_ANON_STRUCT__ struct{ cl_half s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_half lo, hi; }; +#endif +#if defined( __CL_HALF2__) + __cl_half2 v2; +#endif +}cl_half2; + +typedef union +{ + cl_half CL_ALIGNED(8) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_half x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_half s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_half2 lo, hi; }; +#endif +#if defined( __CL_HALF2__) + __cl_half2 v2[2]; +#endif +#if defined( __CL_HALF4__) + __cl_half4 v4; +#endif +}cl_half4; + +/* cl_half3 is identical in size, alignment and behavior to cl_half4. See section 6.1.5. */ +typedef cl_half4 cl_half3; + +typedef union +{ + cl_half CL_ALIGNED(16) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_half x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_half s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_half4 lo, hi; }; +#endif +#if defined( __CL_HALF2__) + __cl_half2 v2[4]; +#endif +#if defined( __CL_HALF4__) + __cl_half4 v4[2]; +#endif +#if defined( __CL_HALF8__ ) + __cl_half8 v8; +#endif +}cl_half8; + +typedef union +{ + cl_half CL_ALIGNED(32) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_half x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_half s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_half8 lo, hi; }; +#endif +#if defined( __CL_HALF2__) + __cl_half2 v2[8]; +#endif +#if defined( __CL_HALF4__) + __cl_half4 v4[4]; +#endif +#if defined( __CL_HALF8__ ) + __cl_half8 v8[2]; +#endif +#if defined( __CL_HALF16__ ) + __cl_half16 v16; +#endif +}cl_half16; + +/* ---- cl_intn ---- */ +typedef union +{ + cl_int CL_ALIGNED(8) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_int x, y; }; + __CL_ANON_STRUCT__ struct{ cl_int s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_int lo, hi; }; +#endif +#if defined( __CL_INT2__) + __cl_int2 v2; +#endif +}cl_int2; + +typedef union +{ + cl_int CL_ALIGNED(16) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_int x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_int s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_int2 lo, hi; }; +#endif +#if defined( __CL_INT2__) + __cl_int2 v2[2]; +#endif +#if defined( __CL_INT4__) + __cl_int4 v4; +#endif +}cl_int4; + +/* cl_int3 is identical in size, alignment and behavior to cl_int4. See section 6.1.5. */ +typedef cl_int4 cl_int3; + +typedef union +{ + cl_int CL_ALIGNED(32) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_int x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_int s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_int4 lo, hi; }; +#endif +#if defined( __CL_INT2__) + __cl_int2 v2[4]; +#endif +#if defined( __CL_INT4__) + __cl_int4 v4[2]; +#endif +#if defined( __CL_INT8__ ) + __cl_int8 v8; +#endif +}cl_int8; + +typedef union +{ + cl_int CL_ALIGNED(64) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_int x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_int s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_int8 lo, hi; }; +#endif +#if defined( __CL_INT2__) + __cl_int2 v2[8]; +#endif +#if defined( __CL_INT4__) + __cl_int4 v4[4]; +#endif +#if defined( __CL_INT8__ ) + __cl_int8 v8[2]; +#endif +#if defined( __CL_INT16__ ) + __cl_int16 v16; +#endif +}cl_int16; + + +/* ---- cl_uintn ---- */ +typedef union +{ + cl_uint CL_ALIGNED(8) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uint x, y; }; + __CL_ANON_STRUCT__ struct{ cl_uint s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_uint lo, hi; }; +#endif +#if defined( __CL_UINT2__) + __cl_uint2 v2; +#endif +}cl_uint2; + +typedef union +{ + cl_uint CL_ALIGNED(16) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uint x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_uint s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_uint2 lo, hi; }; +#endif +#if defined( __CL_UINT2__) + __cl_uint2 v2[2]; +#endif +#if defined( __CL_UINT4__) + __cl_uint4 v4; +#endif +}cl_uint4; + +/* cl_uint3 is identical in size, alignment and behavior to cl_uint4. See section 6.1.5. */ +typedef cl_uint4 cl_uint3; + +typedef union +{ + cl_uint CL_ALIGNED(32) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uint x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_uint s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_uint4 lo, hi; }; +#endif +#if defined( __CL_UINT2__) + __cl_uint2 v2[4]; +#endif +#if defined( __CL_UINT4__) + __cl_uint4 v4[2]; +#endif +#if defined( __CL_UINT8__ ) + __cl_uint8 v8; +#endif +}cl_uint8; + +typedef union +{ + cl_uint CL_ALIGNED(64) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_uint x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_uint s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_uint8 lo, hi; }; +#endif +#if defined( __CL_UINT2__) + __cl_uint2 v2[8]; +#endif +#if defined( __CL_UINT4__) + __cl_uint4 v4[4]; +#endif +#if defined( __CL_UINT8__ ) + __cl_uint8 v8[2]; +#endif +#if defined( __CL_UINT16__ ) + __cl_uint16 v16; +#endif +}cl_uint16; + +/* ---- cl_longn ---- */ +typedef union +{ + cl_long CL_ALIGNED(16) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_long x, y; }; + __CL_ANON_STRUCT__ struct{ cl_long s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_long lo, hi; }; +#endif +#if defined( __CL_LONG2__) + __cl_long2 v2; +#endif +}cl_long2; + +typedef union +{ + cl_long CL_ALIGNED(32) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_long x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_long s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_long2 lo, hi; }; +#endif +#if defined( __CL_LONG2__) + __cl_long2 v2[2]; +#endif +#if defined( __CL_LONG4__) + __cl_long4 v4; +#endif +}cl_long4; + +/* cl_long3 is identical in size, alignment and behavior to cl_long4. See section 6.1.5. */ +typedef cl_long4 cl_long3; + +typedef union +{ + cl_long CL_ALIGNED(64) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_long x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_long s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_long4 lo, hi; }; +#endif +#if defined( __CL_LONG2__) + __cl_long2 v2[4]; +#endif +#if defined( __CL_LONG4__) + __cl_long4 v4[2]; +#endif +#if defined( __CL_LONG8__ ) + __cl_long8 v8; +#endif +}cl_long8; + +typedef union +{ + cl_long CL_ALIGNED(128) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_long x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_long s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_long8 lo, hi; }; +#endif +#if defined( __CL_LONG2__) + __cl_long2 v2[8]; +#endif +#if defined( __CL_LONG4__) + __cl_long4 v4[4]; +#endif +#if defined( __CL_LONG8__ ) + __cl_long8 v8[2]; +#endif +#if defined( __CL_LONG16__ ) + __cl_long16 v16; +#endif +}cl_long16; + + +/* ---- cl_ulongn ---- */ +typedef union +{ + cl_ulong CL_ALIGNED(16) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ulong x, y; }; + __CL_ANON_STRUCT__ struct{ cl_ulong s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_ulong lo, hi; }; +#endif +#if defined( __CL_ULONG2__) + __cl_ulong2 v2; +#endif +}cl_ulong2; + +typedef union +{ + cl_ulong CL_ALIGNED(32) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ulong x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_ulong s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_ulong2 lo, hi; }; +#endif +#if defined( __CL_ULONG2__) + __cl_ulong2 v2[2]; +#endif +#if defined( __CL_ULONG4__) + __cl_ulong4 v4; +#endif +}cl_ulong4; + +/* cl_ulong3 is identical in size, alignment and behavior to cl_ulong4. See section 6.1.5. */ +typedef cl_ulong4 cl_ulong3; + +typedef union +{ + cl_ulong CL_ALIGNED(64) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ulong x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_ulong s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_ulong4 lo, hi; }; +#endif +#if defined( __CL_ULONG2__) + __cl_ulong2 v2[4]; +#endif +#if defined( __CL_ULONG4__) + __cl_ulong4 v4[2]; +#endif +#if defined( __CL_ULONG8__ ) + __cl_ulong8 v8; +#endif +}cl_ulong8; + +typedef union +{ + cl_ulong CL_ALIGNED(128) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_ulong x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_ulong s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_ulong8 lo, hi; }; +#endif +#if defined( __CL_ULONG2__) + __cl_ulong2 v2[8]; +#endif +#if defined( __CL_ULONG4__) + __cl_ulong4 v4[4]; +#endif +#if defined( __CL_ULONG8__ ) + __cl_ulong8 v8[2]; +#endif +#if defined( __CL_ULONG16__ ) + __cl_ulong16 v16; +#endif +}cl_ulong16; + + +/* --- cl_floatn ---- */ + +typedef union +{ + cl_float CL_ALIGNED(8) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_float x, y; }; + __CL_ANON_STRUCT__ struct{ cl_float s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_float lo, hi; }; +#endif +#if defined( __CL_FLOAT2__) + __cl_float2 v2; +#endif +}cl_float2; + +typedef union +{ + cl_float CL_ALIGNED(16) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_float x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_float s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_float2 lo, hi; }; +#endif +#if defined( __CL_FLOAT2__) + __cl_float2 v2[2]; +#endif +#if defined( __CL_FLOAT4__) + __cl_float4 v4; +#endif +}cl_float4; + +/* cl_float3 is identical in size, alignment and behavior to cl_float4. See section 6.1.5. */ +typedef cl_float4 cl_float3; + +typedef union +{ + cl_float CL_ALIGNED(32) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_float x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_float s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_float4 lo, hi; }; +#endif +#if defined( __CL_FLOAT2__) + __cl_float2 v2[4]; +#endif +#if defined( __CL_FLOAT4__) + __cl_float4 v4[2]; +#endif +#if defined( __CL_FLOAT8__ ) + __cl_float8 v8; +#endif +}cl_float8; + +typedef union +{ + cl_float CL_ALIGNED(64) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_float x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_float s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_float8 lo, hi; }; +#endif +#if defined( __CL_FLOAT2__) + __cl_float2 v2[8]; +#endif +#if defined( __CL_FLOAT4__) + __cl_float4 v4[4]; +#endif +#if defined( __CL_FLOAT8__ ) + __cl_float8 v8[2]; +#endif +#if defined( __CL_FLOAT16__ ) + __cl_float16 v16; +#endif +}cl_float16; + +/* --- cl_doublen ---- */ + +typedef union +{ + cl_double CL_ALIGNED(16) s[2]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_double x, y; }; + __CL_ANON_STRUCT__ struct{ cl_double s0, s1; }; + __CL_ANON_STRUCT__ struct{ cl_double lo, hi; }; +#endif +#if defined( __CL_DOUBLE2__) + __cl_double2 v2; +#endif +}cl_double2; + +typedef union +{ + cl_double CL_ALIGNED(32) s[4]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_double x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_double s0, s1, s2, s3; }; + __CL_ANON_STRUCT__ struct{ cl_double2 lo, hi; }; +#endif +#if defined( __CL_DOUBLE2__) + __cl_double2 v2[2]; +#endif +#if defined( __CL_DOUBLE4__) + __cl_double4 v4; +#endif +}cl_double4; + +/* cl_double3 is identical in size, alignment and behavior to cl_double4. See section 6.1.5. */ +typedef cl_double4 cl_double3; + +typedef union +{ + cl_double CL_ALIGNED(64) s[8]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_double x, y, z, w; }; + __CL_ANON_STRUCT__ struct{ cl_double s0, s1, s2, s3, s4, s5, s6, s7; }; + __CL_ANON_STRUCT__ struct{ cl_double4 lo, hi; }; +#endif +#if defined( __CL_DOUBLE2__) + __cl_double2 v2[4]; +#endif +#if defined( __CL_DOUBLE4__) + __cl_double4 v4[2]; +#endif +#if defined( __CL_DOUBLE8__ ) + __cl_double8 v8; +#endif +}cl_double8; + +typedef union +{ + cl_double CL_ALIGNED(128) s[16]; +#if __CL_HAS_ANON_STRUCT__ + __CL_ANON_STRUCT__ struct{ cl_double x, y, z, w, __spacer4, __spacer5, __spacer6, __spacer7, __spacer8, __spacer9, sa, sb, sc, sd, se, sf; }; + __CL_ANON_STRUCT__ struct{ cl_double s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, sA, sB, sC, sD, sE, sF; }; + __CL_ANON_STRUCT__ struct{ cl_double8 lo, hi; }; +#endif +#if defined( __CL_DOUBLE2__) + __cl_double2 v2[8]; +#endif +#if defined( __CL_DOUBLE4__) + __cl_double4 v4[4]; +#endif +#if defined( __CL_DOUBLE8__ ) + __cl_double8 v8[2]; +#endif +#if defined( __CL_DOUBLE16__ ) + __cl_double16 v16; +#endif +}cl_double16; + +/* Macro to facilitate debugging + * Usage: + * Place CL_PROGRAM_STRING_DEBUG_INFO on the line before the first line of your source. + * The first line ends with: CL_PROGRAM_STRING_DEBUG_INFO \" + * Each line thereafter of OpenCL C source must end with: \n\ + * The last line ends in "; + * + * Example: + * + * const char *my_program = CL_PROGRAM_STRING_DEBUG_INFO "\ + * kernel void foo( int a, float * b ) \n\ + * { \n\ + * // my comment \n\ + * *b[ get_global_id(0)] = a; \n\ + * } \n\ + * "; + * + * This should correctly set up the line, (column) and file information for your source + * string so you can do source level debugging. + */ +#define __CL_STRINGIFY( _x ) # _x +#define _CL_STRINGIFY( _x ) __CL_STRINGIFY( _x ) +#define CL_PROGRAM_STRING_DEBUG_INFO "#line " _CL_STRINGIFY(__LINE__) " \"" __FILE__ "\" \n\n" + +#ifdef __cplusplus +} +#endif + +#undef __CL_HAS_ANON_STRUCT__ +#undef __CL_ANON_STRUCT__ +#if defined( _WIN32) && defined(_MSC_VER) + #if _MSC_VER >=1500 + #pragma warning( pop ) + #endif +#endif + +#endif /* __CL_PLATFORM_H */ diff --git a/benchmarks/new_opencl/include/CL/cl_va_api_media_sharing_intel.h b/benchmarks/new_opencl/include/CL/cl_va_api_media_sharing_intel.h new file mode 100644 index 000000000..934f3f52a --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_va_api_media_sharing_intel.h @@ -0,0 +1,172 @@ +/********************************************************************************** + * Copyright (c) 2008-2019 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + **********************************************************************************/ +/*****************************************************************************\ + +Copyright (c) 2013-2019 Intel Corporation All Rights Reserved. + +THESE MATERIALS ARE PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS +"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT +LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR +A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL INTEL OR ITS +CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR +PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY +OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY OR TORT (INCLUDING +NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THESE +MATERIALS, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + +File Name: cl_va_api_media_sharing_intel.h + +Abstract: + +Notes: + +\*****************************************************************************/ + + +#ifndef __OPENCL_CL_VA_API_MEDIA_SHARING_INTEL_H +#define __OPENCL_CL_VA_API_MEDIA_SHARING_INTEL_H + +#include +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/****************************************** +* cl_intel_va_api_media_sharing extension * +*******************************************/ + +#define cl_intel_va_api_media_sharing 1 + +/* error codes */ +#define CL_INVALID_VA_API_MEDIA_ADAPTER_INTEL -1098 +#define CL_INVALID_VA_API_MEDIA_SURFACE_INTEL -1099 +#define CL_VA_API_MEDIA_SURFACE_ALREADY_ACQUIRED_INTEL -1100 +#define CL_VA_API_MEDIA_SURFACE_NOT_ACQUIRED_INTEL -1101 + +/* cl_va_api_device_source_intel */ +#define CL_VA_API_DISPLAY_INTEL 0x4094 + +/* cl_va_api_device_set_intel */ +#define CL_PREFERRED_DEVICES_FOR_VA_API_INTEL 0x4095 +#define CL_ALL_DEVICES_FOR_VA_API_INTEL 0x4096 + +/* cl_context_info */ +#define CL_CONTEXT_VA_API_DISPLAY_INTEL 0x4097 + +/* cl_mem_info */ +#define CL_MEM_VA_API_MEDIA_SURFACE_INTEL 0x4098 + +/* cl_image_info */ +#define CL_IMAGE_VA_API_PLANE_INTEL 0x4099 + +/* cl_command_type */ +#define CL_COMMAND_ACQUIRE_VA_API_MEDIA_SURFACES_INTEL 0x409A +#define CL_COMMAND_RELEASE_VA_API_MEDIA_SURFACES_INTEL 0x409B + +typedef cl_uint cl_va_api_device_source_intel; +typedef cl_uint cl_va_api_device_set_intel; + +extern CL_API_ENTRY cl_int CL_API_CALL +clGetDeviceIDsFromVA_APIMediaAdapterINTEL( + cl_platform_id platform, + cl_va_api_device_source_intel media_adapter_type, + void* media_adapter, + cl_va_api_device_set_intel media_adapter_set, + cl_uint num_entries, + cl_device_id* devices, + cl_uint* num_devices) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL * clGetDeviceIDsFromVA_APIMediaAdapterINTEL_fn)( + cl_platform_id platform, + cl_va_api_device_source_intel media_adapter_type, + void* media_adapter, + cl_va_api_device_set_intel media_adapter_set, + cl_uint num_entries, + cl_device_id* devices, + cl_uint* num_devices) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_mem CL_API_CALL +clCreateFromVA_APIMediaSurfaceINTEL( + cl_context context, + cl_mem_flags flags, + VASurfaceID* surface, + cl_uint plane, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_mem (CL_API_CALL * clCreateFromVA_APIMediaSurfaceINTEL_fn)( + cl_context context, + cl_mem_flags flags, + VASurfaceID* surface, + cl_uint plane, + cl_int* errcode_ret) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueAcquireVA_APIMediaSurfacesINTEL( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueAcquireVA_APIMediaSurfacesINTEL_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_2; + +extern CL_API_ENTRY cl_int CL_API_CALL +clEnqueueReleaseVA_APIMediaSurfacesINTEL( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_2; + +typedef CL_API_ENTRY cl_int (CL_API_CALL *clEnqueueReleaseVA_APIMediaSurfacesINTEL_fn)( + cl_command_queue command_queue, + cl_uint num_objects, + const cl_mem* mem_objects, + cl_uint num_events_in_wait_list, + const cl_event* event_wait_list, + cl_event* event) CL_EXT_SUFFIX__VERSION_1_2; + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_CL_VA_API_MEDIA_SHARING_INTEL_H */ + diff --git a/benchmarks/new_opencl/include/CL/cl_version.h b/benchmarks/new_opencl/include/CL/cl_version.h new file mode 100644 index 000000000..bb766cb9b --- /dev/null +++ b/benchmarks/new_opencl/include/CL/cl_version.h @@ -0,0 +1,86 @@ +/******************************************************************************* + * Copyright (c) 2018 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +#ifndef __CL_VERSION_H +#define __CL_VERSION_H + +/* Detect which version to target */ +#if !defined(CL_TARGET_OPENCL_VERSION) +#pragma message("cl_version.h: CL_TARGET_OPENCL_VERSION is not defined. Defaulting to 220 (OpenCL 2.2)") +#define CL_TARGET_OPENCL_VERSION 220 +#endif +#if CL_TARGET_OPENCL_VERSION != 100 && \ + CL_TARGET_OPENCL_VERSION != 110 && \ + CL_TARGET_OPENCL_VERSION != 120 && \ + CL_TARGET_OPENCL_VERSION != 200 && \ + CL_TARGET_OPENCL_VERSION != 210 && \ + CL_TARGET_OPENCL_VERSION != 220 +#pragma message("cl_version: CL_TARGET_OPENCL_VERSION is not a valid value (100, 110, 120, 200, 210, 220). Defaulting to 220 (OpenCL 2.2)") +#undef CL_TARGET_OPENCL_VERSION +#define CL_TARGET_OPENCL_VERSION 220 +#endif + + +/* OpenCL Version */ +#if CL_TARGET_OPENCL_VERSION >= 220 && !defined(CL_VERSION_2_2) +#define CL_VERSION_2_2 1 +#endif +#if CL_TARGET_OPENCL_VERSION >= 210 && !defined(CL_VERSION_2_1) +#define CL_VERSION_2_1 1 +#endif +#if CL_TARGET_OPENCL_VERSION >= 200 && !defined(CL_VERSION_2_0) +#define CL_VERSION_2_0 1 +#endif +#if CL_TARGET_OPENCL_VERSION >= 120 && !defined(CL_VERSION_1_2) +#define CL_VERSION_1_2 1 +#endif +#if CL_TARGET_OPENCL_VERSION >= 110 && !defined(CL_VERSION_1_1) +#define CL_VERSION_1_1 1 +#endif +#if CL_TARGET_OPENCL_VERSION >= 100 && !defined(CL_VERSION_1_0) +#define CL_VERSION_1_0 1 +#endif + +/* Allow deprecated APIs for older OpenCL versions. */ +#if CL_TARGET_OPENCL_VERSION <= 210 && !defined(CL_USE_DEPRECATED_OPENCL_2_1_APIS) +#define CL_USE_DEPRECATED_OPENCL_2_1_APIS +#endif +#if CL_TARGET_OPENCL_VERSION <= 200 && !defined(CL_USE_DEPRECATED_OPENCL_2_0_APIS) +#define CL_USE_DEPRECATED_OPENCL_2_0_APIS +#endif +#if CL_TARGET_OPENCL_VERSION <= 120 && !defined(CL_USE_DEPRECATED_OPENCL_1_2_APIS) +#define CL_USE_DEPRECATED_OPENCL_1_2_APIS +#endif +#if CL_TARGET_OPENCL_VERSION <= 110 && !defined(CL_USE_DEPRECATED_OPENCL_1_1_APIS) +#define CL_USE_DEPRECATED_OPENCL_1_1_APIS +#endif +#if CL_TARGET_OPENCL_VERSION <= 100 && !defined(CL_USE_DEPRECATED_OPENCL_1_0_APIS) +#define CL_USE_DEPRECATED_OPENCL_1_0_APIS +#endif + +#endif /* __CL_VERSION_H */ diff --git a/benchmarks/new_opencl/include/CL/opencl.h b/benchmarks/new_opencl/include/CL/opencl.h new file mode 100644 index 000000000..143d1d2dc --- /dev/null +++ b/benchmarks/new_opencl/include/CL/opencl.h @@ -0,0 +1,47 @@ +/******************************************************************************* + * Copyright (c) 2008-2015 The Khronos Group Inc. + * + * Permission is hereby granted, free of charge, to any person obtaining a + * copy of this software and/or associated documentation files (the + * "Materials"), to deal in the Materials without restriction, including + * without limitation the rights to use, copy, modify, merge, publish, + * distribute, sublicense, and/or sell copies of the Materials, and to + * permit persons to whom the Materials are furnished to do so, subject to + * the following conditions: + * + * The above copyright notice and this permission notice shall be included + * in all copies or substantial portions of the Materials. + * + * MODIFICATIONS TO THIS FILE MAY MEAN IT NO LONGER ACCURATELY REFLECTS + * KHRONOS STANDARDS. THE UNMODIFIED, NORMATIVE VERSIONS OF KHRONOS + * SPECIFICATIONS AND HEADER INFORMATION ARE LOCATED AT + * https://www.khronos.org/registry/ + * + * THE MATERIALS ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, + * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF + * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. + * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY + * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, + * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE + * MATERIALS OR THE USE OR OTHER DEALINGS IN THE MATERIALS. + ******************************************************************************/ + +/* $Revision: 11708 $ on $Date: 2010-06-13 23:36:24 -0700 (Sun, 13 Jun 2010) $ */ + +#ifndef __OPENCL_H +#define __OPENCL_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include +#include +#include +#include + +#ifdef __cplusplus +} +#endif + +#endif /* __OPENCL_H */ diff --git a/benchmarks/new_opencl/lib/libOpenCL.so b/benchmarks/new_opencl/lib/libOpenCL.so new file mode 100644 index 0000000000000000000000000000000000000000..522ea2bc91b3571a3355e4ff3a0024ca661871ac GIT binary patch literal 2038104 zcmd?Sd3Y2>_XRuw27&^KNDu`zfFJ^5L=g~!VHp^ZNLT_9mk>gLup}WQfV?7+fF`t~ zaYx*6M+J>Lpkh!Vpr`>+QBfmqJ%SoH1XTFWsimtDXWrlYJ>Q?-@C@B``&89Ew{G29 zx~H=wFltz%m>8e_H1-YjF;#V;l%%K&E8|aBXFgwwub;0O{_pJTB(Q$(%D+$I-)Jg? z`sni|iZtusm6Uho^^|wJ3b{U(NwF`boe<-{L~+sx|MOCr%2%fH>0=rJ_~>J-O!}JO z$nQ2*9N~C|6#Mj%SboyJEt<;nise$FhR45;7cieb>U?96Pf?J7|KtC7b$zU=FPdGd z6hD1bbOg6HD5EjH!!J$uonP_N6O)tjCp~{Sv31rTJAKWsezGg8$invud|BUd{AR>- zi|O1s$aMU&j!jBdH)(W!>pQyl3O0?8Z{$0%P3+*LTS|t;=eBMfe<*fFVoYpo=jN-H z#;ouqp6rW_N%3{`#l@!hu7k(;V&c=zNKEYD^G)^nE=dd|J>K-W!AUSetE3KPEgC0Y z9)DbHi+1psu3wiN-?Yu(CS^^NVyFv0SK^zA?__-WK@s9J4gXJ9=QGrihg^JT<6D65 zTzm`hosX~nEYK%7UXAa6@Lg=lu2tty+_x0pQhb-;yBy!^@wGoUAW^0SD{#CK-w?hx z<6D96ZTQ}W?@D}E;mgmx^5DB)9Us7PHNKDF`zXGT31d#P?Zz`FS4S zm+@VX?*@Ed!Iz&`@!f>)W_;hkSAVwPWUESS$8m?gfaCl4*5JDf-w*KRXE(kdi!+~Z z$osF)T6@9CSv#9v`D6QObAMfP(a+y>xNh3msdHcSPrNGk$_swUe)`=NyN?9cop(*v z!D*jAT=vS_!Dl`{Fh4Zo@0YLJ-FrpxyH%Bs7WD0T?7U@9J-cJe$6NO}#rK`oZtZIi z4($2JONXkq-g5B815;`apSStCr=QFVpL4=<+b-;R?~xDvPv5on@hjeKT%58l-~IH{ z?;e{t;pm*;q9K6~4>Uii=_hxzogLr&=WBlX3o z=$BSAZ)vpZo#S8m>_5+))_vg1Pd&UL?$kxERjlan$it_d{8rrw_ivaw?dE|S_m6(* zhLX7lq0=XGGdhge|JI{Jt4{g!+ykMc8#^p{c=LCc583$jt=D|}UEi{uO+L)M<(028 zMxA`wobwO0JeD!1;h34b$M)E|d3^7V{bp|a z?D3Kj-}Za4?HlWMt$#E4P=4nrb@4S%eRtYdr?^|&p8e4FU9HmBw#@tDDpp- z?TH5m=D?7jQX!hPG&lynk>C*Dc9)Dt5hrq-=UGGcn z(7tF+?z`u085Df?$&oR;ef|ATMu*RPw%`Bzkx_XoWBxp{`0foo`&1>>sb-IOn2UJU zI>MD)1dB-WnZQPp??NDoB!44{ygW+1pCEuoDyMCW$nq1KN0xsarThz{l(RMpJ_dXf zBiQHrDELRB$d5#+_q8bY>=~t;=cCy3LMR%^ZmCi1lN&{!U!%x7M3FCwB5xbTZe64B zIx9*!KSklSFpAw6=OfwSFvihH^4?MOY#c?O>?r!DMd>fcqLecV^>)Iy;U^bM=Ae~D0YsG5{H*Y;Wa3Vd}b8+z-F{V3*V7H5vcLeb5$;ID8J$xA@(f< ze|{4DQl9)46vJmJC-e9;6Moymmpnwu6HzWdH;DFX;agGy06ycy|2|)_s`rHxP!T@g zV*SDomw&AH{%um9Z8DqhGhv4ozS~uOzkv@wJyg9vjFa__Q24(U{st}_@aYS?^YgpH z_k3C6KT^C(aqR?OJKr}SbQL&Nj1Oxwq0c6@(6~h5n<-vQ&ClDaoHM%1a+)Sc`7Tw? zr+s8OI~D#irDuGZls_clzAIGwj(uM0*-7bno|51Dh?Ji%556_Z-`-y)1%6e2jVeF! zDQSlR(1V|YP>*)_c(9aDlVTsMqx}6{Qt*$w?7Kke|K$x*&vO+%q{>N;lR%BXU$x`9 z3DOR<7e5D;p1W2`JulLJg7%O1o#fl5{7RzX7s{S@x0B_xkq6%`iq{D@%YvtyYcYhO!W z;w%MJ4G_Of1Wx0jW?`x#PxL>2drD8Gg8#1xtTd#RT1KgymT z&6I+9svlhq_1KQjUMBI=l--6azQvq4;d7q6>^n)d?`=;?`2tn%O$z_$I4O@+<$SH| zaQO?;4m*`Tt(E>ezLA0zs{FOeo}a!e<@;4RjaB(gmHl#OwBYS=s;2v!(v;O0)X*0!DoBs5BVI zZ+?0zyA>oVnU?1t3yByODH!*PY5PRjm|seX4*X7lw@e$u(Ycv^+(SWcUVWC1Ny zdp)k?^HxdugUHX%9>r_SKAApVmA_Z_ql=~DOO>8`l|Ju&EP;O_&CevjSZ_`vS^n9o z9XBicWS=ALut4D_07iVPu`>U|N}o?uIS;G$8YD0KURL((qWm*W$v;!}9CwS}qf&m2tln3u@TW0A;q$nx-gkn<<*wk!GO52U<>k{?v{c3mn3+McVG{#$m)a*tE( zyI0|NsP@wMM5sr5ChqPkGN|Ik9xC-;r6wR(s|)ujUSm&?yr#%%e8q}ajPjG=O3rp; zIp<|ayzWQw7~d!_QTprnR;Ai~ce&)N+iRpM=bTBhoJDGU+>Rv6Szjb8+^gb4j*2I1 z)VQF>qf?art5my>R(d|7$~lpnAS+jE&<@n|mp@c%;v;~E^MLE7{ z1%h88M88{W#!HW zVn|_5R#DCn2^&_JKWF^a1yCh3Yu>yZ=&ev=b7tpc&C@VnK|YF}o>P=LB|m?5W`03Y zZhoGxc;4)soB|X%Hm4{nH%}Gmo0BsqyWnbwhvyV2*@(Pp`Lc}cxtRrp`O|V|=jKh% z%*mq}ruybcB1X44bF%WLjw#M5CMB(~5l+l4nvqtRUjTh`bLI&Jl(q(AsZ~!Xlrj>b znXY4S@w90=ARarqf^r`31trQ*(PGiv?(yX>3l`)abIY zIoU-fe`;1iLuh*5oUDS>oH?VX%xnNHoRw2ZTTIN#EgA*)GQ|weDlE+X*G1K+c3HCN zYzYkHC6#r?S)!pi^NI?Kvy1WzhoIG_z`5X^g|l<=JhP!?rWa<-p-(`7hK&CY-G`}5UW@qU_i;R!<0Z!xWfc`$m5#uw1EdgIICCk=os;96K8FV}qKuo6I!I`pJsYRPoP0fiZW7?tZu(DUWI$ABQ>i~%q^priP-eCR9O)w>W_n3FA>3qwX83!(+4<`&Yt zQ+WiHc{x16H!llpbeE~;Z4IPel#?i+kw9gA0=lO%DaU`xpn%x<&c3ee)M$sy`oo2;WubvREWw?o8QFkPs&Vi%vr*uNKNVw@wyd zMuIEZ7;-X;@(K23PRd&#;6gYJ(MO1ZDlTAr$;2Rn`B3JRtBWu%hFGjcctZ1Cow)#m zfNys0l&Q0O&CBoAo2T;`ffgdkL}3x-=kgRwj_e*in7n|=>Dk$t^Sq1MQl2SRDd^Y> zg`F0nHrOkN?Ey1QnKw_>kBR=aRM*diNS&IqzMkw{y$h_?VE@CRkx&0?tj@Sl=GwK1cSRzkltuEYUtN`HT}C53 zdl&g!mmy0xm}a6hmS|-4&-9g8UvoT>Ca=ZdDY5@Q{__deRoG+V_D~|e#i!(A=c2i< zm)cLGRo=0#7oBx0R_L+53$5#Gtm`s=(|Y+owXTovdNp<`{Jvpce!tIE*HOLi_FL}6 z&cJcLOL3h#waLxJSu-E^A(=i&q?`D%Rr(fzZ|s|+(z59$`sGj50 z@-!t+YasVsEH^ST8py9ZEcYiSH<16L0%$cy9U#!yBBdF@y!pWHwmUnupT z(LkQ4+gb86EP0|O?_$ZjS@JV2d6FgXX36_m^0O>?iY4!E$%B@> zhb2$5OFqVu zFSO+P9wRT8SaSU=73F1?d^`o}Q(?)|wGhXZmVAOGUv0_ty*OT8W63ite5EBn*vy$H)s+mi%(7oM7pJ*r4NIx2mfzxV);U(XpCf>07aHdWd6v-oT|}UEad~ai^!? zjOiJ?9B+^ru=8zvw z6zNG!a|#xY7wNG~bLbEIM0zCC9P-0;MTr@s?_-)nbU0C@KV_Ojb2wh4cQMT&IqVbZx0&Wp9IpF^ z{NG?Yk?C5I-pDkkq~RKoevWAl#o;QEev)Yp!Qo1geu!xfz2Vg&y^3iLx#0?tzLjYX z_TdtdUcoen-f)3PFJ+oTZg{dtFJhWQZ8%M&3z_B+8%`1FnM`wN4JV286s9?(h7(14 z64M+?!|@_LmT3;5VV_8kWST=~xbAP!{!DYo4A+YEg-mm(4A+QsZ>Bj!hO0!nJJTE* z!<8c4iD?dr;ngC23ey}4!xbXknrRM!;S!NPj%f~k;R2Cv#59Mz@MMubvJzTFT z{*`GCap4q^{()%@ZQ&%5-p4eDv~Z$Gf66q6vT(de?_!!mSlB1hZ!^uID_r-NXn&?t zn64G+jZAZ>3fGAAb4+uH3Rj8rlT34H3RjBsLril>3a=LFRZMdz3Rj5qtxR(W3YUoV z3Z^;qgbPG^DbpNs!jnaM5z`!M!f7I1$TWwTaEeIJWST=uI7y_ZFwG$)oG8+hnC4It zju+{%Omhec`$T#q)1#TLJ0jYjX$~dfT9LkxX$~Rb8j49Gl}LAInnOmoQlvXE z&7mT^TBJ{5nnOgmLZmOeqpNRP1=8XZ3?;{)!PA2w|6u@w{SO7pzb!f$A-fT94B0KO zJXSlcSKR5#1pdl58Kq4c`8P9PsLw_m(t~FmMgHLCqm6^*b-~T<#le`Z!JS8o+M&P= zx61-su)t{Ll_Zy=9AEJ{!P4ZLkpV?QpB6zUx$v&8J~tT_a)bD9t3M047^+i3#eRDe zMWWRSzcM@x2%cf|nH(%%ye(K>Too)&Umq+_tsGSzSTi-~H0i=V9xM+WI=3oV9dKDl zuypZyU)=KD^0d+yw`@C49sl)DboF)Nehmx~w`>iB>+$uuGe2wW+rY6W7)t1Uj^DS5 z{R$=-mV{lPhrev>bNu6w6;|wmQnuX&130L2^G3!$@kkr(kBsgMhz0vQ1N5)~G3aa1 zU%ddj86ZGP6eN^z6jGSJBT5LCS4*8%0VK~p__VRFIq?D!vDV-tJ~LJ2NM5t`r_RJMs79XjVw=Qq9}!9rwJ1i!!4Bgg?q>wZ0T{{Wp` z5PZXcVlB`Df}REl+B{$&95{42R}$g?B6a)y6I37A3ohZ2m=A0!1N3!H6x=`2v4Z6R z7wzf#id(=2^!zZNWehq2w>qT>VZl)P-k_7ZjiO-rdoZB;<8h5xBn-3sARxE`x2bmj znM;#>Q@Z#Yc*izoEnU3ES9Bb%uc;QJIFz39I86vj!bk1~N~$>wYHmQys4rmy9@E>z z=@(4ciENg9P7|JktjdIs+~S##a|BJj3>n=D(As|zs#>B!TVGEE$X#$qm~DmL5`Ca$6TAP7C+0fMop8rNWAVf+>OP2jGzMD0(~ z zg$N}@e)kv*vHeXIcoGqKV7Gu9_fksYvZ~_Kcuti+IcSO%#e|;`j_FT)w5zYQHbwZ) zD(EU3tm((_AL*|Nt$Ma+MG=|E0OljT)QP7j7!E`(MaIfH@M-32g|TgNI+m@a^v?S{yycNk3#eakV=Ozm6#l9D+?~iFW-1hYCN?V7kal;4ab; zdeka&P4p;TTQ5->9_=HI7z@t`YM8fs?w@ZZU$W=jQR7SRxGGls4>x-xFd;Md27c??Ah~zWVwYpZgt) z5BZ-*2@WD%-0f9yFIB-4MEfp#l?6Edjt`mkHSXVRw`t$Fv(UbIvbxT!E(O8N@z*`* zwQtUi5!<)68^B}W<)VF4G)T2?zu#zW|5;kRd1Dgl(4luQDs>Z(S2pmqA2e1SGbgW! zFZ`U;JqIe73Br94O7sYz_4wJH+80Xgb6Dt7^lZo9+i3r|6H)t4)c!U&q0oM*1}W_q z{VKGdrNx^!a&f1%e}#D`BCph^3co8yi1b=d1}P4cam%YEl~t>4Dr-C{*CMN?vK6In z;?RtCUqvb}BPclj>By?avAruiDv7{pb?%@#+u#jiD)6!aaRIAAw-EHOa9#iH3KB}V z8B+ASRXpf^_cTC~*!MfpEMIVx@1VyuFFqYYmW^CtB73GNCAkO(x1^4i6S1hYDr$V% zXyxuGbB|;0!6LVR)&s_C??oL_oiR{H2kjw1Z%P!^C(mKd6^Idz|3YL`#(JjQGnPH* zw;}i*IHzd$6&j@4eW|Q}o)kN&2&*D6{dlHjpb&CPjkeY(zxCQa4FlAk^5Wf?7-NE* zT7`-6dW@HQj4RxHe`HsfhqAOQyn^aBad{0_SVD!@qQg4=E09&O+gawZqZM>3uRuok zH8`(ug|!A`yTbJZt&|>Kt{|a=WuzGDb2AUx6&?miGTr;Wc7-pS_%NN@oqQbvW!$o1 za13#}pha>CBt?ng4FDuB6<4z{K~64}Kn%y>`*8`*u?GVcrhbTxhalj5q6$TQ$x|n= zEjS=>D6VvyNcpqwv#s?6gi@aX^wHJ|b%nLKSpaK|AvcB-$KMNCm9-we!LydVNLY)E z?#;g_Yh7zV_DKC0K{EkDG`n0uFhzl5Y=gNT#8uB+ML3Uz$@kp;neyj@Rlqc*+5bV;fyehc477INwDWh3_F=nar%rf%c$Y$wN$|7kBDAopCe0I}0Q#!;{ zAq4-+p?)$3gF|f*DgR;YSD3NZ^s~?LZUSwvE}da8wHOcM+--}H<@mQEt1{StWf2WF zl;AUeQU+^lK(@gK64VGF80@!FS&nh2{0Fmb8@jW6p7Q0?S@km6uhaMvC=2_>{AnNXiS9W73O10*^1fp*~ZCFd+_ zL{Rmg4sA+nW5fdR0TeHRe$qfsL0Ji(psY>Yd`8pIWbQyj3CI7_ogR&BRXsMD?774m zWOPTPaxs?}s6lEjae+(K1658%H@Or&Rg>sbYHL5x(|*A=vAAB}IPQ8iZ=3=hOe>fz zq+vknZh37Y)bAzL-$#v>Ac|oB!>IpAiRW4N@YjXliXW6`EioXwTb@qPEP&uyR~QI~ zTiu!ogaiSRrhT?rw1F7?^R|g~-rmADWw+J-gCpL7+1>mz7qDT%F_@D zg&Z5SVi4h05<9ENit|K$UY(MpPa*DSou{aCOl7Ox)5MC7!*sRV48J^8+e)aVD(IB( z9T;f7h3IER?HkHCi7x;6SWS7L7Ez`WdpIjf}5Jb%dZq$Ka9m0 zh{fPiT#32$8qBR%R|j@bzv=+@mN_k`<*_=jM;?S-H6mc=H5Ht&ovu0cg<_F7wYNBZeX0o+6X}5C z5l0+<6T|81YdlW2*EgcNIo~Nx;|<96`hQTmn*tCxovR?Bgd>oG)5|<)PL}{AIW2or za1sG~m7lFA+Ej#t^)ejns22{<`ql1`;a)h{EyKYMhJ*DY9PD5?*bT#|aBv#-U5sH& z3~hv}bvSst0}R9ab!hB??7RaID;)oR?5(KAp8TJPh6y91I||)Y%#{ZikZqW62s)E} z+3~kC5IY=*a+&}l%`ykCq(f5+vy@_NR%U@0ufdWa2b{xvXNMEm5e&8A6po#GjoQ#n zz6~obY@{dO&STR4@qo#R;J>lxk?1hWhK<5MqNM0(+!ZJd>}V8LhTVd#%}9oQVzV*q z-1abRP#X4I`p3R4H0-U&stns~k!M(YaPrY+zkRI?yYFfbWDib9P`djbKrrm<24dge z2ov%YAkwgHpc@P;2B+=_S&=Qsdljp3BV8=qm$`DSQd?TvM9d<3z+9z^_xdoLF^ZMk zj_WXuOz@Q^{R4aBu2-REH8wbnkxZyrR%MJd>J%7hoHSAudB27}>-gs&t1{BQg&zO2 zfYYI-hu{w~!uwt`pbi!&iJ&I{f{|7!NGPEnr0AB3sM_n6F90OX^yBNoOrLWe)mYH? zXUeRD)=vV?y=SAG*OANTPC>Ausp6I?pSdMuo5OU*VpOO*WAe!` z$0Sip^0O${eHuO0@n40k${Zgo@XTTR%noF9U;j#(<7or3eP$~`s{n#I90N%~ecG&R zVH9@(AkrAMn~cvKq*`KYPi(gaJ7YxSs_QMv9shIK?li4!=-r7TG`*|Zf!7GP=~phaJrpDd%uq$DcpaP0rANi4SJ95{Uksz z$UO=YO4tP{-2ZDHwA;Q2kTl6puVPubm(G8^aDJ{Vaj%Y>GGdj<+i-zH;vDvQa9AUT zU+!wDn>P+DypiD@RoozIN?t=XvOl950x56a>=8F_4tTl6&6`v!_XwH$R_5*^a{DjE z?ybIMV>U<6hst_EQwFqNYx>yOa}aDC|Eb8R40>ykXHa`Va~Z3-7JXJMXy#~;TF~Uk z`X@-SlM1!d_kdw<>ZpxafZWoEPvEvi>EZ(zh%Z9GloXRtKkrY?M3#+m$<=2XJQgh< z$GQi9D%xol_Fpwcb608%3e*%IWhYX5ey1|$3Iq`B|01KJ_{_YB6z8*l+=IX-_kT4= z@BhmB=P9w|cNZ1Z{3?)D^4q=ccSJ!r8E^n1r2i>%6G ziwixA*}d$$lK{>|ZxFpK!+`8w<`Og%AoQ|63KB{<04W^gA0D&;M*}1cSO(Q(FZ=iH zg>q=CZ!c^Sr6dbII_?oY$mQ+N=(iUxv2x!abJyVDe*Ljfum!epwR@Q!^y_-#UR#0Q z)KPTcY+i(y*dl+{cWV!++LW6T%Lh? zA-6QpbZAt+?b8@x|Lg6AB&Zn4721#;COl}5gi&O7hGdrrQ@N=K9*+MXY*Q+Bg#{iv z+ZEcA-Bs{d;R>k+WV^zt1of94sk?%N5;~G1Vg(P{6@~&NnJ#`=yMlw+E^jZ~g1Kwl zGEQ>EDQ+)Ra5kG5&H*6#R&li-g0qvdx@7c(ngiIv(!cUZq&N3i-lpaG+ z0zl|4O%=r4R2YS;o{>%mN~)Iu_2f+jzQ|369I|_%e zK*dtWp*X@eJ9>Lsy@b2kC;#qn=JR%Me5^CH@02*F+9{K9V%49K=gGC?u2hx|H6 zmSZ-6`{Al*ljDJsHW>=_q)o_oJG)&9|=GCVz#!4 zzKd`Mu6j246DVnuB&a8CGSC}%o0Rj>qyKUr;Y32Qg<|>1KGSz%(B6OXH&O}++7+N1;26E@j@w(2-)Z?Qqgsnt$W?^Xcjwj%Ok_o=4don3S+&}6W& zZU?NxHmNa@ne*QAoH5aMnn>+ApUn{bHafTCUxcj6L_g2;*fUh?>Fi2mbU)jzO!T$^ z@oDpVpqBuGi5^#wP{Jx?MF@U?2OWYp10*eUPA2acXbWO|;Z)Lr%>!r-Qj3aeK!so}hgn2u>d;NGPF| zA7KSoj2RdY!H1wyZ%R@&;?2c#=`>s@CE61n`YI?182LMg!FN zieu3!YS1@)!Rr>+978bm_U5-2>IOj(;_> zD#{5)Rh#kz*vgI3louHeh+_HtHC~19MkFvK( zaR8f_k!aRDZD_`lW!+B0Hdl+`5I(&&xERia$5tkDbFXUk)-@vjz>AqqCAR}zkFX) z4nj9g`Ml#KbD?z6L1mbZ9QfLuRBJsc8)x@VlH%*+c=S5J)Lov&ZM~f$@Rjf)BY@cR( zPtOi|vr(!S*tmDU|#;!^Thq3&;OEwmKEt+-#3+M@!2_)G{4f3bsQHsEq|W z#%4|e2-AEX}Yq*U=4JbD19 z6;r8Ie!;KK+^gjTV={DR>q4BQPa)1Q=Or~f$A>6fnxW6}^m1Li5@RD)-RMO@3pE00 zh7wtR$sV5KBg-kN6$vlts-)xp9!vG|s!i<(#lBPQ+u$u04Olm&rHI^4N(y_JzT>zB zyQ!vy%slsr$4v`;)eJ3k4a*EA2j~U+(EV`#2U%4MHJj|UP-ozD0IWpUbbs5fT4-OU z2eKc;eTJa-07A>Wt{|ZVX2m@BWmN4o&L;q^Cs(&Mv_s<@VITXaF>kGXLwUFa|A8eP zF_yof(9aAL0wOY3f|plbHuMk+@(A zi>?QX*;XxH>QRa(8(6=%hxtw1(gG+bGx14MaR;A%kO(zpTZ)~;w0;Ux1q>Wi_)1V= z$VJ?cAHae|!rP}T6UAUN(iyoBOQ$Mq_@klUKURZg!H;`t|E7Izgznlt?18eFG-ObA zN`;-i!VgKd@rNY+--uhb)I&9}Vo+|FAMzd*C=nW9qRIW>?r5@&4>E74PYXmVc*~hM zxV_&JMrfEz>&q<@rE^QIGjC>AG&JTAX-v##IRh%n6NX~MLH$`O5#$vrb02EnxK=8& z1c3s|yuoY7o)V}5=Qgz%N zL4kzi{2&S&@1+43KWuXO!=?=ts0u%P>VV>; z?g)H0zoGhFbxI1dv+>X|s@(;YoAo|6+xQU6dhrm8(B0dhPZPJnuvJi=zQcP4+~nll zF}12LMa+#9L8m`tBIr3Vl)jlWt`%Bg^2J{pFlEnhWf&Pcp&MqbTpB5K}r zHVqX@{txHH+t5!P|3YL{ynf7x$g3+={si7Acx^Kvn^zZtUJ$PAf7C$iXL=?Oatk1m z*O3PduWz_cuJ<_TC)l4fjMhMHnd>#=(u|h-!ANa|KEaXsAUZSle~?u%YHu{L*A54e z(QT#~9h~UVw$~2d5wveJJE#8x1qmhWgA|^66%RU^{0NZLuB+s78u`wgpSnt)Yr*-jsDOiC22YOsT;SOtv2HmkN&_+02B+9}b1Y*z6EwUDg- znIMUW5_(8hWvJR?b&|&_4cejq30C}5Vd#HVCiaQnlzKg^Bh)nQW_p<4V)$-jFzhQC z{=zsv5a9>UzhZAyG0aw)VY}9zMZXID+|gBv;XnhjXVC)G9U!z@djqj8^#aRj28e96 zg8KwN^tfZ;Npf9}34plMfuk=N!>w%gxptg#=tpJyLfuGA+oLq?pT$A2=isz$wOd_=05 zu#vlHlcJhyKsMFO2ucSCREHVJbc^aaq}m%0N%fw44Ateaf|jD%a4W8{O*ISKqmifv zP@JaPl2nIEs^>CTUyE*!-~S-1qWbW-h*XP^(Y^UKMfF+(vZ>|~G!r0Dz1%=-s%vSD z;ebf0m8%TZRn2q@_OofNz!qpET6xH-Xpo<3 z*T1T0J#IiYt-A=i4It23W+3CO7EC6s0zf3KPwqCf-ZZQB_%0>Xk#o+dTWD~&6r2E%hhVHjbO#ke{cjeY^ z-4ZTotbN7Tu!R|k?t>^&)6M+{bTjBEq2v%F!>8!Lj(-KRD!Ru;N2FVWjPCvoiteWd zWYc|{psfIb?#l);-)h}5`uoFxNV+X7x(BfffGB&2V+$A6&e(oIX9XK|Bfh@ipTBU$ zPQrVbbo@K8b!iBjl~(1QhVa6_L0Ij%-OG*?M%Q-ycO$DJ+{!4*X`mh=CQ{KO>lNYf zrJhw1EzlH#J_JaOgiyGw1lJ`EY=`fc0h(JCR3P;5aCYSp**HKNkKSZM>-t z`Q@uVTCiY!gUnm3e1t7b!`e&%H{M}bFZ~Owr%;hl@?&(c-_XPH{uN|ZtlJqv_9Xi( z5^ADZ|CZ{pwkNgS2>SA6(abdp5=vlJOaU)P)gH|s0FpF2Lp#tsLYgh<%2>{sy*Mra4)bs-jL9r2oG`F0YOoYqS;HgQJ#I$(nMsuHdGK@>g$!6Vu zC-mGnN7$xMDZ&vEs^>Z3jCbNsmegIZy{}{0Zx=v^IheUS&JJBi`D+0Bd_}02O1x zoxWbb`Dkc)>LVyB9(`sdj_q))m|FjBNcsssYzu*`c=|*f<%0ui+$h3UXrvyNajWTJ z1spfjvhfA>uv^fN9seL?Rfc_Oq-WT!s6)q9Qs19gjcwUToR%S%9vtrF;GhJ|(BGI4$RImOMu9T@{(pbI79^z0K&K#D)$fw<4qcx0BI5$f_8{8)fZ<#t1U{ z8}29=?GJji?cr_+K_APmxJ^Mq2^UL7m8jZd#Qq`~wI?I7WQ>!H@Iw;aMt@%!nNjV{ zhS4EL_H!kpi`Yj`7L2wdt70_JC~GrX0DauX5M#)@H$RBvAp42@GqgEE9u4JSZ_A}}C!x1R(`)_PmDn_%FCJmladK4Mm zjAvCF4L2a0(E|jX2M~JF86Kn8NCjKmJZP^Q2#{=}1vhC%v*fY?Tc+v(KPRQ1*k9km z7I6F{p`__mW?A10C1@WUb_D$Rjzak03VO_Rgc1Dy-|)L}nCD)J!0AYFHdS9(srXGd zAp3Egvj`do5VRR;AQxEWoJvR%Ad=nPA+QsV;)LYtp-OBT#w}Nz=3gF}(2LFEe#@fGUjmHJFDSkfC|Ba%y>PM^sDen60E!-+! zwPlNVN8%k=a$|o%yU*#+04>^&ik$cqb&XsX?pv)!4u;}d=5+iC*xxlyvl&83;;>^3 zd;;Fcu}RjHoX+;^jktmP|JWK;2A(+7GjJyqp`E6Nwj1)aGH`DLvR_*F9zmx86jkY< zQ^BXLpzY5?R2b;xp+A8ZJ`VxI@qdkdOGQ6JsfC!ppC4%Y{mE?TIz|6H1F}QmP=Zbe2=w9& z#C~3D5FvlB)f{u6+j_hv=~(zOxk!n?F~;K98;VBa*w*6M>!9Jt{^X8E&~W_6utBLf z77g~A*zQ9g!Z+N>&`pd@qYcRJL%RtY01!C#G!UEPyM(m!I9^u{j(fP#X-T zR*jh%gIt2b&W*9y0%yiQ@xw-Bj91o;TmHGI5;?^0wZ(#n=UCQ=2LPZ|Fh!_?2qy1} z|Lc}F?S%qj-VTbNb~8J;wMGfC;(|zy#ZRfkL)z-{Sj?Qox{7I7hVVoMM^eDMS!u$P zkgg(&`v-%KFd6QXJEEvG6oaC8r}iU4`X1mLDEI7d2b;*(1wQ#0Jfd3OO%!{{_1`(@ zz+t>8|73kT&wlcE6kd9Y!Z_83hJi#JSO06u{|)SEPxYTf0JR`v|XU#cLX zgtsBZ1m!ax^aSNTfMm%1XgRv7nCi!&u&bP$ zmLjX-^k=fi$qqhgRQK!06{lSWWCx$o1Z@HcoSspTPy(}pQ!^ekr|keqPL0S(4B=<1 zX9#(ll8@NLEmI@MUhIz=VrJy1T4sm^e+MzOhPfA`he*71L2AYI@bdIVZR$Qyu2T0Nkfq&302u^{?jjhLA5Sq;VRD7Hf* zvD;T_*vy-0`X>9ju_vB#|umV%o&VQv2GXJ zG`)XrR3Fz_U&Yy^hEvX#XRk<@YK`DB*HQ;nL@$YR{z)0wml19B3z3Mw7UXkT-G_ zqxdL{e!tEzy5<)!N`dtecxq_)vjwBiv0JjBbNI?m*}v7@ciEHlq+hCrd`h zd5rEMl~A84sM=$6hR5hiXeSw!aqV#3;;Is;=iU1o0L>yb)9Dte$Lm09kR2n3{P)KP@T2)dF>yD&MQt7bmDn;h>>hD)Mzd+FOGxf1N-8gfr~o*cokO(X7;jGKK^$^rA-`Vhvi5g>8VWZXdxEfBs27WnNL)+KG^ibGp~FW%>10`@U@LxMb?94x1dUon-1Rj|x=N>-8( zA5&14sKBmjBuf;bHf@Q&_rnsr6N~tpMy1xFry~v^tFpwd^F2#+v=}@OKXNzSuPm|7 zfb8eBD+#(AAXs9BfpB!!#(J2L`G81EeEA<(;xAg_II+Zt#}WerZu)90G4KKaOsPYu zHT9Ml&D0X(_Pu(EVGYO9610?9P6$i=x8;QEEhk(tg3Gl8&oPp79l@tpxfl?W{ZDqI zO0f*1SiFfI3m7rrw-KbpOR*pl&BRiWQ!F=X7<@-xAmSC2la7sRX*dTCkDSOF+%MHn z@KObsj*{ZyvuIezTW;bE@3WtaoSNdhCiu;xrSvbWbQe08VOF z{g~*%2pD+&6j>FgZRdKN>{<1L$mqUskK**O0ok+a)dbxP5IB`62;Q0qDL7^DpgFAq zNOJmMAvlTU!U)bp=ywg`A_a+XnQi|=TwIEsQ)2@Y7pI{XZG${U1I8&tgNN8LA3&&Z z{G*Xo+2E}t&jxl}{1F-5%2mn+4;WA)3e#Qv5J3(=u)#G3V#mdQ2$=zhw7|{?(c$yYnTxx9DS=5o{d)Tx3=3zB|WbXDj{ywc2&J zVz=3V?3Kkm1g!%I?Cv)Zdu4GmAu9lp?0%aMc3;qEbLGs1tniErhX4+KDvWQ(24^H{ z>yTYjdoc`Z7fNbjy4rW>t&aarWL4CTH!5|q+T?ty^yf-N?Ymwce|s;rx8M&DsJ&?* zsa82X33&z(Nv$2Ji49Y1_%Xn&HVd7@*p4)OOn~{k*zoxluie!@W<8mF+6q2fkyY^- zVDzwO(7&UN-7__xwgzO+Qhp++k>vB+*^+W7;Ri_38Q($GUT19SF&YN#Y?ARWLZy>HR2@%$08DuW%Th+;R{9>*IKeDF@?0N?iX9KasOeFW_U2oCUuf!O2t zZ}33(X+Wd{oCMwEI3B_{4pVUu+NM^`ul&Q+@Ls;Hi>v0n;aq`H_bV_mzW1jZSk=DS ze*F0zaWxhu!WUzt_nR5~lB*7XPoy-!KT#n;xWj?vP5*$a|DFgf8*8Mz&+#Vo-6-e5 zee!XRh*w4mJ#IaA%vsE72Q>aKJDb13p*V=2$~l18*|hlF&4%FSBquIncM@$ZW*~L{ zZT2BIGev-7)=D|$5XG!flZYzT*|wJ`9%V+|hkWMaMtCFAt3LkeC2mgQ?LU9V$i*Cd zw%%VoX@0wzO1xDPeJYU@l+PTb;8=lDgE*Eo*odq@m558R9oO{q-{u;}y_`M$Jn6XS zu&4J#=y3ddFZLXFOn1*G?Wsg}WOS3EyO>J!G$4B_(UYKd0KsvaD@Z6IiCsL@XAlp1 z*zF9EbloeUot#Pp@EkXY)|*NUfMTXI8cwHMoNi!eRJTJfXJE`g@WA@ta9Vtp$H|^b zyo8Ky?rn-wh5^}Ai5CeP3J^H;QIJpqvx3tc9yF)X07*_|1>hv65_9!a-2We@5`D3U zYiwWwQhU^*N2Jp4U;_?*=vWgOKE@*=;Q41{RRQVhZk`S7sYD~v%Rw&`?K<9o5>c3* zO0*;>1t8eqTm!ME60He26%c8G>+@j&vBb((kBuh9Iv>rOsqh&YkXUOQ@bc>?3*nsS&{gj|wfIw@KfpoE$&x2jvVSq?l&*bTb zT`Bio5fmcKA(mq|G!nI3WY^SoegkR)Ws5z^e)CWvsf|TeMXkE4N6nr?#8Rc_D-^Yd z49K2CG$rUJfIw}Df!K2hA0e{9-o1co&Xrrvnr~)qS4uBpaQg$~Wo6!n_+Db;vJVt9F z1*3~mwbw=+Jw}tDoou5aTz1u)y$^s|k=p2Vi_s0WV04LObU7`WfiQ#d&oElt$uqyr zXcH{#=H93nWf+jnXgxtg0fN`{QIJqV9UBMZAFB2kjRr`zQCY5LG*>RQRtLpnwX1`r zRhUom{y4T_0!+rsB=4{5S0Ac{SPFJ*4Rtf@oq@u&d*1jZsH>gD$u#|yh(UP&0kW#b zT-q@r^*7NJZl0s4Uui%#_16d*0T8HPXdw1eLw^y{6%a}M<{6qe)&p`f!yhw+Qrn5S zDPFxgD%A3O)ikmtyPTyY8%VN7lIg9&YZG-uHdYO7^1KX+%p4x~}TdISq<=RFX>Hl88>=C=C zVvOE{3RcRc4^P*~CoQ_6B$X6TS|nL%c!_unq%!ElDzRD*p+|~bxFT}Fb-grm!7W94 zwX7t?Bn3QZr3p_{=|r5*Q0LunUZBp2$x!F*a6TF5RqPiJB0h0dwYBqmn zQ6n~cFKelqgb$`ER(E52H4>}E$fv{fx9p>8h1Q>8`XzK=$3G2O6|2wMd#vnfd>blU zy{D}lT1Q1x=rXZmNW(BKvP_@Tu9YB)RcT)r_u|_M^>rNT5;+Fj;+Dk;L zM0nk{|F+$$Zoc1!4OU|XGgvJ^J=zLi?u8Z98tpues+9;8j(;+;Dl2?=if09Tjdm3{ zxSN+LD^wa#qU8X&1lxTeIPOT&-G2?yeUn@`5Slo9t)J$W&J=sv}jq>%D==F^7jRm#mkyTOa zX0*0lHVYZuHkw*v1F~IqGC@Bt71V0mdF<_#XMfn%-2sRs)(5(w8w!Wr)m(H#_+^6y zn&Q_q3cnvR4ZpFUf}eV}(n(%J z)?2TWXk!o+2K%+G2dcMTC+Le3;Slc|$Xu)U`UrUi5a|$SKsOjntk^d<6SQCuko9pY z$Qv4lY*Ud|WZfQ-$?mw)kUbv{cWfFXJ9ZhnpgDZneldguDlcB-}M`(@9WNRFu7c4+9!2MrjRjqMv8_$aN6t@etExT5=#sUMf zr?{O6$_5BwcD#YuQ``hXQUH;y@xm0a~!u2D{jcw44Zj0)+jVtL_4xjhoPh&_aPQ|vW z1(a-ykrUx3j2?KO*&B>`w}|uPrlehpz~K1LLq_E%YvMdVX$742lL}UI`_=Fh{>->k zgVfKA|09iu9$7$hcTuh`$D0(&ju{w(hwR?)Za!i1dL(Z!P>aZN9d zg?+G-0FBu^|KEBs%7C*}yYq|TQF;Z-`>2pjk+NFo_b)cW7!B`hp1Z&-vJXtwi){Xw zx;*s-{ITpcd_=0@{Y!Pj8I{Y5NXiN*$=E?P0mTdw9n(!fY0g2S2ek|(i@rSx(FXfJ z$f_J=NlVXB>_KABZaB&;Xe|QDBm=SsiH`{y1`s@?uY!aUm=%M>J|47}i~&e`$%^sV z0TL7SiJYp`d)#Xlc2FZR8jU=9*z1d8_2XWb(Dlm@qa1%fWL1pTweT2q1#|8C6R7On z@NmJX%z*4YfDD3)WH-!FkWj)Eq=I+s^Pm|m0Z1}hKMst<4#09b+@sgaaE16%@4+Ak z+oXn{83OWAg621oLqL*jv03b`YtZiMIAG|dPo4HutD-Tx@?h5*d>Ip}b{ulCJj6C*dlfib2D zcJD+JB+Djn=@4BI*&P3e*x^)7F!DIB2|8I#a3ZU}phz`A7Xz~A_a_i^0zhbjMh0Rp zW{xN1r+K;wCPFuP^YIL>UPNq5nat5m21Ex5HJ>&E9=n8fy0X}h^F8Ze zY&psOqZ zPaX%>i$7C{QpDR%i%ynTunIA^Qkr5~!)<*2JW`la{Ha*M7B)7+^*5+*qr8vOr?y6z zattZmmf$(Y~sV0!n^iyhPM^vIE!Dfo^p=-L&|^D z4Dab@^bAitZd5!MHm=1Cz}3^W|NS|mcx0{O$XYFTZ>mttHZysuH>sHXym}5<{;;u@ z*>=tWV>M3VA+CnQ?{jc^y~lkZ1;elX$E5x}?8@EhdVkQb23w~lbeqBUg;dkBd*K_K z&Xg^iLL>i(j*Gwlf~=}#TQ~Ju)^3rfkkLH~9YyH=(eHump=ljKpNQV;e_KI93C{|D z?DGZ>x=VZmkZjvip`9F>s4;Eoq;hStN8Z?f9kCfNc;;WL z_qv(BnYbr+co1&O;inEqRr5cyPB*_Op*V>JW9VaBV)}NgSRL_!GfbO#Mc$cs=-GO$ zC#F~@&K9jF%+41|H+U%QcQo$*+L1BK&nqadLK2U3h$_wt}ytrtMD)uux` z*=l!3YPIGcnpX3}T>Iz$pH1dhH_`rns(zE5!KQN3cZ=m!y=M9geOqWFJDF&v*)#uZ zGo_*xy=E#vCtHagxeW|B%d?&pS&l8wNR5&^(lpAm@1aq+;fqFjlqP%#Q3}uhAggMW z>PB9p*z?vBWOSd0CZbUuG9Y{2x`d#c=yZ7gM?vscs3Aq8tl&X6%H06TM%gt2jUwi) zo#ZTUrI_8xU%#w0#Z(yzze*?2p$(C1%mEi^} zw_oP&&)gkFZvRMZqW=3Y_$5I#JxF&0T2J_AGiNSB0N#IzjLL8~#dwCZ2kFD_0=#Gz z49AsWt_G<=I!o3+R*LzcF?XOteRd(2XRO)CEsgbPkbeV{FAu7dGZxTZi2C^ga-6Cc z0!(HKi?lW znS7s@ssk%g7Jl^p0)NGXfahb{YvY#f0|wI^ML8 zKLCNeKJEns)^Z1~2D>-?18d`!{%{mcU%I%~SJVmOTEoSvthRn-*bgcXtl!T!ps%O* z+>#@_M>GdyhjfIwV!|4po$L%iS3z@v7$Q~bK(+L zUmS)3Lt)R#!Vt!h<`1KbH?c0+D`^F)gqU6J-e9UN@T!G8pK{f|P{KCrY3}CO@kJ3e z%zg>RYGFlhB!C`^4Z4yd;_-*vG)rcv0|2wk6 zig*U!zvb^P;O`6KM+Ei=a6dA-&rVY#z-j}sM}YeXx)C6hvsghw3CxP1wuT4YOYZ_m z_R^XmdIUICcEdv^>c>FCjhw6_`y5e9@-kMDJ4Kj=NcqPN4#}4s%B&*fZv{?g?JcwB zFl#T7)jts%wK{;UMg>xrWay$p{&=7_@v$pd>T2d>IC1=4kx^Odp1(Xx*&)9R!8gDK zMF5+xK`MadY1M;GV5AIn)k!}Dibu9t7Ai&wQmdy2!$MHRryBpd1EL3FCQx2{J2tz@ z@PojTQRU0ALC+3r%?aklNA`$xl&E(`UPGmu*wWznAXUF<7kxABOXuNBW^@S z_W}5?m=oM&K(Hr?GY7tO2P@;ezp&D!#E2R4Z)ABfHOFevqYh!igfpZPpZ6Eb%7Oy|hvG^( zcY^8DvG;1`OHV*3YwwTRwQU}(hHcc+V>t?U3j?%GCuCK&x$CfJ8+%b%g^X@#wz5s3 z0ojYnjRai<5VRSsAo9<)mcckL;;Lty89+((9!!C8#JX}Gc56kn5xZg~SQ3=JMi&sl zRXPLRO8=SCp@d_@mEm65_S3!!BL8$N?Q$QkdUiIseUfaf zHRvr^>s)$aD7g!{wMJmV@6V7`S*!2Ch}N2njBe-2%37@r$hOubf{tbiYyJF(EXPT$ zRn|(!RnJqIbAL%7%#d+r7*AV7j@mVzRUW`LXC$kX4lq{PzZq6hPyW6C1~|Nm4vP0*Agi*pATr?j3uIMx+5T%pyZnlb z?u%C_yF6k*wp|VoQ~?m|a-D*R7bXb1e2=T1T~+}l?eal?ZI?&Eko^c#x^mvCj$)Z@ zo@I)dp*m1y^&`tNRi0($V7oSwWyYXtZJ7ePqk5_bf9G+oq8$(-&21%RI0NmKh)|Q%W8M=--Y%5m}XG?x>4snN7&( zUN=curoez~%d98p3V>jlR0ZK1wPas<9#=ifOan?<=7GN2GIP0B7O^)CK{@JphNYV}=+NTzB5U9_o+UW2IyN>gQ!SO77}@&AGCNoA^; zKSwmxZOG_OxJ;QUU_iF1LIj-)5KPrcLF9%Jou~{~JyQ(;N}8(Z0&S{ca)>VZFTuFd zGtj*G6wxY+ zkT^ME_E8cGu7B$1`TmKjQiwPj$(|;M48BC5C&lo_Lz|Sxb}aZ?{}@e)=Y2b z|NH-)&*|N>_p{diKF|8DwZ3cZwb#b%rxT_c?Dftc9pr>LpTZ#WWbE@BY3zgs3Ew|P zQsXYezlntL$6W^zy!WX_n4KKRA9oEQr~^O{=En`1Pb!B=;Vp;r$Bw(U1xT$s2G;3Z z?4EQ0PW@z+t)zum_qPQ`T|Gv(JqJb@WsuR{y#LW?Nbvnz%x)S+7a2?1ZGrt?Bn-!$ zVi+CmKz>m_M$jODU`_9cQ8mtj(WU&cjD`bb{C|io>64%D0mH(HW09WUj^xBiapxLL zv21D?iqda|%b*~$%^?C48C4;)mKR7cjFQ0w{^pRXaFXO7O~w?qd-jE;CpBn+L)?-r z@kaC8D!=+DHM*jvLi|(VI3&R$a)%ruI2sFi|S(1<4+Q&aU1@wr`t52!q5!UvF7_9 z<}qpWg{V86E=}#YN{XAyw(td4%&4)$;W-H1__wdo130O|E*<1F+VNRvWHx;1PewnZ zt_86Nk<@6^({O87orWM`xD||+LH5sKRP4S+`w{dht3wcbOChWQsXV5R^w|i2S~dWd zS$$4t=q1ygd!}cK8Ow*pAnVNd#+FmsLh2$hinpBjBAa-Z^ofygGTwv_Z#E5&ug#j7 z`kOQ`Et{;%gz4e6CrE*rT8wZIs%#7P*NNC&ByIU4n+j%=8EAxmn)Ucu?~T<{>SZ)|a%Vpz*W~YoksD zm3IJ+(Y>F5pYZeJqqpy>ruN{f0Sg ziOZK&|AB|LOCG?#iSo*M@{_8-4;~84k)KovPs&Gy%C5$tzc?oxT$iXXYrt7CA}P6{ zJAV~_qI1{}GqC9*l$LtWKwS&4h{tISihV3bO?&ST*EY~rs{4`?b2P)qpVR$_pPCDVq4&-;j zAwj2$SH?ygNGfMN&Vt$f{ISd~1W1`xAuv&9604kpR}qrSSoDtAwU`f0N9;@_wZwjT z62#0T*$1eZ;T;1>>{uixkG* z04cHhz1t8g$1}C$^d4Z5jt}D9=K8W4 z62pJZoQ(+NPZvFwk#-a_74_ZhIxBc|9q%b3BN#Ll^R-T%hjCI3m;eK;JU=dkJVy|p z%72dqHWyVI-`_z}BhRxRr4k|IZ@vL0SWKhK)kh_J$w?GngVU$zpLzy(K3%~8v!L{P@25#4(ib8ou^ zMRp*tM9PLaWo#P~SP+3g9UJV44E>kj13KAwTUo~OML&;^lA9mILiuwhy&X@^y zdcTO1N~xu<(>wVD^yY3z(ECCsGz_)h!}(;L-YJ!rgLF}C%s_fId>9PZX66$PcK*Ojg((Y@KbMI};bhI6 zA?2|cg1~67tK?yjVqpPHVKr{-T8(vb<}s53zFRC< zmP@o|2L-E6_P+{t>oR6)3fAYttft(TTqgI-Dtm==uu3jQU8V6Z&#vf;y6LzS@z87y9n|`-=I~>iSJ7kr< zg`m@`rEvDmdo4nxSNfmzQP(cdJHI39NLJ}J7{J)tYbQ^%`%87};4~=on{xOJhWs7U zC(~({pi;aUuM`HU8k)7@(~gPMZrh;I3kwgY)*ZK;d~@@a8TyGX&ZP0d63zL`jtQ>S zLn|i(f;lT20);wN|YUqqV9+erT=MJ%WbgPBa%mY$TGJ)@u2?QERmqaJH2> zb^*YT6qwfPP6yh>1C1o83?Q^tS2;*;45h4~kYLe~&a(!!b9PgY3x;~W zoVg;(LcH!Y0-fdmmE-*TNo`^-^~^?6qi5OLNKb!N+{Y-0@CsBnSrs?Yg3PM8@xw*K zk@ob8+FfxE>vT^tZ64C9J$LWw_!aFD)vq$7VBZvRqr%#CiPnp7Ic*ns1jNAlS0t^* zk(>8;5x1>xD8k@>m;$nH{kRcEnpUdwg4)*lN~?XL`8>1??dJC$nWvW@j&( zXkD>2N@iw$9_sX|FEEocihvpK@oK&NY1~SC&iZhfUg4i|#TH@~gkvEFm38>n%+w@; zGeYbNwwv7*tb~Pf{mMCL-zJ>#;Q5^BH`Sf~+Rf)*i&?TVzP(pNM@l|C0ZTX7d4 zVK@z(Wv<{72lA(P9wg{g@zz+vKvFsP<1Fgk6a2B0Am;$2*8O{DG^6pKK4u$wiA)d3 z!%D;H+Aq>`IuogE$FLPLwhz_FFT4Y-3g$nM)Noq*M#RaV*y%@bHQY<*KOD#({PrR! zDLxo0G7x;<0%yVLgZn*BHvy!a>UR=OGOKe3>wcRDg%7Py&*=uFvYZY?#`dWi`Gqg` zB&REp)Nl%4Ps`~o}h(NYhqOflFB)mWKwxs@yC`^EkMfY#~jCr zgJSeDAARl6j7*!o0f z67=OEtp2h09AvQPxD|xF2#C@c=x9`+SQ>Pjg@$0&)+J`IV*1cEh`BOnbUe$JNps5v^O%4x(rWFsoF4e~PvWRXB(hBdHPX>sKQ^ ze9=0R?7Ih>(qH93zG#2l3(%7QLA3h}B$e|U&Z6|UMh;QwF9k?t`*{bJewBFiql|Q9 zjZS@daUQbaBl6U^ML37wyfw@Sty!;w-cU8|6h_J_b91g3*1>bw%L(c59HdMet-5ieLosc)yN<9f=kvi2cwM6~TpT(iTAp5{4&1 z7O8+oI*?xk*AlcpwF_ds9E7jb+XiRthphR99jAN`OBM#?QM}zi*w5aNkrVcWhw)bz$ggTj=r+(Jm z$#la#kSW3ZQu+U)#k-;r3u0@K)Oc#aE0Iil0%z$pBVjlY_Z69Tbs&G~OGr>>8W+S` zU)Fq5IZO)5XYt3jQ`-ZiLLCq5;Hkf}Kgp!~7*DNJU3^dNtvQ6n)CKP;nwQ90jtt_D zdEQxvAtnZ``uff_k_|?kR{3>zTjlpR*~r^hY+8z+vC5w`$X9;coB(foV71%DD8bQH zCytQu;0Uo_4p~_1zd#ZM12BwBlJJq^JS0H`f!DtEv%5U5!*PPqC+M2!lc6$8n9Lhn zj;^paym5eULmVmV!{_u|oxp7_-DF=pdF@~eu}>+P24}Rk2~^a|sFKxTZZnd8yy~4{gZbhN&k>>ewxq#kFrSJq)I5&eZK77MvL9oZpei?t5}2 zQ!YZ2fblO98nNDaDbm3oudPACa0NV2#%qf#$c)#jjrG`7Vj`;9EqXZ^4fDq}>UKu| zp}AJ8YGkCweY!2*VcmcRMdvK&0)O3zd{$s;)AG49;`1<)SU&rZk9ll$KTT{x z&BgN!Yc3$^G?+0mhK-q8W+B*YlN8tN_R!7GFQ5*5<)YF^9cJ?nL?K{Ka(G>=3s#+$swilz<>vsN@= zViR+otf}>3txX?n0cTz+{@;0tdtLtjniUPqNXF&UPpv@)KKYb26H8Xvc#@FRkO4Lq zw@)0ei9d}p>{<>nDc~~xx1~_le6vUJ-W6MZa$j}uaLO_Zqza4sM=Fk|jpmvtG|ye@ z;$2HH-86TNF%Y<|&t!pWlQNM{A7}2M0aznQOk$dZreX6i$>hgtSM%CHckOELT7O>4 zcGvp5YtfRK@Ao$DTkkKKkt*>nFWIq)*|TkxZN;N59PZTqE#$v251OtE|FpB~!ndA> zR@}UW{1J}Bp554rzk;MF4!(taL|xP?<-%~=g*V;-@V;B4(Dkl%%`C1@-0|Jbk3 zYd)!*w{RAX`bYe+-BNdeYN$(i9IVqWeDk-Ehr>eqD)D`iOa3#w#pnIh0iyL!o4xMT-CRb4?xODq3_^8YE^$&B}Y-=qkBm^Z-xDBnlNV- zVt6cdBDtZ>EN`6uap49bCc&Na`9(bONi2xp=jVOcb@({J643yd|fNJFe zsQ4V*i=*%o`3?B^A_|EmBW)2d%g3yuKbV>RQ7^%-NlhbPVw+G#t8tWEMiVoNc1|v% z$r(kRYu>?cOShV3{E5{p$4`0LH2jOmd=h?i&yK?}w61MJuJGN3ESXgP^DNp6_7os< zS6~i-pDJ*c0`Dd;A3$@@;{L`8*$rep2B@{4X@YT@U>Xy2W6t$)j-si@Jp7OcO=6Ss zn%r0y+IHu0dAuqSRLbQ5hx$yfo(`76>ySK^${Wi3k+`6*B$(5@63dowZB8wM7*gBC z02cPyv@ZReGfSAw`i@mM4G6a44&6d;EbGDDO?b9dps>E*)JvHU)QI{)bqSU&(>&STrNp ze-24a?VS2t)QM1 zk<^sbYtN=FsY>4QS@^k>RJ8?}lB$AKD5+b_X)UR)N>s&s0!j7gD@;#qR#G#V0R}&| zq%aC*SM{e_)3`h?==$(rNQpJj z*@;x_emNDN(|j2gJ^2mMI)mLtutmB4wHM8`Z*fEV?bp`vQ62AMG0D3Le2bG`9K|o9 z>S6IgQ#pD(4MWA+ej)1m z{NafNww3!8yJ8d~3k791Tu`5pD~#AkbP}stI~4bJE>wZL>x|&-yoyiJG*8-^mB#wm z;8uHjMQuNrYJ=6cK`NyGoBID}8t-Tr-?dCN15j5Ny zYjo*-XG!*$Pl52P;DzFSY4!dc^N;ED{`hyN_aV6Ba(VM8m46zCKs%%H3$UL}r1xP@ zrPaF=5{CUzk3{dCEy(Dd1?%9E8TRyw+F_nYE?`-0fXk`g<6w_(Oa2u>{~EFn@;HB-<;5|-w2^kSI7RIVb>FA7lPQCNNODW?I+U;{cj`;pNBh$ z&`(&95&9vR1)=Y-r&rV-=m}lHLP{d73caBP^v;rfeR$B$D)jkincEB9ACs!-gzg4n zwgovKw_1LY3OxrQP#8z^g7@Dsr)q@0cu`uR4@AQ76!?J%9k(DObUw_2(EHodD`azO z+s0lFM+$o)tqPs|HI2}_G6!rXXNAT*Ry&Wz8+H`B4>I$G9-Grn=zq!sMiDvysVwV< zTZ3addA`9XUadUG+yHs5PgkR98}GX|cc zqu{nqcxbtG!U9^$+P;!P1hIw}ec@bSy7*@&+_p%){5lnG5W}KxP`k1JFOnL++Wv{O z!hL{cHsRkWd=c)O#|_8`_XVtn1@D^E8km;hUWijvtsjw8j|Re=&2(GI4Db}&>Q=Lv zZg_0yhwl9)b2G^LW&3vG>9AA7q>GsK{|FcdAQGybA(G_XH5(@5dzi>PJaGqdVsM!* zU_6QiZDPUyPXS}pOZAPiGvKTa3+7+h4$(i7d*CS21urmigcbEwc~gM(wvV?gw^lrl!zw$x{WL zO5dIFrg;^=`7`l8UQJ9wK)&&ROr|Gz_m@#v$c+=`30fe>L4hn7FS}VYYsGvl=A7!o z`3~H}1Ix^NiMwWI-Zv1^ZsvW%7H8fIYe$|HX1BkEFUnk})PK%4f14@wipY6#WdR-E82s1Qn}gC*|d009FkmZ673aoGC1U<+`#5r^%Tc-5CB0Hqc6Wuu~_!szH^bA)xKlilIG z==#Ly=sb6sZx1j_ExlBJb{U*(DfTXh4OO_7Tt;r3Uj7+PFy@uN$4`0Lr8tRsWfIPa zUhN&b)bD+(k*juh2Y>5&-b^d1z)Gq&ly>BlhHNEfLhoas$mxck$4yh8A zAS{8W%A=ag9ms!Fa|=Ne#GPZK3?!9vv(%rwyZB=};|l;%>+boc0|Y%COxA*0^W$h_ zlgn6!8Cj(t@ZQN~EJ{c763nBz=*-a^gN$r+R$T&`e<{sB7;w$`o-~IdsiFD!gAq-C z@!a1@fbWC@3(YwW17jGq0DJTF30!}H_&)ABq3R)()^Yj{5EKt9g_1U(24RKMLou&FHx zrt;RK!bHWn2q5Lz{B;|izvA092$NVJO)nC?zq1rmrH?I8Y_@wyOlA0TR5 z*NELJI_oZN*vVW}*$?8SmNA!~WR-o~=3GL~nU{N>ekQ#NcQrc|ew+pswo?_3VQ2d` zYBavThNMP?)_Wrray^2-p&EqiyBZbVb|AlP`kA2T0fGvP9OMQspWZ0za6TZaLJYQf zEz=GdV7oF_72ZQ?(?mzFm#%p_J=KNy{;VzVE~L7HQk^ghcMVaigV;PIHB`5CCi(@w z7aF$kw`~m7uqx8SFYvwutpx~FS2@TKkLpW=JPC+W?FrkIsuXyC2xq9erEgE6YpUfE z7SxKLu4U!OU(8${#TSAM*!0p)rT&a>PU{>25OMd16>QYmyP*|m(!bbEXA~hWE0Ycz zsSZLa^ml?Tm)CG`k%^e)&djnLZwu-LOui@UI-tws8$b3WU;NE(- z(HP;$-Sm=~hhNu4vLHC||2C`=P&5x;Db}^g>>iT9=JZG$A1jS zjQD@-M0TbHq5`q^4I-6y1a29%+P|X^)T$w{DySquld!ySM-yxm_cf;&e3mi(i1Ow* zP{PTUk@aQcNuK4Fs^noH;U=JrQS_b9pC(E4Hknri9%aWQuCsFwZ=U+x zwSC>D!X2Oy8<4Zu;%@kg?l2!ojXQLopVl4TzY5^L;QHbY8~)wa9oBbXk;UGzr&rX{ zINMR4f(AESfwbxf`@kMs1kqIa3(TQh_7X6YKyr*$Vh|Ka*oWdjTqe555#E(#SB$Hp^`x3f+ zc~>NrAJS|`&|6wT>S%6Iv06)LiAj*k>nO&X$+35VRU@-tWFP|!t?$jvc*L44cZ2Q<+W$7yRAd+?$1%vceU z8Woyvk5useVtd>(dHyut; zt9o+t+(;AdLuC890||L2D!0R7o7U&=Sf6`Jn;q-dhKcK=w)U8ub2*sc=?s}Hp}!o7 zrUCE2Er^(0=q%{vS&%^sPJ#u(d$nUJrCa51kDD|Bj{@lMqc;7^_+?M-okGUPYZV&%e{;Nr(&yZu__?wc}RqR*6KgL?A27 zRx+4Kw|VN9Kl$yqq}=5IVYbRXM z+0M?1ktF;5-d>V4IiEhfV@6A#*J&W)#%38~*FyEPm8XMa@W*YZ1m9|ORLCo`?=rXp+nMl1%4tjYD z6tiYd0S(>oWeyV!m&ukQZr~w*gG%F1(ap7ago9dZWPu(-% zG!_$M^N>bt9MXgrF~T-4VdQQq?!gx@uz?6($G|tWPSme|>x&pHFntl@<@K=2y@-+A zFd2_TSC<#B#1Xe^nn>yx5jhvcZL5XOkb~PV(xeVAIdMk zbQrxdz6LXwu4-2Upw(yL+pcQQW^B73vqC;r*zO+1{2Qo@nzH zd}~#DO6%Tolom7W8>E!x&~0*r66aqHr9+*yevI%oL)g76r5p$HV}#cT%2Y}}+@P#e zIWOZZD9z!IrPM8=6o+-7glde(Y77vP)2m%E_1yxeE*_^Q27qi4QA~>|>IgJ}LG1f` zB2E_=TiWeezX=J$v8X6gOd}k~=kyyv`$^S|?GbUxQcjC;G2%27AT6dV-gKPaM^#Nu zUy10PaXQ%5ICjUt#B@%$57OJHt^?T}tnA*UzUSh(YY^MPv71vK`Ilc}y=iz6S_NTu zt^@h(b|q+xG##-514-qaKw7E1cM(uV>`ntn+1=LQ*!_X9OxTqyAgbc$=-pl*SOVN2`(DYnSvT4kvV(ad%+GO~ zurf2Nl)VG2I2n__P7@c$55--rCfP7-`H`y0dSQTCkMEBlsnO*2*^wrFfV0icuSgiq z{N8ACg#-DIU4AC$41i$G2@c{%6yFhYC?KlH{jZB6^2~+tZZf_OyOpdP9wS4ztAMgx#?4uHd{)#Z{Y%tMQGj6YcAQzhP4W?{z_BhVTEO?PV{8#mX(cxWcR| zqi82A3qvo2FIfcfD!aXlt|OBrIGcFS`luJenzXI?vOiXzmE*Gqv>w5Dys3o?ewt9> z!op={nydYKvnAjD?be$Wpnq({w}2YZLE@Xr`o$Ns77B(nxOV1aOi((nbDiA_uR5>0 z0V5;ZGc0FK`~%e#^KVFMye_va@;bkr`3Dk)-M%wk_eW_2@@wKr1bqeT6G5!eKvFql zWHgp{CVyFm08y%-@w!rDzHICEMmw?lO;sp6$vnK5=oZiDs zrQtNnnVSootx4}7VK@|)NZYx$1Nj~Jn*{BkoH|9E-oRP3E;sPUa@r%}bSkU^Cs{;t znaoYeMh1K?ZCZ!}VVo-~*Fx;%@%or!jy&bHJ$a2m^AW^0VXoBhDoRGh;Pd(m3B!rs z7+zx>$mjJNLBjz;Sq*fMeLQ_6pB{i{NnQVnV|9nj+<=u`#}+Xg5A)J7I}E99+t-QA z`1Szm>rmF$Vl+P32gxzJYi7i3U(cd{)96{KXi{Ru4&=M)Lj;{IEkJCngPh>yb3Y-6 z1ES0xep#5k&FEwq0xr~0!;CY^rpRVst~MR9bCK8*+xH?6J4}h4#mX@MH4+!2t{^{gY4}Q>q%ng1ER!UU+svkmw6dkx2jJ}KBS#s z$_Hp1X?X6*dDnDYry@Vg^#}}0YzKBFE6F0%WbFTfq=xG|C26_7i-h3{d;?wzaghW0 zTt6jfK0x4_au9!R@f6B&4Is+(vwFw16?`P%&_T!gX5_;$-iC_k{H@;YR29~EWeFrw`}wctuP=%K01a%mG#H1Z6}0eqm68IV(3le zP21DLzc~q)lQ8QYkxo{%woa+lt}Qx|HS;CPfc^h5BkE*(5GU2N2{6sdw&w+qt&sRs z{;9$MwIBQcBdL+?*?&c{^##s0$%nGoAB0gN+gu028nw2d5`bXM6$U~|sl4Gx9<|3e z0imYVuM*YXqG}(>yjiqByPZI(9WgrrN70W3ZG;*&Z%9ew=8U(xe0t0(FEE54w=)HM@8?~Q3zr>E<-yTWLz=iBE&PmOc(J5!>g z(dgj&ceh4*UNk+@(|5y}D2Q+zj1WDKb|BvkuP0~_Ek*okAgP?a;rfvDVca^BbT~jN zY0*mOh+MZsW!(ClbCI_8be>M-Z9?vFgB@@x?D{!xLh%bH8%+l3-&`p#=a|cuzWLLQ z*`B4@R%^EJ)Aq%m{k_R94?jXGxom;75ydB~e$La|ZcNxEKT;g^;V7PWAJN;0oH=$| zt(!2e4#sVeO&B*u>$e9iJUif8i(0mejFf6>eXW z`M8Ig(+lR<=WiQ;UQQo|(_F&)jz_~1#JV7%5o`Ljky!row`IJ|Me89J-uZ})w;=QU ztx)ejLQk9h#K7=&+GquufYfTy+!Y-#Vq=id7{2nFw1#&gcoBS248O;MjNx}QNy*MJr*#9dU;SG7Ad;%pAJ_3o zvGnoTiRfdLoKWnId&t^+P|joGRQ`+j39tD?3bobMU1nW7P>a8-A{P)j#voCtCSyT- zV=)FqvbPaxvV0v4O=;oema)-Ubhs_u@E5BgDh_|mG33BPH1h|udO!LLm$LB(TZln& zQop>$ZiuaB(V_J^!fNTGsDl2wvl2F{Y5)eT>%-l8qU>~AC#FM-jIEufydeqPHcs5} zs0V0T!K9@)R7vt$|L7XB?8EbRkjr;g3Obo>xL2PGxeisi)}155-hoqL?#C)D4Z2{V z8Rg{4Va)bE_U|R$i@7IK6w%_#oZdNKqc^|(v1DnJ{O-Lzv3ohLnxB(<~x z$-7G~`Tg({#y%2hh~%4SC_veu@J|$wroM(Ad%2hTZ56g7Q`fI!>exn1PTKnD8j^RH zx%&765-rb_+voRT$}F@pSOtn6Ok~SC( zv$5+}DsMh*v;u99)GE;Nut<)gvY&{uuPHBHi~)T$X1uG=<8Zdn^d~)FUb=AL&!x@{ zipWf>XnulCWFDHKAoc|&E)BEO)Vc)bLVY(lip-9IFN+)GIgsxLhZEFWDne{~13`a6 zdgxF1V_6OaNLii_>(mWy-bQLvjjec#vu3`=G6-Ua!#v0A37pileLQA&oegG#waos> zW^n>qv>?{iF)JyG%FLe?dlCu5OHt>9*#rmjXT=s0bgWiPH4tr0Y<~p@qZfnU0ze{u zqX1PK%bo+jH`re;lr>14!!lvqDrGkj^Qw-W8_^$)lr|1nIuYy+Qg#)rzSB{+@f|!Q zHRX2Sl@U9?+yW#FZ-V0qyXg+(m)k6YE>iD2%|Q;p{cL#s2O-A*qO2Cy+ERO;GcTC6 zW2s^2fIa%)p6&PO!#ti=(d_*BnD)^xS;@4X&bxB3EPIW(h7$ETH`$t5#C|}JI}~pZ zhsS(cSDdQSnbk(SL$zGU#`Wq>#r!g16@uw_9m=Q!=5_6|ofkkz?GN$o2;2J>QrnTL z?Ln;Vz0ooSu{SaKX|z3NN~En{+j~)y1JS5RZSQSCrnc|$zP43~U?}W?K83k3=Z`BS ztD}SXO1Mo>Tz3i{dg;_+ynMyT@>Y1#duMr`)Papwa%HaCeF*Fp5wc2IH}t{5i?lt6 z^?*OF%m z>J1RA*u;h7&8o}(Se=lLc$;Y>+*;f z#|^grK1Gvf!6l^~D0ZM7J9Xr>`orydwyLTsm-Py|S5&F^{XlC&J10*%2w)CG7yIjxkI1R7H zC-{Wj9S-ErTaF+o2@p!H$U*#h%RE9R0;2q0UIKpak>8Ix*0GJ!Zmv<1pflp#2&43Q z*Pb1;q`PF5_TXU0Ko8HY|HmYp}?xxmu>a28nGb=!2=0? z38ePXNAy40;psE>0B?qzzQ}wlk@*5o4ro9Sjy?YP2*aU&wNUQqDw)YW%Ih#rXv!-6 zgi4_Q$6Tya?s=S4`})8>tK41xfO1!=a{mOKZ~~eG^#4d|lqB39jHOftJ zAYZxL2|5-aDfp}V@+T2+oPnP%FmX>!2RTQS^SKZjy@^me5_LGzs?kMHSyAtXiWSI$ zeJ2JZi?LEf1|vG)sd3@(-N>nSs&LCYoVlj*$FeAYc~cC+JYEl6v>n5jJhYu=CO(oT zy&;QD7YoYhNrpT7Z7YL5&TeE&20E#d#e z){9hisxXv>RX4!8`DZ@iL^^IBVu26$rzUfD@)I~pNfZCnj`Qw{kBKVc3GNhB|m zZ39~E9XGOep)P%iT`jjX0SvrgNvw z1U}-7HAac@B~|z-ubeM`q$=>kk2uRus)Q%?)%2_NFc*uU|zuVjXIpKJnrRGMd_@lzuvFkLI-rc;+Lbgj(UY-J`RC%DShjGul+ zZ4P1&BB^O*dQOU3nZ1Cs{qGPY47YmAv@$2KzRgxDwR6+mVu;lhT$w6^f><5 z@zVxY77_~k!Md7t;M81+`|*{;Hl#Xkfz($|I8rx`2dOE@45aR7u#_vL8m2|0;?CY) zp1sSFFdPh1q}$DPAb)W2EJ59r)L$1U?^MpyI15t6{IR5Vjz|^4Ivo_&^@CY>WfG)N z(=j1~aX-41iAF273#V1_FSE87@jKZT*J05!HLMshUa)} zD#nkAOX{){1F)V9)wr&6Vnx_dl9cNL4YjxmW{aK|ZvdYEGt@GQ5a8LD&PLI1HUT_ME_&Qud5!W%Y z%72o6`wiwajo+Sge&mw5z*)cD^HhLOc*7KNz61G@RWE`D0F($~Jsso_FP|L<*$NP~ z?efP^z#r3VKf#1878_nI9EECt4KK^Fr`^FF@G(hN+~hL;i*X-b+rvTgV@Q%*#@5JH z-d(}OiQp>lrbnF!4~&BdGgXA=7+eiqL=i&Fj~WrqI4=^xf0T9;)C)(xZbTUBKs`N% zw-D49Ac&A-AgP?&aTb1_!mT4eKL8+=VG^vXSwk5b`-q=wQ5R$*AQ)CghFP;rDIW?e z9YI&hdw2w2JQ)OMDZvjI1D%S-0pEdk1gD*wmf+(sFP!w6A$Y0-`2-gcbQC}!c#wk} z=xMNwkX%5NUil-I-fz9z(@VB?yo%w#fv~f7j@X>{?nx9Ye<5?}`7&>0d|$ix1NLWF zq1*l96R_eFX8^+^nGTSyLp>w&Ds=mLC0U1>WBWPN+bF1m4+7o;g~${AeZ6D}>$pgo z-IO`xWjVz1-01G?k^H;MmFVsgerPA=-`#-B-3>@OyyP-wsNcI~pO=BD@8mLGa&F%j zldaAxoZEL<;N1T4vG9t!Ng6QnGOHtoZ|B%!a-h#8D!t3vqOCQvwuo9I>`sPvx+SLQ?MbKoaX|b~%B;SKbKBEEA zqI>!w6x}Nq%BmDXYN@dY(W_f&DL$)UbN{ z%!rlW60e0rh0m`xte$WnzoB}Mpt}HqO9l=y#A7A-Oa(+)H9ZJcFOyZ_?zV5q%$oHs zEi{qj@c5jF;uDx7O-J!oWMe5FG6ocxJ8Int41w05N@D#7k{XH|&qz!0`x60PS8ph; zaUh@KPXs*!5GYnVh);0+Sc)f~ z0E)|$;w9|gL)2@me@9Y7aa(7aUy9q&`rlqM6vNXa9ej%230eyfD6Vo4pW?p=c@hw% z*fXMd1DqTM$M&-p_FzLa#ERQnQVOrQ{xfCuC z9st{M4SD2cv zEH~ZjSUzw(Sgux<&#~JYiY5v1A7(lY%QK91?O48xk_bnxG%SZYkk9f1g8Bjkf6a+l z9)%KS{D)gdEDr!k%W@K|QDvrD#kQ{f4%^CN}W|&*@btDJ&jq@bRyqXIEdV+ zBA>$UBM=xT^t&$b3 zZOYaSl5!EIc}h$bEUv->BA~n$mZ#)RzL?~tfk6}n#c@?m*%0ek&d=_ceUh`3mQy-}PL{2W_?J@;g z1wgexd9+BNaus=UYSh@k9PIsC21Yk)lQGtynvA;vZ8NEW>cTEv2tIR&G_+bj^w|Su z;iIueP_QGm&{2rz;wFeF%hoF5x$6=slkzg`YR$@1fFI+4OK3fcJr_UCpUYzuA!IN2 zm&-CsJK6Y2+e>NuYU{71{0h?IC3jrm4LSVK8|a_)@)s1&Xx`O%VB7merjSzGOKIHl zU(Jyzo8xV*6;+%m@~3A?1>HystL^>7l*`gyqABgX`o~aAVWnibx6MjjA<&|8rbtOT zQ(C$tl8;lcOt_T%X3ZW&H_*Q_iet^W)XjS5Nw}Ak?{LX~>QU}*sxcK`dv5E4!{Rkl z_wZaA*9*h})Ia12x2;fxxF~KlT<$TI#clp3zo~=px7-|b#L=1gu3nA9^@L_l#ak}2 z)g)_{gnGub;gV_A?Ekt_z}v^nIBT}d8koD{E^;^AC2MvW!LF|1PEr`Q1G| zo3*e~O1%7SxZ{6vk+CV7A5kqRveo*k1CdYKDqGIo4Frk?x`vaV$qA4^7MjO$F{x~O z=58n*?FYA-=X&O8$1w0?!KLzFK#&j~F`qs2cbKX)J@a{mX?y15kuWT*H9hkY7G!$n zL!M#Jyjf)*fhpfMeVH^4@+v(=vVKXT_;!kx;RxyfSh^#Gt6YSSn{Z5)j?|4&8}T~Y zh{97(i6a0`<$W0UqJVdwg+WQXR;244j0DmPifM=|Is3MFBxc`U5oPkm7ch#-e;+x9 z^Op!UDGUtmWu|Tpv1=4NP_evwI9ajkn(;Y;J)PKB6`P^hmxw)DvEoP=ZVGk~v9Bq1 z?Ga+blf?E=YwVx+7u!#^@FSYK8X9W6CS&1Xs!?M2vFEmg^@ zq}2AKrhNEn3m?yh6%{=mZa@dyfTtlCAe60DLh}a>M>Y6F zOwWJrSlB%DP8t846IFvVMn~14FS4)`fwPVVcqF`CMtMUW2=R1VP+zGMu^fdMyHj~( z$RLVU2LYqTT?FIw+1#rTy!rL6><8kjW#w0gA~c>nHcQ4zcad=2T+PfX+gksI7ML64 zP^ndB^!RuhCj#8Btby7_8D^Eu!YYpXGK{{Yj9Lzc#0ue-;ssrTN?x-2H3Y~c3JCaZ zfwk-eG$XZNtIzy!n0WZVkAkEJ|5yCfCQ4&NMo>}( zjakqw_{H$xK+Lhag09C&)pDoXTtPpFdo0)c<-bMe9D}9+@BdAV3cBd{sG#xSAu7ZD zXw^j2J1OWf4&<+X+Lxf=S~>rh>4~7iY?ro`$tacA4QV4s*c*AM@e^;gjv#LluiBba zZP`qY%7vf(#S_4$4%Fin)uRtcIX&DY>Q>0oPEs2XcYwQD-B0%HwQVugQN)Q0*KPR;ucF6`#EiDeplNCqvhsw zGfB4}d>6$(1U9FmyEC%1beA6L=)TRuDy$^k3`e(kWJDLw(jq21(af`-GIR?a$baW2 zhoHj%f;Ia&h);JrLUsW}>CUXMbQ?+cE2+4)bSFyP{S#KW63<0&S1omSY<6&KE3TEl zX&UnHwfT?sGTRgLyXo}kjC)!=9vcQdzSVZ`MVeNCb_eUgF*#|9|NKOx$9|q3SB(Jp zL{w5K{sITe^+4AXG*D`CYZa!Rq}}rMC_)TdsqSE# zv#}FpA&WsV9>5iOkIW_122b9cXuvWUc$FtAzxD2#v!G-iFCvhdrHg`MA7F;k3HdOt zst-{!Nvg@w@>OcR0W4DautVxSJ{)M4@HwuC3pU;HDG za6l|!T!0lq(dH2HS>8mdTZcr-6ttENV!O?EG*A^`>^yv84DlU1Cc|kwXu}N(lVyw} z*fmuNXL)r+&SlLk{}mGh)3Y;*4&lZ)#ssyECUxV}C|16!nOEit9x)I3u3z}#!oN3- z(hu#bu!(b9gG$noH4qR3tF2Q^+7f$~8F?2al&zdB1}zbJQerov*OBEfGk!(2-iYeyKlbY8f#nEPNPBhj;BiEMXHBbGGsvCUsAmv@*isGkOaacA;sinC zjIzLPo9(bETG^|BC71EvjB6NXe3g$d;}CjdDla%l!i;BdDtu!>6lMgKgqK$|XpviI z!Riv+i2GkqPIcx$AF9YE9H<2`K%u8@mw4Oq&A4g)bAD2!7t5@rgEBE-L^!JjJIF7#$zQ3YBpqyS+t7YP!9C1yPT-7jU-Qy9o)y?w~61 zLxuz4kyZq%AZUYB(bz{vXg;Z&xj2hz{2+g9zxOLZYTY1Mr;Glw`O=gwv^R{pZ-LRz zvmB#u7!lz~HyK@l!9uuyKt>;*95Fh{Sb`^EeyqD2jXeT(2&4TS2(5U;XeWYtDx-sWF?(8lRxi?{9a{7&q+xU~xb2|*FZ76#XBd}cMRzsPK%gL=Dk{VaMD?cqa zhHl}khYh!42lBa{LD1O%f!kOEN#)EVsZ?H1{#aMM6d>jHV5#L+*4c6^bp>Z%PP}ex zdU9tWxg~e%At1-$C<^XF$~!8E#Rihf zX~9{L%jb_JcQZgrZgtX%Ibxm|ZKxN%Me+%{3>rKrL| z>{28(+&&$imfIsp7{2zP;kML)d~OdAR1FZg-Dx1HoI{k`*|->SdkP@swqd5__9~)g z<@OE@OfI_`jj5wohiT7rb?yOVVCl`z1HFDqFPHQ_LWRWsD@bbSb#RvZb#4t3hTlG5 z=zVl(q=8Rw6+tfp1bVdwlFFGwUa7p9xERq}3y{*=Hlp`UCqvJ+Cn!3*HP3p?sixz$ z`g+H$4xyBNJO5}pQKoQv5=jlWy`0%Tx9^ZJ+}?7_bReHwGeO_nFWf#Jru0)e>q#n= z_Yk8v>z1tmDYwC}P8-Ya5w|u)XX(YZK(D#P(ff1===Im4JD+~k2klJ|d+UUV-m%84 zc6~uMEgu4-q`B-+&ZGSOGIxhtW$aiO7xG1i87^EQi6~Y zvp<|MDC4LF?yx7!bOq?9e>!^%HysFu=B4K(U8w+#4#xkOq%;M1zOf7p+5Xu6`z0EG z;(dl;fdl!|VJit52oS8<-9e7=@>x#E)_`d7O_>3HGSP)S?m(iFaZE581B`gJ#(dqk z#@grj(&Y4|8AV-!_|oP900yIP45s(MU`)dv9nS{E)rnNRZc}l|iKEL`jKKS&7*qX# z5`eB@D<*q6UTl-q#}bz_3LRI4fSK>iV#hfw6~V_&k>;)cfQfncC!=@|oUY^P33ubP ziKO%`o!cd!aTU6`9p3|zXUn(V%N)H5>8wyu<*!kASm$FATfx z@%=F5qi=MXyUc~%$<|zXF{`PZBZH0Q*;vS+8w75|a4Q+F!48Tw!{aw*&6L3bnKL_i zmx+fJI@B45V;HZ|_Ye$TMECZ)0^WnN(>Iw;!d`|$xf4x!@mkpxuzYl#A2o+~O{dKs zH7hZn>8h8Dx2mVP2BeVHixWBM+ID31`V@69h~0vurh09a7d7j-z}f263kky?V4O4{ zpB@x}cJM&E67(9qFNiHSkW|htIE(hNFMn+N*a(nTubmyAn-DSnG4YVhC|RAVH|{+ zOT%ltv&Wwc{~ig$qhXJf)({8sySzUM>ZQE09fWV3+fx6HkU!^JUgyKM8reVZQ}kty z7mBOY&^iLPr7NyIJz8rH04-XA;<}kGbNatXtDB>B{eh9pKCPZK=Q5Zhv`%**pH@GD z5&)sNhB?UI9<3>a^owZSbd9C8jiKdlmS>1vfZ5V?#EwH^8(ICZKZvnY0lfSUNnMa*UtSL6V-ryCa_|{j#AifC=I|4 zC+u;Bp%jL+?1lT|t*XRlhfB{6t6|zEd!k{Su=AHkAQYv zhTV*+V4`&Kb>-P2di%ZeJKli;ORgL`A$nbSa=T4_M#*a{{zg9s9Oe6(>y&pWcE0u~ zeXXxB&7VPvqtK=Vu|JQDeC>+;B46WViEW;*MZ)k5SS9V@CPy=__%ysd%Z+U}SH3F3?QtAP6^TVq+XJL81tU50z0P-2fGi=a~n z#$u^h74yq%*wh5SsX@KVWifns8+F4TP`XzcHV!HMo@p5l4aYaPldI*gSVs_c^>P7D zsx@C->9m?L5L)$@JLjK-n}xY(n1Wcth)ApWz(^~T^TxnDZGJXt1OwuUT%^= zv*u@+3>y@p^Ir+3)>2ub;(1)Q3s>=|v$v~rq`A;M@?N&d- ztKA6kDkKcMR~mgX9LU#aGC> zdb|ojavMz6U9^p!Nl^e>ttfE7E8r48vQEChs|rugS3ly$BFAS!^KOdqg!k5?3QlUIR)s*$U>VCJ*ae z0xFU`EJ7t}=O;~(CesMTR#fRVX^b>^2y>+AG`Zn&r%9jw&}5Km@(Yf`7g4qG{U0PX znuL3&)npjKYi}`{yy!r_CPN5X2oN->GLV2DS`k0lA6Fwyo&`!Z`Qe{d6Ra6Bl}ARk zn`?p|*VLU!5meqz>A^{uQV#HKFNnuCzR>bKyEmxLLcI@S2P3Hw@6o-|iuWfHhIdpL@n$)YFWxT%O#ukvoogV$ zXv_(Sc;Dk{B;GWjRJ5w)K5oIEzoEf)ih#Dd>W$`tpHIRd?bYDA- zR!31~9FwN$G#UUStVS#PL8Cm?sFIA%K{J5;w~*9mG`n9~jT(_Kyz*wF(K!y}YxEXD zCjbPEjxdm5G$uAgqt|dX(r7$Ts?jZ%8jV`=0OTx46xV=Q@x-x%nPJV*rW_L>C)7Si!pQ;hTU&{7wc``K2xfKiT*2qRtUNB-XtT zOPgu2cH4R~mG_)x6lMpwoi|&Y1n!4Ah(G+lgpj=eQT8`q1on6jYE$ziQT)bs zbBp^UvXY={lD4&f0<)7re9?ZlqbA~`gITkCBa=1(@?6|53Xo^x4;vsim{)+d(Yt@6 z5l^cZ@qDhzw+)lm9qeno01)L-hi2buJiZS!9>&zE{DGwV8!A{3TY#iS<2`yu8vDKb zR1{9Q4N6t&&~LdB$Zu$_An1!5MB{f2#D&S1;%ek%-vOoO^@n-dyLTc{F7?%ptbO0! zYFn7*4>dG`PJE6~Y;;6c!S1insVAaM9uxzXuO2l90qNzgKY;EPWf2tJx-8swvKHPWdbDAg$g=BZBW zGzx-FJ3_9_;zAcIKcztE)XCH7KPI`Xuf|v3XTc8b>qFDm%L|Y?3UdMVbj*ULlkMya zoNT}Kf@~vHw$~tGco*t-5IYV@jcm{Fkyf@I1V1{*$aa?l`Lb6*Yew1c|epwK^NR9{4yE2TcdHe zZ^>QV4RQG@`75>^rfAbC_rtkPxf^zeawAo_Gs$ybv?W38Lrj7ipP-#tJAzmj195Tr-MAVl*BdBR?lhPuwX|gzC_%Oaovl%&lbAA3>YG2} zj{@}ep;K=8^gm_OxpKIxe!b*PuuV??6H@`4&x2)OVk*?>8vG*{0YPgLuHnkhWk3+(6 zC@c~m*xP}8Zes}ALAiB`xXr{_aGSy(%WaQ{+o`b5bZE*g#5#=EZMl`L)N;`UsE4Q0y$?<45u>bJ>5x2>^MCH~4I2#ddP4MZcX~OMz2kPp9{zC5IA*_x; ztiOSza(=;CaJ!v9mfMj4X}MiD5!{eRM$5iZXl5t>RL*2cQB`EJm9zzf2>No0i-I8gLO4cj2u@oZpO2H zee02y0e4$Z&Q>I(h(3Pdg+;#=!b}IIUk&{HN)N9 ztl6vDhr4CaDhhY6rf@PJjQP!NNl526zu}>F1ukIg2zpML1%T+?zdFM?!OcCP%z>)R z{m4AbMGJ%ZU(8n;W#T(U%J>1>$4D3sE-}jFI*{KCG!oPeASm-!j^^V6wzqLL@`9a! zQuD^ZJZ%S-OJF+=0baZcUh{$C!+n`yo3O)$ZUK|j$HNI}wQ*6|SD2tor_GwvoiwW_em^o!m(7|8;TFJaM!llcp;ev`c2paDUIK_>CQEnbWNwt!U;~B zQ+q(0GS%i1mU4*dhW(F_)M&G<;nYr>hmbJ*ZMxAW+#zxk_0snO>9?IYTLow@-D!;hvJZC-UCUz<{bY5;;Z3k<}C zS2J)m(&h!ARGU8#c&j!TSWp{{tWtT~sg~h;%&?6%QFPTTuT|8?`9fnFZQQKpZJ2~j zr_EH{+y?Evc7ist=>eXnu#^{}w&VFbk{WH^>7G`be^AJ#~%_rlnHYb1~v}rJY(^0hypJRq?v}uU^#?EG<*|FNBoz0wzIo5RA zOu)^pHXU=I&0O`Homt9rQQPtTHzYOMJe!?Xo3Th3K6tIsX08MI+KeKo1R!X0g@L%) z%wusi(&h%BRGSx1HQKb9&1_L{cpr0XBg|?mj0wr{DcM%M`*4tb_aXZlyBrIvu?o2w ziyvt%ANMMzIPn4Vcul*gre42WWS*eoUi`|biQxNUO4dp0BKR(_*-E+*mM$Nll6In` zBhW}-|3^$z8c8q6iX`=8oad?5SXe8O;0OouJN~5v?FSGf-NQgqInPm@R9-!QY>YD$ zAXW5=Q=q8CIJ=_BNlt$igx*r;sdJ-~1Pn~aZ6H!xZad-zHmK=O$3Gu!5XQfb+YQ@A z-27%{2+h63o(m+x?R0>YTje-gla633qYl! zRTrF|hs-S1Q9FRDc}g~shW!U^LJ%8`q=xDX-6E>KkM4?u;S*OGs{e5y-$#28R1OfV zxz<4rfPFTee~OR`08yfEoMb$-WtyJY_ybquZs3RAief>0LklXYuKwJ41FwWhZv5W4 z27&vgmIR6&D?oM$P^a<1Xhq5Z{-du6UN3IJuRJ5v!3VFixtL@IR#CmmEMOHk6J<{A zS8~2n6g3^!Zy3b!LHV-QR=m)+3q9AO6PEvCO$mC(%FP67{nGLsVSYlU8B9HBU%l@K8qj`TJ#Op!FDWY(|D2&aOrc z%->1Q4nyaphqL)&V*WOA_HB5H9?rf4^zu8&+3pzW%Hh=f{7gA>0i>40soD7%a;6uC zD9s?nu#U>MWCTD)+4YrcQ2e$+GR)V|8-7`TLeWILwj5Zhdj- z9bW1!e;;zNJ)9j-&Ryl~1Uzq%!>NPwd&rp!`s0io&in`+Q~oY;_E-!~^LxtSGgu|p zJdGLeA%8C&Yz|@IZgS>uRNnmE zgni}Ahj^eNhse2)ocWw2_LResLy`RF68zmy{$7754)>D7?pQn6`~ffRBY!tsXAY51 ze>pP&6()ax94;*Y_;G^wmA}`Iz~MkSJoqSFx|NrXmcO@}Xb)!}m5IZla(3QH_E3dA zP|jX+JkIhE!X6-JHXVaAayavjI1UHN*+&vM94v=_j>PTuw4MMUHv_SI;Or-&Jk+M;hfi|uf$e||Av`>Lr8ow>+- z1>p&5%Z#hpB3~)FzuI!!`D{5}eLZ$4<|m8!0QL6@iSI=9wZ(<(>L$BRQGYkQ%zUMs zlhl@-N3!K)^>wDWv6YBVRewK?$LX4Up}R*hyM7-{w_jb>mlrH>>db^@t_&{hT_=A< zWopDZT})QUxP z1|q2SHqm2II_h-GzI4#&%` zYQJgrrmD$)2W@wjRg<7?hCdeC0hY0?L(4^kb1D%m0%EOz`z!A7k! z8=35P$kwn72>LyGLvi0ltP@!(H8d!s2TzkGj_OTa*$UYX) zYlx_2FB(ad5hp#ex6wFcOVDa$jc`&UJK)LyS^GMXsgf>Km#n5L`yIq9Sqx2rIH;$GIJOB8PuCD*33)v2nBTv{)Igm5Zz9C|FpMV;H4w*p zQ3!Foh^|Kj#7m7N%7~L5;!S8AMEOM_4RKz9h4|g&3Wf{>SSb+6eVZ!RBAg*sysDUE zwU+SQFuFOjJThVWHrelh^M9uU}t+#2<&VT^+g1*?nV-2#7PhAWHb)g31~I2Ob-ie7SjmRw-shabfl$gcKiz3 ziCos_7XE&W59K2VT-L6eJ8w_<9N&{#Xi zdv&s2r|~t0@tSi?62AD$WhzX<7b1Ec5kbx|k|-li`XnqvG_~o8qbk{=zB#bVA7oI!_J@jQ7V~;R z-9`?c$?F{Y@X1*U^`d%E%YKLYTb4AFP`{qSd_v~wF) z?adn?&c!RWz}nc0Lez~#wB}5O`pb1XWl=_)^i}&0JaDLgMXOQohm*Q$pL|JxdJy$= z)2LO+7WJ-yUH%Y*`c&=3EY8ye^>cFYOx~NJ4e&GgI1#+h?BZjm$Dq-P_u|zN3B*RThzw{cKJgM z>ayQ7YWi_O{nY;U_RwY~1HN%}94|lJVi5xFY%%ndPr;Z+kQ$*O=mYhG_BxBt#IvLDlBpH3hy-eP) z(90GQQFYmrdXgdgT{5ypmE7)hgK)!bez=nK4M(A$odYiGa0I6n~dWF zyOIjf8rnDgtZ8!!BeYlisc8Q#AGYd0D5zatHLet6-;<-qDt=cz_-`F%;Gd#fL|gm@SJPt$^PPXb=ib^ zfXjXd{IDj;z}pbZtVa4+J=XzTA2S@t)+7QSjA1a=WR-!6dNLsUT?S^dj+)flPdvnD;ML#BfIe=z zz3_UUh8?BKFf|$Y^M}L?e1c*8%}FK$?|V_0f%iqU01+8@)<~j^IO#L61dYqULbN&q z|K`~Y?9EcXrB)%->db&D+-BfMt`=C+jWaOCXCPNQH>*Tv;6m{!lXqk2+TIdIb=kOj zG9de11`c6OHYo!;5S(oTNB%|z^l{UZh1c=)NKvX0rX~YdexI0ulQE3X9%wRfycdNT zI9^0YAR+@DjU>v5lRg85Xj}%4LaQ?{>R_9JDXdpmj1@wy&J3u+Z3Zq3OgGNJG|DpF z{vE4z8z|KosQp!CAQiec=hZ=?{d(Q3?$`XTf(!gpzF`%Kp!_mGC zsN!uF&hf@kLKfs$HVfsebW0egv+(6lDhq$fhn)aDaJvHF3R9DX)p24L-o!9o-rr=Q+Ka+0REy|2L}X!-kwh7B(zk>; zXk3^tqSaYgbAZjlPF(JFwYNfXt1}C#c$Zt*w>Q<+3&Kj2aB>vS!hatwk>r0ku2!rrtcJH-RZ2j|Bod}lZA7?P0T_s4CDU& zOcuI(QJ979BH9lTS!iPm;YazfZQ=I5DhosE$%5>6S$Ke@(4;Jk+0SR;iSNmRK5lwT zVfGR|L6o`xQGzpCh^Fg#a3(Gs$ zEHvgOBi|z_Zgpls6>qapeTp+q%0f+$h1xH57RKu=93_5b@&EOhtAE(`vAIR?0yr=C}% zb8xrL!Tea|;3N64En(ErDhK&A%_(_5y^g+gK;dnCgouGUOoqReoGGYantKK zVEickK$IGQsmZ~TFA`hAR1D)6dYc?P>P2A=9u?7Th{(YhBZ)HNr0*jGexNtELa-k1GRG-R{PEv#zz$zp&*?TuNKhA%HM zHq@{EOjEyKQ~%&=MSYEY*ouBfFGamG4rf>N^k$Bnp4 z20eG#@3`;9N^27LEeOd@{tx;J?)tdtyE$OogWe}f{mS~K;eP%niQJFIFdk4~xcBg) zkb4gibwmXBU5zBlh?Aas7c`Fh!Du!23wN{J?>XL6s}O2+b}*`N3;Z-O<>z}jU|oHL z?03|DVs$i$+WWhDYX5u*wTD!;(q~AFThbl!{%K4NwSzuRq_*t}#Jlt`)VB1ZklL0a z`tvA7t@a~bPLvTRJ+($?9JPPZYHEk#r0yfm>hGx0M>N%U5!G=+hbrE3YbVClWom`uc6i4*6(7u?bFY3iz-@sY{|F5sKPC^HGLJe*7Z=6 z{f=5Gi=;`^&ch+wMsNKBYL9AazetRgG~g)J7gIxR;fIOTCSw>s)7?<3^rDbjrHF1u z1hvsd5@p0mPi;IJN38;_rnb0^rS{u#0kxg#qoxYC)Mkrmby+J8SXUdB{f=4@tG7wi zI^mF|cJk*?drVWCD=`kEN8$Zzm>O!gevn9Q1cvc7`G(psFAAv*6VZu?pw`PsqKr7{ zshy6-Q9A{#rgq29mfHMd9W~mh`sMIC$8lA>~!&2aMK`zo$kkE`HwTZ$W* zybhr^ZybT=+<#sVblLBqFJ~n)3HqF!JoJ^H0$m?BT_}itqxEzB5mN(w2kqR3lc&Eh zjGN$fc^MysK>!AadQ9$gedSKR7^u8OzN zTZG0X`2qAJwLi0_YUuZh8=1Trp*I7?bM9ZS2fFNc(9dFZHVJyK9X<4mKLNTvZu&hz zR6^&$^%qPH^he)wJj2`FZon|UEp4Eecu@$wL`1_8QPT$-3HPsOK`%z*ppQVSp+CNZ zh5r6AA#}y9PUxz53w?q&j!Ft)ZtD5l@9KK~q(;7%IFZTg9{RGft3tl+&$T2YO&I!Y%N<0@IQ{9{6e6mswA1 z;4c6H;7jGho*!H$Ub7fc4{+J|0 zK5lxH0O~-W!}<>kmj?N<+PMwu_yHKkhjlT?J9truyn~2x5rMpkk#Mhl7VL;mO(zPu{UoB#4prRVG7|2X&*JtC8prKR zw3^!vIH|ey@fgOZ9c-u-c~MBMNJL!_L2Yj%;kNZGYDc1R)Y51*wad4%)E+)E zpfHuY^P-U2b|Pwo2x`AB(&camdKR_jXdJc8&}wS=IH`NK;oSpjBkH533b)ic zi7Dqq^-z=jj@qlNm?qV=DJ?yEPLxIM12m4>J7_hv&2dswJ0$=A3pG`^rS{hmirP#LSl4(U z`yI7gSno`tcIlR$+P!ZGJJuBbNCrJH-sWS^s%&%$aF%{_3`_IG{Wgl4s-IbC8OOh3c>&oMPL$1F^w zc@~E8MF$v~gS{xEIaox!5kd1XBjLvGESmk%IGROhHO(8ETbj?N10drRkZ8OvL#?ly z_bK<6!z4Ujuap+eH`4%I^fDa2lp%1Uz6ZgZ{gwo_&RWxXt>7XaBwT?fGhhP+zzbeCc$li z-?m%GTMXQ{HQajyMNj&SDD^uFng;F#3lib>!7v_3ds537J-sM|+fzgbN~7af?~1g(a9aZ?NTzAhnL1tc1;%Lw5fuG;p-HCHJu+~dNsN^+P%bGF@C^{nI7 zIb`{V>v?TqS&4Gdo>s0=f{fajDQm@x8+w=X4!?J}b$%D&$7OjR!h3s4xVi-T^B#Ng zr#2$WxIq5Y-TSz#JT_Fvuupl*K9|QcSTIe>W5H%Vj~7J}{5CQ8dN{hRId>R?dg}I)N&R<4b`G~iB$K;Fz(XcP;Ku;A=UOG+7=O1Q%1r~!dX;z zM&qcqMysjz!%5w*k3J-99STS^UY8NV-B}Kk(Df*#h1*HW%9J(b7M$4x&4JA;rI$QJ zvZ~8Q;9B++?-xOrfU#XEs!kxNVz-~t4fZd2z1FLFifvrABRSB~q*GhM5bzF8< z#anJqi*a??kb1bue#h-dmTQx^wZkRL?bw&$_O<5rtVDS_-4gfTU~0IHot?<-91PkbP*kch>F(LNVvB)i`xlk9Jk}pYHs5iSZ*^847ugh%S{z;xm^(&2M?Ow zES`P2E1nUif6#Pew+rwwlh+`0b<*w_bDu52WUpHNmQ|BwzstyU zRyUI}a%Yau$eb!NqK}(yD_*`yzrgipOif09o|%}D_b`k<-_2y?O)m;F@}`JpAtEDB z7zy{;W@Y4MG%h1^(dvx+zMdaRSHJ7KTPK&1sP72V`jeNeRLM3O-*r@#xbH^D)@31+ z{SMh^7IKr2oq;#D5|_^h*(zO$zn1{-rDx#xZ!k5;=D(PTtP;a`Dz!j0{(HSBM0T%; zZjh?N^A|?K3(>NW-HOH`y9upE_R7B&*^dW!WEF#a9ZsgE3b)i|1g7~TO$Gho1zkaF zbp`Dy$Is*q3EgNUE?1WoZbUn~g35kJyCn<2Nwk0Y$J5?%9<=pw)7J@`ed$kl|0rvq zhIT*g+=drF4#Y4{w>7l)^`emWz9MRk2-?kzgu4KMQF+txC42Ki}8e<&QF`TWc?7{isookb`IPZVG+aPyDSe>s$|N z+3!$yVO2E=_0B|L`;dZ{K&_9Peq6wuNC(69H%txcYo1F)JqW}2yqyi|6TK)zeWHkt zL&1$HGRpf$9gcve^JpET`; za{Nr*PN5s~cT%)FZbW;X*tq$v?02+ZXQ?%b_S1iO+8=^_o<45+aA6bEcDeq9siECM zJGWsU@&ktPA3GY_@iQ(ZA?;X1%Md|(p^f_mO44eifPT;C=3;Z^3%Cdz%`IXm++#-JcwH$W`&~+^S+Gn>$<*I{O5S^cl<4E84;4pO($b^U zotT=GG}F#)*ap7CF#fHzNy*BmT;9TztQ65wM5JVak#NUnR!TlX<5IE=txib`oYZZg z%U(fBgj$^`QH9%-{M*i%W~F2s2**SJw_!?d_9@v$dpm2b950i5fjF7TD+_&XFL70u zwXP>6vfriTU>1mzQquZ2pOXCNNr^sgdXfO_M|TjV8enQta`}^vcGyY=Vi=!EMN<>W zeqI!&q@Re=QX5%+G7@el%}Pm6G%h9G(dv|3{i{vM5C-B9ch74>UB&Fwft#`)ON)% z-j}+dsO{)QA+;Svw3$?xD79{?E{EGmv#7N~gTjR-UE%P zf!D|cy}>2Wl^Di9ZfoFu{)8hO!uwoAOArC?B_rVu#VmO5p>gouLaX6zhLalJLAxt> z+RDN^|2bt9ef1(c>ij6 zze=bN(U_xDKTHk0*B*CV!uI+YhVir87J|-@esj@A!Ibz98SRAi$7PNKpmxCtz9kkun_5 zgp~#D3^WefAha6V_#Z8_1#KNP-nc2QYHZ18%c^io?FMhkxKk;%{2=z&0lEB4Jc5fJq#yxeLQy;hqf>pH$`C?ugeIR z#hYN7)U1`#vfe?;;w7bckln1!b9ZJyk^K(ilPsSmVZ7~ok8#$MU{tBdq<5B}m(b?< z{TWOR#vdO|#JCj0_>-0f;}S0lF)k6&3y7$pj~NL!Ze?MdkH%q~jaFm)Wwph)3%!YL z%hj|;{z~WsRiT#F>YW4fCDW5@rrNA)W|erfiJB?<9MV%*SxrLP4R39uKX)2PTk4vr z09-+j!2BOmgLLAgM5LoJjK^(hkY3?MA<`>EbQU6zo?s;0pOl4kI2woaJhU2VWvxZ} z#!dmBaWi$z)MbPG46{TueNi`S;Jd%j<5Deq)EeytvUKC>ND59MZfw6^=aO+JL#y!zEjBU|sj3?uy zZs27*DvavF!lrAcD%8^IFDBedSPw1P?`VC^N@x`N;Y3YY#Eu4U-%wdt6qlM{Zn}{f^d)tYjw9x{s^&wu!zn1zOu_T6;-s%V@t*YAmLP z)*qFLv_8f#{(5smYnc~?w3dme3K4bd86)9Fq%2yC(KuSKqSdti`PS0vu)U+De`h$U zA*wPhthKF`g&B9NBs#Mgvafbx)($G-+_7@(Ox^>b3*E)t>at3l%x=Zm7Yk*-BVEL5 zZ4&8D1Yt>^{1~M5ano~!%P=}2o`1vCkiPZ7MA9QLjIU{CNDuR(kn}JSornn1y^Msr zlCnsjj>eHb1+6B1$2XSr{Otm66^dJ(Ge=dtg+9g`NBNBn?>P^skY=^fytfq(GI{%l zj=a-U@t%&W*)=vP5A4nN)87~UCWkhrpBDf7R67B@Z;x-12<2D+t=Jvu0%WcIrj$2ew;;|*4 z-l)PYwa3Ji8&2w>Ci@+=!&s3`qSgk7EVZ5wLv2q@?For-AYBpff5p^L8+C6YwZRz1 zLmL}v1HCAuHc&)IA%fb$M#2pzS=9QVanyRD)znJ9wA7}tXhpq{p(LspTOT!5xTSW9 znDR?p^-z=jj#^_DUz4cCHJ)0lhoH8XrZ!Sy+?5U`O1;AhrlHpJo!7K1lNf7hzESEvObxYGCfW^_ynezk{=0#pR(rQY8&azk z(T9kjw#Z1hfSg6G292Zk30h5UTb$I?^Z68goX(cf}PxIyXXE8O@KEBHV3CDw%FpOWzG1Q*-qLAA2B6+3%?B%QA2hwN^M}sdb(RwF5M@i4tRP z`jaU22aBMF+C_IJQacXA_>_MQwccJ7QtK_EJVa1yXC&O-nMJJ|8b_@QT21ZJPb{?u zng`S-)JIJfZmFFmro1p{BWl?vaI)V~`<wR0uLZRk&;)GL@8 zYMt*$q_#bV@gDyeYTI~GNNpPtt^Zq5TXVZEhl|=-)S9Ak)EZHsrq&fFb-y-r3q?)l zXq#ReP-R+Jhlm9ir0ant`yH&stY0R-~Fxb&!VDMMC?ARvV@6!qmXp!UVa& zAg~(4c+=f7A?*9-V;C>_ z)4-bLMIo$NB6<`Nuv6Oi)`|};tSy`U2Ux013+q!(m&_PzuMqR2 zV>&5u&vm#X3-@V>noGSKA9veEz$vZgS4|ossNlz*Ak`vhp9mt z-I|DWIEL{Re;B0adQph&S~bdwh?Wxs>hob}rzcx&GG@LJymyu&oSQ4(qgdKlh+ zz?!9jckC^R@D9K*K8)O|6Z#Hb6vFEuqFku}+<#>xT=C6<*9MJ)w>?@7uRl)e#(QlO z1y5U9cr&zB++GS#fTz?JURNoBpBdn|b)DGDeh2SumMW9rJ-5um`{YjGb=B~WkWg3C z(xcQwObxuPGKuhh#xVZpcLQ(r%}x};TP>pHh=8})NO&S83*MJ#9K27_YIwOgso`}` z2|W9hPo*DSH}UwUD&BHyEXLJk)49l87dP4OxZTbAZ4$R@-t*iZxdU$9G`G#h6Xgt= zbCfz8Q^W0}$RPq`0KXdJgmXf?Ob-nHDC zv6A7rO{tfgD&BH?gU%jqC)HEmWWVEfI*X`D+>XK}+tXceJKVZ!Zf{GJqv&Cxl&rrR zZV%m*$ZZUUapqUUZIl;<+(wCLC?dF>Y$V)Jo>kv2LF2d$N2|F#`i|xHjwWRujp!)8 z*16iLinrXPEYLK2 zOYFbXw4>ByObyK)$0gGI8^d_BpAF65Z*-!N=I+ysXf@4U za8mVpwa2=qJ5JGv#_IyYtB*g(F%m`&r8Hz~Q>whesCeDkg$EtHXL~4(OvT5q`Dgv& zR|XW8e_dF*x^H3mXr7cTt|}}aFq7Y?Yphg-jAiWOSF8Tb3A;PF?zD0|79zI;U#=dcAGc z0*SS>AeP|b!ov${T8`P0oi^0;SO~T(lgkg)1)p+QK9Pzi<@iy-vcjmx z!ZpAt-V7-QIi&^58bk$4yA&+D^xe|YpHj{nY{k=WSjF_z9$}+)aLF5<+L&9QcC6?# z=?^LZ(TLuK_itiqsI@Okq;?#J@wPNXMXiw)8ESvkDrz;fQsX)Pd_Go}l*#Lgv8(3m zf3V~_N#BwNb(H!U=^7Y8oqObaU;#1ESP9+E{sCsXB}JeePCradInNC?mhFV6|s?>r7ve z8);NjWnR4S5%oA4uw3?(_uWaG<46v6s*?F;jG{W9dlRG%NiCNDRK+Qbilnn>Zaa&1 ztAoyBh;$a^#ji?VQCMCyy-#_;ls@G}l^gXNs(Kez7sOI7ea6h3k(-x}ewAvwST?Zk z#lotuwo~lU<87As1iv1U;BwJt(nm;5>_blwrT%6u)g<_mF|OK%^Q?w9BOZ+7YI5Jl zi^87zZ%U6lBf^^wMv}>0&sMHRwxI-<>7x+oMm1`218KYJ*|R@nR$e-KIx$Hr=IazX zMiX9Nd`t@OU<$Cq`s$kqFVf)+kt%s1eM*$t*@t)g^@-u_E}maYHC5qV=tW_8JB#RK zRhLso8wo#Dslz)$KI}6?XCu<#-M`3(w*%qL!#RQ9L&_TPbc3Aof|XkN=y?tKggri{^ZKJUnB=2(Z1bR&EX3^CA3xB zbM~Nq_-MZLzwraBq@B{QmyV8eiknjlq+PE1MNPB|;_CdyB4aH4lomu2Mp>>Nn!i&n z6Dcrd3yrui)3Rn5%#EiVHmHDO^^D?wMJxm)c3wt8FRy66taD@;h7&T^FBI#Vcx#N~zDl3Nh7!Q?U1yrEX+H;o3=6fRgn zY8fUP6qYw!wU0WS;26O-g`>IKl19q; zC#Hr_6BF77W9fc3ApZFqlld=4WoQ1QuQnt!-zYM9b2+3VR6_|S|9JKPpHOXc%3wxX z9XD#E%L8l|nUO72Z?#aMWlWZX(mESt0(pjV~#MCfa zaZO_DXog|@7L7p7H|AQAsYTDPP_1LK-MnCSCyp_XbV@V)R`}kIt)}zW%dU0Q<||Bu zxYN;uSUS3rF_ul0W<8zC^jdz~28Ef_p)}ekhfad;`|zEs`|PY`(3XlwH$xdmC@=WC zI$wHFlLF=;?AzV%Q|AD+4Jz!Ro60Uf%;Q3{NP576N^=Ub;kn0$)ChC#asBEFIZ*lN z37mdZln=O>8AVB-^0F&w`hChXBlsYy3ABERh$Q{R`lU~jpEG>1z$fWYc+Kk|`b;{K zB(gbA~PC z!w#w~5$Ti_;@!+;(wz;K%RQx|D||#9HW5*aAfj`m+U=twnkC2YNgu-de^`$+5nW(B zp=oj-v~zZ>RJ(!jRuT2|B6;S=KJ$JwYIX@DaZ(#fSjI#~3X^pg_OVf0T?>N}QK zOX_0?@sG;&_-8n$)`VnwV{xug02R(P4(D4eohIRYcCN>{`515>rg5Go!PnC6xc>uF zgR|A;i8vp}F#h`sgR{2Si9(!@i0DH^;9O)RQ4zBRh4TUSI-Fmk(l~d(J&p4KnbSlA z&=(QEf@x-yzet&-b2p;OcxR~oB9~hv6(y1irsyrpOjCT3l~IqyH^uwrcr3q^fTg>} z(o2F^Mmy#HUrY^_KSsKU+oH3b$;%kVUw>|}Ec2qU51l8XDnwv;#!JG<{p{b;v zX@YOM2i?)cMFlBT^S$;m>tR-`M$4oMQR6DaT-G*yf8&`-LS0Pr&r4TAa%NI(<5#Cs)%H0K>^xG-fBCYF~ zkELE!F~ek$!ok$H+^W{K+`$~d5@{056J~pu)2;<(p@x|wp=YQk-2aHFf%)vEi7<~L z3-JS=8kiX`3SkzA=qg0O9A+d@5lbJcb>*|y!7N3kVa}OlVZNhJ#U>PH#;Nets!7<$ zZjquB8rgEk^cGu0R8m5e(ST`%XT7WE=}YAlOwLEnw2(KUf%^N)9rdN2`excthKQw( z`k$;yCQ<)n4>(5X6?h@&aRP*j+OrgEr+EG%_dXiAZSo|>#D zAoB|v;zYqrW?C~DYbyl>C)!fMhkfW!FS4jd_F=DJUUcaW9Dfnr?aXW+#!9m=CA5|j zstZ(H*6y-4X8e=oU!z;|L7+?Uf06UQP^_v8=wbPEjV>6{s$Ba2Vj(gq{a?J`(|_nS zq93@wWj}I{K3?uKYejx`ai%h?(mUGe`_xa)4xoxLvo zTcXnG?}dAs&>&T*2cWk-*xTA9ZYyObaH?{g+KO#;!E_p3!08*EUCH0{mZfHld#^N^ z(~Hl0PA#v7)5)6CSrXM6x(3bzF*TfWO?(^N_45>laRb_{>gm^9=wODNrikcMsVhGvwc(CbJ9Ku+AbE(NrL`!W4|x#}_&Cv4BFadU;`wJI$>in=#!Oy2 z`LHJ`6A)?d-gyq1pUO$fwtCXAR61mPDmk4M(WIE}#L7;#hhIfZ=8R;zG^ICRl$b_i zYGV5N0vFTnfxGu%7=Ql0iRn!*;sFg8)4d{^g@~A*Fp^BJm=aTe`LHp)ib%)w&$Gnz zvBZ?G<^l!umia@}K=TttlU3Q!mTJa0*uPbD`#QFkzauMpIfZL~DlAtF#+qg_jTKSP zU@{tglnRbAi>iksxkImnK_~S+Jx!X)Vj45Qzk;b@@WU|2K=N<%`Ynd>$IA?Z#a`4l z5dAEo=MlkRvX{v5Y&mO1ayt_3+h5N>;1?m#P0wfuRIQ{*;GN}aqK)n?7CMrmdzKPx zbT?f=bmnyGEvaL*G(qP7n40KXd9Omp?-8&5e$PZ#d%i;uPISkM=tD$Ax5!Hl4WcU- z$qXbqx}7Du&n3DcdTt?6wc0aB`T?0un8f|UI%HC4A5Qn7J$*T$nNyozs7-Mz60SH=IX3hn!$E_#`E*u=FH$wx?ZXh-7P%!Lvf=l0UU z$aNF5uCseqJide3EQsfeV&dsa`E)S5OFa4XK2d57tCA+3bG#qn%=`y(9QVTy)xqR@ zQP^L{BHCBSv$K(8a#ymI#<-9}yT*8^i)aMbL-erroF4c|7FWgm#ki|>Y#_dEu0^*v zk!rCcTf5fUpnw6-MB`_+PAaPT-Z!i_$XBJVDD!>O%`3msVNPrb+ zU_VQEE$P~#l&rrRUbo4{&p~o-gNJ%6ID5 z9pd?EZ*VDfbgMp*jFtl`S;t&nm|+znQ?#_-um(lt3%ZxD;|0^Rf2AH#JL0}u;$i8l zt++(y!?;KIt5wzkGFI~y7Ypj#MxLKAJ3wfN(ogp z>q>9ex7^Zf>8mC7wvh4xbHXdVl+uwzOyD!0&k8;Z`5d>w6<`|pBHzG|WHr^-SKq+f zP4o5jB5B}eq&ZR=`02EM*8eay^>ysouAYT6h%|=r2z*x!{B$o0XAnn-=omzWy#Lim zGPy@d%g*GTARl(LJrR+vvA0g8#;O@afh^ocG}B-PaS@B9Nip@u%8oDhN*g>#>Odxa zk@SZVeMXcz98(k1^s`({;S6FihVjHVO-zv&g)@jnBDw+*F%316Os<#`Q;B@on8qN| zF}?HzF{v5EC2HxGm^PR}$cDC5qDB8<1~H74RL{WAAdclImcd<@!5~jF7$*JDjdTQ2 z>QGD#gK1|v2H^}MSHhb>D^z*C(Tmzrm>p%>is&*#FgV*w!WqP#BI%1n6PWcl1k?=T zVp*Fdt8@|RHC)(mYXThncKrCWST;a~s;q zO9;&jwKJvNyiYTYQe~K$(Ec3aLJOx3JBmx+EHRpyd{nbR> z&U+h9pL&V6TjQ;2g$=wYoIZ6I(f5l~)SsWG!DMpNY^5jq{oz$?Oa5sjuzXv|<&>B1a6LUQAas!c?Ly(o<6ei8LXM7ul8NHV$e*-AwHIkX!sPC%q{l$m5B zIx3}dROutSh&9)wi27q=dy;!EB%yNHV#NbVMZ_+C?-5k&fu4M{Gow=rgR!LUk`{rM-u-<rzW6Z93d^C*>%sR{l0Qxik~fKz6wknMin?!*ZvXWNQTDF^$$!<6?pb!R|Uc|u-B=(@dK}zDvt^)HbsRHT@teCT_Xmn@JZJ56g7)SQWIcEo%qN=QOn}%FMmx?g5 zfj+mN%|@1i-$d~eP7A$+eA z9Pgf@PP?jzXARpaN8fU5PPr~_ET>#^^eGn${nc?zdw0q;T28sT+M8<^Q#I|P?W-l6 za?NDXb~<<0PT`!Zl2zLYtlCy^T#jEbj!%3oDB18_tGYlnB|0fCc-Rr=E!IhW4fV5v zXCLr2^v?^Zp=O1%jr13_^b)LpVQOk6bKsi6jS|<9NRnnx0YVP+DwWnBn7THJI z-1aJ>#h99ix_M{AY572Lc5fTe_FfcD%TE+hN=Nkj03CEDS4@d$OZl)7wQ>>l#yfq+ zRVL>f>MmiMqrEo~(Uw6(CrU43&LOXs2HlG;CQ7YkVbVl2+<3w~Cyb~J!}z56CP%%z zD2!;Vhz>$Tj`na7-OE-Y>M9>LqHc(Ej;@$!BYIAsYE0kg45}aA`BeE4G7nQbLXJM4 zzz)&f<3o&=@kR7cQL2p(?2Z#$y$S=HhGBf&JQLVOUK9p4RYa#CBCun;q(e}N-w?^6 zNOV}0_t~)Y^S}jDHVW%%Rzs7*It^1B*5sjt)mewNMC!}k4@y|QFg5v_b9`c0?_(HG znQOwj+l#`mmWiko5n+w=k}#}2C9EMxbXbe;wPB^2QvX!HnxA#eV7eLu)!Jpf*9@*? zaWsj*AdX@QOgaw&X6bp6)UWY0>L^u!sUa}CzatQy@!u!GO`c;2jQ66pLDqzCd_5uv zTUN!;Q(3W0&=6_i8xSR#iBm$>!6ieXYb0J{P zpkI@8+(Cop`D;uKftmdh3ETs^c+yLTz#U!`5)i)eb%-D^!b?H|kBejw5=~&?1WTZS zoSFDKpyoh2{|yQ%-Hvh@d>tkw(uf+Ug+I+tUq(czCQ6`Vez);NsTYgGNx1gGC5!8_ zbHLSu1Ttg0TXJ_c-9(hy7E^<(ysyI*PL%$Hb6h;z;5yrj!imya5%om`uI^qU!=4?^ zz7xrQNHngy?gp2dD7~vs5(v1?AkRD}Imn(AWP`lrY=Sgrch9N}(rNG<45lW?NyjAy znJdm!%rZe<=S5+VTZ`xdLi}{3a?yeE&=n^37fp zF16esqAL**^7&pOO9D2pSBqo-5*_lq@r0}{ul*@Y?^$=^KF9*9kH=4!O0lu=ynZI} zn8)aTl%nU*=A+bbOiesr7P)xB6ZFGG{N{@$o;h9=p3@yHqA7@o=N==;(Hm;@ziCwP#wvcki^e~?f`$JH^merO zV)9xry|-M+sE%7T3s3hxR99d&DL#+O9R$7kOrCC8zlx<7=~i?nKvBJ0tCPIt)dh=4 zhd#-ZolWJRtSyHpdCTQ;%_2F;QxbKOx5%F4g`4Cg?+TjHY<*Idw>#hIGP?2+KmDwL zqu-JTQl;bvdSz^8)z}Uv;|lA52x_TZ*$2ux)M1so;BCMZ0OFAC2>#*3&QqC)ONH5J<^^sc~8iPo#75bq?^_F+h|tm%FhR? zZ=~)-a@P{85T&b|QiqoJTVGtUzIZ}Z)RAxP*B7^4U)*|qajW&kmFtVAtS_G4eU`B3 zUA{(r&TC{&q%Y#`acbF+tQB3B`qVwFV!<&uPJTV>6ZSS8-C~>=FPX+PV7bcfklWx? ziK;>^Vn3B~qsz&Uu)yh)?VxRe4c3d>tdAX{rgQ?)Q>#`06H-awIxMKi_JHuY**tCV|!&1O$*WAJw?`kT)D zJ;g8Dn2XqQY$=32S&KIEw^&KoaAA z`fFQ$%ORhy8~2anD3umoCMD2=Rg*0uefFaBic%k*;_7Ul0#_Zw-m?J1xHD0!hPjs)g}rAl5p9ErI@{PtGP%dF zmELoZeAwQz4I*7@2jZRXJ-3syjncUS&M!>m;aO5p+Xq2CG?<{a(?J!Sjtl+iilS6Q zAJi2+Tu{5CvopS>7{+HkWrFJOMd3;G+al_Qh@cKIl1#3c64Z_IVT0<8NC!10A}Dp? z{77{v!~`{VPt`EXB*aL5sIlePZNe>0)4$7=<+6VzmPpBXT2zX3f0b0pU;08r1zV+J z=}Ql+&XyNcxlPQT%4HqgDCo-7-9lx$3Q7^mpazBBqzq#Jrx>i^PjR+SR3i1s{8bpu z%=)WB78Ltr2G{h-Fz#iFDhngs3e1hWjDqW*mrB0=d8ze1qM}ya`wh$K-gj8ez@qYx zinyhPruf8KA}M_}e^pC$8f6~-$=8byOh)ABs%@#W@A2|*)PFbu|BWR{Uw`~)^-;NR z6la`D{n<;7kV&T`+gslv_2*?wO-Fv{k*@xPOAs{}#=B25^=E4@YD;0ZlGTdn->Itp z{Mg-<6P|#t5y>Y=bnWSZZ+Z!$v6@gkFDc-SYC3v-Gqj#rjO!PIg)3O~|LdQZtge4v z)elnAuRC`v2Xl-+%}jRlUUrT#wsiDZb=7$6s*D;WEp0Vp*dwIhKzB+mA4BNGjC1-! z##ZfT@*LuHR!oz!a@07VmDf)uD`r{bVgWRYCeQnyFg00uDBscCH30tcDTp&qn5>NQ zqHuWqP((u!74rTkFA0a&B_b(6qOY`3T}wte;{+P%8M zB6d=T^hn#;i1Zd^m!G{!>B$tE-FMD;Jl{^Bn5w z(Bo@2G5pSd?El&Ds{;Nn!*9d_tFP03_`SHy*Xin$sMAsn>1i&I>NSO?9;Hsg)YR!W z)2>d3!|z=f#(w#i0Dp4)af!K$>dICDVgV~-(AJum6J_S?|D%; z{I(U*d_)8_-AFRIVoFfUIkXFE2_hX-BMB-TesxfG_?5r1hhM#AgW*>;`r%jqwc+rq z+L^lY?}lH!$qm2ePZ>>@QoD6a%o=|6CO7<=KgB?XUpcNHezg~N_|<<+4~E}LxhhM; z)gy)*D#B4>6T|O5Ylt=&esu}?S;Mc{Mj!HDhu_OtLiP2>55K33@%87~0n{I4N`xO!qn8CnTNUh6Ar(fPDDIulBqv;cu`vlv%_y!5nYFf`ZK~y!r`~ONCqL% zwP)e=|2KwTl|~tO*FP^+z@OsFFg))MGyFzJYZhxw^tZ$|JB+Izh;NpvG z(|WJ2HFocY!|$@MHZlC>SK8tCc$T<^%AE-1#WU=U2tBwU#El5di3+3>%Ub%2J5_NW zD;<`c$1Yc&SvMjS^a-n`A4NM{>#JtJ#CWOT5=}6NtD_eQ&Tl>JjB(ya1?Ee zVSE|Rt5Nh!FA7J|-9&UOB07vCj3krWj;#zhhsuW?MNdYgE9dQ_?I_xei-x76XZR6x z>3|lDA|Q3CpmP&am31G&!>o(?-ovNp25f9ZPxT|B zeRV`<3efp9-zar4rY5452Pa1K8HVxF2TVlsy(o<6LlI3yL_`yfB$N9cTZ!mS`LGea zfJjI5<25#-bLEtrJG^+c4TA#ngoQ$3cmq{)}P#_5CK)WnL79x>`h4hzRu=Bgy1$r$c?5oi5bZ z5$RAHyHKxDr_uvhd7e&q=JjEd8*gx>X8@|GfcE>^{HDYae>suE@l?OL9<%BrP6uU8 zEVJ!Gb_=K}@&F3c9(lrHvD%cnnYGTOS~22kk7~kkpfc~{>?1jRoL0^G7p4Z)TL(H+ z;Y@L$P?(Kds^LEFMd3{GL=lY_-=owGM#B9z!YGq>Er)ifDiLW^A6+GHNP0uf6?fre zJ2}iqb<;EDa+G>#(1d&s%d$x!kET#tJ03ljkh=>a?k^MvU!dJbsdF(kA%D`zh1?dM zowv-v;kf!f6Y>jQ6kgSwDWZoF5%L{IlF6MVv1alj4(&pI5|Ix1n=4hw>dNN6o6M}f zs!+V|#z5qPT&DT^xJpgnRyHnVQ4`7=*6zQtmOV-G{2}PG)s!PON=?g0rZ=%qPtc3o zB<|)?g^Vk;xruud+$e7-7ZOn)UNEEOm>vJ&q@ZXHf=hrc%f3)R)Rl!+G!de?y_Kw^=&O9u0NR(kXt$j2t)$7xEBK4{>wW8!}e(C;WdZt&)WjIX8I*>fFm{eeK*A#HC0+ItM~OJ zF6A6tN|E((brSy8CuSB<+p&VpxX$~(#8*3hORQ|$N4Cj5qI}QapB3kNf zItK2)#niO@FZOe7Kb)tWgkk*p-KOo&_M&i}a*Bv1Bcko!Z6ul8)7VPezgRx(AovU- z-S)p5Y3C`wa2f)dSP>2$R9na*W>TN_IF>f9XO1B*>7I#efOxTjE`{G;!qmjInRhvi zs|3UN7wU%U##ij?f)C>wBciudWlGIA5~eF`C9b>W!^ZUyA|2P(F0LJYT=GK9mSwN1 zW|{h)mDi-W-nq=j_0iG9wWp42w0N<(ifcBeCawd#%VAtoFpPJ!ac%BJVO&p$==bp| zU*GPdL(k-X&sO4^FCR9p1}?6{@J_AH)b^w|iVJyfwk+@JlgaKX_F4FwGdpE^rFGnd zAiWZ8c#TT0Zo``|cQg6yr#LUa)6V3X$akdH)4Yq9ZdmiH3)aX{XaT{C9`0k+G>PbS zmwKWD3Cq@$za;*dmrG3EOZ+XM0bH=v_oa^oo&Wa-U!;5nUi3HloiE>4^R(5y@1&_C(!t zt4EJFsweXF@xL6465@B?(Y2(!V0G!})x6|ADp+0H+SD0pfa>=@V2D>o*zn2UBX1At z%m_L8liSeCd8c#YFYM- z>cmoASi|)#Y%)gLubuJB*2jgtpmqqm=!<7b?KzPygWsRQ)KI%-uS9B}Vi=!yo1u21 z7lqV56w#50pmva#gv&H(q5;+IA6Y$-o zoSsN@U{7CY15>Z^4pOY9zXj8yf@%9rr-7BOYuxh2rA>I1N)=~JWt2#6Qq2euc!LnN!*O>*U_LHS-#`l1fw^H{F4Xm!TY7JmBy>a!Pqeft;Gop+N0Y zE-tC7dc1n&A;ERDI#&7_@%&i21%7{%6;FffvOOKH@TJ8ggv9B$f=hnt;8-g%ubb_8 zvmjE{nf_r!zW-j>*?*VoMX7DHDIw6J_NP?WqB>E!_ITKEmOHBp*0mN#)nlpV#mohj zypzel-SP55mquTH!Tx6BCmNRpcrMkjJvo>%7e zqjTuV?6-R>FjVA>+ya=?gy2<|cSs4`x+Q1i2G!T{HBzWz_nNP5S%pnPwQiV4Re2Ps zjuY!l`c4U~6Fo?j`jUlLQ#nrDJrUK7FpiJR7*w6SC`5I=h;~KA6wBxZ{;T6LXBH&{NbE%NoSuq_A~zvSf@ z4edKJ8Z2V=pPq=v#p*W>=#|?m*@6`*vy9Q8V5z+B!~6n+^xdr^X}(hOn&a-!XnKG3oMpP@a7Y`!yVL-q||b8WjO;pZ*_H+yA$9 z;f5XBgK&y(xyf{BqpiqvXvH_G5YM%n7tHR@F>IgTQV!G~TkX$F=c(?hCP?4s;*oBH zyew4K!-%JXRn??6I1+o?UG0=lJm=_mTFGIaq_c=pgE2Mnyt|8wC+w~oNj&q)P5Pen zqA-2`PbvlRZld<&2(TyI{54RKA?fqD#T* zmSYZN-ba`4YWq_M+aEvbXd~X>{Kx|6p&LOWfR<&Ccsv_HXgOBq35A&fYoa0@x%x4P zK~+Sq!w!Oz`36-cowTPF8|9aPM#|mqe^7H~_fiGt%{_uD!0-9+5kFHZ^+eJ1#lEa8 z%Nv><8)ZZR{f5x)OV>4PdE;pc; zaaU?`iA*B&8^6_?#IKZVJ>m4~3x7^nEtJRhQ^#UU#6QkZdY6zc_XcQHyT13e z%fJ5llKqnz4jAGYo_RP7FVGD8NnN<*Y+=|9Q^WAN)`<+qVi-R-#xT6ai$aFiis))Y z)UFGRM8E!77+%F*$8anv&2aANmf^F_g&`BZs7Qi`q3X)+kpdHH88c8bVZBNj+Bd7q z*_#YDIB^;aq)DC8ksQ;`7>+v(B$sF;-J}v+LthZ3_QBL3soc&XX^YNwM!RAd-+aA6 za*Y>-ozc!BIu8-voMa@K+{tW(NIUtkozbO;G?A&N+0LkP3tg)iEt%)aRSdbkN%t_T zREVmF@h_vkkVR1+ui3hThtBD!3vY?M0BNc797r4AIc*F{`{N=H0vu&o16$@BQ?*)TapQ7dFW|1KAh zH*QNZ16Jm%u2M!x`DX#xH~uOFLI};7qTkq z0}k>}kv8Dd4kh4gbihTDt()i|$Umkw|J%BNv+|E&eBZS;|6Y`pe-T}dNb+wa>bJL; zGmwAwx`<0qnf#yX^3O@Y2KoQ`x8(dU^7$7dO{JMTLn>)2r?FiM#2qn1ZSGkN_GIYxsJYcI#2Vj11EnS>(+ROkX!S*;~p-)o*FN3pFYH%o5r z{WXoJtCYqHNHBT%O7T)%i(diRn&n(i&sVMAPxka0GiwBjHDMHo8&iR%uKJw;rdO^X=Q4te%(aIU^y`%Qy;Tn>9I^^qdxAufga222ZH4e zjb*k(x|Sx%`~NUCSaveuFzF3fk24Z+QzBMF(%P1Z>?VlltE&{2WnOX;$FqI;c#%{g z(Y|%Sx0&zBC5bi?R&_xYJ&f&Qf~kF#Oa8AcUiv6~>sWrGkMiqIM0uxG?g)yB8pUF9ya~NqlzIVEgJK^O z!UhSSNF4EYM5$0T@uHB|VAZAp?4MzjRs7TB~bg8U=}$!Czr=ms^hyya_9zftzr`R`O%B-8WeU} zIx@xD<@5%n1(UcNW)dUQ%qyN#Taq|WSNzpL{~m|spwl^(9Cx{S?;8)vSdUf-;nLBQ za*8|F>6-5K%$zFsatQAK-}S!{(FW0obxC3M0$K?-)V!=Xa@WYuPZjdAy0u(?=_{jL z2`9(rR_7d^6NKIJ#v*K#fmzK;Jl1 z!_?H7wOhDcht**bhVfU$rp~Aj?~ zuB53(sXH+>(KhpegwZ~XVJ!JlEo9~94oMj8L=i2OY8s^$7)d5~f`ppMdq_U)wDc21 zI^u2dZsu#!DbjaIjf_=&m%BeqSN|hRqCPI)^55&{YgIEBdRWeGB6%N)j;b5J&E%Kh`6WQz@^w^P zn<(YtMiAWAn+WdrzCO4%5}dhZ`cgU00rV@(f7-aI7@=GE9ICzk(* zyf*=_qS)3z1F|6~m^ehiF@Puv9C3&TglJUuF(?S4QJlf)=y5_w5L6_PFl?JGK?QNb zsHlLbaX>?WkRX_-pkYu^Q6r*u3~CgRfMDML*Q)BOgvNW`d-r|s``+W;<`mb#-lTad5Q>p*nGrJ~14>>IApqp~z@+B2ndo+IE=F#&#R(VPhroe?5v*zGgE; zY%IP2lT)TYHLo^2I-S$`PSnL0?8aH7h@)}qAVm}dB*FCC0Mw0I z&%OaELO(*R*#+OD&FsSFGW|r5;iU<`iCN7s!jGXyGdMdNH#aNC-lbPmA$Yw=E<`oq z?U7KzqsZmkyENe{3vvk$Cuj*kApDAk#8Yk;!rk~|a$*HQLO6khRZjd7*+YD>)jsKB zZV-0J^P6$aLK`McIxUO=H^C7eck){)+91zidN#};llohO{G%%j(nUPr4XVEkfs6J3 z5Y-0R+#)bYDsU#I7DCXkx>FlunFYCX7q1iaGC(lMQyLOac>`zR0Y~!3802k$#2~+3 z2!p6a&!55!dC@BLb*g$tS0zo@g_Xa?Be<+N)FY5~(_0`Slk#8L7eqBWs-va$R6zvh zCaCr(qemu4pDIxqoZ~86`-}><#$w(55tSI|VRjLVTx&aoYGV3wi}01$P=Ly$WA;Z3 z|BluJ54K&h$KsUT9{fXaK~JC#Km4uduQ5d-jzi1Odm zddr>$m(`_U(0G7AafF7%Q)+M)RrNc6 z46CUCNu;dIG^yhN=}w{kkO7>OScvJ}2)v%qka$XG62gQFe+;j;01{q5pKo~SO@JH^eh4BZoy5`V zyRek>HoV28o5h3S>&T9X&JD41Ti~NDjooyRBV8iWHTdx}AuV5|UxJ*20P}GN8*uXI z6uyejYj>f4!p`mR7oL{l7{j#ll!4INY)5(@86+ZW;`t{8b)|K0=!6CV<}ELA z7hR+h+#cbsR*@wdkY03=V5~R@G$TL#B}MQ7OgwG-2~K6TLJ6W(2DEZHW+O<+5#w0( zKa)nuCOdUs*Z9nasZ?K2q){fRc0-p1VHBwUz{OTmq`nEf@+M{d4KR(EDhTydrWaKa zK`$YWnbNS3xI1b?q93T19<3~$-j)WNk34|&hY;1){diAcT{qO*LC|0PZygfzEyxXt zg9(}m5KKH-L*gk1(!BAs!}()E;#q*i%3ol~g7gxOqJzTiY*N1sA|KtrVD$K(Has@`37gf*5{9Lhlc?YwjZ8r+@U=)f1|c+ z0p>Zw7!^RqFv>)+rK}4ux}DYVKB5@k|AVMz^vkYLM%Pi(O1PF{? z)R1^e7OBM34&#quR0fbR+IbEbseb?YsvEqyc~bu8P!L(USyDb9zo`6dri3dngK0_H zd4iWwj3If$aUjXwC`eAGN}CblnE!yNCfVBR?^fHr5cIdh|78ASXJ84JWHv!n;-uvk z!j~pZ7g?3_3Lrx9uz(_-m?tbt1=4T04pxex5y%@`pOCYE@h@V9IXShBGVoZ ztMqGW^T=;u!P?QrR1LFO@ll^onjyisy@Dqf(Hw zRUbHwK=lV&x!N}Ps}6seHcyVRSnNl+zya!@b_xa|^;ZwM6FQz_veEHuMV&f|PS1Bz zI(>>C|Kn?oPDHXN`4vu=952W*f?Po43xb3tKo__0@CrWGop^V{KKPP)>|@nan z0uWUuH@RR%F4}>)u^ddd@)i041(;Zy0+Y|RqvcVUPpZ!fDkpqH6)&L0?wiyd+^6Z&4U1m zOTBxR$peWkl^e{p&BA7glt_OyZF@&$^47e?)z>JK134rXU4m+wi<(V_6ISvVl^lzf z$r1WbEA(|V$QXXB>!zaeS$=1!s2NiJ3jFxHuT~Si-K#)OEwTT1q{;H9lOP!^e>xg} znB`B^Em)k|z&Bq_({dKQcho*0tHOk(ttI=6>6(B~?WA|GgoJRqBeYHEk8K{b76+|WM? z>Pd1N28J+WftR%eft@#YicIoMflF7LNuHWM1leid0*qz9rD4PxcJcjk%wUFDqBi(GXb-Mr-Vk ztARB>0ZN?qK&U6yxI~661z=yvMAyh32xa|zxf@{^{DymnT>|z7W;Da>F|)U|$LwQa zkAEnyOUN}$9Zf1PRUaUPmJ@s6^l)~E}taSTEBX*<2ci52^_eGcYA!>lm{w=+#-!7;E# z53$Bu)V&-D1@nIp)zfS|t?k*=&!Zb7a!ek14=fRNEZz?!%gJK~3c3MJ0%e0SMs3Ri6<4O&`@8o-0rQRVbmDa=5H z{FE7-gprS*9tIs?`U>B_95nQJxArEUt;lGBnxOaMa0pyf9YSAdHz;u#eat_YQXDns zjjc<_VPl^Q)+Ox-#^*X|0qnL$GZkM(GqDGPw_{@kxkPYkG`lsP4Fn_&CTp(gn22!OwZ1FJf% zvLM$4^#m=Umt+24L*gkf5QB|udwZpH`HN(`;G|lTv%y@=X z?XuG?ugg)m*{`rStyGW1;YH>`RP#F8s?2`4>GYfh<^0x$SN)cNe2N3=L(pawlaWs} z1fSUzUY%WDzXBw@x`ulN|QX+c-r=7#1;_0n=+g*C5gkuIgJo<*G<%WfA*hYe&hm3- zA{Il?ZvoZSOvKh&Z3I0NQ439!&T3NZ6S>NX>Ui2Lm;2T%!@V1nQuzs~@|48p!abOT ze-c7wzGh&1#rRhI`0rkfj&qjGf2(Dy?;fO!31;On>~U>(F-tm85l)LpNvm1X7?u>> z7PJF{kP4B9bE;xlcxpJH48xz|8=GvJfo!hKh<=usb1YsD#lXtTVZR^q<=7iIr??@f z%*$FexL<51J}9^dcTOl#Xb`=8{9jn$wIUTco9}=eYW5OYa*0XGmt>CL!c?32UvI(f zDk(dX74n`HQLcevyU*hAXTJVZt+O$UVS*ixo@nS48bA-6Vgu-S*v+*4_cAfOgSf={ zQ;2Hc*;o_AMk;P+0%$u{P5PDKs1n0F7UVt$`;DOI0fHYtsv+@|UvU;rw2MC`H@*sx zc=nGy7(n^zN!VG*U>oeXt@FaWe}&U}34)7|PEmHsK$Km2x;jpOo_V1fVTt|+L^Z95 z)tHU6p>-!U{tce5XjS_G_f8IIJVC2ectnHjPPOIuSJ7Ng6^}~o89gyg!sU+gS0AW{ z3G&D^DfXOV`Jh(Ybu2ZW;;WyuG~UXX?kOlDPq7{zK$WDV1bngs<3YsTB_FVZ_Eg^3 zrxKE4x;HR)w`)T?JvQ|p)`q7a1{-F8HnQauCcu@a(lv4*s%`kw55m34IC(LY^gkV> zU1OyMxlQ3hf?fv*WS_GTHz?*1@(>{67(2Qv3##70Md+n~DVK@6+5H@Dz4Pm2DsO z-rdn^{n?zW)>15|RbKSbX6n&w0jAK6M!lj1BPQmJebmk$o$+V5#g70h6O79bg~hLi zIM9s7u^_jRo<`8ww2v3*VIfi6&p39B zkfQ(*i%;yPEUud9jp*otANQH`hPNVp9XAJ7bw~7DQ62t)7ip{R`7Qvte*7~* zH7f8TUuZ}?Wm3w1xLsNXZXLwwHh{#g-JuhzF5L_IXUR}=+I679qF8pv&`RH z@ple(budQ4C9L_BZwHiF*ml5@CjP2zM)Mj2mKg&UH!%lPKG%?^f zddL!F34H$wqS}DpZVGJU8t@I!_gDAV23%r6t^pSiG#4Pa<>MNH-LGI)7_i|W$AE7F zBnI4aqBY=ot?44hQnZ|FsdlhbnYPqi3;V4J%r&S3+Xk3h5B#V@4m=cYtUiNh?#lXc zajXbei_~x}2`Tp^I&YS~vt3B!S?|LNI{@(~*|E2Ak0B)lFDtpp|KdRo8QqCh7c? z0VORzo1czD87AwmIuQI`6@IBS_ccfl`2M@)H{lyGd=q|GAvpXy`)PjHSdh!_N`m?U z1b$~~NIa#8aTQN1#I1u0yc8f|7(d=J+>FWj(mH6jjQx0jS|&=0MGKL#3T>C{3QX;W z(M(4{hUWKeLGyK?*^3q(g%smOj)$nO#wWiHrP+-PCgZ-UaT{epF3qk4T~Fn_$R!$r z9mIs@BHTKlc?Up3^Tp1Jrh25dM+ITDFqo#Ybt;Rb3K7B>BB?K=AOY~txqPUljw>=! zK@3sM^RlD5YzU1%)sCYZ;2HdmqI#RZH?%5QtSpiCCsm85Pe&yA-tFqUOt?kkLfg_wCXq*T!v>ULzo!eRkum6Wcd+fO)s(#g4*CG zeH9qo9qk@Z@L}h};M_EO-HqH!XNg_Ex$b4N#MhmydriU;r&9EEY0WQ?G5o`kLcB-? zL^az!TH_{Bv>Gwsclnogufr|KbuY%KzaKy_$hI#7tNjD_Gg+cLZ(1ESwEICfBthj| zg{U9hA+33vDw>y@_U@B%EL~;#q)GXC3e6{LdYMcvp3Wp7gJxexpg!H-o1#uD_fuP+ zqlnP&mc);0Ce^@C`!wm;R!JS4)364cmnM7Be6ADQjN66vZj&bb6FouYq7`blRwznd zY7~RkG+HQoq~bPNMTnE(JXMh~TKT5w$EaOY;o}8U9N~m?HqKlLw6E4xwebi%9ir;3 z6PAJ?NvKWII`I$wv&A$OlhBP7%mOM9;~)HI#X{S5=k8E6%DWdrDkz43@SnQIzFMq+ z$zT6jhChqcpVFTmg#I)PCezrukF9NZzfnY`5nw7sPT6@7Mv9bMg>&q4V@op8rA(b* zS6rW9C}JSZ-Q^Rq+oDV!F}g%2*$@`>guPoc;N%tZLQ){n#>+zJDk1$`rRj#SJI;aF z_!T5+wpj@_^gy1>fradob?hmh3&oQ0wF7y{kKegh``zEzc+v@a&>4U&K%-NP-zoSl zgMKsv=Xa{aq3{wA(=_%1=Xw3$LGR{*vvoY%-+bjrzV8<2PTJ7PgKl_kjeUW24jS<@ z9lP-yRXfnrvt|DytyD{^%RXt*8TX>)DH?pE$Iya_xTKBtiB|vLqxIyLy=k3;$_{8L zkBp6-h1x2JWleRqU+Eu<%hv}~J;|pAqU0t6yJ!y_GOahMJ@BAEaCkGz4urd7iZ!gS zaL_R}nV+~nk~xzrlKIbQA^i(FGMVqf)T&PAcYPWp;p2fbNw~f>z*m8l>MLAeLG2yT zE`rXWpL>xLG$fw#7tW#;OTw*#R*d$MR_xxR@gPEd8Z=!EgyE1(kGg@n0*ZxkN{5u` z|74+j^C-1FIgRR|`ahP_lb-~f+-__>)t!8{>Hk=e+d$FN zi|znOIK7Cag7R^lTh$=6$p`!Le+nmc3&aem+(eMlPel8+feO#2lGMcz)fM&L`hb)> zG;DyN|HfIG)Uy`k4h?q_6bA^T9?+0@%66Oushjy@NX-IBNUb^2JTZE-wGJ`P7kox% z;<^g?Sf6B3{%JlHB<(VvpcbfPsUD{0mQhT`*~k_MPpk^T_x6eqj%D&U)>F&M7+h1U zWRP-oRtuoj!6}9I?VpVAI&4!8!~hhSQ_$awt0@-5A6Ijz5AHFvF(fZR#EdkA_TAk<-rg`DA(a~mNq0wOh-1l{EQ4!!ZH zt~B!s;1*1c+F-Mss@hvlegvG(6HW`@{eEl3X%R#haxV#)OVP4<30~(-4CsC2uKdGyf(DYsjL2q+nHIza% zd6Av%jcJTcRh-&&DeH_s5>d>DI$Mj2jY5Mal z$W4w96Ep)L(3@l-Cp+a#BV+_1!tu+)z!96+G}O$Nfh?V+3CCs*$GMxsIZj16hU1*( zmg6m~kd+8b%>P4FbNuO}fTJ5jov7QVJvGOb7UafIM}l4l2ppfY5SQbTgggX@aNLms zjvL6aWIxuU8oqb~QcfyImECoL(JRieM4mcRJMq=U}+WBx5ft) zT>PJA_R}DLpcle+c4Rhwu?fE^>L^%uE-xgW2K9CKmX3zG23j8Nu<8R8OD(9Oc=0}l z;xX=ShtKbP!1Y=@(%<@%ar0a(-Nq0>m5NJQx$^POR6+7jEN_l+!2u=J-{?yW>Y+=L zVU_tT8OxS4N+_cd2;bvSpZioAsBV5tZQsjcd1;73+lAx>-WWE0> z#{8i)eLOvxF~1rK!HbNAsP_Kf-w(Y1c;HOab{ho!ufaye{Avqw2OoD3w21EGMdoWr zJmqejMW1#8e@qLu0wC@G$?$(Q_&6qH@Uaooonf5HAY~%Dk`c}H3r>9)(K`|DUSu9b zHK${&x^6Dt0YQI%!)a$_K-|sce-QNjsfyF58WK;TC^)^!AH%5*AmMZ(IjKhk7laKy zTJ3{WZIUImwV`=JX*(hHZ)S|+6sh%?u+*gbS$*C1bp-WIgDR?`jAat9BAwC-+ADoIa)(O+|LW_b(u-IlaCz z;N%9|gAnweK3Q{`YC&$W#RwV=5IEgxA@1PgTyn|+L^zeUF`@QNOAbEfK()OFA9MCO z_?Xjp@G)oa!N;5t9DHm>Oq86YXs1;}Nz~|0>e{9&b#(_H_Fj7rJ`ViCHE=nK6B554 zU=6(MPZ(J4lzu1f>UTvl@giS-9vFDgion2buWUN?>GKb5;I0n7NQ>I%LTwp*+|X$7K@)@xK9ay8%)hqoZ|VQJ%hJD#Rox9~$BS&- z5YWF^tA$4$?%-qBZh+IfYx>gO9?R5eldWA4mg@1|KJYNSF-{ zz}IbEg<5{qY{lTKlWb^KAN&V1*(U!PC?0r_0jcUtv@X}oeErp^o8%A zU{X`F9$6O3`Yo8tzwRW>`XUQ*S-(NhnE;{YyIP3LdIb%0I3U70x0PZ2>^_GZmm{S% zs{2v@r-mCl^l+oaEpQSw+;H3oivbc;5BmQnLyOzXP3GO_&?0i19$N5WpBh?>n%2;O z3P9L39bH^|f*D=#QwKw^(3xL2j%5|a1}wlb=R)_H1y&GOL{}gH_++V4{mRF$-sdUo zyMcL7>%MmMa9PB%kbh2XsJ%kd^SSnbB}s|m|A{W5hK=& zM2z6@!Ie$;++-Cdx@BGv`v^7T>TW!eA`J77IMXXyHR1z2)^R=}cNwNit!->)?@Zj? zg!ZN#u#JAKb3CJLB;wwSbb_e1&8#JXZQO*`jI{HQ*R~mHL2hU_pmhH_fMAP7d=>v#Z=7s%~Wz^hY0h%s+?iufruY-X4@UvNDcps*CEj3&1*FoG+Yej0OK@!z| zA#=EeKz+uY8kO7l8vYO^fJ9gEB&C?6nD%h8Z{%9A*YYX9;Nr`o3h zB;+caD{^X);hSiEgxsf4as1e#(@}b`p!!M7poZ}qhY}3G6L1r=p!zn}MsZ8>y9J_} z-&a_B74p(-DFprZkJJ2$Ey(3pOwb&Fz;A|z#8dVYet&Lv_`L>@@bjBle(TU4HR5-g z%WpBJP{a5=gAxqC^Kp~XUQ&M^t-S#;?M0?QRP)=jD3ssV5cF$0X?`0l$mO?zpcMdt z-$D(Er`*Si!!}ngzmEYDeu)mht!M+5b%A#Nug9VgK5*jxZ$S-yjtSH-hD#GH!<)8& zVIN^QkM{lpk%|2mAgUQ2X_fB;oC%ua2ySH<{!tv5!R=9XB4|@b#c-X5#8W5=hP`m> zATj+6kT5(R+95HiB{CQxv6ZU~)?aanWrd%q`k{RDoNFl5Gpu&~8Q5fukkke{I;|JBZg1Ly<8<7gVtG$P1Db8AzTH{9@mKo1lfoFYCiF*>@dpLU~ z*Q5#8VxGG|EwamQjrUt|vqCjZh*BGWiT8n2P|RB~#H_k7AOCEWoEKr5HOxPr{wpDf zfQ9rAjv|r49;d&4itxwt2Z(C_`19?c>QjL;{*ezs|3|2y8m><*$ZfcC30h8F@gAOr z#8V!iW5m;9{4ovJYJkK)T0*-0 zyUo)UeNOKovB;557U}aSJxobQ9{iAN>oU}w`ct@vs55*IjD_0AEmKYdy7V}8oPIkc z=OJ40{0D;CSRXA6jODJaYe8@cf>^Dsn`c0JZQZkS|A*zY`hpN&z)kyht7D)AkQR-; z-_5kQ1$h92BRcd3)r#YhvR*1uGoLET-W-V@w#Nw?cldcdF4S8;e}I2lKOds~{NEv` zxf0U5fX-dt*txm$9kznQ=bgQngh9>*v-1W-5P|BJDjsI3k4-pVZQ`z6(K>W6dPV)D z3I9U9<8Mf1q%xFe9w`-zdGS<`61F)10MDBqjoMkO!oR~b62S{@n8?QGskw(rux8^0 zOn8RHMC?x+6R-b{YS#NaO++2|%Ny_*Auz~R8o55ER-B2bddYIGBbp?l=TLNz5{-;OS~USt2%4hHb%HiT?t{?jP+O|phZEcZH27-iYbYYvQsp_8*W`;*{37o6s9Ly3aicFvjm5mF zjgxsn?GMACy<%E$ORD9wpmsb*v9Ss&V``=@)6oLPgs!KdwPzb zNdUnG?$Hq0M5GwTc^X#(;}ifT#(94SjH5cg+34AWRVCbCbp?vhkaBAuwR`SdCNVsL zQ$jWU2*q+i)qltv+r%bzBN5KKhS_8QZfb0j^DAs}A}tzE@240bv3QX)AgXQh@@t_s zc@%>FV{m-sFOw|DwaG&SjgaWPK|^FC5!xh%tAS1K2TE+R@DF2?p)xmx-h}E?74aEl zBb(F(Hc2BC?Fmf7m3*|xch*nrb|P7rO%1b2FWl7FWY{mTNmsE+nqq(y;zc?_RNLgS zS3_-*3qe1xowmsc3vz98FG1G=1V0(5A+ntaZIXklflY1!N^J7%c4L$N(#fclk9X|l zC4OL$P6T49X}Cq~W<=*<_B6~QC*YRGA_IShMY@YcI#K_jNI7`^0a0y{iLZoOVWk88VrfoA8Ia8V#ScIMIy)054SVZ;S z(Fi*hskT01H(5CabFE<(Nx?0RMbfvxBAkgu+#E#xFRG_S{=yWcw#di@p%%Fsg8p@f zX^UKBL9RtEBj`+kV3DpGBCjyeA{XOoV3EE+iABb4)fTDksuGEM>tio-pr<2gq&Cuc zmzAOB?Rqpo==96$^;iR4gu>cosu#(ksrYR)7gc{s8KVw%VAMGiEk9${(%Nt?u8Wdu zez!KKCB+J+~;9N3Ug-%P)y5`zAbDcXknTTpuk^Z`MC9I9;i z<9sP6p7IgS!i3-O$Fx<=P?BhOCbW}B*dH>-s%@;z>e(ar!KmRk%jg6YYaU?V$2#nZ zwBkklWdWmWv?fhn@3@T0_Jgu2oA$IIcl4S?&~d^jC16BRFlx&m!{}sygwZX~P99+I zWQLUSxb9E%gIZyA)ZJl}^%EE!&gzY)&*S}XMq2P9hgnA6yr7OcIp)uYpnuOHn$gV` zMft#ApKeq-ZVfO$gnMnedATQwkXNYRI z9X&VHBzHs5zwuygl1nVeHOX*-&ISl3>0u$ga6jYz(+N2W5HSc}rIji^OxgyHMlh79 z@Q03sl4_h)4e%PycI*uu1$&fgh9>elIu~nTD4U7cdM5CVAT@T`ETK>qv1pSGFi&h z1uXQRYE@DX=Wp0}P*44LvA~nY9B7_5U^5f=HY~2z)n~>Q za^+cU#?>6y#_;KyhKByJiJ9srp23syModpRM8hz#ha7gnVHY{EjCcw<5L7? zcj?v4#`D1x`yWG82kOUjfmyPx%FB5fcsk zF}dqmfFxAEs0AZMU9FRP`CxKUZ&yjka%rCala8oY0cP->%%uDeg!v^asfAW05JQd}d)N&C?l_Q81^CAm!M}Zd*^puM9NZdls zaLPH0kTHM=?@d1$-m++gA+Fi+iMy`TMa3>N+h=$h(uP^|doVkjmcY6VCf`qgA+t#k z)y)2UE@0-iN1Gw&|JX(|`_zKm>1u$4Tg!l(S||2d zawBf}=+YRjp1jo|<5yL;rkzTfSY=_syB)gvbIW)wc)ddfW8*hG^eH?VQ!ja#KaoM0 zF(yjbHhRxPPALK83yn~VLh+u*fNH$!QHD2PhcYldl>|J=2;!X$3mMW43scp98T96r zkLLNXomzEe%^4&me`3+I+MV^JIuH?g>4Xxw` z2>Q(r(3SjKVE}R~`7eUL+Fw=jM;5|KWfKOiHUm-uh*WVW=q6#Ss(2e_hAN^{flsLI zVf@%bl&V&BYS5}C;~HO+QL&9zB--air=oogqFS}5Q%5XSO>EP%Y-QW|Xk`Z~JET1d ztznK=sQl2DR6dYsdSCral#vC+;eCSdsXoa~e{myq6o2-i8t7~+2F-HDtwK}JE% zH{sfhwXV6|irHFQ>z3;PlxMhZ|Hg8i$Lu$$hFs5psOI|e(*ajEpPo(~A8Vz#PO>03 z)J`L41VG?=gN3+UyAd)F5aC+9*>F|Mm|_Qz>kO4*_zs1NCs{l{@J>t~s^@~D(B-)#tOfF4J%xR8bE|S&)CmJ;Gq8vQEi16o(ins zR_1I3jXxdXsM^b13qlJQ1jsCcZdWlIxkf|cDUaeTT9r?5>%hP70Z8mn^qsMTnjIX! zzfojZD>7dKWJ%e`0`h<;V`k`b&U2tNG@Jv3#LM~4Tn7$YQrlLZvKFXwqYL&a&34i zL0tfXC$+bbOB^#_Pe=q1vEZGX8d>n{*2(}uK1S#HoSSh98<1fG1Qe4{OMB?+=zMX6 zlpo}Ben5E^Gk88TxIw85o1Hr!zp_;Q3Y$k*z$2`3U zpM;u%I!Y)VjsM6lChzYDP%K)AF)Vj6(f<4h=22~3Sbx6fTU!^$ZbV(&s&1RUj^0;< zkVpRyqPi|Vc|52KH>zhN9r)$Auk`;c$c^f!33`gkp&zax@f3=}pCh<+;Lk4sB(+gp zZE8b3NPoRm;EqW8Bmt{3ZZSKB2v$y;jlq*{{DT5k{Q_|+xjT2&nZn0;JjEZV+ z34^6c0!(fh|B~)qG0tX^N?sXmA$LKF$JHu(1-^;@C+&<|aHTx68I}%clB$zetj++S zmLjPA5b`jv=fsE8_k?(|eeX&;*BO|84Rfv|zOl}={Bt;$N2TNGqv#5kAPZpr1ESiw zMn4ufm)lWj|0TdTHq*{^i3PbGg~JIt8z4AW4-LUaTPk+a=Hk|Yb6o(CI9J}+#<^5y z;L-?mlYJn}-ZM>k2TMLR@3Sz;8pgXLN;V1ZgAL$aAiN(y*7mPLhQaqAA*y-j&J5+< z;R}FoN5re3y2^rF-p3MjzDf&`o*IJbN=8#Wtq8Xccn=0hcza(p;(c`s#anlRl4Y0K z?2BLueX0w5Ky6sP4CXAEsi>s&rcLAND{&{k)$ZM}yN0XRw&-Pvfj=eJ-q|?zW(9k@ zqIlIchD}|5&y4*AuIDsV#rP(B6dHiLdKStL zUFg0|9P^*H!fcCl_eNV`?LR|>&65gSO?vaISz%W~R9D!?j|LTX6mX_T`w4w;@n5^8 z!sc60k^@>z&`f~fsFN)OL;9ec5<*4-BGt9=OH*Cj5aTtkfVI5r*M%YP%}7;0vV&tB zE3)Hp)?1@j{&wrhvD`ke7}wx7?f_k(4Ef&}KZcxvTbTFy3dH=(b&*ps5o_Y+Rj?7| z+d+Br7=1f@wR9ma!Edt3@nWP0FVYNx+OVS@2@H$DQecpG>Eze%fnm94)5Qj)AEWo% zMPstUhwB7~AGL%X;Nt|0X+p%qG?Wv>!?`F=biD5is3h)d*Bh(@w&We9Y}&w2m9O7N zHICfr_5QB74ik4anu^VAqQX;fQf1+qD!zsUSJ!xSNQQb?CiNG;u;gS1N zPUz;PV9@c{d_5bRr5w)71s-`b*q>I-?A)44+@heiMFF}v>bn+{R_O%N*F40{ zt#cD{hJyDjn+kIO*HeMAEADs(*;Pafp%iKw4vcdh{X!5R zSp`9Wxc#q-An2ciI8aSR4-0bpUjqm_N(D=#t%k%?D2mXyoIhr~d;&lc8bhI-JS2#> zQKp;aW!x{TtB{{_p(;L6=|vyyo{S8WSHFMK(6AZ9|mTiaDNYi8T+3?ROghA(*l#ZL$KQ* z=pTrhR3_W=Pylj=4>u81qe3I{g@(vN1ndi&HWXI_n{5S3)awTIWO2q7Y8cAqNG-#F zmyK2t!yS=%g!7n|*UK}y5sZhTi8KYnBS}vJDy!I~2p?eTG|Vcmeqyb11`?Kepm-8F z{P;Po@)$(5RoYm>u2r%i=-2PiR{1d=Y89qKe;q)`uPZb}mLkw97cf~GPx=ZdvC3gk zPpopD8k92d3(BP0nFEND4lC@Xdh>hP$`5Rnz#=N5)sC%vDT*Fs7b(ofWNetNCakx% z+8Gs%=$0H86u~s}l)c^@q0AVheITs1rdi0R&sk(hymsKwBMy ztAVXv2TE-9(>i0T!&`%>N|I)zurK3O2gdmhR)KNMM`7qlj&ZPFp;DPDG9HtmVaB-$ zcQ+a7XDmK1J%V`bzq%e&W+NeZk&7Uzjq|P-YMeF%zqVZ)XSM~o#%W2=g8;!e_i2c% zSDCjH`ih?g2`SQ?$ky=c|^`OFUoJXpRamv(CPkD}NzrB4Lr!p|kRLWFD%fgLQ78vIwOwNWG=Rn-u z7-wb`jFT$Hd5~1jM?&%e}~8V@St%=&xJDGQ8q7iB7<#o@*&4vfA=Ohbqjq@-;hXDlRw9*i7K(Y3mIIaf9 zNd-!ba|P6s#%t1kASz*1`1i&s4vaIHG8Iv7XBLukiUQ-*VS+NuIO|ti<6Qj_jB}hA zXAr5hMMCl-OChR_bLyl}9|Cl;$oVFI^8YhRKoxdvM{4`O@@zhq5%sInxH89S8 zC`yde2kMD&ZdKz*>yJdP74z?!v1wPETA7O!Fa7Vwx6EPno9nbVih?c6z1HgxjUi*rn+T#2)JPhgeQs zENe?_fZrD@f3W^=15`OdR5_;@U2C*MZU0F{;weMaPudt)t<#~_GPokLP0j9xtjyT= zScA0hl2=bq#yY3CgOof#O1@J{p5~VP#THX?l`ka={i&u$bIrT@b{QaoK5kBRENdeO zU?qPQi12MV=i6-`Vsg_~_FUXvihJ#STiN7klEjg$Y#$+t{wG9rWw#am`4P}m6k%qt zT0+p@S*t7CA0K$cAr7cHLF)m6g;#1wJSBmg<7o%*$MpWc0Z6oK2km6%kpm@x`j`;m zGe=Ixqnz!Sx(s9Wd8K7F>_Z#fQR;B8VznHin$G5cE44RtH;<%c>hefBmFb z{hBMp<0%<93s!0TF|1k#tj>jY!m1}+M;5Xo9W#$rq1UaswciJ~J-BW%*TfHOc-~4) z&OqM4_jhIl+^*6(HOX8{An2bDwN>Ui!-CxKe4C(-!tIcN+ajC=w=4K#xOE3eYV2lc zCyDE7q#-Z55mP2VT(8Fad1H6uV>>IQ=6XX#TXQesq{tuZuzTr!u@yF>TqXm_sqo7Om7H|KL@gWR=MHqFOv{JTzt*Q-9pufZ6xfnej;c zroS4H`*_3EtzqAb29dxJR2N+{a@InYztofOv+!R^pD(& z8Pd)PiQvK~Jx}1I80lINT*QosjM);}n1=NU+VU~PvllrZqB``Jj14N#ow@y_65zSu zpk{6#Gax;4J8iS7v|Mw#yyPFapGijZD0@3(MW0VrXw7PVREmQYYA7lHE%jIA&4)St zERU-!iN_&irk|&vJmZB*ueAtYFERmwn#7L#LrEl4k?(%cB-R>`Ch@^{io{}by1b;f zL*gjPz64nzk!(oRc29ZiMvfD)MV^Acw znB6ec&~Liv%nEf1_lByG-k3=>Zx23>Q^KeN7#Y7i3niO(rN;6uS0ep*k^Ld4?LF?^ zz}{}~BoTZYVnhYcl?J5!Zm?1(a-KfzVFhnIt@b^~z(XM_>O5Yq%?cVPQO>+V`=*c> zg*j3~qA(zFHKYuQF(}yVZc&V5e+JTn7l}eplPDPzO5y^7Uqp;35;F`)lZaRA>Qb*j z-lMMymy=yCkD>(Ovbszgxk()C?KRl6S2>gQnpaVz>hQo+2ebHi`tj87U*A}-DZ}H% ztWd8R?ntj+sia#_I#EeSPQjF_G2&B!5f6vD#)w-XWZom~M9D!&2VNurg4&2VqeG3j z0)qaqP1=Zq3`iR>Q|{kOPO~`@13pb1O|)GCX))l`WsMBTonvl6Cc$_$rzn=S08cOq z(e=dg7IZ=I42g(SG$fvK1Pu^RJApsO4i^F>cE~R! zyHzUh{Dck#IN|+2^L45lFj*VM>3m2TPUBX9)9J$LJ7&^cggw?DKvZ*jVN}4$?bpnP zpg$eHuQ=seklU}BMbPakKqA*@2-bh$EI3`lAH(S$fP_=gQpHIv{yGeW<&9l{jwq`P z>O+elCmKxiSJ)sT3~0mAMvTnyMP21wX#TWr}~ zi6sMQvWmUjSx90WC}FI_=4KTpJ1w;ZIH@Zh!iJh(4r*r#wf;;qHHbree-5IW+JRQ< zF2I={*EDLq>nlyIW@NzsNC)(Y;tvq@!!w*pm1QG~H9DR)-KDn?K+!No=>5WG{ysEw zBA#1Fafe_nCM?4Ueq3S+wj#j{A$S`jKT#1ZhNvdk-Rkbf-SH6g+Z%$37Ub4lDnZ*e zHYNDQ2r)-Itr~!!{{93|2%ZiNkxx|YJ<*oO8=zuBZ5J>t%2dm;V6WV{Ak2px<=05$f7*EBkw_H&tIswx1*RIQ<* zG1XmdlrO+S37P4W5Yb87Qz+!aFZa)v0= zi5HmzQBAJB)!QX^F!hcYa@+0-EZ~xBLs0eSirg9viKje`>IbNo`DaC&kg8ndB`2&`|qNI(uik}T|j)dA& zZY$JyAYLRpyETh$%mXO&8ayfIy}Yp-Z9{lJCUV34X6W12w6m7Lw721|=(_Aiefp0h z(!EGOh-%Z8-Wgc!WZ+CbeT-I{zd`%W;}+D>0X;|1M1bHoqckL*@*2* z64SoFP?>fKbLq+Qh*-r#bYl<@&tvX0jM!v|nt)ig7{u-nVx35=3~}j2hC@^n`~Hql zVx_2Yf6Zr_SeXU6#NH=p0YD)3w1&h}YUwQTv`293fY>_#39-7jEV0WHl_Tgj5WDgP z+$u188OH5pNE>e7m4Mq_!tH#fsm-_pzCR98&8@Xn+pV-sFuA||Q_XGj?STPYZa))L z1rWHEYe+ohY~l6}ZXIy@5+LDrsKf2vR!}Bdl~>k07F}QSA=UIEb(op7wC3Za2(HH{ z&CqH?TK5XA;q;ugiq=wyYFejSjomQs3PJxEL#wR?xnbU!pq-zP7MrXDX4=TNw}}a` ze$MsA<^i$Z(9Z<=vn@@h6OVgAaY1j?TOMYMNHD1pL7SwvzF`S=#hg>=143{bJ*XSf z2=@QQw529^vDT+agLMG}{dDNAdY#=Y$R*g9pmvI2q_yFdvV+cuw=avGdg}y`nEz^M zXXq`DfQafz=Rbo(RAI0k+u-#uTMSbdG9jZW9|gT_om$EX4&|P>Z)u)Teu|FO3(3ce z)L<@CQ@-{;ffsfH&g7T7ptpYk?yD&GvY^8p(47QzrgC`yUPIz36b0orF6ABo3FTW~ zSCrM7`;S}jxEdug`SPdcW}IrAUorP^RP)kAq;8pKjIN_G_G=1KTW@Bvx2Mgs=YeqZ zd|PBaqWwEC&lKDj>31y29Dx+(MLvM2HqY6&hMH#(y}2tiQRX?qf?V?qB&a3T#q;-D zw5O+Bjk7S%&u=>(aS%Xao(rHIJVKeL2%N)d;;C{8Euv?G4~BRsQ11#DdJQ9ajLX%M zQrkhw;m)wOGgNyNMDxm;sa1EFm0_siva)q-xhl4eIgOXw+2zE>g6vkt+b~E0$J~+H z-Hgri1dcdDmBCf+8F*dj#R@f2VR50CGb>c5_V2EEjR9H?Q5~RX+z7Z_K?bC!Pg_$ze1G%$K=y3h&$O}UQT7KomFP1N`pD5L(?QVl(AO$&b(QRIIn}(pgyy^^;)?Q=sDl@Pwo4X(CG7dKvs+XxH+Pn3I0@0}=x*H^^1aE9~ zYdwCzZt7OsYWz*R8^$#>=<9N?mU#nqXVkXHyJ`0_FS zjg3B3)JL!yMSE66>$NI@J-`C1%8klKl1v;MXeI%Lp?o;KEpP0$glrhllu3HIo1Zy= zmXR{Iz{XpwFi&<)fUrIl8!J^&kAkUZV__e{zD8o=JQe6z-IS=`L6 zs$T1o-i~ga65WQM-={>kG{M|x>Q`6Lp5{uT#;IC!!bv(3#8L9bvl&?1N;j>T`I!XESa42o*e;&k1PByT|kgR?%`=_4& zt3g&ig?JHXxyP1lmX6;Fe?QfwHkYb#2@6igR?EHdXN8}EWp`TG!@@c>m_kX(s_Rmh zR36!OEgsxr{B$mTT;HP#1r0*4;A%{o${Vps`OhFcMc;FuL$3rnu~%{`j8l3q6^y4J z!@=ZzSOkUr7a^#7C52Z8Jqx#2k^n(}DgsRPO71ft-76VcZndUYMXuC|rEVPEKSpY*$7ej8x zxX3^C1sZY_=0vq2FTWzxkncdyKMx_O40);nX+xew(jGRw&x94DzRF~gwi*;qdmh4p zG5>)=M6rKEu_mc_FtG{m^~7ox@xc9kE7ElV*=t|wkr(QVN0 za!xIhQxl^%|xb^T)R_lx0ZKr$X8Kn4k_2*%O6 zmod*K<@4DHg6vgHX__FjW2i@;wn3IZ4?(t3f~<%`o7K-U$i_lY2ifmgp+Pnng8tXb zbdaq!ARS~Ymr&Kn+vaq6$>Gq*jG-1$_BqH(Y#ji7Xo^hrOtdWUwSL5j_h;Z*EowxOpF{E78s;0BtZBp*V zQtqa?D0gLvb=*Gta$I%;>5ps#4W)X$W#g^5mE+1wP#Mo+!qYgzJTMgFPkz!m!#&WT zR6T6P{zY#>jWRTGc>V)H?F`>v66y?52>NT_o+{&(8IX2{VvuR9s3hH4g7XHfLND_W z&<^tM+bBxZ`xS1hj)Fvy8niMVl8+F#71ai6m$YJ3#M2U9R25Z2<+2wk9jw5Ry!!pJ zS+!rh0f|JB_~->C@eL);Z7hKr#s})7zLI&7GOb1C36WVxnFAZkD792Q=TJbkeDSnf zMc@Mpd{wN}@-2Z2iEJZ9pDoC_;Nia+AbZGn4Se|kvi^8WdgNg2yu{IjIq?^sbL8|> zCo&R|-Os`y5_AUahlb@uUfA1hPOVpM8vH7E7K0!dX~4sSl6k8fZ1Thc3RpR+WPG1l z?HJ8_aEFlWW7KIGDFnBYK=^Qnx{asq?34G`Ex2527`p3_IBf228r=03Un5M0udNP zffkJg*sQfYL3;`#$;(YpEhaiL@~U{X>S=z~P-VwlFhAzdI5dr+I@Exd0o#waJXLOa zwA^wLZ#jLT(y@;DkUb&JR)d}T+snlx8aa&_{wAlzrBnnZO`Il|;Rb|fXNgNdLT?_0 zoQrw#00buL44>o$P`=%7FbpMutFy$_GBR+!2`soS^Qr&p@ICK zlUwoztYMmX)bW;^R$k~^Z34w`p^5SWZKBox1?5qWD34KGV3hy&ZK+!j*Qen7dDX30 z&xg|JJdUxC2h$Hqu00G#;F5tYklgb`cFv{uLXJHV)Brs?%OAfr5!>s=hHM&{&%O3sY!8+0LY6qc{4o2QZ!tf#^Aqt*&Jt5*>7$hrqCBelI^w%T!)Z?yqEy#V) zej!1xNrb{)ne9;CEDhyA0{edh5u~k!KuX&B1p^v+@95JY6!5T#pG`9CDu;%%TL4kR zZcZWC4HtG@I5hZvCfUt^sAjjnRorDa5`z98Z)tYlUl5qUWp@WbYXFk!RP0JLl*6KU z+EgF{cAo($?2-d^^{S@SkO~i9rIz_rjceLIlUl64&gF^aT)GxlOt(CjJOiF1g=Ypv zLjIl^SO?16OCs4bj%P(-ZNrq1Fm@5 z+rS5`zlEHzJ^~8Mo1RTxUkV0+As>$@V@8fet8u$xIb@qqjls?*$~^pTo{W?8R*1cd zFppy&@?!Ep+v`z`DvV!Doeg{CiM@Kv1H09a(q3aBs_pfAW?%}}UROcT|N0H>7ppDE z^@}Wm76Am?%-0Zn#}EFn3ReQlQ~)8CNq}nN?KibRRFYrL0bs1Sk$fKC{mkEF80!U* zNw47&L&EIkvG5v~y6x>hj^@o!pZ+wcPZjEE9CWNjHo*H&5Y^OooF7VkCy{fJAy5-6eH4C)E}o0(sQ?6*SJFTrm@<_^rXW4z1;uu@%! zynl5#*H<30Twiz!T&D@we(ZSvfWY%2Ga;(E9$;y>)tn7Me@Bt#`oq5hgScGpBxo%_ z;QFqHSgylhiJ+=C0wr8ipq_BOmwJ(F4&Mu`G^v;t%#h}(tz_Zg%rXin)+4-gkM#r z2C0Q4JeEOe9iAj?NSdT;=!C1nYv_Qut)cy%L=7QHa@zDI^kDtIND^LT8)i9m4c!_I zjPKUa+9v?cdQI0*Ukh?;Xca*{074CQ&=6Zg4Y+quLuUddH8gUnsi6(xf_y%*A!&ki zEF%{~HJe^+jHN-$#8`LSw-kL;vRcDV>YWI1FLI1!^+?}PR=Xbuc-*U+)twgPvigId zD**zl3pK>Dy4YoPBT&NXX-~5Xdv_EaAKl%KVf%JGl10VWqkGf1!R5TGWp29@y-~Db zej0Qu#f&zY@8EDI8?lZ3Mq z2g?U_dNYv1{u%U1CF-Uy!CH3kR^3?|GbEhdH4ruIvT*-WjOfU&J=x7fG<%VMK~%F_ zd~PVa-H99&VtC&17-wAN#K&WU>DcL^aQK=Y;a?13`b;%bMq_7Uc3g zgP5}v9%AsS0};vOTWyAwNLmw@%dkQ3G)PBE-8o5nh=(;izL)aeVCxm>Ns#vp3A zj-Lsxm;xo&D7&l65y<%dB}6sXA2UL^4uYV+ZocNa+=5)L7ZCI+K%$@W*vB-KnLVD? z&t>-(kizcg$%dWo02_AJV=FMt8WxW)L)5T)eg@cK%^BJKo88sT2v)3rhNx!O+A8km z*AWo(x6jk;HlH1sz-4zkK~(@b>=tRLc!9vF1ya~01?=jTSHcp3$7-Us1N_aU zE>G*R?@qEj7e5M~i-hMmc2{>JY`w?=h-#i4ECrY6gAnxF8lJn)3gwwkkU!V(R30lD zi^r}sV%lR@qSgb})sPd`?V+&BLjQdZ=0=DUH^>mkM>|xVH2G_>n2vF2ulby4vTmS? zFu!Wu0#6}L|97G_*VIQ~u1Yc2aQ0SLBl^+*g{U^y@9BXhI-m$Mhkqpf`RkXoTdcOA zLmf~%f))XU25P>A^miZ!5Hb@Gu}nigw8SK2Lrr^?!J^gR>IYo4cNng?pt-IX9L{wv z$}=HQ_%OJBC|vW|J^dEZ??t9VRC8@UE9Ou1S3FBv*|De-@WuBb}PrjMJAY{qdN_ z`Y&B&;>F?SyEV_6Z`gF0?=vx9ls(?(5W9H)6QbID6}p| za-M0V8HaAF*3^K`sGLZP;R0(__2>etab%}IpC%Qg88s?J)ts)HMx_K*iov6lqRQ*Z z+vutfD^K}PSb4^=R4Ex!ioQ8a-h|tKr#3UucUi)rPo#GI^l$}PV z?(2G2bzRp9%%{HmU>tGZWr?FUx!$y_Atar*ltm_F9|8@Gd-qbex#wtHBqkyInn1mT z%w7|DZqx-hrTF$%m2nU6)q@50R^MhAK}Py-!tOc3;(Oxnk^5T@#ueA_+~jA{Xyyw~ zd6%9~S(w1mV|ttLuq(F^5V!*TFyL=Py_b?7+2w!2byYZP_u*2uve3RFx0l*yT$TN| zxULFk%O1_L^Oo`!YRjcYk<@D<&)?G_*o|;lBh^_Sl>gtX4^MyCZ~)iZwlQmM=RX~+ zCgpZ-Y_j=)whfMCt2XDrFgtA#0 zW|y#9RBxWs4KAP;Xw_cH-h$n`3PZ&z#wgmjj^S5g75E4z{bhM=L^+Ux1#gDb^L?UN za$d9!i)iY4N7s*-ja#X78r{ZRT7&6m++7{l-p`fJ_U;hI-SJiiRBBuzRKhybetCCn zL9y7uD%fm`B3>2>3Eo`7nGZ-I)_iZI{@4qatVg$@pl$3^Phc$v`ff>@a2@Gk8qUkw zqNdpFYFgLUT%rW4;#0-><3KNO?0OvKsNjm0dF&*x0xQLsIXGOOSzf&#h64T(kF!oV zQ%6hjf~BMIT$C)U?tXoWT?CYuwH}WG+Xbbwmb2D_U$ZK_m9Z%bo-<)H?2xfr&%Vu%8$!1kSn8-i{`8=*o$H!6~_4p1D%!=9m zMio$!2&`7Q|C8LdD*M1-?a7uAi@9k5S5$2o(W7a3tUc6cNbTi;9R%~Dn^9An;Ympo zZq_w}=}$fz70aNK0Bf>3ggSDK99Bi;)rv7Jf0e2; zu-=53%J_K5P&TxroqOdLT+snkGf^r<-Hw(IK85S$W}|t6DY%4hugg>(aXx5t*hQ;! zuW0?K4u20Zywr!LocrT}^(-i@g}bmH!dJES(gX+##2SuJj65M{12PfwmqGlFW&Gxq zwSzxkNU3k8T!g1vI&PvLY02F&!&v?UHoJh!CU`m9GRMDH3}G+3C^5MCSU`RR1X&Ls zH$iC?*pIJoeIniZ&fN^yZO!mzMo z92PlQL*gml;4HX5#vjAIA3(x=!brn?zkQPQxVl%;2y#kN{tEaK%-a`JwPA$2ph!bF zbvy`vErk2B*%@{Z2_FPeUD=bm282@`A3dL3M$FKJhgr}e4(L3B1^@(Y&eD*0N?#%T z;zWn=5P*d6^bsJ;*AQw`@}j%Z(CqFV-JCSxXygwCkY*(|mhXf)Ylq{J@0B;TXdd*# zk^ze4pjpbQQyT{6<5?>0(hZZUofGIupg36WdMNIS4{5`U@~v_#zW7A+^9PPnQV*OI z*rB~c@lBxo|2%56Uw60iM5M$~4}*3LeTqn5Eg4UHlkK~yN0+<@h=tFO=hJc|1>!ujVlp6SMqbJf7~HXA6b% zH{g8j{W!RT2Y6d1lEZ`l;NSrs48y@B9t^?31Re~;!FV403kOqqkdA|CJUAT((|OR< z9CXCNBRta{2Qzt)jDs0GXr<2tK9kA@0vQGme%U#@JBH3#P77+87QGJ^kEj0xIe*bZ z^y#RtU%b%K$LSEd5dO!7%gyOuC+NSEz1%*k^O2Jx9(NbAs`NS8=@@EYb`_plyc~$T z=h@fd5^Ao}W3Ln|^r%58!kF&mce}`K^O{g9Mf*`QNhpoDS5Z2glzxZ{B`+gbhWiMi zlLdOdKzG4|{lyvznuanh0eT3p|Bn6Lqh0p5{BN*Vw+H)w4EP)N)o5W#9urF0V-)-L zq~uR^*f&=TiWd?(OQ8J(dN`p=HM9wPgwub`ek78tt^48s8|>BX!M+D3w{3q1)g!Mw z+r`WWk`}k}hf9w@9}6yhEn0m42Txf5>9WEvlg^sF52zMPZ$P>!nJ-d(MCv?B6+z10 zt_NPV#nWnRjt<9^-O*KRtzu~*i+Dqd*m;k-@oY4={vC4T4a%PA88Yr-#J(xm)q<@f z_8g6clj^qst|Rg-K|U|YFNr+dEmam-=$95*{)v=&N2Kl$sd7qv`=Bzy25YVc#70T6 zAkP!z$wa;*$hsz>&cF8x^?dZMq`Eig@r8n!kd~yefrHyYL*NUIF6x&UxVIY9N>2>5 za9ssI3X|aH+p$R#-&GD6xu}<20(~dELbA>2x1r5fJ`ztk4m3(vC`#$64E;OqVw-<7 z1a+JLaHpWHI}oLs&g<`^0Db_$ua-dHVL*Bb^i9Tg>Lo5s!fZX5p~3OAQRs05ZQw8z zCJH|BAMPtA6uh36!pk@%h`WI>6ox^Q(h8w)4TEz2ouqIj1T}?^JBCs?nG_Zyf)$1N z2BaxG7t_@rMq#W5$J5s3IuvH3Fj4R`JS;#pp;{{Z$tN`9F2C%89lUDMDoNS0!dc>V0ro~BwOgZX^jvs`CJS)V5F*>6UV`D<&&^S2WCg0yu3A0{x31S z75xbQUGlYv9xS5mAAsm2i0Zp`Q7qJ5)w`g4@nb`#r)D1Tn=zbUOFa<_yt1mi9E^LT z)pV)r?vPvYc-_$rPTFmpKrMmJBwO4(M|oSY-wVcIgs36jd$trzX3jH0%2=@+!Gtz^Jf0X zI|Joo^*3YUEa@F=ouKxifHo}>I^grL*e0XyH0}pcSB#N(Lacn`bJ9X>b((slcQ_HMp66o$w>4VzM6(}e-pxuR}s(n)1o zlddS|E)D#<1R5pus!Do_n}zJypmwOJD``um)~u`My|U^&C3#9^r|52T`va8n+{vL% zI+tpLh+zK*?vX*$=aMXjGTTn$RvOz3**kW#eg?x1REz(if>f$onKI5cZ$LdvOA~BE z*39h*6FlXbfH%OkMYuLDK#lA5dq&bz@8SHigz9nU_u2)sb@<&eTp*PiNa4tlLTTYr z#v(pgm|LTwPW&K76-9%F5c}^N98#|YbGxkFt*gYSdd&12d?c?va?gN9q*SYo(w(|+KZHgoOi4-#+^vIy`8w$w4$?)nr%&6fv zkq(a3SOh6N4Xo5xaJq-S!bv1td5<&kYT*~UM=ZdXav(f~YH4(tpvxgqr9iP{^I}2J zn@ddJ2F}^|N+ow~NKF3&f8k|ew(zwVnv*n(g=`myBHYD5es2WXDiCFiM)YOR4rq;_ zmZ>x6b2l4%gwHdSUGK~@AY+tfl2|-_0TPP8^JX^K^B}4l>|>4$8thKMnLfv__XE5? zQjMBe*?B|&ayRb!g`n^AnP4NIYDheVqUhw-^T!Oj>i|+7;Gg(^u=X|ZSx@i(TXolZ zvPGj5CQo^;*jHg%baO{z#fU_n<@rlXUrehtx_#VVd593=+n0F`JC`6qC zL>CcCEOUSU7({yph|Yp`Y8~Zqodpq|gcw9ap;n@~p#Bb`TQI;i`w*uPQQjr=QOF{? zsc&F@7tzCD^e-*ai1I9vi)apsMt}%D8lp*J=}+J)5S_svgJ?8}l#hxh8bnv2N~*dL z_kYD?Gm<9ebULKo-Wl`J89(C_>7ZhbC z#cse@xBPt4$FiE)c8|W8hS+jw;M#xoNoa_B!01nyp$#$G61j%BlSD&7lt@=(g-~zB zhYSzPJiu|cvCI%~Da%|5^^~v2B4@RfWad8kY{0-C3f&XI?hiQz_AMCXmS3b`rx11? zPT+qF`=;Fz!hRTx{-x86|1FUVdk%?4fGCl&guRF2Q?P3};5M+20hfY3`8)%AJdzam zEy7dO4ym_=)PNN|4yIM~p^)qOI1dT7a265ql^y*8{-Kz8wWe5(yGnL1$Tp_mrO9`7>#P6@y;e*KDy|I`XKVmLwp#u=1G&6V8W39J zycrm0UpQ(l|w?t*uok7&CRfr66UbLtxe*X1YLvGEz2FS5*aLGGnB<#z?X!6pb$8RrJWA z6G1{uBYmZeRDWP%BYiO58i@nVa?BjlNUyQRr%a@gO2Mj)bg0sueQ-CKp2`tw-&?hj zQY?{cqzH*N76e8T4Yy^a%3T~Iodoj+M*12Ol#%*D^@OWmbJ4HI2nicwU^PQDrxGt9 zl(t^ECOdz0gTG4S04z4HQ6o!)VKIXUu39qCHQJN7s7ik59BZ_{Fh(tx+7QcH!hG=& z>ZBJc0;@J!)6PL;4S)#KOL&R)*l>$B+DDcs-649BL@Pi9Z5CP**Z5D9WFAPAZXxJa z`X+jTb!T@KSXEw<>UePg$rE;}Qj1kw@L9h+i9!BRok7NohQIWH#PAb`t5~gf>p!+vsVD$?Ii6?wZFYt!(U^G+)h$25>>TSa6DwL;>6%x+#D+vBRh$nj?bL;{T}j#C2&!E5zUKn;7xiXIjJu z{u78FSBSr*bvp>+m%*wLA7C|g5oe<+_`5(;=_GfsL@wgtB>HKpApUZvz$mUuXOrYz zkSN4QLN|qYR;Z0jt6Bl|(831%$x|SqA+-Lxv4&4;Mr_e9dG1~{fjM?f|0QZ5Gwz0P< zxr+nvvTFhGDFtvJhEFzKMc;eWSWN4t?x(U&HVD0lxb2JXZs;xc%hH?4oq`$kHBZHX)Z$0`2U!qO|zdR za!qp~iF$zurs-fwT;Cr->;05(e18^nQ@+Q`^rkvi;C@r?Ba#uVAX+gZ1;rL$U}tr1A#&UWj9OYTH_27bp#Qt zv9Vhq=LnQ%`T%(}SnXtEjZx4|S>q8lPtr$WvsLOP6;&li*#Rz%rkNFXk5X}jikDZ3 zPm6M4Q*}xNlY8K6RF8u92d1(&1YhQ!C<<-#5iIeA>o}xwm*h2K)G6bTYA*>(B#%#U zHYR%6l__Xo7nt%K1`QdZrUD3UAgjCRvs=XG!7?37_#7jY7rXV_%iUWq+)>=^5z zc~`qP&S%Wn_9-YmbhD>(2x(Vt$>RE=nz+z$sI@?d8itmQ#h9DIrc z*>>NLb8$yfT`~UP`g+5%&aix8SUw>OAFqG~=`yK45A>^f_a8X;hzEz_poRzg;@~qL zu=J04z|z<7fTe$q10xExFR7mWVTk2_(+jpNA{|6*S;hm1*s_oZ5V56<2N1F4B^;=S zJqkemU|f9JkiBNeUUA5Rk{T5NGf!C5kk+b`ZkF4fEK}TRBa72Gmt53Tc+0?6S8kn_ z+Tsf4L#Gi?sI(Bi!ff}fk?9+`Iu})=<8#x`y1s_8Rr_5X~tD3Lk2vGximTw%X_de6%Ro>PI(qaZ)~m3`fD9^ zwq=)Gi#Y9@^?s*5A;3T3XAiR0#i3A^g|cI?p7qPL8Wi#x|@o#-euAF@}&! zS${Vxb=<41)oEp)rxV#{8CGv9ce&3f_@IGvY=yV%jK8-|!%o>-T82W~=NiI;pcQAP z<3K#9IUT>X;S@la!$T@;N}9S`1Qkk*%`Km??%p-=4)M}5+vMe*;E`xkB>GDv`VNwO zEuUGn@k1m1Sw9rs_C^-hvZC}4j&GgTRUfysNNe}wQ3WbTJ}bwO=G}r*uQt|(9)nyx zIK-}Lqlc<3SoPfiJ*rhb+0&efeW8ooqn)->Hce@UU*s75;g`0 zW-Vn?FcKeZz-xGTCjsB7^1_waAp)J+Xm~xa13$i10IT5~6u1Wp(18LxmV!OL3eaM_ zHvv`Qi2f#8=U;&ay{IyxcNC_YQ!yhnE1EyEm0HsaX0lz)&xEL1s8tp5@I0wPeZBBJ zmFQWBmxq&Ho$wODJf!S-9jAO%$K5T#EiLG^UN{Y}Kk|WRq5men(k&6u2Hw-JpmLHy6&LRMw*Kd|;g4amts?`8Cb? z)&9gLbk>S0fe?lc&w{=2XirvOLMHni`KQLi98D(igDeZI%tdmhWG;Zr1y<%9EfXde zp@3V3r;D>?2?xZoqm+*wdrOSi5-YaU3*$_QErHk)EB3uasf6fSe&I(+H zuZbCf&q3gGR$zBL^(Xe$dg4tH*n&Y9eCpuf%h`*ZVBhk|rF*aiaY02iziN6xl?2+( z3?~#FUWL0!b;Bi!sw9e#9}(WmcypOQtyV`-1)@ma*unMC&6g;r*Yf0geX@Zklk|zt zlZpDI0i}x52jePBJUa}|Uixztx};ZOvsvNKs8{Neyw7H()jb0nfm2*NDBwgfy|}^w z%NsQ3E8xURh%bb7Y2FvmwEmx96z3flFhV6+lz>l+XvRfSBsZI8k)O^=t3MiS;v!pK z1KAppl>;_vit?BMDpHr`t!H^H-`K&54K$B$VQtLl=m(hpZItyLSfZBWV+y!-dO?G9 zS4Ux~Y;yi?d;%BuH*=F@4ypODS zYuhnJKGw^_(cKUX>5`YUZ4oAdiL=clRlKi_k?45Hc* zi!AmNF5?!L zXQ>|A^nwL4*29=-fsDv7W?H~89Y)YzL8+HhBJ#NGDD017|85gSUV=x1_2+<;<3kR( z7RV@$%p4hRpSb~#nz`Y79>hO~9Ne7mH)DD0`_Z_+1R)`wM{(@n1=;KBi%j<-GQFV@ zMu2UjTjG);&2Bq&5yn zE#_26Q9;DM`L#<6aBMJ@fK9_KxU(R;9C!$BJbDEFppgVui^)q3F3&185OfCIqf}}H zloD`*EKxs)=m`?_SKxYTl34n)xQe9!pYz8&6F(q;Hxb&YH^26D;mr)-nKd9sLPzU6 z3$Kp@Z|Zq~H%h^~iq?-2fs5>bWd{ z`jjDgMSlUsx@JM$fl1IrpsoR%0rmd505w{H`tV|?@D!??7di{98q})a6N362*6>Tu z*Px!VL@ucHB)S(w05wyS;KRVU%GEmjF`ym=kpi{$SOaPfoQ`^y-2&8NOxq>`^&r>` zs6WR86yD!p?b><~RQNEPpk{zogW9w)0EK7|YS%>S`^|Y8)Q6U+mqT<8iON9)P%mnd zSo)a?)HK*L0QEMA6sQgag#klzUB&JKiaFVOsunYyi9l5zV?pgpPu&*a7gRBc>Lv)NPc=y_{eGf}Wj=$V15g`5q(B`C?G&i` zz5;4c3sBv+0qWtPbNnESN{*UDd&6Vb9gIw^*t?U+408Ku-7N= zRV1uMX(tn6QeywGMcN zem?lutm-@gE>t~}p11|Apy5vIM} zm0GPnM|+^c5~Vvty-D;Oh}uyd?>1Cpdt9h5gf zQ~#~AwJ8=`BDY@NN}|U>1d#VwlEWQ2HK$zA27dG7<(qsqe3!M@p zy$8|^(qHo|(m$BA-$kADLN|j|BW?UCK4S}bT4!VST*409|ORy*Dgm)`1PoJl1)FgL~b^{kVJ1u1r05=B<`bRzP;)H z2P6t`4+54}?;Z5L9l(tQtUu!r`ldr-Wbq>{vIEWlvU3%(gV|Ii39^M?)yVd<8o93g z2TbDkGRTrFk?YD#5;dGDuKc_qu#lSv?neUg-v)_7HUzq<4BEJJfDGAcVgxZda}yN} zvRFpMo1=zGW7E8Qns6_=x(t7@<2B|+)P~n@3P-3WIk730;R&^Ia{tp|iV38TWo58| z`yG|r3;h?Y+7!E62#12sq_WNQUuQrNC;wg_;LLD{Iuqwtd18tWEy-Yqq&rE>O>R`sF}>M-2`eGST)qc zt%`d)D$Xa=eo#@McC$ooqxcAk{y0ORe*Z&Y4YvZ%Cdo%2QBaSCZl(A>b#vWc2;idS z9B$jb0XyF<`vzUNf$>L})3`Hd3@~1*Fpgoq*dG}T?;kV|Fc?pFSW z{+7s%?C~VpSz+vGNnA6mXYl`gy1_UOx~a(C6&T~PRTi{UeS=^uFYWSF0N!5TfcM!q zFkI3%;6>9nXnTWwgHw=1$}SU&WadJDI6s#V(AZkZ- z+cy}m1;sMgfj8(I+yWUY3>PCrRo~zSyjN@GqHmzhk*IHQ%U0JEwr?;I(o8T`oN7%` z$=H7omD>xA0;@Jf`S*b-+`hqCMET4ZZHfmik=x2#NTOm8p~akHN!$u|K1nVFi9-7B zVL&Q&m_)ss<5Uxzdujd7vASJr5FvY*!+aS(!E60%WcG z20Hcq4}F7Wn8-{t(43EYXiSlG3QTdWGDRo!6Z~}uIWH6it2V{o-vlV#zQLFD-w!$3 z6zeQehU4TPNc1L%V2UM{1aTC^!OtXl0wl^5-JzT68@%1sF-3cQgVjxmp)NkiLQOvz zP_I`|`?8h$3ANh`JqlJ0br-9m+c%g=6`MzEs6Txj*u!lUr<3SY5CQ7Dmc*^V$D-Nr zmx4q=&46yIZ}3HmGjCAS!RaWxixVWVaj3;!?kzfC^5lzUj`;{+x4Spr4K=(OmGZzQ%UzeO@dMFk%)e z;z2>Le?Bf~h4T-A0#sIIb)$efOQdY=4$@jWqwG-4*+SWDsG^kBMQUZU1NF19lVic4 zNGxYyBnC}m`4yvMJ#Ry6Ax}8t{+ajj%Y&1Q=m}#R6^p9(Hc&O8>tRycxD$ZtC57r-n9?7N+~S44`XxYhrq#wpwF2hw zkB2r=uLoNq7uBmI+C!n*$&#Gxp!yz7i2qmEpt=aUDO7t4Dujv`gi37$wOGf=#K+Ya zDs>JU2!#`Q5Rb$HtXCZmtVuAg{l86`jy)nRg#b<%#-G=&J>Ubt}6s%!OBhaoIx}LXzrHw+#uN zbaOuXItF*J9W3^>DdbEzuX41jrg}ifnQ&gbzFf_Ox4ps9?gVI8_L`U{^Fo9L;(P!V zek6NKGuGrqMtiY=EYFK&;K!RIPyfBeN|@RqJytOLtSdTTpy=N*1C(4DR(uPHi@^6*G}Ux7Y)Fst;`2w5A{1vUnRb9 zi(5Z|>#fi=Py@`|wFq}&na@H9iMt?5K&)OcNuBv3i{N^tSf-~bG9eE8IPDB92SG?t zRm!Z;-Z-fLmnNK~342(=WFhP{E7bHU2y->z$(ryRl&K}w;C@k6hgqQyNXT6VS@eOL zuuKuAq39QdFnLz!NgSYgt%agVbqB-#v%yqLD7PGkn%;?Pt8k^oQkV-NCs=QlhJ7EP z9v=lTBO9xhp9rh2Vy7f<@61`aZ#E>ydjfVt@>u9_s=-}d$~YKij(=CK@=e=X;>ClNHI5b?9nvcpGI`|V}0ny4b1WC|vZD8BB8xZ+l z9}{e`bUGFjp+bOoc_}oFE?$JcZlH2ya4|0yHFcPr!7r(IL8o={LG{NXagYU7dLq^d zRpGGyXnXB)b8UZn?K*Rf-6+jFRbR7LGH|7uf@8(C{D~IV;3r;OiNAou6#%@As_>c0 z*OcUCkAqEyJwy68v@9fCTx*hRDZHY!>JQ~r*25vEj*dIa{2N+F*7#U`-H zLTjbzO;mSFd+sauhtLz9?(_u2tT+R7IpL1;g(E@M?-Z2vP0Rln^5-YXdL(<>RTdf~Ww z*Fo*G9wPGWZ0J6!I`S_Fo7YN4vN+^%Z>ZOs? znF~O@q7VM~rwr4%RTI7|gs)3!vhNboMjTI1Nu{sKd;8q}E)4?UQxqB;haP4;tF+glKRcq34i#;{{if%7VyXW)Dw&Jkd2vPTTU`B0qK;+$TdI`MHZ_`z-j8(3TRap2;8SUG&+Lcl5%0NH1nljqA=^9tY8dnBh{aHg9 z?c21*l~Lo$z>7mQl+nIT*Sj*-yE4{$;q{c!zD;Xg8MUsAT4V{zXy2wATp1f&85@uV zDWiRx`mPM$mEj}tQ%3tXZE$5YxH1~>8XIM_YttNPTs;`6qm+LZ4z5~VVKYa&*35yf zYsk2ae-eA!7yqlqznME!wqU4)WW2GFI}>GR1B3L?YWU=c%Nb0~Kq@ zRmDFQiq)hCZL-Jf*Ig5&OQt%ND|4XV26C<9pGw7QvVyjkV%1ra8BV3h94P0LOYB{x zSWPm}#!{?0IWn=S%$Nh^8ptZfUZq$~4$ziTtU4zWs7i=AP;Mbv#nRP^)l_|LCdH~# zp<^Z}w}`A_<~54d)cAJH1mz^6#LP8{)l~F$%mn2moW#uQ6|1S=?U)J5Ni2z(YZa@h z*6o-H%1I!JnKvj_Q=8i{6O@xc5;OaX)l}kk%mn2mki^Uliq+KJcFeTBirbEvwpVN0 zG1K;{Y&&M!UVUxHOxvrk?U-qM^|c){ZLhwzW2WuZ*Mw&3&i?CVO$PV6Igky;J`KBd$uDXirpMOjx%F)1yPb)~{XKm(<0P*Pao zMT&|?Ek*a4=OwbP)O`pLNBK$$>%K_YVe3j7fRshlr9nwy8W1TvY+cC+5Ku}f3zZa9 zx`iTThpj8g0Rom$$|5BN^>2|#*$_`ssQU(N6Qpz$V1+{XS zNZDcQO7?(&DoUwPQcyuFM9L0Z7urkMuA-DmB?UFKQl#v#btR`jKsBXQDJiI-RU&1F ztt;sT0@hHsIT9SnWXlERk~=c z`ugpdNvb?prHj_8uiuWDq@IH{QD46uGfCwJYofk>J7$s^4c0_`{dUYG)flXa`ugpd zN$M_G6ZQ4mF_TnSuqNv3w_~R5)z@~+w7vS;j+wSsU)wR$_Uda}GetNtn1+5{GN+Sg z$sF@6Ecfgr-v&@edGnLs32vkWH&VR31!jsw0Icz@xH;sIQRhHy#@#6WZoO0Z7qacJGyb$7n@S?Ql$_ zHi3bcFk4-NC-Gu19|H7cnUAlL@8{wJ?%b~r#C>A9n70jsjK%Q+xg#ro*u${%0wv4V z=S5A!Q*SGRily1q^I(+8hX+tJ5|jN~@#WL%NGyVl-(<51%Iix2$X`(c6^@UCd|EO;Ts=G`5$AE5e-};8*J*;WDS_%H!2>9 zVpsZVXTzH4hq4(&OV#j|kOoMohHIkl%u3Tcy6rOMEiHo5QKR~oWdpK$b__-Txu>|S zrc73r&>B>KyuJ$h-fGKFb7uta#PCs<6j#c@xH=mJw5Tpm?ro}zj%^#_8S0r$=*#!` z*jAj2fQb7=dqFxLx^g3hu%Egl>Da-k#XUQLARc-Jw8^MAbz3`Hh9M|J>V%CFJ~{qu zpmM_v>ULw@G{w_chQ$YX+?=^g6O4u8c;d8M1n+%Aegqp^Mxvq7IIKI$u;8IIS?<;> zds&u!6$>7Rg!+=DQ!AE>HA}-DK`Fnil2X#Z@*NKAR`ZE8YDF19vXrAV%d3{9T(NWl z%PVAgv=vKN&2pP%@f1roSPIE|V@l$_6PmbT-B?lfCh3arrw+5jfA$Zu0;7`{a%PhxA;h2n(D*w3% zSk;P&op8@A_4{)_5Pyrvman?4F+}>SZmXahc$e$Tl6^DRf(3O}De);-)zL0c2d+FD zcUPbQykCWYiI`{4sm0ijm-!DZO5C5R&MQ6f6o|a~74D z%0(wwIL3X(@-vVJpaGQW8i|FnP_}&G^k`8cTvLAqs5+2pu%$ZGP#t8bu+P%`WKwmv zRC^h!{)TE#FH~O@l-0biE~~q~k2p|wdqR_L>S8UPhQ~q^^vOssXU#}te6L`YH=$~z zH=)wQ)Op@A*l*`ol$-etF@SB(pNkFDMxv)QVr+AM$%ru{a?ctOT^GjM5aYo4f zHq&^Ey~Ms0CpmkGAutP?l(QR{@S<$b;ZJ7^r(BR!Me{4+mQw7)w-6dN#Sy-R2nY$^ zGr{PE8gLD!Cct%ok0_}TfLrtl+WLi&XjNpT-!)Qp`Z*8JKfH7#ULF0Kua2(tyGJHf zB)#*{G5pXQh@#D74o z)+NQTypmH6Id%ImzsjPy;y0)>5DY&)6$lm!0>DQvF`@d>Y5d<1s&a?7)qg$IV70kP z>T&4lg<5~?X63O9!(L>5&8X;~qhq0^idM;5y1PNsh!-a6@|ZIfnm3L9WuG0nWbKSE z`kfG%erLE90-?LT2S1q**cqP5h7Gi5wvE40>2NJdZsm_28qYI$xfT9c_u_W&{4hYU zD_P(7HFz?Jrx+l-(C%*r5giv|9np$2T%%Zhyw}%CjbbI*-$$u2wuKr9Y_6R!W_`m| zV`8AjgOC~|N4;DK)iS?PR$fGNYDZ$fI;Fsow7Aq;+)P7w!g2h8sc~I@I??y?*W(&0 zM%+ht+UZZX-g6f@6;yAnU?0YB?!(3c7j*5EzIio#$j)I8vn^(HH`6)9vb_1CPQhF# zI~&T*Ldqz_&R$c|UXG5&WX-YK*^5hm-5k$VDKRcZ(H}-EQe~GCnyf|^aJU~XLH;Lg zi5_?Sna)ke*TiOD#pS48crNzG%!8KU**sW<0}MiCJI`<-4^W3iA0AiWm`X*{#G0+4 zy|8Nlt*9XznGiKP`1%V%?5EeUa2u3WNaBbss42{z+BuoS&~UpdZdLVWr? zv3(udUoh%se8}?ypf!B8vdnG9Kg$5|o-nO6<2?_0#p> zF?inF6L=0*cV+1saDn|daMXifHNZCR0bqj^u;qvd z|HI!2YzA00uuV@V1hx{4{x|5o3a}3?kqhia5|x7p+PtVqm?UDEzu-=QY85CHs!)Kc zzd}`QyYTA-RTx*0HzgqB3g>&}E>7#fml`e39>j?~Mp)54W^DX`TIhuy0;|TEY9Y7* zxvoEmH}9-*{!kJa!^IgV(I+4R&bTItrB5KnSmx`v89@CCL<;Ke&`yr(26v{r!k9Pd zg3pPf^|&P!3y#ALm}N;${r8&+q`%w+X=~l8pDoA(P*ORz=po2PgpD5(kc+{pK^|oF zcR_CK2jX1~$dDy+L4Hl5UwR41ub&F+a2Col3FkE^;J*(N1@S28rXZdQL7bWD(gVNz z!i8GA8QBN(FC3m}kR7)H^824G$m5}g+3c}9L1rNDc%kZL0m!gb&IOrD{RcxiiP#KF zoQRpM~X`=i5FY?gCh1 zo<9}@*j@8{M53>E67zgyNzQi6vw&t^0TN}NfzVBvXE^_k&vH=aD{^wSndTiF%6f|AJM6-qR}Ug7$X>aW@0{&nFUs{)9x| z_7KpYC=&L0W0}KHRFLd9fVfV}894hE|%)!vlm@_4LirA&xTyELtzLmVsfLH0W z3-qb`U3BJfN_RD1QXqa?;)3`P7ZuZ5--6hUAQ)|M^p%vICLk)nszGEXR^&Sd?%oDO znjz94QWS_k=LZHDf-+1)_YQf#?Pfrn3VpC6A{(~JL*PsWByi?jK_hP^!kHNL>o)-F zyUbRvdzp6$8YXi16U|?PQ5|Mzaw@R%dFjZg>{tyyW8@~=G>1!C59(SBJeDtZtB36m zJ^sC|9%lo9>CpD1>pw-M#Qtw!)mCeMEI`fyia|b*$m_f6obah7>gy04M54Dqgq*Nc zlf=>w!&Ov~;ruZj+SMRZKJ5nWO4p+@)Qv%!HJKoYh&RQCp|3E}X$Mud8}#OP7Fz)- zXZc~Ik7W&JMEs4~=Y?JXtH!pERXf8``#IL?RDY$=Lp6$)(V@y`@K`!PII2_iakJDaG4EP9$ zG^4EgT>w}nkz!eoQN62>FuYKIuxc0HJue~PJD{##&{+e%$`ZMNXOd_fhyZw$CW)oL zNTjjM)hIdud=ZEg;CbH|z~7*0V4DP>$As6U&gd@fQQktmPd-ys+&}DUOy9_eBx!OA z9>s*lm?@F_oag$O(A=A9JSKOjkS{m}wyB zOj7y=ApJ8#+Du_f)XyRMoxcjG_ZG9Sb65rhIHWrM5a>21$XL zsI({P|HXQH`I^e4KHsEUg#4N_5jpkghuHVMD^=~_)b7DA&GgmDBseQB?g#7lh^rH zod^I>9l_gjLUCwEXVz`v|Bq(pM9je^^6)`lSr5NX57Tq-@QL*BMMw)?Xcw?*56^lq z@UYwL+zm#5GIW+)c9A7=o1J?}bQ*}@;cQJ3OMif_jb)bb$22?VfJk}x-dY$)nw@9W z@U4aewARhe6)(8hY_oGJxJ~qa*9+M69MKhwwcC*aywFi#)!3e!8(_$ZQ5+0_KE_sM zX|UB%Dh`gL+T)Q1@ca*~+E#@R1h#TpfU{v_|0>iLiG%T$$W4f+ljtN?DK9islf=?b z!&St=d=wqT!B`L}Yk9DgYSaFM768agEuyP1cbW)ME_e(gKNX0EDMVMXe0~qg3k?IS zM)bn{2@%~6ef)=#G@_^_auH1@Q9g)(=u%A*OTUXyVwvxw=m1e6h_wGdH;7gvStBpB zOzGny$Y7rI*~^vkwx zwp(B1n#U+nJe$$ui0zIUqL}?m+L-uK%S*Cu&C zkNHfC%_i@=!ENGnT~A=s4buch)jO!$Ug##UYHUB>6JX1L4NUS*q1vBq(b(RxL~io# zM4}}i0=B0tiJQEepn!ibNEEUy>wrv>_cErV)>)cUg_93!b6Jx2Zur&_XOWn~^1F$+ zx*JtatF}39F6KY2QA&VF6?_W{Hb$xJ0iztQ93CNr#i-fX{~fH_D4*UP7{$%f7ZJ=` zr~u;d#g@p;X%k5FIBN;^f7c|j^s{jl4u1wk2M&J@L`u7FYfMi2ugYl^UJf5V)~Igk z?H9JnY16iw(<<6_Q>SAtG?7^@LBYl>&v%DebmKLcARa|Z@j|D9Rh#9F*@0QyoOT~T z`7i#Z&GM)va&y{T65RnJnB`_o5=(!S_K0QPi=qRw%mI-y%lm6hPWv~!738#4t-Gl( zRY3)kOljh7YEPZht{J+GoYrv@)N*p#J}^Byq}7tsTI8SSYYQ0t zy$rUUERmbLnn?5)9gp=_u>iiCyBbKc79x@HX!p+DDL_X-CIEjov%K`rYci|7dOn2d2S5$QyFEo0>>q!Wz)Euy>c z3@qy+dIyYt!5iHsU zrh(S-m~chVG}O`peDE~+ScvVa#EvLE4p*_~U|$iQK3J7;G=EGm?TRv1z=(KW+PJw{ zj9q|)oi`Va3m)-@Yg^8NbkmJXuOX&!;cBUcktjDZ3ZpB`mITMggd1<b-5UN0~46mMK)VLRc&4LSO_jUNzi3|1DpA^sHk3O zHdwWbLeaoQdxOqo%kxP5%dgr+wX*`E-VV`t60HIeY`NT$0DB-Oha^vfL^)_@=q8&? z)}JH6$vL4~7}>x7XGn>MYr%?H$o2Kd$PEnR)BvIuMlb^i9+&6=1dcIHsb+uPKN7OZ z-+Hic^e;{$JkbmmT0M1G1W9a#OYqB9xW#f|E+uR6)ZrvdwOZTTJb3U2*7o;yhV2>l z$n0HMJuXH{@j|`9s%;;=Jus`=3SS6DKOagqaV8&{`++f@Cfj4Ry=AB=k9&q7|n z`~z4uw&!mPuw}poCa%5%qyHePkYJl-iQHEBYZ6Tc5wKliN!(WW6OxPpi9%NP9+1fh zq63j}MkmPd4`ce%;<3J(1|Eaw`%b{4TVaXnQe+Y@bU9cxp3jQ|JZ_tH8})b_!7F%5 zEs>ky3rVzq5$=WNXp&g^Ew~C_y@#R$U%dz-g~(q8MA8Zm>LQ4oNvF3TN{r}v@EAnt z5NlfDC}ZV2R6Q?rKUg)Q?p9eB(IPPVn|{)WzMC1qb`iZmq7Oj?L~m%4So$(t1)|Lz z9YmjlNFmxaKy+SbAevrKQHa1uy-hz_*oZmIL~g2i*MiE1WYZ8YqEUMZsFh&Vp#EX? zbwPazMt^_-)yopOpw^LS>yHBJry_+rmM&;wnftq-QUXvTpq+A4l-)nP(W_Q9J^90C zY!!(WO_jh1BkkG(-G6aW8Rf5cEV>Ij0G)nt@(B%m1hR=2`UVrA8r?Xp6;^h-=#r`U zNGL8rc!VW#(QSrwe=mhDO_OlBYAkbz3++%)DAg{7Y6{v5ZTf*HL8nB{I@p18Z!+NE zqdf?TtS*FeF%k&!pM?{h5d@bDX9zXTZ_scqwnQ$RLr9bhA{cjsCc%dpah3TGMF(|i zJctyc``$K))~gwmYR-z`rGfkkzBS;i&AJQ|sTQBjfu}%%sbQ}q0iW*v8~_vgGm%id z(2-!(?s|H9LVRPPoj<2uJ>CsE&Z!| zytPh`S2|NIA8k&?l&Up~Z6?N{U}KbuzhRV-5Q&gJlKS0^>W}yXt2WA#X@OB(FxL|A z6Ls1scUvO2$9n^b3P1#-T%$>1>Hor2g!GdrItb}mAW~*o`4-F~J>IU;)8vcmz^%=%49sjYCqw}U$=o6xl|snUdcm2dG7kF&&%u~ z>p2!VG~GU4ZdBbqxsGCU``{X`qaUMGc=sS!gMeFi0gw9L=>iTy7trU3_7S`|(|0(9eS~&?$rTztXv-=d9V~O0c zDNu4K4DbggKh1j|{{e{ty#94KLmS~-N43ZLS1@X49DQ@(40rw7 zwItpj^;g!fr5hr>^s6WB=jCHK8v5%d#qZ_RczGK}Me!Pa=80eqVyqX0D8){OVt8q$ z(bN0ce-?~z1Z=cH zxr&dhkyLv))D~=qSt7U2Ws_)kg{`|KaqHZXB-wf1(WW&4QIkF^a+b>CY8g{kIsQo% zeQ-Ss<-$!q#lUNnY20NaMJ~n6Lt0RFP()}vP_G|oX7jFJ=LM0&kp{X(m{RDmz5>(} zK&^=Rq!+ZEv~+Go;?VX6?O=ztw@ZuWotfOxqz^Ue*+P>(jwX=|a`2mA;E`QN9<2pb z6n`LZ1S?P31E`6V?zhi?nH%Q)`ffzEA2oJG@=%FrtVoWowX2X>_TMla7BuOeP(9Ya z!i1%-Fuuv6zPr@OPRvEsD6$E2df2HVl3HF7863vGAbROp!!2*gT}Yg#oDnEjSqSB3 zVU2TP>%NJXSBRO$`MR%@{wDl;`fPj+xdykfjRlN}`O>1MQ0nbmj0O>FFi~kiJc5gg zsT52G#4N}w-%9#e)=1X7Use#r<6zYwcD0JQZRA;0q=NzR%MBW-22uAZrhG9K>4ny+ zJFj8_17&39f-R`2zkP0S91`I8M0DpjVz8WHtEoUG@?9jAgF;&{acXf{=hT*2Tnje= zR|f`IEbA(aZ2Z20>us=VT*n!eTdqH!21frNC??M7Ylt+iUcd!V9n9sHvkGsJ_a{WB zvh!KcM~-9@`MIr|_>bY}pnhQ@RWH+5bJtBeW_PCv5ST4?+OK55&yD8a$G3ai#{4WN z=J(zVe!Pzx@gh^bJTTx@|5|?3Rkk$3Px4CKu0W-%ibSz52sWcflE*13GB%@+Vl#RS zk8qrkx+Lt+8ZmG-&RgtDvy%fu6q^;zpV>w!IMmA?=jF|GUTngHTNEVKQKP-#F9cs0 zgVdItp=V+Bz*4!u4qZ6`kyqNL9KawP0Mk8O#?j3+OhMRvYdubW$IHKXsGx>HrIN@? zJIU1g9$p@vImpIArFzexy8#Ytkz_o9h+*Iq<8DcuKbPUxSj>Oo)Q8l^L@IiLHMn4; z@*Y=W>;;%UB$L-jK1!-N?o+gwIPSl(R$3XH=hMVTnzy^3T^Hb=-cZY_rRegZbZm6- zAo-ay5I?PL5t}nnoL{U+WyE~g1fJia9%gXw=j!`6 zn)@To{aEfUIFzE*Sa>o>TA9LVFQ&NJngWc}hp?_u>M50$^9P0*#|GEZl!;_9V(Zxc z06y2TJ-s0tLjw4=25&>dx7GNG&RHcVu?qaO^024wc`>1Hq3Yd7Ly)5F}e z@?w;0co!R9DJAZ|fc4c#a1R#Q-klv>OID1w z)d^d_J;CU|4b+lgOAV1uunX7F2cgIHHIv+zxwh6)EcOz1zJz33tJ&ITd3k2tks?np*_d%8!`2WFNh_e@r6kqJjlU zllR6}G>R>frgRY49|07$;6sY3;9c}LF)g)m8%289axd<$O>{dRo{xH$B;UuG%^9(x z*E{2zSE#^o|79>Ff?pJ#pUmBTro3yovox?2O0ciDI(hoi?Z^@U*`0=k=U=~63+lt% z%8$v=Tz#m_Js%>hLJ|{t55l;-5=AN;~|Vzoz5S>)NWvKnL$r0lnU zOAJ(ThO0Epx~n87Qm04;k+y1a(ROe$F6?8+I!L_?Qrl>!ZgWr&+171?_=s(5g%UC# zBD5_LUiQAY{oSwIPyi*Q5chGb8G_hDbsgu zFWU@-8s2|&8~3?2X562QyNO&5HCi_Ni(q+^lNzo=PAWl8LO|usZJUsA3s~ae3RYC; zS;BET^HC{E?7$K$Kv9a!RKf&P%BnL$4vH$!?8g14-e(gbsKJ&~f_vZ)AKr;t1!GVe zdsc5r0j01%i6}{?uK)3Ka#C5PGzgi!e?*#&W$lL_e@_aIhpQ4PHmR|F#dcDPRVfWb zG1MVZ>^B%lD^|5_#UA+yid8AaZf+38?!=E@{GM>n2MBzz4atnI=M;M|IernsR6xT% z2T%6roj9HJ}F-r(VFVl3c@&4uee91RQCgtJYIB zC1{pm{g*)CO+LsUWa4154VDaq()9< zc>>er^D*%{E(CRuu;9a@rp`BB-J*26v=3YM(zQBBs9B49ye{wZ_xM=wal{wv-;3Hj zRZnd?2BnKy*%{cH;QD#$c;9G6xtaApQ<4LVLX75)Gup71q{_xHQ-+#x|5Oo-^B|CA zEM2L3Q4~>ycqU*lxiZDL{3V;h9TRt--@gtOfmteqy0WH#6`ZeES zz%z``Dq>l8_{eSX=Q!ZG5v(v1Hv?^$7z}v!0gsuo%L1cc1101!L);SW>JS}EqF1P? z7h0f6V(DZ>Mvd^tjC#sJq((iPp2GYRx-rdl8=;mCpt|#^qF`kI6VhyV=p+HY%r(kX_`l0IIkX+Jw;L2T-$gEr19)Ns2|YGk({J*Gdc}sufPdDM)Cd4D1OFUWDYi0o!)Srnouc0A<@MP zWI|xhT>M;&;j1vAHpsU)i%9~V$9Z#v%o(4WX#-7>n}9-QC%id&enHM;dP^o(JS8An zTq{MGk|sur7pV(mGtVO$Yo)6Ded0h;B%H9A;px-1?6`jp_K#qm9Ef+NG09OXLg%O- zT{LQ^614&=Ba{4J@#e82^jtdjl!UAkKHLl`kXh9pWh7o)1ybcHQB!qo&<4kN0~J+W zE$odvn#{F+U4;YJd7p}3i$dB{Jg@<#v6Y8f%FJGhIdaES$%=2mbSk{;L@h7ds7*mzw#l-P3cRp#z0c-~JmAK#Ubrhne*V-`2 zETO1!D4D#_ao5?YwRy0c%H{h$VW-ySe~Ff+ShX~{P|%<7BwL!EVAL(mjB^G+6xxKA z&o18h0>sy#0?E|c1Vf~!*7AS}<)5f8$L2Irw^(LKg`Co3BDmGjgOB5`iRoZY&BD1_ zvbk6^Uc6ApK>f|uQI~sjcsT+`C9~B>uP=np3?L$8GSgT}#WuoVnsnxri&}VU3O#kw zSMXF!d1^6m!c&*SPX3A&ST-u}6g5*9+>MiF(pV-liD>PLO&+0ysIE0ZlIlAB=x zJIo!*hgEoi(G3+fvaLd#ag8J!q9OAlHn4dVUJx8dNAX{;@>vGOMm6dIdz#W`QL+-jTBv3iz`@qS~iF zA3{xB1Auj&%4h_Oet2<)fR-Qlwlm(|L8l|QEGFSxgRzdH*OQoIPMFw#|y|T*X#T$d`gGUYrJM_#mk+l@w@MggbJ% zH-~pxw(Y25Nc}<(lb=}LY-DAzk&0uCZz@eu~#AD0FJc;%Rc0eC0PcouSo1mKC5$PK_*BpSlR;)V86BpPEZ zGntWK#^1+*Mk#mWToZv^krw3nhrs8i=6G(Qj&nO9iTV1BBR-tg+EWabIe)3lCaZ7r zO6(~HRAOB81 zlofNao)IPTZ6$K5D>6M0**$?s%zGm$LZzo_)c;T_HX+C{+4QuMH_(;$ChVwCzqTkq zJ&35I`5Q$XP-7aM#=O9YJyD5$T#3C&iCxVzgZ!F6?8S-2Mspe=6_UkGU5w;2mE@C@ zWMzlU8(qo01IgWXMDp`F+7y>6$v;ZerthhA-ouq#w$zwn;VbQ#;!GoUiV}Oj61z$C z&U}k!#uOI?V$U{WgH>5v`^n17M2y^kG~rg-H0xlsygxTLdfJ^*d_e+GAnZjBt@XYL@7^3ZK{nVuZ=|iivH>*=8jmF9$onw2$CX`J|bb{%9RMRV><{} zWYbrXSdZNz(RW(0MPj*Kc5G6=i+m~tA9agh;;Xe3CMwQP%lf0oUqLCq_1rrNH25?s_n4wywn+Y z;2HUfZZMHQXW{1N(XpPpu1QY9$7T3~teYl3={w6$W^eh)>W3fIrT}1XJjWn@{13eo5j27T;QHVjLgpdT zDD>fIh(zRC9>4}u-Lc-q)I-fWbkIWbOGoip(e|Yb-7W#N+Me1fj<6Ufc6~BG6g47u zR1|Fz*1TnH`q0=g>jl`5U&snCK!h%c%w>$G-u@mO19xl$9o*4`9~k&M`APp$elo9@ zpR5h|QSSKld2vVZ+Tuca7$#5Z7mkX)4LNJcf=|#;hs+_0e^tQ$GWbVFH_V1hIghHQ zL??&*qhEsD*d>kQN1UsY*NUW_Z^CXB)I1tGax@ZkEb9Oai~Xc;VC7h-FD~KhoiKUp zuM+Bq%#V!vn}Oq-N{t%SX9XXH`G<*6CJ8?hoy{6Ad9^WhWhj0MrphXk@k|l#9853R z!tXOp8Hna>#!1ptaQ<38HDK~I+zbxVksqfF`DD> zsns|xUVJ1IIQ~P2NQPGk@QX!L8Jsy+_f%ISq%B&`ksF>ha(O#wc*d%6vZ7&kO-V+< zNp-o3NIG$9G2=bhijVZ~pqjCFd{`Tb>orA*P~?Z|u%MGA1wz1mC&5Pnw^)?IK&7an z1G?t9)CP+ZwNi_pBbi>RIA@%5Q?2nRTFz?#a}Uj=i-<+AXi^P0y~SD}$uQr-FpH(H zsTK$-KCge4aWrS5k}XB3c3@qtten8-0#*6Y8v90KQkrJ3$0q@U%clXTy6jwhh^kxj z)+;1K!CSPP5|XebUeR)%V=d-`vMMO>#bFR=s8S)sc=tQ_$dmGs0a|om55b+F1DnBi zo55OMLCZt&7&XM33jA!vOg3%)yKsP)RMgZVwfIi49jIk{A5c#dT~#a|sFo;g^uikv z=12s%zZXr0hZ49ZFGS?9Fys)Kv=~br^$mGb0>dYDJMNzgwC4CG3UPI;10!^-?<;$d z@8Tb*HSCq&CD^Ykc%MSQ2qVSD{r5o{phu2XFU^#9A~5LtGj1bP@59$#D+02>NJu(w zzprBY%x4rZJdJNknv5tSs+tb)B5%OW(Rxe;~rFw`)5ID%YYjsHCr!|isD<4Tv1m9 zWh7Qkf>p|@!~>a4?@HYDUIp6@e@fyGerH7OO{%vQ)rX4eJN)>4NhK{@+x1R=_P)}%i~(fcPnDfOX=C870?Wj^wbswfBP8!DKJDGsnZZ3DJ{n}t0a z63V|NeJtxfBH7y`?A2!kuumMG5cUKx`a@731oqyBNW<<+g}hKVeObZ2OlhmY9spK# zG!g2k6cCj;vz#W0MZ}%zry%&=LGYp1SCe|1hISzIv+wX)kM?w+Eq)u&>J_wCsqKkK z5SahMY^nC>mB%H7HUo?j?*i>aL!_Y{_g{f_xW25QJqnhP%f5C78__UAWgw( zlEUXn{Y;(7AtsD80SZ|x589sy>vn5GWvG0T4;eJtxos&^Bz3%&zvu{@TQ5X%xU z`W}K%u-s&bG?wcZ2$qY?<&|Yzh-SKn>&bf>c$Ge-Gtqy|fMdO+x-Ug_1*hN%w3TuH zNyuU~)gHQiY+_VbLW)7P3sE&GRJ#$?f>}g$23WO+-aa-Vs@gb+7ef_6wZIT*RP+8L zsAA^w$})H2ayO#-7kCw_uZw`{Wcbues^>ye@z7s54;p9HhVw&~EnhWb`2kUjWGL^P ztJjzOGWook>W;i2p%a#Tx*L^5zok^}AB=K6c3rTDG+B>6W&k8VCLbdg_Xe9h27~0L zE|w#TZjtWq$ZKgx;IjC1yebE$asNen2cx(!G{N_4lp?!7uDUn9c^ykcQy9GdMyO;u zW>M|N3e2Y@3eE*HtQ$wa1vehS0FPx&q-Q=yE%ZV$uxdAUI3}SR`-0K`32`HC{QPK5 zq}}*2ltlG-%UoVr=DtIJ6?tCl?0=qwdf9v)$m+2t-dE3 zQ{X&yK5oWP$MLF1OdkDKW`-7cO+Ew}5(vNx3<-&9-}wZ;8OJZ{qs z%tfJaT7ETVC()J5slYs9DdrIuwi<0oG)Vi|3sxvQPfVYA4;GWd1DBvUf8Aq{FT2jO zm9596vhx+yRf_5qQoW+6bRY0dQcYA;Cn~B#NEK65xqCD&153 z7pX2(RDZo8dVNo-5u}or0{Ng4K;;2s9Gf|_)s`J$)EobBd>B(Oi9+wdLK}LkA-jB% zl#>;rvKJz~;QP~H)uDI$(1fA)C>Z@4P&Xv>E;K|s^v2N20o;8meF ze;SI!hBYB+z0i}2hJ6MHTLy097=0fOkANIEbXPWty2#XjA?8{wMB9v=2$3d9{`5K^ z-k=~3V9C>vvAodXVAT*89F-8_Yhd(eBRmD-G()5z-uS3Myj)+FWx1J`(^aNpQVdpw zu>4k3uKiJKkootbChU|R$jJ%(@?|OZ`cjL#pc@b1K>HAcJ8i+unC-AIZ3}lkp%l$4#ABFc!wPK=JSv zijIey_)|X^c7!eY!tn-YPLA`#n2W#^DO#n}C7n88#F!l3f+hg#w3`M_dE4&Hpq}O+ zv*3+|pa&j8DlQv^0?<+H3ZTdheTjEDG}ju`pQwt??i0-Vph_m8t5rLBK%!e5Dj>46 z`(Q#pKLiEp(~H7eFrymw@hojvc+2%KY-5lR#k<00D;7yzw=s38ha#C*z=u$823@%) z)B^}TK$u9XvlNJtis}qfH9bVXhPkqKSa`jxP0J+dI7Ql5kseLb_dqIdDkC&yQvG^N za9}*d%$Q7`vlY*`n4o|X`;+HEEnayAng=tb+AC~t`5-dvkBYA5WKOK-CCnE1dNTOS zRim%pyPWqRLuE@*!Iq?3yJA|7ul(N1(ug_7>MGfh5!MgkfgbA@e>Uuv5eEHX_XdRt+e(+TU+UcmPWyV)=67iqpdbxzBX8^ zCkESC+lpf4|GsPOea@N5B%p2m_j{fnk7mxib=D9Uv0#Mb2IwWHfo7~mijKJmGMJ3 zI<2j)O|zv71mNg2{-V`8@RJ&GU}GaQ<7O`#XD;srEy;@h!_Py}TXzGnc^m%F`>yeA(RJ`7z0__0n>70Hpbw4-~+i<&J}I0?c(-( zc2o3OJi#DFe-nxLt`gDzun_SKiTE1GFOrq7;vT=DVPPV+#<{XMx&sRYTS+y@c?1&X zs)V(>wYy7(mMH9KD_EEojkA#k$hTGG>!b2uQu(k_Ia8@Thg80|o}SNw!y&_YYsV1_PuYuWx{^Yl2`~{lnlwqZe+vC^^&m_1 zKwM;A7e@~$IreY9snU>D6z&3u1s}jr-l)d+;bq!iQ=vc8E=0dfqN89JozfniwTcl+ zXBP4vRHy`goP~taVVrT%c_j=yQ2#U7Q<*}bj3^O6vCRQ-Fxyo|YDkiU8hh01xJw1~ zBE`Ia<|)bq4l27SlUVZ8kb>hp#qm>vgP*5=-#Gp*Eax>E9G7@F*6t3e5zxg)x4ewM zDT*EoPQhdYq>W)L{`H+3TTduBP!_RD7az5ObwDaxxZBvOV#0aCA#Cz;!!`)c@NfY2 zr?2iyKh^4{Cs+6JG}X=WS67lDeJA(FN4NY|c1Ew)ZThljn>LTcR2i%nNc1nJHu)L# zF+;=7e~?Ex4N!^3#Lxo)Jp*+ZzJA%r%wrTJx`2Q8K{J|S7FG#p809wJDi>hw76<|qk+?y zz{)E=>P%2Zl8)fD6`q>DhodE)j_2dhM-OVD!lOl1(c?^Ui^yu#c`AEj8Ha#Mfl1-? z*Pk26sb_A5(e>J;$JwP1P&~CYiK;XfUJTNgP@kuhWGhbBV6h7h!3Mjwl$54iou7pViM*|4+Q#mE9@=~6XJ{&gvEV^) zwduy)uU32G?&rzCa0c7){5N6DPN<&a?k-H8nsImJbo4hE6s8=W`6dcF6Cg_&cZV#H z8Fyzx@SxyOo4lhv4>Z{pzL91B4w+~;d>!afhci4c!QVcOIxru8=E=C_RX1qcIrT$9zQ;KinYE_ZmwTM|{0N+nR?go>_0DN^~qzaojBG`=m1$1s@MSG2vus+{Xdt ze1+xnsU&hpXgF$aKT#$7%J)UGS5jTS7{ib`xB(qJBvFPYh@L?nM&IDmz7@c$%shNM zwOyt{IKF*Z`kV%ox*u+%eXOGYl%n5*ALk;VNA~%Obc_$_7#Hc1bV#WUvxxL8MS89x zy`M;5L4ic@#q&=N(2WRXZdBk4&9y@)jIQsb6Vt(t3C&ZzW$;cQGl5|SYn`ICKD=2Z z^-I=Ti&}A)wg5KVYPE_n|WEZ^8CR z=>>dcTA35Yl7eP5V@sLY@_rZzU!;UT@}Mx^L>s7&vK{qYoGBGQA4}F|*gZt=irEv~ zh;&7UxkJx#Z${#VPw5m-$I&Ng%+&tmaVU}7z`h=TKr23VuC(IHgp5ofia_6$vGu#9 z5A!wDwKz@(k)3a|Wb|*v6Cbsjd_%IQ;(iL7ir1H(N4Ghwtyyqm9!wB&Qc4o)zMyxI zB#l)@d4xCbF2PV_YYNHmX+NiUza|Y5>A~iSE|Q&yJi#BG95Xv+R}^w^3qroBz#QzN ztB7>V?Ic*$Xa6X(Uf%!!6cHOepBM&=-GVAEb6D7Ekhe(PlXDK+)J{}4KBVYypUOHU zySKAHk=huOfZQEN>E#%gb7PITPi1r=8~}Ih zRCQ#)Nn!po*0c-?&JSI*${qabs6KLck= z`)YvrFS#j*G49GJJO#o+qfbQfiy|-9`5bn#yqq=*f%?=F9dLQDzKle zLr5OA6GDgu|8x$i+*9{?$Tyih&Kuhq;R%@3EtH#OAw*szfQx0JUQ1iy<9E1uxQ4MS z`ipfC@4J|tPA-6``5`xrfY@|pXGx&&(KYyls@bK)eJ9j4YH6%#6B`em8$P(1k=dG! zW=BQ`nhCAnkmWvOml&tdjS6$;ayKT#yAN7^CF=dM&aQtJY=?R#9#Tk*8t!isMpvIWTVT&V{^|T2pi>LqJD>bV#C4s z8hLKxLM80wFm0}T7&^u#l#&W0N?{6X`@IuBPCa?z>+1Q zFXZ2z6C4l!hW;P6-pJ2)MxWf*$5t zCRlp=cmrgDr8k=4R${@IY;yAQ%zN;;&aZE?Vd4d#$Lzi{o~U;ixxqS{Z^N{?tTiZG zc!RYP9E})m=l(Xs^x78*A9+92uB?-_Y`T?;BD*Q8-rLD?Z1gt7;cNxh6;U^-U+R+J zHm((Ii!}x4s$$q0x~%+Qe{o z!@RK5$867z2iL)fV`#UG~6Tuq*z<*eg^t1r$Xh=3; z$gdDnw69eWY{tG6wCMlh$NBnNiomE#j=(p7rk8vFLLmpgftVZ^%x=9GSRVII!u^cJ z_5p73&usBjr*#2T2LS_Brwz!r-!Ap#U(T3m`(mn2HHtzuya?ux$EH=C{_g_#$rhtJ z+bxh$ogaf-l>MenPF9^$$kClBE3t#~ZpHgoojfp%YcE{YSr1Kazfxl2xtXNVJ-AU3Nbj9ECW5J+jYc?l+~hrO_8u9Z(M_) z;Jc8&GPObmC7CV1AQiD7=S#fN@;8vEV2@nqjh2r=wcDT7w)hU!eh*AM7ThFlDpq`g zt#(KI7@)B7eC68!J`~0(r%Cr5?Kap^KA=Am=w%oZsCmW%8S4XimLNL-qO_d}+EfwY z1^4xS>`+VetV{Oovw>D8%Y0@U0)AOB9{BT>+K1F9%G+B4e7 z#pq}^8V>dU+$Qg6Kfx!9kCD_ZD66tK5%eU2hr;Q=SaI(_u#Hp5(O|s}un(8XguF_; z=@F8Ls1B`gj*tld2IOG#Gic%Vy~0V}hE1YtzaowC|ABvNZ6F-}_0|C*Ca>;AZ}H%2 zv8BU@$JP@rZFd|W-7*$D@)&qx-%~>XMsf5eIFGPC=R1+anK_`n-xT8VNnHPp96JwM zso+|af@?RV*Pfxn*#{L@HgSFJ0B~gsuKh6jrBgjd5j0z2=@F}VkmK+uP^6|mfb`f= z!(+jVP#*Dh@I3rB3lz>0lSg#(YthYH^}hcbp!)JD6nHBpl%RIQPy*`P%7G%(XE>VN zGi(NvsxYry?HP6$64;(8(#U_BVmJw2Bo=&9{T#dJX&*JZnQYjjP|(SRDv4pAg5eg@KBB>6 zkP6I4@`rpIhc*(#dwhEi?ax2A^+dry&KM!?xPKQUq_ZQFzrgJGW^dh1?1){Tl zVmw)zi28-^(>eHCa^uHT_Un+{V>v;u)xozMOb zN5=j%{@9Z#mZOFj#?AH&nE^Ny7YFaJWLQdiK~D#WY(G|QrTJ@OcN_5ItXfH@u*#6v zTO<+i=-bCYgN0~+)ZDs(x;eO!#z$X|ax%sdPz-YzQODMkdvtFs9&O7Z5&GhOg-gLP z3;%U0Qd^HWZB2|d_45r8D^Eue1wzrkDxBn)L>s+}RxMaft>P4z6o|HAuP`bd{e#c| zzIG~~(ObuXu2_7PRIq-d{K&dZfj!|BN2STDb+Ja-9v3y*dM$iPI&BSOt;24Q`{MmT zlB?P}j%L658fxnqC~CCz%b9F*u#v2-x3INt2VbJCt34pUw%$yjN-~e{FM1#+`xN>Q z1epR5)z4D>#3=aWsK1N4tvP!X8kzPbwcH&^Q2rniC92TsBI@)~ib79RU#6$xT3_(s+HD z6(AfsZUk%=vl-SbHifGqq)YS0eQmTXjQb=z^VT-RqNzQ@s}OA>cntv27zo2F+^5U% z#D6h~Q&Vs4S+fG9R!w=lMf z^E3F(;Ex{s$I+(U8CPJ}jGXCx9HHx-P-5l2st$GfcF))ij5>L_N3JWyR-S}&D}hU@yQJxk{IkKQjINp1TY$DOz!?N~88_psF`5r~L;hx1{|_j7JNMCHGPfGf zkar7yoL_&E+R3&7Eav|jWz&CQqKTNLwskC|q)BZA!e%-tk}WGsu~P7&ds47B1!>?T z+D72MSuSq50J{{eBmk^WJ~(i6I!ugtMHW(_mb6uPBBEfObPefC)J9}Wpg~vw6>~zU zWTJWQ!Ly_%!Wm>(k`v(^vW{oBHodadef4g>x)T?*D6S0s5p*t~Of<`PyW`SI{P3 zXGQV6=Qm25WFpDA5PmGFh{)DOiM_S9k#Gkj2@Ui`$l+im@t|g(x z)ECtgzOFX-DDqY$^rB69oSqTx7ec|i4rf7 z9vTi!Ha{E+V)}c(;wqmu0{l_mHGbORG8(u`VGm~Of%AB}H@^B^++~Psk#_{s#W@fQ zf-@Q}eQg9^R}~Az23_&;UltO$EEI7pxeX|gL~a|f_Kjd^Z@P1U-}Uj$y86yDNHC)= zJpTrLu(to*C<+@ejLjjM3AtvWD%V67d*wVE0JUu#n z4>rXQq3&+$lkiMmm8-z`a0*n-?#a)AtEGaVoOKTXQ0-zGtc=RTv^2_ZP)ZIUTu78C z%}K)ODZHmLS>OwGV5hmXglfUXjH0L&*h&qudKvlhLED_?tor4#LBU6v>_WM@rC-h! z>|CLFZ@{Y&-pvc6&s8Um5cUk?&c)FJ1}1Mhxe52cp-y4pcwY2NFy46nF8nyX*Na0{ z*h!K8Mhb^oHW3{it(^aQrFXRH#-9*)yplp+Sq2oYNh<Y!zSuv@CiZbtCCKcNjf~!g}D|o{df;Q zsVQ)1Is7*B#QN8f#JY^J^At@FRspdgNW*o+syQY&_UJbOrC`CcM@+XN2ERfKSQBFI z#uaHdt#djBEUeHa4NA-s&A{HtnB64f#v@r3v2T@^dt0jp@ak# zdrVIbMhe5o>_!~IZY|9nT{`H6b-71EbaxMof8c>$_?M1=1R5Pu4}e%PNNF>@Dr(}> zq@8BpixS3}l%iOhqa1BDR6z{sslqlZj9z24Lz0e37>!H2C3Ptdpn4S!FUJUX04mXcr3)@skL{cu_HJ44zw`{uT z(uEQ`^&<^E6WTI~>&^q?I({+sVM#NRBSfN`!41f1>@SKHXPHg((RWZESyJAOT)VXf z&@*IfYYp8-W~c!&<3_5%YJgHCoTI^;owo2#chImH|C%|1Hc$k4&E@vE2o(P* z6TBy!v)OYFoUO52hOkCJlEoDD9BEKUBx4EZXZO5^T?%?elv=>H=J)5`t%KCua)kU_ zg&h@Z$?Z7BmMSrOX7&?WHe8s*q|EnnljsZth3)@CO^xS``kcgxWANkbnD17RS`q~0 zyoFqDmNLjo*amg$-KqdmVrfi-3r%~V%MF+C+0a^WMM0TfUP&(s#iK1Waom7*CeM5o zUFL;3eAVk3x5k<_0%&|ct~qGlb3*2)-Wd&V+Tq*$k@!5mMvJ+5c%OK%9WM~`z8;|H zr1*Z0Q4F4e(oDE&B_?vd@{5oNL_mq`Z4-%{`B_Nh!E%vEYAK9SiefXVLinA6M&o(? zQpjv1lkk`Ub;s|=;Vn@15tN;k;ef|V>w?hQ|;N>`k) zA@^-efVX4ym(soW4w3Fyl6(1esnV5FD&3Gzx{&hKl(tB@2;XNDDO1=g_vW1tI8rI| z6?k-oUT}FqNpbYK;%FDd4;wX2@M98zCcw^J@^lsGbB-iWyKonZ<>?DgrSi0gT55P2 zOP(H5o__ur;b{%9I%__W%9E5*O))%K%_*hkfaIAV*=msC!ml}c_hTv#V+;?EDG%$v zfXZk6PDlny?J<^Y08gWQJU!Z#$`dF;sjsT-_0-?}!HCn+U7U0q8a ziejZp^>^lc{hcz8zlHIu!}1=#K1Y8Skmt_*T8r>Jr=XM#IHx$;TO93?7R)s*IF}+v z3;s^I{{qb2DR~y$o^+(wg3mme%5A@o+l468{-Sbwh;n-zxqaxGRBok|a%;FN74F~z z4EIx%`}p;S+XaT(Iv=M*bo0sKr+lTv3!8tk$d{q_)`;3-+&y*d`P$!=MNtQj6W#7*9%08%NyS$!(Lqcm4 z`6$zVh?LLUb-PHa5m=q|SBa#c$?RnVvG9o2j$7Ed9?k+8T41vlxQYc9X@S&gL?`y+ z0MPmpd1_51xy?I=>8w~%?W)WRZxvl^i)RvGCmwyYxnu+L~dJpk2 zx9yihF?Sit*mF65StraLOy=&tGSxOb=1Q}b_?gBpOi9a}@$_&4gE1%mPPo&n6OwrQ zDccjgO;FzMXMl1oI;q~XaLK)GxLvP%yF&`X3-qK!Z#mex-70YeLvq0waM*XVS5?;YteG^aC_ zA(V1`>n?P+?MsxQ)>dI?E%Kd7b5k2jN(sR;m7&-aaT`U}ZNwRJlc7N@i}3_l*ijtq zE{Z;t#KS>h%rD=dr#oQ5m0FFV@M3CV3Ks%6P z*1kq-f1_Dw-ifAhzFsOca{zM|?Fub_vkL4u1`fYPf6;q?h9{J(9v?&~yV3o$H){oL zTEQe%FwItArN{}WOMG;rN7fsWeQB{UpfX!-V`$^!(9T$W5{Y2lj`%WsQm!N!pHeao zRWfSXHrp;wZ5t_dU{dB;Du0Ddz6$?_KBWB)t#HGwBG}_tVbOpJrPP5{i0Y`LXnjl= znE)iliq>mI=V(P;6!0HQgfk1z)fl<(0&LE-n|VN3jR|%;PFNZX_bHlBbAb;Sf|d+syphJg=NAHO=e0K25=F6bzZg z8xI*YhHL5;lb2y?={w1n>(vALJTh!@3~|^S8WRG&1?}K0nC+U-=V;e3p)UzkemC9tXW{&N=LbC#I{HyDp{-EhtrYE~NT+N? z{x+c7H!A*FioYEAoZ*V!r|{W6{cB*UuV|@kEj5{?o|u)Y@KP#PjCwh3_c@s-JqHim{w*bK%`GCZ zU&ADw2?I!zQiGBPiXm0Z^=P@R_L^-aTAU4J{(us_2$eb~fau=cm^K#1Q1q)i)NPsT zGgt$R0PD|OZ+F>O{#+Y4l%RAc4d}Fs8vy1$a_cqgp zU#4q-I381y%h<&nL6ZNM2^1N`ac76yZmW>ojD-~t&LvDzp-G(7ZsdxrkFZT7%=nkR z@N(_Hl3McaTO+M|3C&?nQL5reDOVOQ1BT$^4Z&SX@Ym=(KY?DxuCxomFFo?X2;S@? zcqR#cP6__=O+xU)@Qcn@FH0p@N)1Zzm*`9(;TM(QH|c~Q_!$V6saGT62_C_mX1BI( zWcLWlwC_?<7Ah$VNXo|skRl#JNYPNwjRSN(%r)JQ8=_uOqOK!RA0tsbg=Cxkp6#v_ z4N)CF`J73j`jn_&gBSR>f5M?Sx6VwJkCXyYm?VW(Q%@~%Ni(D_aX+VDg2diX;&#wK z-T0W$g0edAeP`=?`?z^2_{%?z0l|TSt^W|{QB#GK(O)Hwc-}pN%3LVXU2MPb^y?Wy zqj;&WlHNpwk_)uq10_B9KuN(T3a)dvC~}6nyZ2RkDp@jtNuqDj)#`IVhNdDj9OfcL z?-mM4R`=Qi&|=Uf?`*RQlU~8uJgxyIGTxErx&)%-qL<&rO(bkqEiyv+>1= zhD_ih_40a9Bf~MnObD9VDg4?4o=7P}=DGTVC=?xZ>~@7g)AQslig%;ViCj5o{vAUlek1y9_}3SrBL8j{`Ns=sarwtHlWpVO-C`OKQn{y4q_T%v zARrR*gH7)3$!r~t%};ij1!vV|qnL^w2%)T$2iHimcnF{0tss*CV|7SAYJ9FNSd7U0 z)MrpZ(t^cjZuTu$ti_96umJTePAqmK8MYR6;;SoWH9MaAbMFejFh$xy`JK$AS%iAHxlEBNM^J zc!JINKHigM)y}Tt!Fy&pCXKg-amLerfZ5`vwxRZE7Ciu=*Sf5RMA}Vl0?} z=jJ`PWZpKJ_9+V7a-NuK@VUP!SZ2$~YP*Wg_|zCYL;H>Nweh^nmBZ-1ve7L$k4D5b zC@d=(RhS6g4pjWtcN3~#Yw$L5O^_x?Nr{^l4bB5#-%OK$46(&R0HJ^>2#(k) z1F-a=a}8#*A}!@d4KIUB-lpS=L`(1o!cryW-ooIv-?IoyVI4ECoPC8oJM=s>+-aPq z+_ldKH+n=0$TE@IcXBNF(3=ou$FOMd2YA>{5M0TL?Rxtzm3lzsfE|Q(aogR!9bAC2 zAgaHw0pL)#43?5R^Cc8XI9~xaG{Ow!+inzw6frvBt^`zL_ke`4y0{a=6HN@^7YM^L zjzF9QNH9-P83A&MSoYqvirLDz=22Kw`$lc&(;Fd=czzYS2H;J# zRd|G_5uICD16=#GSmZIpd!1M<@0Z(n8wuSLF~BVxbw3nKQ`QK&O57SbZ8ITFqxibcw0O1Z`yh&kJ% z{wyE$pC$DpNd1#x>Sl0hzt^NQfmUTW>twG!$Prh3blL=@C0kXZP0)ZJ5(h%?|lUui$rsc!n&6zZ^a>m=$0Sx zFF(7PdXeS+Z%(jxrJ1Y9^anl5MA;9lw;VTb>i}v*z?+~2YCvbp!Fh8DvMCEm%l*XQ z9GpOWkg_Lb7blbwt^HM|RF}7aVq=_0Rz0MpVkA0`|Ho}?N zcj|8AA?=_#&==HQT3T7OB*#L5*F8d%xkS|Pw7y3v&5em%0WG4I?a7DiArpH0o4L*n zSgyMmYM+o)DmqFCv!Vt{kZ8i_>90{IEzb~2@O-8~2hYTFj^tAJECe2dM@p(*Ely3u zkjm0&BR)0`NF(&|c@7iK*41DHG0<_z*;46rV3y zyQV{sWuQ0f0EP^yCqDYlS3y>^Ems3N%CZBm8vrpt3nyOWYGER{1m*Y-$}!hGdRwbQ zP*DdJz{@6_2;Gr5%%%`po7TeF6XfgrQv!9G6521C$UJHW6f%P-4mFut4CAn9o7by( zkI}Xg7E;_~0p&5SmYAI=yP1R@bp}z z`XVhPRd;z+yN@{O@1?qr(KaIRhC{j1bmohPh73^-GV29Gkd6%U@QVq(Gx*U`$fjH8 zN5mk-;>G8V{G{lhj_n%ig#{ZXnFCSg_^Nh4lq!(obLv?>ScpY>W7cfau{{pXY%89BF2WjTOCB~Pt3&Nif1oXuMTrqvd!$>gk3EQ(FH+3kTr8Ng zi1`{|R_XwJ7U!fmAwwcbTo1MO!P@uSNvBxw>X#W@^QNuLmYoneRRSmVkM@G==c?h5 zilwUj2WnBrYPbX3e}IC}6c_e6;)0StL-28rg|)kL1H+PTO+y%xXzkv|s~+riVwrftXLFF& zyiIcOktuoYJx3gMFz-npvs-~3%$nQxMk&Nc0Rg6tF#Mhheh|O)042mPKk?RnzF{vv z?~&1-5v*Q_7LT^Rh^)n#f9ZHL^CPXll|ot;0B-{zCIE#S9&ZT}32HLPS{((JpLpI~ z%ZJeloH7x&W;SnQW}smKblHG48nCm|fL&A=UvDTd&@&ZU4eEtl884W2`RJROSwiod znd3UlSCBEQM14A3!ajVE{adKS9aDq{HU^aC*Qzq@aIXkAQangPFTW@DRDAMmcI3~ZT%2foeUF9l) za>2cCMadMm1%jAb7&EsB>07j|ON@8);$K3$3H#IkPQVY-k?~FsMAov)_<*?4?j^WYP#~_E8 z{KfbW1&%=hw1vaANK!ikw6Nck4T0QG$(*X(sBOM^CPih%Ajni*Ju#&8+Xdjs>$mO5 z28)8w;8PUo%6B+ueOF$1$93k6$h&N+h!ZV2N5+d9Nx0=1}lMmVEhU-5^@V&iTc+7u~)n9|?0`U-vn&`OcQ8*8M@*k$`rXY5`OkAy2P-H#ER4-J$*qOy2J7g zh6ehX6`2yjn%FeYrVsOe*53G z^}-a=y`$KA#VBf8Z|9D*t@r#Q0DljfC9QX_1v0I-UI-4}W|Mccf6UizRF!9T>35l=6c*X`^F_Cm@!W_i@Fujd)%H9NS2z ztT(~I;7u%h18cCB#VHF!fG~OZt_fgce&1oHJ z+h#HfI!D5Qq-};-Ak#K)3!%Z+9&yW_2%4;A9mI;Bg*Iv1TmpK)$EHO1C^n5Grp6>& z(b&c_x~o3nFbCA!v0?8dvsv-cY@Wg>$7NVdAH9Toy>)`0uRlLqUYDBOBhQgnjIadw zdIg?uUSHGJv(A$g2D^rf@^O-q;iVXw#lLH*E422I z2h*3!QfA5}KKgYPREEL1;d!*1Ov#H_FeoH&$mFio*KG4D^+ekyddTm+L`d4;G4gFq z*=SP|^2r3eDHn;R&3wi`gYzp2PlYilJ2a)krX-resF42zAkkEq{92OyI?w+aAARPz zC>L#;k5q$yN}i-F^-|88C@HmG%BdGg$|^7A6-{aKQdV3nFzb+#GIJ_6@(9<3ooO^8 zoo9qfjheauDjgp^4?ntYY`w?vCyQ6`ZZ`_qKm{ASd5(?LJGc@KNaYb&x@no1GnMcf zT{do9!Q(0qAd9s@sVqQz^axGq2hH2j`OyguLy~jEYwy8%$>-+f_}p>?>FpiFgr2B^ zH0K{lxUnE}D+{h&^Eduv&f@m~q}=L5_yrN9@zW^saSqI+aN&soh55zqI`gi-dIKKw zCrY}#WhxQoM+*(h(xA%ywV>OivO&!wJdu55qncE#2-rt9qe;b%9P`-JgeUKM-)}lr+qMxeIcaG2@IiBJ{BByK{xt96HQ#LZ^HkCjJ4_7eZ7byTvsofFru+!SNu$15;9>=L7LYd zl(xjF8QWF{dmEUEe+IUn&m;6T(ey4}n(#j}6HTPgzM-VFl*zyOHrZ#37Sh%HZ`Cq5QdYi_Awk(v^{~8}%tMbZ5syBEIxm^$kWeMl?J7oyW0rKiY z=n(>a2jQwGcd#3duEAI68?o$M)@ioh#DZu2lu>AA%cD|v@F+|Ic?-MVNO8}*@44~p z%izm?jAaDF%n4XijsNxDb#niHj?Hya>FZpDnk zzFzdAEP;!2+`@3ET>i`g2I8VzM`CJ0o)fZYYFbdTw&2Th`o*R|1Nv9z55Ov*u_oGp z9kcu%eRKQQnGnyLc{Q{mp8uO`z|V?fC93Gc+NpqJt-lGBI1|e-2P5+apv7F;7Ym0h zx;uzY>=O5|TqWr4C%V%uIuQMUeC-3iM?uSgYu|N3^)KD4#BMb;~`_DwE`EkTR&bQyggjVos z6g0!CCx4Ju4acFN^ANO6hE?CTKt>I}dW^J5cWhmb=s+-P0C>(To_95y&qjsc01_qa z4{u|d)qMLYMw;ZHPhnWTv(r^LtixSQ>x_xLf#4y5N=5*M?*gxLhla;;9#1@Ewu~GS z4)cNBeo`A&KR+u_phr)`I?%>(dx$C-9G*#en%I5s<_9flbIZHGUiu-gtN0*SW6l^{%>dU>`J zU#;8CP6#YxA8j~WK6zEai&~-D=AyCluot>BAX5;Rmcy?8S2)SL{|exb=Wim7PaZ9# zbV4=zdtZezLN0wj^W@^W$*11(r&0-WKeN$$OOnKqEACIMz&6a<7vKa*y zx4)sXc?x}-^Jf@#Ecj&UqyJ~-);6_&8V?of#qcNJlWTT!&QL(0(4D9#>USKly3Iu34V!zUN; zOsF1%IRRD0=8%6c=EreH%31Em%ukGQbW|AKmd*Y*x+M!g{*^R*a13h_Of}mc3gxj? zf3VFZ+FGJ10>is9qpkCp(kv*|Jrtskwbz2Ql!}UMg{C;0kEDvUYTd1}Wg%vM)=LeX zBa;eZ?n8J4KF-r2+bdv=UnYjA?7~R2;z$C9sklAiHiVWg*-cGIimhiRP6J>EM;Dr* z&ESOwhD=_{){Rbd^!fK-S}e2m9w6}0brM|@qfQtcuiXZ&te8}emi!rV3A~imL93!! zy*zDb^X)x?njb%YxPBArcOM}e2Pra3Z2a(N6xn)3hJ&}qa#LdyQk~gF40I0aWt4p& zyo7juto3OW-47{6eo_!rz-yhJzKC9gr~zQ_;X(&xfif{@RAeK#!T&Tnqd;w^IkF)=EpGE=XG!y=hcfp?mTiD8z=3Q4f16?MTivb9kq*2 z9-sPP`0iUouZS^(P~kC~eIF;rf0n-u3Bw z)0i_(SrWGl8^a!C~PU5DN3VSuup-dkB&qIIHq@4E^wx%Op3QOukOjuW6T zww_squ`ToDNA~PN`PboCZ?lx5GHD+6%1TPz!^mP`FAGFWlBBvjkw;2=dDuKDNtw6e zBdD+katkhA1s5@45HI7V;w7>fzWr4ExT1!4Dxvd*yTR8NVJb$JK7GAbT;Tp)k?mH# zL+K>7ukBVcTMq@UL99%4pX#4*!iRIKi1chJO78c~LqPz^-ou7KV!Zo}@59SBoDHVb zX!ql#GFyMFA~+!E2B00BRTaoNL-7I-WoIZn5XfsI_bZS-M3@J}E}w{nwV_ zW?Wx-hwNWZ?p&=eeGQWJ=_bPxL$VE4#8akK8uN|xDm&{;WYzlf{$)7^fliV!2Mn5ea%-lIOc$h zLl=tQBjsY60}I8wObml!=(OE{pJ*akCd}ucLgq9k?Pxedt6<*w90F*b3JA0G+l}Xg+D(`V+K4$xL>9$u7;CJn50~Wt_EpF3=9u;uC}_sCmuwspkS;%YTzb!?06q%_BxBlREsz=0 z9(f2A7=i@5MPOGSLF+_$jOF?mDu{Ar$MRATeN5hBf#{=numUS^-^g%*9EPAE3-~EL z)FUEdbw(atlIjY(Yew{G$fN!~?f?}`GOY`Wtf3ffr;ySZ3IxHTR=L1xB*!hf z(KD2%F4<>?aNH^C|PeBi9C{|!VpT?zUFMY) zKs?4!W*Ra@`^vi2P;#xUWDhiyPeMGdp-em2Zz!w(D29?P7E!BgQE76-P(nz~6+NAvYVTN;{q1>^{Gn7{gU?|OMD96o!?sxu)hO!C; zjiJ1LcUnW4iGt2^um>@eP77oVr6cfPX((e1nMpm$P==B*)n_Qj(fZvUrKIOWG8EcP zw=tCN;Rj?WgBybOD1#f4Ybe#I$@U!|+)yxW+Q$Abx@H4Hur`EX>kxu973sV~Q8ZpF zF{vAf!LlPw-1itLe5)}FaS?h6nOyLtnFD1s)IAxQE%5FEKCL_ne}`wbe4d4y_l(GF zc~PH+HC)nr2Q|ETPbjnHEd>v)%*1WD4E&PjpyDnW^oeA zOmaxH#$%M)jpG=s1f!e9Y-N4~3zzGL+s=419A;D9^S$E*jh!a}#t1rjxIYBY7S$Le zZpIu8Gy8q$eiB$5O~Ln!xf{^pYX!YNoY-k$$m2%|iPHi&9$tiODOi8gE7!25&gNK3 zdt9tMAxo?rE3w)h4=WvYD&D7h&(Q(@DmM0x9&=BY5dg08HN=)ABHRfT=({);dB8)j zlTI9`V-_ZU_>r-)2RNnaPWrNYCS#-Em%(1e#WN=xayWO#C!~i1DEhiI^x#FQ@QQVIUVB@2HF$FVleY6am zzM2G28_zGDjBaJ;+wb@eP=w(<;Q!20zAh3$8NwN`o*rmlhqS#cYvECn`!zXC55lXt z@aa4nDxuY~P$-%u@kOs$&1TWuX6PomJ@fhEevy+c#lz7~X3MjZ7@WPy>sh~sBpqes zJ@56b@e9$jj^gGZY_J5QPWA8Evz~~8rf0qLb7_0len`T(<=uC*XRWqCre|FY*%Zct zSDNHlqoZqWWj%V>_w0OMk5vUMX8eQi+PD(XWwbqzhGY7bCJUIfkhUMGhjZaVhv7&b z_z&m@w|uQ3QfDilib$b7B!bA>e1Wv`7P9!_TjYezm!{3!#!41@_czjseLKDw^HZ1> zL_PXwpx!=C#s2Lus(I^0iv61?XvBW}XVZ#(^+W(?>@{M4tIYrzvHwMg4fdF1d_xOj zhdz}#D)xOB`NaO$cdXdY0%hsNE^Ca3qB@bDEA~)y&2H#AH5LEM$Ie8Dxlbk*4soL9 zxN{}&*u&?gB+I?{4Mh@Cgbk7&uW!531Joq7k39jjqHI^Xmr`V9cAiSe@NYC~yadfT zU7K@P9=ML@UyoLGM*TyYlT;^dGpd<;wLO| zm6kY2OMIFo&e0MuHYh8uYG^!DtcP#0woNKDletsA9gIFWoPqR!TpY=o6pGQp=NLPH zDfy9>l`Zj56lMyXw-wl}kypMT->c1DrU0JLx{FhL^nqea^m)89^CjP%nf7CDs$77y zw}#3-H0vj2oz~G$eC6{KUvfLB(@yK!E+&TB-ukiDmT3Adk|mX->8Hu@TL_`JuI0?h zqOPovEhOJ(Sxr$dgQse*QBiL=PZVhe{la5!O3RCWXAQ}KOF3%?T`ysp*PDNYvAEZS zvi4NyA0z9Nq|&%#c_S&(X= z4|_Lc_7nsfO-t4?H&c}^MazIxj)zF4R5YNmibk_V{nR}u7o6xkeUYm?0GC`3OHz$X z(LH+@#7;nhtMh=eI?q(Q=VNdRlagEWkv~ zo{KnF%`leX!WzahxjL4~!g?#$%YZx^k0CtzH;Q!1MiIedckr79lBNz2hiMDUX6qz< zOxErg-r%UWPTOlRY%^%=W;kR(CFE&Bbf*;fN^*>4QpHDX@LoZ2WFuZlYEo^8@@=Y= z+3LNrOh;iXIQ>4aM@E!m0-5*@bjtNK* zmpp0xYA%3Rz#GUEL!|{WJ@O~|=_ZusX3ksZcyAd+v5W#dVjMxcCefXXs?MZEn@ zr6W)v$G#zBqJ858U+~3sLTyoOn>2q+bs}chW(y(2eOuUCcHT|CC3NK##nHEmG>u4WEacpFWttU}f z8>UWzY^p84)O6+LIJCGKe`Lgs53oF?-!;OFnGUUC0FS+bBF&HG3MqxLPjq4>=y-co z#d{QYyt3O|&0SEg7cKG&l52MhG0|n%IZW{pI*dgV5~l!e-8BN9o46$3>EmztXS}8C}{NQ!cV2ur!Slh;M3kP z`ZU@C8GRb{XVE7SsH-XF&1eeWR8xcvS5wTJ(G+Eon(~9&jHY~@+!w~~r7Up5eX0Dc zujLOuhvQAun$OKqS9alJa~KwVj2)xZl`palTwQsRpG#}rMx63VV6)^O&geS?4KG#6 z{0ZmKBTa}B+n^)?{BAJ68|61Xi=F+5ES4Dvad$n)6y-JE9a7olPgulNohR_c~_z#)D zo0z2>XadjSTWQt=Cg3E@_OpX%&3Jw*yoqxpKF$>j?nFUj0vEKTHG#X%0`Mu&baAOi zS|DQrBm4gCCh%bMzia}Jp&M{b;0u(#YXVR36J-C-1Y{oM-)jO#ze?Za^#w^L@YmN; zOaNXiA#|xw6&{58(oQmguM3``pOoI)}8b^;i2Xi|t&*a%T7<0OaSIwbFmST{B zg~8B?__$#3JN!4bHgTVZrF(4=YjQ}8+jc9)(GQs z__B<3Bd?tfH`1hTId+OrU2k}z3rld?<_W)CsL+J!!d zO8`CWFnXKt2QS@x>6?$IE_s>;Y+3B_0L=wDhtHU*=5s%vb=Dk+bQ=qrtPDiRywDpC z`H6IKJ#rJPo)qb-dk`tznqoRx?Afoo1F3Q;iHKWadopjm0Z~D+G6T17*A9BQ-<;&# zZJ27mGJH21sE+{LP$t0%(qc!r($(DaK)p8{D?z>8{^?t&=vIH+CwJdcO43Z-cBCFF zMg#IH9888uPrY?U^ydSE*3bV*>>{fT1SH+nR}3vQWZU~BV&O2)kfgtA;_HYG;gE<1 z(})qSSa?@WT(7V5B{vbSWeXd~4o0HUlBMSLQI6=7E=XwQCvGu=r9*up|EZ>A+Z3F| z#G{Kd5nkmzH#JFyTipdX*9>gykZNjNmLVyO?o7&+Bbj1G$??&ZhskTm;OGGpIJ}n|w_ow;TV$dHEcpPr?D z=~m$rPynLs$9SUOosO=6T%7w^n>$ghA8Uc^mLYj7+}yri%)PTk`{6Gz@k33!*L(LY9hUJtTr%|5) z{p}fwu1e8;i|E!^bf9eb4-LC`KK>i}oaWH1U3?hmoqMcT*3J_Ii2ANpHCK9oyNRSy z`gr~o>-P@c_M|RNu(2?%I?7Hk+zVW;>d!}~fq15IGvb;}h-)?uBx$Qh5|>W9&@^lb zCg+N`=AO#PW^)8H==}3V5pO3+=cyRIC^qrGqFd-<*7Q*8h^2R%q7u+JHW9^&0WJsG zIsp#rTi?;5-#zFdr;h@&7HrXisFdp)4Pg)<5W`f1(Mh7>7^5?Hdi>yBBnttYWJP-1 zL1-Lh9?1ei=TVk6>oHcX-F86lON}R$l)E^6v`6wiqXmEP$u^wSA$u*oTQeAtVNZ)IFuBqo*rYz}PchxqzpEVvM5jMwaL7!ye2H6J()z>h)k#cTf1 z0vWIQofp{D!Fz1-j`s7x)ppcFA26CR^*WSw{_zmJ=B(!tMDc8KZ-X8t27e{lXjo`v z;~w%UM#gcA>ogCMGq!!#BG()}cF)lQS`OQa0Ed(kBTOjLlnlipM{|SDBhL+3se~Fi zFwq{s;eVNl>DOnTWQ#b1v;zWYjsUe2?KkI8xt2jBJcsJwV%!o`ha~VBAe$BX3Lg^A zfwWRDs2J!R93MgbjQMD>nJo};0BAv`tVI#^3x9W43(rD!`$}o{ypzU?W8R7%=RB?5 zmWp|+g>R$1+r=*8d9R-;CBDZJ!?Xmb@K#m06-UW(S61{DOF2pXKU@pN!39K`f1nRL zlsS48vKh~$F1fgwn>ABl+{G6^5eR*OJ!O3djcBNZI9^(b{uKHrM*))J>3>2YUH=^N zH9ji%;7f@Qd{KHMXTVY-R2|J9FU%5AsQ3ND80lVEA^okll=yuJz5UbLa+Rk@JIq3J zIIDkW)CipYGHJOlm4Vd7!F%5qbWIX;l7k(no82JaRL55Bf~tZV>PDm!y0ybO32ns1 z#V+b+QwbIqzoB~TxQmNy$IRB4CJWq0-;@yaBij`fgX=NwCr+sRWc&NS00pbMqyKg7O(nt+xsZVv?*T z0S$DmrX;E7#ZD#;g)v*R8KpYG9K9ll=Kle<{V%A#;wZVIiqJ+k?hqVpE;9v*Zfbbh zRwQkfCCc*%-p(%sLj+0?9om7Z;-uW1OqW`&M3=F35xBDHs52Sa$wNC+7 zf9+po?Z465e|(bEz5_%!zxa)qt7ZR}&l>i*nL%#PsA9oqwBQ;ocoz$PRtlyQl0^3JY-?KB5E8> zKE==O(=PhzDiQ3^J+~{R^vVETx$s>Xum$bb{+i09>O>LdI*7(u^E8ENt_+AYK_l?L z`St>$#Dpv>(tOS8iL8Zk;RJ4VSQoy{fV%^5QVM|ckf?ycrkXKD{Uc>KRH!{RgNX?x{3<=SnmgR+p%id+oMYY%d$5zp&CUIc$UNeNso7ZgA zQjfCK5n5`cmU@__VknhpJzprqC&IV!Y4|O-fNLsEY(3u#7vf~q2;8U?6Trd^(YdW1 z10b4r4lj&N>&i$1dm;@OuJQ3B!%Xf7Ana@wI`NzehZ8v;gLNc@BIePWmTWFBWF^fydyVmW{!scri(mN!E2Z zw`n#!h;sNdMW^K>8FHF=2nB9|oOSIDI<0bVLUye{@q}+Kp9WLz z%+@=SY{jqO4Om`{Y>LT#6Nq6W_SbUzcJB)?d%NNf4H*XZb5KWgxc;6c!iaL}Kr?wX z-Da|>jCf>@nc`tS8RM_>#ILA?`zK#7%y^FWXf_`tkwVH%$K7~FI=Z^rN1&{`4JU|d z`_{On*b|~_WB2yHZ^&S;i2r3wOd7E6)MYjvq$30Z;0pf$0rjmsKrsw&3_72G;((=g z5WtrRI3$G41OwXFdY>f-FJoJ%>(-&fA{*SkeG$w)+Byxn&D;1lAa_rH`8YU<8R{fT zv&B1o;utuI#Y&%UK?vjfdJ~D(e*85HoSLkOW=G48UARV~Q$LT`B!P+asb2=3fwkta z)>&HXiyxC(Uq$6k|1ZU%Y59cn)UVSO?!#n2`&=!2pBDZ$3;)npIPo~hg%M%b9rR=% z0pBE!D-_2yieoWx)Cdmkeo^?L+tQ41jssOce{^x?NiERFK%g)-`ne@z0u%nx`#BU| z+*TOt&0|QbmrkvWv)#cSS4ONR*hw}47v&xE!LZ_V;YOJrUD_>O6P!SrV ziDv}H){pdRsjRD8ShJ{7k=vKR)SAX+i$cH_s;aJPsH#|2bz@~{QRRxNg_S6LWkKnz z8M7|+kZ5V8M2c3Q-Vj<`RlO)wQN7xf3)L(RHLR|!42@mZcu}aXvZ1lAx~h6fs3Nqe zaryGqp@lWo4V9}JLMy8pmWFb@$}E2+vllL#T31=oP&rj0r`Odip9_Qrpfoal>g=*v zbLXUzRa&{MvZB5+WQv7G2F^bFoMlxD&Y4-ZU{{d>`zt z%Ew1UvUmdj0sf_i4{Fb~6?GNM%U4t^Ypg7cut541bvXPK#VIW9mQ$W|9Lrs_UNeTpF1bDJ>{UwPayH zeE=GH`SJ=lm`^rVHdcmes;!r?&Fz)->Fa^`(UQ8F+RD0ys>=G%^2Yjx(1Oa)#rdK0 zLOBgfE9)vl75KlVdfDpGxo4=bJy$6X&#(eD1iZC#Li+uekbXHS_uAyYxjjhbf%5sj z^?gP)l)P$DNL0N(RMilwb(;W!ONa0BvPfB^yrgt?Nu+e{jL4h;j%ffuAdn-PqNX(2 zXv_G?Xs&Y2SYEM8GS4tg;&)!Q-|^b*O7v2mrl8xHCY>Wp5q+s3L^m6%st>`}F0Wly zxx5m++alO-{e@!}iRR3$3DwtDE@XEt@M6W|LaS>URqxPohoHL3$?RF>##o?Oi}XuSlf=#V$@W!a z2n1$sn2?OYZBN6F_=`0B+U))GSAGen#*P1q{I&l~BmR_T{13?=+?Q6GPCi+F{;pnR zyQFvn8{fJk+kS@14Qe0jd zDVSD%W$BE$5d`Pe90(x}fJap22%0uI-r5__{lZ3_2VZC$>l&-UbEnO zJ5!-@lRD~`BJN%!00LUkP*qc1UbC_qyqn1m*N3F-dIlfWvqx55J(r_gYyC?7qL9)b z8e2OqWVHf=OT1}dM#H-%_Y+JCiQmL%ZNT{4FA2r&{T<35(<9BEGBYxD;1JxG706j2 zGPV*?mt5btK$Gh^N~&63u>>O9%b0FYJ*24LE9M6Th9(6!JHJ~0B^aE7m(Kw)<9K%LZ2Xm7pI;9tJ(~~+89Vn;x z`vLT)cVF$eR( zm(GezE1y#~#Tv@&>C@*#jAy_&9RbWxVAkVQ*SH13fO)I%oy8X=c0U|Q*q^J z$nwo6x$N64`5mf1Nge@O(OYktoSQPR^V-3T&;9LcG59*AROG&_K#ufA9!8-%9ljys z{v4yQCJs0wkW*K=1mS^)H)nCxvSsB9YnDOJU8r>R?01|33YBICxOQl-(>I(LWVgB) z%MxND^%cwg6M&{%-I7Mm1u=>wG$wH+BY9CGQY|>AgX|gXuo*RRrm8A*v4V46K@@WQ zL#jyCxG{Y^q7|ea zw)(P^Q?EYh{r6iR=Mz%f#mbDo{}=4dI2P9iNVnG!i$2<(UBgY&#jwef+S{y49*Dgd z{O{Xf($zP#AE>QpXsE37+r3HANndb{S&E#bw8^0f=T6iaUw^tTwb<$Z3arbmtgIVf zvv_>N${Ow50)d*vi|Z>JQX!W&E^Da5d@sjb12Br^P}|;rsbu*N*};p)J@7cd?LDkZ z(%E;ca9~zmx_pKQKGUPp;Ge&JOs8EMnKQer6a#nH%?!x!>)W!5I(O`HbFWuQ4IjK5+w{i2EUmy~NM$9a zHe|TDC~0~wC9L+%(MgUQwGZa!_TzUjDdf3Se|rv4f6LB*j1O)X{&3jzPo)^Xt9>AF zV9bydsSFZ3`0UFoZ-tEC|7Tx1%(5c+pnlWntBwEOSAXkizf~k_pxa!3L6nj&NZD9ggvDFl~yinFjJlf zYKI@T|FHU!*8WMq0r-FR|L?JXfBRbN9a^v5*+~gh{}uo7VcVofVYG zN*+U71nacq}E|Gh7?24(+g%4m6dwS z*8ViJ=0qI`Ev~3q#-$I_Po$mL8#HgIH6v{yE(6V~Tp2=>k)sPkF5$?~p<@UuL|!){ z^LZwIbKBYaX8sQ4e|?i`sfOmul6h3Fuerf$(oSICtWfd{U@FSw+(G9D4e@?`u>S5q z{1953w7&0ogEV$iR*e=fu3SEQ!S(-Ie{AXh@Ahk)iH7LXsGeYzrP-)qnw?Oyjd&xfMi}s-Yq|#^G zlD{90e7LvmKP?{FKc|(5aCDEn@}E?{pZ)(B{~y#Ze9--ImtC-T-@=J|_pO<3c)QlNTak0MT0Evl+Bfd2Yyyf|gX ztODO&x|C!s=*2mW)wQrjY`@}>EhG6s58Zji>M9r3EUD)1Ky9o8v5P6vtp66fP^pv~ zsg&2>fMa(JHPu#pL-rpZu0N?-<}(9TVPgR#b}kp0Me-}Lq(F7U?0GE*i@KyRr({Oy z_$%!0IqwW!T7NL3=z#biG7io`>{wm7th^fg34FH6aPuNypH)*WtBsy5$c&}gA7JUM z*Jyb`V-?mXt3!%%3{}LhukUxfZqTKY=JM=+NGqQyq-RF;Wx`?d`IMpjr?Friy!ghg zHXW3fDjGlvXC$-k+{br;;#Q;nGcd#;qx*ErK4p;PcC z`$pv`sbzw@f6Vjv$=YDJzB`Ws+kT}iJ4^xRRb|r(#zmJGy z_5Yd_9Of>rJ?~tk)FHQF+3N9i>}4t!jbBu? z0*AWB*MG83!D?z7kXB!@0IU2gw!C7|_{wVcwXwRsVsRx>8a-ST<>R*t`s$B+qFT?4UjonMkb<;u`_fM1ET;OON&Arkh$ z5CHJsA_T8)KCylrro(20<>Ob@)ZH+C2^y#tw4=lGEyBn#XZoc@P(sQdEgV>0vj{C& zZeP`*Qz|#z6vDyl8}Jwiv4+6-l>x)L>9Ab)sLqD|*7gWQz%u~E5Hh$!*eIg=z*Hsv zKla`PKC1HSAHSJ|eT=B6qtbRjq5+Z+7AYbiAqfx(iy@}bwx{Cv~IPwwN{du-2eBSXSvUvxibW+w!i;tJ`CsH z`#sOTpXWU1LDNRZjJDp0&zR$2Y$25|c`i>l>dk43&s&vxO822_rX068;W92177br3 zAozBvQB~GgkVKLO5T(>=x)Vrljf_BU)$)qwYP5^`)+G(HepQ7RwTilyi@d8EUyoW& zTFsJoS-6>6*Z5KRK%CI>$ZerR=QZPNsuo$fMOJQ!#RWGZm|YhfivBQ+@)>O9wpo!T zIg?UR<8@vbiaj`a6U=Qxj-za45^4Mzh;QU*ph~YeO}e}mx&X{e#l%W^5nco!w~0NM znim=u-0RnYe4L}}{)j10E^G-jV{$C>YhbSXv*Rfr8#ZDmYaSvb>Iyt+Sj>F})!Bhw zU%TMhVY-Wpt*SdT_o=B{7H&u}@(Ak&^UKQ$pe9BW>&c}BQ)a7rs~e8T_#D3=IK;Tk zUV8bLa^55(;#%myh65SJ@LUmj@zcU6-hsBGAsl!tx0Bf25d2>0=#o5H4SVW%25G#= z$pEzj1(VjMK3XQKd<18eXrfPN+A#ufv%oAcSGW%H#4;~<9!?%pL!@>_1}TCb>)T7j zf?<_dPp_IJrjyge^zBlZ{AiA}$aP1dI5r1Q#PnIkj~ix_oSsn{pop$oX39BOY3bbQ zNT+Vo%8%t_Fhq5~ju5tM{idrs2~w@st59*k3$=IhtWe?1vND{$DLpS#1{)(q(7!J# znOjm+9GZP@S-I*kXorz>vf|{aGOH5LXQ=X}^{U}VO8HpId5$R` zas*p?AoR*7HQ$PF@ans+@Q#0asUQ;;%$8%fYQq%~v5XX_{k;1b>3^tresSTPa;I;n zJV~4;nrxOu(-YRelXDYSx5pEY0m1ArW~^L@x~7WBxRl+gSrEms6pL;g>UH|g?7=+# zQ&$sdt)#YG-CExp>pd}Fa)u8*g~Dn`&A><+t#gJqrX#gvLru)Ynwr85d3kx9HD-An zro4@-j+&K;Nf(Ay8Hzj?9i}7TjaZGC;i!IoT5;Ko;?mHJg6Ynn>Vxm-JOzuPi7?KKZUIOEpmo4%lI!< ze=71t&okUv60~HJoW?+n%R`tb0;YtBVI^_6piS*$SV~Evk|GMndQY3hg!-Ev_w)(+ z|X)GI2UpHMX&L=_9~TP)_1f?1JBFsqg3Q&{?8LdJa>Rwwv?@1mu~^J5w2v{s(R zbJs$4Pq47*o%Hak%ERGjL`a;5E#?A*QdiX$%o^EBU$Vw9P}+!&JD4@Rj=4x`mo*xq zZZOOx8+TL)cpl<<$!@J{Xc;+T$YA~o!PFSXrb4p(@*XQGzXYQ43yBrh^<-nIXH_$i zL$snp^?(@-M7g4>su_a;7KRm-;og?xPVOzlxud*J=9a=v7H`i}ixh1JEDl#|0>_1U zxZ%w%m_7^3tb|&nzEeDtrxTe|0pUOh2V8N|tyy;b1zfi%=b9Q(YT@Q#LT_^zALVv;8YC^#i)YOCI=mbQTiLWP5UQ$Mf+J7E#VW+I^tW(ZC8S&G0CD7Wr~$VH z38hmWLAVMxkkneL8_@cU3``2Ebjr#Nl#pR2ki8^V6qa%DRqXDd^xS&;D43W9ABB-8L zQk$gq%3komBWqKeLZoB)d4>D)3i(<4Be+*ux@D@86Kzy|U!hA>G*$K8ENN=fqMW_j zt6ZmH^mmR@yB8%P#>j_q2+o9#mdsELmo5_%n7xsr2igqVkHDy$DQKHxF*9Q;SeVIm`5Zr1=k=l*PSDj~R66`m z^DVD=lwyELm@L^t86(n2x^`+x<<)*Z@A;JX8bhuxvf1Q*b!$VV>auxg&dx@|bmSl; zUCgdrL=ut+O%a2G__uhp5x2<{ydfI2P`JRBYiW6~8MW!wuC5uW~<}eoD+Cf)HCaR@UKG0VyJM zP5ac^IouYmY;6hirb7d?d0@0tbhdKsL-8@|FSAT@OHESMS0#5<%}(|8>bn-z=-jrn zd{^s9$c?%GyK-5qg1aVyrQ-6eKPlTayHe|$Nbt0vxwoWFhKI2k4OJC3>574ux2E_- zYpRQi!XYrW`a1xJ-F=k z4*Rx!9rm+tblAuKzQZ23-*rAnlz%~UO?(wdRsLOxZ+26CMGNJGo-@!8O1*@%=1;Q* zZFL*tsKYNu%6NVrhh6zMdyX)(ti~PnPDR4g1;!JIEENEAZlsudbuHNjE{BwJxYke7)Z9)?JoL{fnj z(&>cj|I}gMaiGI~?5z&_4{vwau|Id%!`}PiekZ-_YEQcUpcpy7)PJeklddL5P=AiJ zK5+X`O_7=bH8(M-jL^`kG$CAi5JoFftn!nZK9jzct3E3}ZC5g(MvEMh?XQTJ-wCZR z^?K;=lKte$L90~!>&vwDhcH5{Ku6Ws>-BhJT@3^!0r|8LSSBBhiBTUzyx03 z#Uh8725e;GLYCgpvI;G(Z4Om8jUI)mb#UtJ@-otccD@fEty*rOZo8zM#m-o1nUsl* zKX-Y=*Jf27y7q~#uc#GzPnB4W&`efdLxgzH`FiJ|7w^fdE)Dx;Vgfj)VQ~WtqC+8o z4TCBVR!HYZ-0#W4cSa$|A88a)^-_!gdO3oQx~uolvHmcO7en!_7#fzW`sYLw^HfJm zQoR_t;B_$_Wxw}vC=pwsPlnmGn2oD@?6}lsR#zK6t*9UJm|Pn^o+ifU4T*KweM&GZOqR@)ZB zG5(kJAcR#2>21l%q+uSf#r(Zc)EEcir${Hy$&#@k?Yr!Ugd?g77nu=HWU73#^={iEiQ=KMc)k2EDSU*UZ6_H$1$W;0N zdCRv9qYm*SssSn6InIoX%Eipz|28?NnpSpYA5+RVKa+jT!;wqLa7l$DwLME^kIKAq zCefUwt2|WtQ`Yxvy5z)}m6+w3AP!cwBLVnK?OD!i#{S+UZTX678SHR((XOT77VS;S zmks|Es>Wk?{Z;w(WqX69N(>^0vqdht9sUpe0|3rKGJj>lPy-xR$Qoi}$GJl|YC+^tL-G^&_ z_G`bm0S+NY zm-?MCzYKZ&zF4A#|9WlBOCTp=VqNPb3!0zUQBQ|WXz z)QoCa%N5$JIQ}AkU%XsW%f1aQhIvr-ohzmG!4 zWz;4M($eexsGW!3xCf$k_HUwgYxaOtclX6jtiCAAk{6L4Xb0^S7 zPe>fNXPw@N?3!>$M-STY(yLx8`E-1zr@p7sv-0vUWjhhcvW`@4tkX*ZtIN!9 zWFYqUOJ~~XZGy^;jwGV)W7CJW+|8=Eat>1?mZXSdEZwHKFXvYb5R11>hT-(HS^ z6NN#>MjXMK89BD8K%421uE6*g?ZD%(6e-$f^QOBp6wrnG(S>qzCSX(nM5a60tS4V) z>g1Vf&PvWns$5BO(;K23O=^dn&t`Ms!Way$_*EPAGQE`lW(?$KO+M59%AIUF`}}#O zTH0u2?_CG0MS6A6dZ_klSBG8R$Tcs2QuZqziVC#Sz>#0Jh8^T@cXFUZ-3N&XJ6DF_=hOlbys;N=Lbs(5ISM_AIT(1E z9mUvW=uhbH$|=*&v^?PBVb^aNn`|)^gEp<2Lr$Rjt7@#)J2TUZdZrUKnz9C99^EYrJ3IriKuA6*wM7ZuMg zEGwB+K2x6emr+7)scBI%c6mW}NUQ;zun!S#e1Hid84N0js&K?PO62N8McZR>9x5TJ z+!PLaOyqgU1Z-4KWGOF$pjm#P%;TN-63u42?IS&1Yl~FH-j)iYHnN}YN~6&ML$_V zSZZGqTC}1i9KnI*2ow;*8q#bj+ih3n)n+NgZ&|YbrdH-s2`HiK&x6B@V5y0Z8#cnW zY*1glNmG4siM%X*;c4y!PUSdGtctjq?{c50>-UnuK!Bb?LMZB*ST53U7V-gioOyRF zh0xcb3(>i;p$BOSV&)i}G-vYUVp2723icLVimKl-!P#hhLAsrT)_^3e;yfIz?=O?B zS@b_f%SbsN_;1;d5;arjY|?%uWjjluucSJ-L-wx-S;XbAw_}w%eR@S$HX$R=RCV39 zN#uSj-LG3hD0OIP!h9~9*>zP)v>RvEUzM)I={JhLJeS=}i_b+XM6W2i&fc>8w2-Qj zO^C_i9wQfZZQM_Y8ysiOC_RL}9r`LRTXjJCv+|kd7xDE+H-0>%o?lGo3D~)FisvL+ zeslK*N$>OXkb9|mcv$_v)06&RY45Brr5gN~?cLq}y!tgUA@#JBV?d(zlN^fsPBFES zx=V8YkhHza*~g(9XBV|>#rSLLmvMO;4K#K8JjA}~Vb1>#XI${QKZXplU=vJDDb-|* zvssE)ok@-{y-zt#%e=sOf7(*0hq0b2*uidWhDj!|Oe`;(Q!IA0lW|NgD4ks_cecCY zk2KwesZ$77K!mC1L2L_(ipq*-&kjv5DJz><23y``#j`Ls`^@}xdFRTf!<~0Z3u|#b zrpQPd55#UNx_sN zR7yu#acObE?Bbvd2{Yv!nmHHFD95ZBC%4oe<%c^`3K8^k&kqu9e!kgJR$N|CGQ-G; zV9?0}k$xi*?&Nc6|v4;-mnuQD@cFF!hF|4-kT{exp-_T9(E%=;Q;2^bqs zG9OZvzk3E0-zwbb=Q%&}(C1?HUNOG8*GYOdNZOY?*eGiyu-HjLY>a($f?h44#fTRn zQ&9Y8HfBQ)lzpOgNmunirFW)2i;j`Pi2f(@|MOh`dDZ`aXFe>O1CjP$x?h3yHsoR88br#Y|&z{R)6#khZpmQ z4#=7OrwB*JlyoI)8=+uL$LBDirTlhH{wRHuaiz(eGG_^HexsHP(JL$#HfiZz3V|ZG zc%BWR`P0edu|XX?q=}8y)e+eEVUvrZhIvoSIQEHj;UKP7KS*`8#Kj&y#p>GYVrEjwoaad6DGhs5muIWhap+?YK#FJ?!E#q4$YG5fCJ zF?-htG4D_rK>35MQqCB2_F;~*V!l4PxpB#q((-UyOKWp@L=o?52s@5ipTd)|YYG*I z2ybLp!$XflvxqM3jZhw>D*r={zuxOx_0=T|rG5lYJltihD(&x&@OlRl&(HHOSVI3Z$iSR&K?x;c|)*q6X_~bQEOm zA|ZO*zVWrVtwmTgR=!G4kusGYQR7pU_aXFfJ?)8@^;WLqgvgrKmZED+?50T5DH)b> zWy#7p!hiIky^))^t|t->>QA(?l9uvCdX4p^U=pA8|L*wHI!v&AF431-Hco7fg`kX) zvmW$}Zp!w0sPe08kmf&}L0w9RkDy)BH5Af1h2x#eY7PwR41hf+WpV_Ylmko)B!FG0 z$N=5WFmn*;3C$`fD=#UBC2<~yu@|Q%f5~w2N#$|GSYB1PHlw9B2QkL9)KSx8%~cVu z!=JF3S<$e~k&PF?nlts1Wd50q>(ea?gPmL^cmniE zWqt~q=A`HV6PF=q>a}1S*x(*)25P@9C!%5G_Ffu-|G=r_yG z9a(97JXB;k<1tvk;E$>!i3yCfE>a+G3CsL$4mZJS7J5-9TUm1@zb&gxExugBWa?C@ z$l`0LStG|mbZSI~!!zsS;m)H6;KFE%Jnhe`$M^#|CIBUQRWn1J8e{Hl_ES=R*t$q$ z2I-T!0`Tl`MKwPJpHX2XKlMlP;Yo-|vhlI9eojMuV?~u3eF~K&9Vz2rg zoq#SL$6TSVx( z9F=GGaKRjkPt`P0x2n_`vS6g8xwWz-DD=QMsoWB>=1aX}Y(wBy?+F~qc?CTS6vy?aCY%Nls8WbJlsGnRAQFN(*M`30eXm>{ZCRhpR$K1&)g% z$bU3rLUJ){qH4s|OMWs;WjOJnm>c=#?H||=$3G(Hz#VW>4&+C6yH;g zktD5O*DS4Nz)lv~zte%BIdi6$*WF5Dblie)!Qi*LM^~_8x^N>8^nOWi= zLoJQUnc)R}6`Ul+l@*a5#mCkLdUlSFTT3dMVM^Mns9f5L6GNezdUdqjY8ESR_a~hO z+?opmJ!V407c(l%(h9Y0YY}AOn9Ep8!~t<50uc)b(r|2)wMfOYh-qZml2CPXIBd}a zB3#+DLOqfT@m$fwlv8C%yV>AHx$27_>ISJGJZ4h6O#iq6W}-R zxrrB~&#}m+mpBO#^G`IpM2O}m(o>$&=;??%B-arG?3gZ1^a&pEAI^dWF{h`ywzRf= zj-0O2oYcfgd`{Nnei5>dUSD zmrt>*)no9w+6u0|+&;^P#|%Qt@M)x-E?;+~Sr+}|AFmpCxd6Qu=(pf*30aiT1L8^GGAqLk&V<$Fzr>qb zBegEq(!FS3Az0sTsH&_DS1xv#*#87PG*VrNZQv0v4_r8@f!Cu|8h_lJr3ja#7>x}E zh)0n=ij&AbXE?Et9c&)hYHn>H^T8Iz+a+}^kP29w4ID*w-`a#*!o$)72cwV@amN>L zT!x0oQlTR-*I%N4Dt3Y`5r;j4x=1b6zDSK%e5!GOdVDBOke6K%v#-W)Eq*uRcME>E z;+JZj@rCZ|WXpNCmStqi*`5Bti78=)2f=$m+lATVd4;3)Li70ubsN6YvS+;9HO1w2 zdVL_=%k@Ws`{9RlFQbX2iwt_GoHgn%wBF*{3aJ4_HnhC1s-;$NV?HFKK0Lv_`jX(j zHe6Rz+af(s?nflJS6>p`SA{X#P~m&cdk#mRP|DR3grA&LoYeR7-`I3lB3U)1G$2t| zMfq@+As-aKDoamyXvoG^zxUpI4&BJogo!p z-pm)8tU7U&DE`joF5K}I9jb7U%_*nSmAOI=Wsgg%M^k~1dk@YvCFyF?!=%XPb*M^<&xW_t+4gN{PF^0@b9^!%_8f*wVt2(K5 zs}k&04)N=#_(l_tQ##^TJ8G{b)jH|3S!Yq`-tE4t;|KCkMHdoqT8KM+zE}RFlz*|+ zw1lyQm+L~Xqy0`8U??6^^5k{EM>eV&YIGqiBuJc2S{;182X0fG?(xo_Bj|UtzPxu4 zO$mjhx((#J>>4h>PL_Kf039lVx&5p5W|py1E}A*%oZ`ZA!+3FAeg3~>H<4OjLK`sZ zIq;PA+-Yc@FCy^5luRvC$ z|5~8WWmPUU4oNU)OLIjfmXsI@9GL{|FX|n&IC+H|gKUCtNhvQYC?xYzurgI%TxR8{ zb*Zz&REtBsmNngp$r43Hu3aN}^^G-duV>CGx0WL{lX;a$drr{GfQ=|5E66T!{a|Yg zbOa!hsfXb@*sqHOc~^i|SUFnxa6GBg&~!8Lv-2GSO$e~ZlhVjT55(-RZ5O{&@H`j4 zZyVnfb|my~@JAI8mj(-tLShwPWevAhE7U@s)`T0v%@y@RzGYQbU~*~I*DqTF5oL8H z-37has%)*Qu;`K@t2R<$wN!>JhzzZkx+T~shQsD&E7>--rS9ixXI{+ac47gD37$d9E| zRMQno+*M)<&ll+6a^T+d4Hl2ir2l0WAKGh}+luoxm6u|pXTpRDL4-c?j3xY@HL|`H zvBduzC5i_ASU1Vwk7qU6tT;Zm-@?}h$5$(!U;7;lI&9@7)6sgZ)`+!4-->61;|_y! zxy$iC6G=7Vue}#dzAC(^6=&bDt%?;+gzf@rC7~HfEvFREC@w27RFpYwPz{_tcX|Oy zFMCTBF+IU%4RY?0`H2p~h^wabgqbqh>pUUq3D3!t1I!-~N^WIEQ^lfstV^@0zo0oi z6g$m*PDgcXdck}?id|4zIz2PFcY)4nEK^$jk9URDrF3k~5mTx3Mk3yz7lqBaw^1j@}pkriwe zga&q%>m-sPMN@U06Y|1vE^KOo;8;BGj6}rQ0=_nh8h3p~jXLjn`2HiOgB2}}OX@0d zmCd2NXH6Scw9#3h=IRx}rpD#aP(kWO^tMnpWm!kWY+faYlIm#5p`fjYluh-l0h-jw>a?h=fTjT`-czkInI%{!&c?%w*(loyV$xLV_(Dn z1y;qAXkA6hs8aU=Gj_}lQ`n0&1VtZ!0j)A9lb zU0Wm6dtj=L4g?|+c^k5vcZXZ1apDS^YrsCM5eH$yQsd_TuldDRNJXlP+rpJ}6qS_- z(M0%I0H)>gR)^yG#f8FPJ@O_rtGsMBHJT%JZ!DQ-pEtX_csdHB&ZwWf?o#EUVrJkZ zpTn&V$<|}2?XdGCjq71Sh(nR$4hYrIXW`Q%2+pwRgIG60YQLx_(uL~;4~rt$hiqCi zE`iz%^tHfBG1lv8!#^cjr~+>UTh*0NFUW(SSIbZ+86wLeiW!SlXk}}25DJNPWafyg zCkYd)>Tt>e%W0?OQzYb77HIMHkPFf&lPZ!Wpf$2ZPS173VV@tueG3)26R@)8qxgd@ ziiTK{;}+F$Llrc@m2RXNZJgPlJ2&zc;n0Zb1V><8ZYced*SwztYS|ZvybC#|^of`L zy!u&r5rvRM8S6*&o!k|LRSZ$1D`X`QyBKvXK`rA5!(=Bq3D`oRjT2)>5a%cs<i!j|j7?J|_2P zlCUt#hu#sHq~VpJ?uVOY>rvcs{5VKGrF7<^i?L0lJ7AeWm%q#>Edj(ay7=V4Um(<{ zmDhA6RnGyu_~ohQL-TVy3WcnT;xTP%new}0Sq;Wm%i=Sd^i*Gg^X9eTh$U*FDmNkc z&K;SLjiKTE-x+us2~jz{V$*13)!<;3!f6L-_!;y+Wc68D#8Z#s*5mabKa$@`{T1F0 z&(F`|D7g6?|3`6r$aC>mcrLYUYGKXjsJUO}J<<9)sC@FRDA*>0Hl|QAj^s&aqQlRM z48s=9^7t;Cd{cTYBuG=#(#q}H9 zyV5jT3}K=;$S*Y@Vc>K1@KR`wVG-9Y!7gNNs4fy>(L0^2reP3jT&!&vs-poE6#-QN z;|AHy4pBlNl&8Uj6sp`G$BDf>Y~B{nD41Rx0+~`i)v|_3k1@VlxtLhg*Vi=T9BFP1 zoomXs5W+BQt>(%fg@+KS0*aO=$C_a{>kFshdh#YKlD7z2OvIIk?%3jNz+sIh3^W+~ zaqg3*B+vrRtE{*3B8_>C_`j*Hd6_k=z5=Qj6?~Hua8c8dK7JU65umcUuBoN5nH{S9u1mufi_KOl;$i4q zxKUaRBlJ9er(_RnS<*yQOx^VaLNq0EJQkf6x+Yo()&}bvabSjqeyD0e6S8he>yjYV z8mh54Y1mLhTB0~5ipOkrCbNkYC@~`_Y;3KsqC>4gp;V9AL=$dLqMd)*SP*RvQww&Q z2kYH48e78H60HudXlxalAShlKHVC3TYO!~N*@*I~78{$|E3xGQ?F4LWU|{6V2s_D* z%{lalxWlLrc2*aMarHVSlGPc2sOn4Molc4!%`0fCiC~}$z`BLlj-`$aUmTqjIX8y# zLp3~I6ltld96FSqnlW=mGRjGJO3$;gdq_KKX0XlS(|HyS1EDp+ zU1%<6KtmWIFKR?NXvc^rOeTo7MEqo@P8{a0;z=6gkrmV<u%5rXOqWcc>C`p)I zG?TU*rHB@d%;F3v88VU{_w;C*Sqc_JrgmrR*Ft2i_j*T$4W;i*Kl zTIzBHg6^Q93RAeeJhVyN{7CC%UdDN4mdrZt_|>5~k32ISD@h(*PzxF>aj1v{;54w%a#a?6Z)dedKKHO9+b~0@A~QFbWk64CHU%`NfpjdW2Nn8F2#E#{ zQaPgj4ytJ!9oKr?DCdH?ICEDpsnoGq=LtG?a_WM^f|$>G7WV)V6#vf!069O`UQyKz zRQ7Mp;na9iRNS{(S&PG(kO_{Zw#Kqti*DPW>hqlOr(;@dnBiF?w8b1w> zhTRz>gRxeSWg%ru!5KwmWNJYA;ARa8V*}E~3=HMV!og``|40QXLE4^SD|_lYQ^Pyj z$U}?`_UVYf>o1O*Gs)l=DK=fiIiT8O@FQ<N@Rq{O-88)1J4q)9x4Pv_HacWmBg;vboa^H+I^$wRYMMEbFwJFA?s@ z?YZ@x_E`;`_Ss9sw@ttXgZI+Ko%X{mo%XYM|I?+NcH3p0_7$r-?Gbohb491U9=~7U z_XvKk;+I`n=Q*%c)mPRxMjV-qSW)qU6N4TEbv*0Qm6_jYbij!wvT4SP<5c@~b9g_l zLad9cg7c;X)fzx}Pvd@245u741Wu$u2_;0i8Wi(GrPYV!C9lE7LNQ2&zJeuXyqaEu z8K!Vn6&|3(d)5@v;;ySS1D2Zvyg9&gE>@w$#F75u;>(jHw{sEiIKD_zxKhee%%B7> z&uXEAfXl#O2nDx>>Z!Sf$tuo`V>VYM=9MNFZCFj2#1+gu#?n-~B2ouQubLt9jyCHv zg4s0d$?1U@0+YMO)|y(ykEtI?1h66I-UeZI3*!&Y>$Eo0iIw^lAw5wF;zmWrgmFIp z4g)O^k{-ex4m7aHQ>4ra;V)QD2L6H-%W$@UXQN`3uOdjsD@pzvoHnU@L`CGTGP@qqD3hE^65VWwN$b5Id1x(PffIq84VeFR+RO}C4E`aS0sH^($^$?UDAD$z6tuj_>sRv$zP)6 zFH!QBDEUj2{3S~M5+#3$lD|aBU!reFO8)-}Kk}a_`Ad}iBTDYK;YT=8!if@2l<-9r z7(A@zx=7`+kt1@Y@ghyb_0@ud8bWRZM9o+kb4g-Hq88@`tE|G(;dvvJxB-ubxPeY( z%$r#@4M!zrLViORc6ro4j=?bgAC-=b5-hLbN7;)hF zJ?{WP4kg2{ZW=z?c^*AVJtMHuqda3QkYvGBH;o>Z^sIsq>eW}W#I0y%`Ap2O>d`>B zHHoPfSwcbxO3OoN>d_(tYY`WsCw_AHrtSc;5Z?RZepS5F3=XfVXR4^DWhEWAkYW~c z*4xq-5-4%Q09*kru2Y1%QE!WF{Y{-YyPUL-dRu(rRk1eVxV(4FsFq-SipMc@LS|pr zvO?_NOe&aNQW&HVAn7bD5B8p2R!GvFB1l0&_;NXjeR|V*R%s0YIvnC39fFPjMvNiH zbR~7T^u;_Si*LQ*G>(hDn5HX<3nx%v4h9GMTCoRRLk5}EBM$NsgJp^Mi!(${0w}Xo zDjQlbmu(9w6t zEH39i!^ra%?!Xdx@JjCI@PIhbq7^1`ZEO%%cTgqAf)d-`R1*Zinz5)~L$Sf8Zs5QK zoGO8C4XmW7{FyVu<&(k0dt|UALAthaKB9i`cqpf)v{lUw&}GzCv=d|5A7>-ZF$71P zE~iq9%Y8^$_!l%97N3ll#Y-s^k`Ba`UXVGMbWzN?>1d4d%D{+K*3%2}bB5Odb(|DBY(uC!fa266K^UISpsn}KhjvC8gueQ1*U{LRmD+D7xCrxV@8+a zkSZ&U=*AVo=M-HL&tXA~-=*Sm>tJskLGID11{`VNO?7RUufqpk^ip1l(v@Y#{Dy6MTF)qf<{kkw;RWixBGt0`0=gS>G9%#_WX`Tu@ zAYQXn$VIqI;rghW!xu81=95%@SzCzAMl2HJyn6~% zOs`ptloMRmSXafK(*xe9GAfB$NcTF~$Oh?{hKx{4Vtm-WnL5!cWs?q!DmI$y4Bz;uLHkqC%XS~MgD{diVDCYDD(E*}K4{m1UiIoh`&!W0 zn+NUNL9_pQ(B1`_VIQ>L0sU9+cDrXLWKt)#+u5KizSeF}1^pnW-L3^acU-%DE$E)Y zcKdeF8;aZQU7%-7X}8}2J$pLbA8lFVX2Lz_!wcXZboWJY4_Z_M_n^I3wA*)ro(H-I z^mnV;?T$Eg)4#)B2f8k+!`=e=bY6$O2Xy1`4*Mg}=CK`i-{TPe zgbq6&bl9UE_AJl^PjuK#puczy?m;u&fP2u%Z^1q2um2ABpl91~-w*kd5w-I{AMF#h zXMs*SE^0S{UUo{<-T=B2^nTD2`bX_oK>c5f+Mj|>91yhw$6MB!L!(43s8y#Vx) z+^D?@^uUCuy$Lk4Flz4reQ8S6-Vb`t)TnKpfbuJi+Ck9aGotoH(D7wadm-qk^P=`@ z`hGs#gN_TqJ?P`0`$2D98nt_#i2B$ZwX;DBB2jxP=;N11?OM=5YoqqHpuKL4+FL-s za#Pga1A6j?sQnS>EkBIfeFK)YelyYyddshoZqWWuAl;zLK-Yop0o?-n7U&+LPs2TE zU^m>KWLd{Q3-_R3c^>XTFM0v)L0^3v`3CyaKO^5jcYwYETK*354Rj-D;AG4C>buA{ z&O{GLzHG(pjiBY-WA=8? zSNg>4eW1N?mvWTekH>w7U%~qcF?$?n>xnUY0q995#q3p}n@@pz(5A1!J?OVV_kk7$ z;U4s{0dW6S#BU(ngWhy1+=H$k1oxonS#S@!689=@2kivi2m1A)a1S~VcP;k+nq@7= zeTx%8e-FA4v>JCWt_FP*bQ9=Hqht0C(0K(hdq3z6lVIm5Xjvx~#%!n$Shs;r1U;h& z?m-_1T@Bg}x(RetG2DYTf$j&r6Vw`j?~~yk^bydBpl3~id(ds5t3mgHZUXH&74AU? zf$j&L2x>t#@Mq9LpchPw*;7HEE{)l>pj)QL>}x@L%!t{ygMI+I3-tI|G5a0Rhnr${ z&r>by$)zzn8#EY!d(gju)`C{Fz&(9m9<#TAj&6(Ddq9_hegt|yXx~AWbsX%+=Yy7m z&I0`gXcOpNH{jk%&>r7{d(iXN!9D1{Z^J$4pFsQK7{z;_bev+uO>htTv+u?17SN|a z*MrXde$3tqdI#t$pa(%e1>L(bW(Q8QtnRnR>@lD(-Vw9sgPyY`X19T!vMpwB0Dbf~ zG5dbdsgJ-t=(OE%5Bk(|aDO_=??t!=Jr#65=y1?B(CK^O9`rrX`$6w|8SX(}2mKVZ z^pG9MK4(LswQ$arhtpc4AgFm3( z0o?>T)7NS51YHe!0CZq_r`>a?WzFq|eS6Tc-8=26pyi;opqGGN3;Gb~?V$O+I_+Jc zfy_?(9nkThJ#)~``@%iwm7r5WtNXz{=x;%<1)Xy|+=Fg70q#L}fxZL!DQM4J%la?? z_n;4-1oxm5PlkKYCr^QU(Dy)Z2fesI+=KoW^c~Q&ufTmCY-oUHgFXg26?D{B;U4s< zufaX&)*#%2)(?Pt(ATrz9(4QZa6b(FO*Y(vmJEh_(78k49`v7}*Me3Lg?rGwIdBj9 zS{~ek9zPuJ^O64XopuiBK+svBmw`5cZUbEhdhUcydkg5RlRE7^pidS;Cj)d;QK#K^ zIL5!?PCFm;;wf+sI%I06-2%EAbOYhjI_>*GXP0)`uYfL@(P@7QnlrQ04vfHj0CWuK zv{{|@e9(`pJMGn=-Ju7v33MQIL3V(S2i*_a2f7~CNVHq%dIUlDLN{b0Xg2gk7J^<4 zeUa6mJE14C3G|#cxCgBP-48ktdM4H=%jyQ5kRa$Kpc6soK`&$>=yjLEJ!mO(MK*!H z3jLBDprfyZd(eWb;r3^>7dR1JM1Tr+p9Z zM_X3zMz{yv2|5wSI-19T_o;5$(7K`*+q)AoNI{nXu^_9)OT z_jcL~K>xO_(_RHybAPA35%kSpciP)QNB^eN-Ur(AflfOLI(B=f-G2I>+Y-Ka01^FX(N zUIw}cbPMQ5pl3XT`Z5;%1!z9#lIP$abQ@?B=zE~+KreU!?m^!I-2+;%7w$o?eF^T* z!u;uFxCg!Z6}ShT{3_gowt%h!{W0hk&<9?Jd(g%E;2yN#4Y(hNd;`q~ZF&ptL05y; zg3@0`91(=VHzClOFh>{2{)ZdyrTB*B}3vg70~(g{spHzVwQ8 z72kT`Cq9)tzH5R1dhjbM&D7b-#&^@GCqAcxTUcdm5!#-z8k@ZvG;cJJ;c-mSZepC9EmY(l#obGiRiyUzjcpV)3+?MmNjoxW1wOR*O1 zgK(ViyX*Q~4}1aEsBNzNi*Wu0;bblFt@GOL$B!}GPencZ^)VDhi+HwxXKr1)JrmD5 z4Bt77(4eKr{0r4{n2j!xU}7V)Kwl2 z>hc%|{A#SFljYX};EOJ4w->=L9S`4y99Qyx74Xf#&pg(^(?=bv%3~w&-(KHtk9Xzg z7@eQnfxq^xcKan)c|FhNH3(kr1HSIt?e@zj8~#%|pE}uB_E{n%#U z|9Yg|Ug>JLt-9Ur1b!}L4&%)DFBeIqd_Dkt3Gig;%Rqa$5BOBmy|0ixpnMzz{B_`$ zW#dJ)`x!T+U!SqI+g07u7iWW$!YKvc@PDDaUGcn-<4OM11CRJR>|4!zq<-{!?tXMV z_&$Iv#P7<_9y&j_0)OS$4*M(@K3d~@f&b%_4*Pjmz1gkn&Bwquf3?GY$<+>@*Zo*O z#P9sm;lHcAM(gq#1-x}=hkfN5BR|qFU8Bmo9Qez3ci6cu_u1Ng3-AN?b=Wgq;h&?! zUl07a?H%@cu70Lm_cL38mp<8HPja~*uiftj-tc@!(th}3;19pqVPE6w=daNHd_VYm z`uiPrH`E(l-szSeheiSSf7Fq*pDqW!_+Q>Q)q@t`Pjz_$RJ<@Hbr#qQL#VqxL8lK1}2NQNM!z zsD02?&pzgQ7DSli@crQNQTrce_(+HEU5*0x#S6i+>x8I%ldF&VHuq8F$7|aGj4Fy9^$G`S-L*$1U?xuw_Ps$QH>t}{^9Vb-2%UKe)}4AK4tXA zT6IL!e&01-yshUggMc438nPo-8k~7n5%71v9<}|h@O$X+p=N3Q?yRW&FSI)ye)`|_ zxVr}Uos*(=%oV>+b^JC1zYa3QKCb%MUDwB*z*`_w{HDwO)$E??+X3Kj0)NKLV;To` z=xpYE_M_jr3Npu+UH-hF;=j3Qs93BezmJzt=8?T9{AkasC|(OKaX*W=UU+F zTcY+`W?3chnMiQ4^K<#n_!uf4#FFGGI1%FCI@d<=ZxYRFj4aMCZ) z<1oGPMp1n&2R`Z6sC}iY|GHH7UoF5l z|1xTyPYF`)=^20Iem(FvQ{Y>H2kwsA6ufpni;Icf?*)DoaLZL6J9Peh4E!(mMr|yO z9rq26`+gMf`;zD1DBy2wg$&x2|LNNQa^R2sDry(F@_(G3m$U$X`~IkX2`#=<`l+0k z_7jo7XUd0-;5+k~sJ$D{n$PzH^ANrr_*=kFG4Z)hI`#qI4}86e`@W^!M}e0^hCS7V z7izqJCgy0suXN#;YJ42hQM%AOBp`Zgk-nYkVK@wZKCz{Ctf^fj^xB?~ip#?>*R)aJj!gyB`Pq9N<52;Ttr* z0QeKYPc`w280PX_1^g-Cr@QLOK&~fT{=lEd-hvk%grD1izk)pnS39SA^bhue!`Kg= zd-kG?Tw|Owho%cHpM5E64|cVcLAvh_0)P3nsQsR+uD_-0`b6NjzKQ(@m;bZ%7`hPn ztNXEkV&;MGX`M%_fnWEBsQr}7{bSnwCg39vMD0smeNmh4i*^8ChkX*7L+dt@e!0f? z1ONELs6E`3z8sxC3u8jJe?;x)T<)LJ?t{R$ehT+y{Og@EoCy3e;PtLDtkGq-5co0J zXW8t+f28r%z`xrOwg1PJ{%f4{1Glg*v)h&bPw4#L0sPLim_5LZ-vTFo`+?sD{9Y6H z-KF!N_8-so#q29x@w-&VkM<>RO^?|xy4*jj-A@Ewo`E}QUHCzbF9bfKTXK9g@V?k* zYIM1G+VCdeA>hkh<VCa(}rFe?Rb({V^NjIH!KJFwE`4LgzFR`1>aA zdz%@8_!b1-34D$#e`bjW2AtYy5qO4WVh;w-x(@m(bsVaIF9-e&7k;J2*8qPLc$*84 zXnZs9(MMws0`qq5fBHZ4`g14n%oAhw6`1EZ_^RII0mbhC@Wuf#`|GYWjL>DAfpO>> z?8RN@3V)3be-QA$0{@l^|E9)^fM0|?ykvM4@MnO3+vR?(cE1Mrgh9B!*u=&3l;gh{ zco}erM4j}tvUA3F0E3shj^7~Q{;ZgNgA2b-<3+$% z0$<_6MJ1#BuLAxe@Jn3yGVOj1@VwJ-N3@AoIO*FAd=&5;6R&aboxmpor?7PS&vWnt zz{e%QgK&~@0%Y01e`dO;b<7WhAHE!Op{ z9{2^=%YDlX$G4vYp}4OF9>gB+JQrT3@jHRf1D?$NZs7fMV)kHH_=9x#9{|t7Uh$nl z1fb?!=|2ln02IFeM2wZ#gYD^ZpRV2K0Ka(#;^)H4G+qjP&&-(pyes~@b^PmrUxB^c zCKtY#@j>{u7WnhPA2Rcp)+zUjJSJxp&#mCAogK4JaK+;o9gn@hFDj4O*aC3UDXJU0 z{}}iR;8RT8H%Z5{U%;|90l&b7i-{$LKMMHkzza-#6+37D%YjFMk2G;(e%%86=sBqG zsFzMT4AAR`^}vt6IObj-_~z*NZ3R9Pc$q8x)1CAKzhZIBzRHDPrtyz~AE?J2>Sp{z z@;UzfPQtt}1wIP+y}+ls<`jiIrwGE&a^SBu#q3ryd}=GADR3BV;2GK+OFB2)06Y)) z)vj@WHIMrg#{Ix=Z1v6~z#PUt@H`2gS@c2Gci%Lfk5S+Ufxqs;U($Gg$W6B{!@c!b zFKYMcxh&74dN2<7<5$G&XUue_@6!3a0C?mojNPtvX0u*rt^)qlb!acHv3<85+cyHg z1iA>fyYl%bdhWIzc=~$ek!xIBt;fZEz-zxBv(sJUVyBi9MuAWJY0SRdmB%af+^YX6 z*uR3V!gLotRpaA;zx<1sjpd}1KU17`umJd@z_HA5@Dc}K1^gc1{ayKYqRzjK!0);< zmUMlw9r%#DV)mnEf06zmcNSDW`+z@lcg)T+!>@C~j{<)k_{}EnTkn)VJSm56Mx_fE z3m7hc{P#WJmKlFvhjzaJ`0{(8yJO<%FYENJ0zUFS%!^$3l^Wj&{FbfIDRGtmx190^ zp84xo(zVS#;6oqqo_7S1rYP`@z-u7~)b*R%=|w^g3D^okUuplNF}u_ihbcM^6M_48 zp|5k5K^~U@g|QI$*PcQhH`7RAJi*E2cDe>UyPrmX>S_n$xE-LYvNi+%%bvKlj_-u+ z05ydovTGjuup;A49wLxNO%39WAzNMc^-b|1MB&McU($=O-_Q<0$T$t z-cQQoHNYMMHq8vrH<{~E5Z^Wfe-HR?%`%v>HvOuM^n0iv$d6s%xe9td!%aWrI*t5z z2l#s6KQ?hS!NE83!w(&?W1$6!?3<(XBXTA%-pXzaIDj z;HR7TB6iOBTHx;kFEVkllwtf%;77k6vzNN+TD@-XyMZr+4%0hkKBfOj*VPYzXa6x~ zXPN#Baf(QP5IP#b_n37x{b}7EbAZ3`CyZ;RdtZ~2e&8bxAb(u_7^R}M?FLcFkf{x#A;Je<1Zkp*{Os_b79{_(P1@0e!dIJ0t zm;Zm${^tOH@cra#;ZopBKfrimhVNUc<6jSa5Af?;{;$#guLXYQhsoFScLE;^e6`E} zOSJ#Hf!_k$)ff1Lz>Vtn2f&{Jo~(ZP2SR?G0?z?{{9luYUkbbxc(S#8J@B2tz4k&V zerth`{aeg_&y~Knbo%ZDp7D3+=DG0uG`<`7M&OOE`hT%g|AD{%NzDF38az<*q4a$^ z|NW;zmhx%L{yMbpwcd)w;%sPE(x_|fp_($m27YaZ;Xg(LVj%zb0#7>@I#4e6?`!uT1AiX)Vi#Vm@qXwa z`yU70Js19>#zz6)3;ZHiKAxxZu^jlZ(3RX_y2qIB+s0mSKDL49m*ANj&r>RRC>9iv*TrA`$e&c|LfZyrDf5z^S#nuAg?*qRB>uw$YXU_$;fSi6NbVFUZv+f@R z{C(gLryJ==->%2CBH(MsciQEEbvk`Bbv{-B-vRtWSNi7b^sNCNI~%sVT=-axZwB5U zx~SK<@GCUF6Zn%C;i!wkxquaFw?#7O&$M1z~2DA z%!M!2coFcC(1qRS!e7>S74W|TAK?l=SBJj_cv?xP{iw_RgWCOO;G2P8?{a^wcE1z& zG0?e9mcIvp&zRn6_i>e9cU^uNgE8(x7dP3sH3;~%z)$Xm2&(=p{dm1jEdqY!oaFVd z3izeKx4X*kSGxSx06%Gdr(NPof02`Z;FEw~)!hvLGQE!83H+xQLC4c{Z_IlR0RLa$ z*SW%9qr=a@2HaOGI_(~=^!ar91_AE|9p&$t{`TH*0(c@ROmZJs;j{|9!J{+3W{i2K)sV{*1W4V{FoX8@$}7wPR`ooJXEHmz!Umb zXVQ6KIq>7wb$YHf$$bm(?*bp{YLBPs_P8GSrtfsxSgtu`Dz>e;u5ShYBjCx}!d~FF z0Uu$yU&2Mk?mq^8Kk%zf+_zenX+IR^S8hz+pN#?@0R9zM`~y1v<-m{sZu0)D1^5Ku zqs;J){hsx}%YY|a=WYf56Pzjdrs>{ywT}N@;74u1{L@vZ=IJ{1G4PU`F>i9kf0B-W zzhTgo|31cBm;YC2|3?9T33#$LSq?n+2g%z^3-D)wBN|Tm8gjYy!1txVw*r3;_(`t# z_tWv;3;a(%!kGZmf8Q^3{67XB+0<#j+x>#7_xs}Bcf`Fv z9rylP-1|H7JyUpVeeEdm5I^UaY&g#;aLHx`7n2YQ@-Xq)n)nQaxA;3nzW0=r_gL{f zRpPWRp+ANHQ;PFGk_i*OLeEV)6uAcdatZVn&aI!yi1d-~0ZB#f;$@GB4=uguudfV{ z);;t`OCtJ%iWUEnJc0hy_a?}p=ugFSlk}*U_{@9y^oZ?h{!`&}+Cn_J4zfJ@v*o)= z*GKaGO%@7ZA43xw0NqOS(+bYb3o%(%U56Ch6mnz9i|}l71p- z_t7Gx6C^!N($SJmmUOP9)silg^cqQTlJqu7w@LcAq%TSOwxpj(+WqS?d`VA}bhMNZS2OnSM!6lXSGClO>%iX|<%wB)vw`n zzAfn|l6D^}!aMS<<O2Vk z)pslYD@z5&Mk5?H{UrF$cwO;lmI;WBM`$kRLGWkwNR#Qk=RAS25ebJ)KMDS8u$LzC z=fn#IlsdgnU#R$x3kiNUGNFBS9)$mUp`#-FzrIRfY;?k5(@%o``Tte?_qPaWUwloL zE(HHB=wJx{*RK%(8>P^`IuC;XcSrdo|2NhNjEz({Z2C#?Z#h%(Ke$mqY_vjiIS+#W z`(ef3>!$)^BNh&ueiHoeep~Ucx>rDK)IxJP4}!n{gNpwT4-1TqTsUm{N$`LAuHs+# zq=486iso`21pnIO(`EjA^=X0qEM@%<7AXG5b_;$sis5*xpM?KImMH$`cqa)P)+zn3 z*r51#?-gFL(G2aY^C0|R@|fa3_m2W&BN`5yeiHo8ex&#p91sv2)zDndgW$ihe}>GT zy&nkd_+uR&^GWc(0b32Ce!kl&P&TGvGRkMcU)!qq!#gsu^*dJHda$-HQLhbAx%!q*@B;qZ6xK7 zb?+|IJ956@Pu+h`8lm`yoiF&=7)Mh7OD|IVcZ3Cht(>^WI}!1pdxPRX=39cFjcCL( z<6ZDS{~N_0xkd1^QH`Yh3*J`z{eCR?*|lX=rHr|nxzu^qU|I)>RKlOODy+ZMq zv&#e*sZ0sW`|0lmz{Cio81PVnd+wYlgEB@ISDd{gY|Egnq$^3a? zgWw-0y5EEtiTu2Lg5uA-Rq)GcToV3o)+zpS$uFm6N%+6JUh&^1`Pqm_0=KGfuRWyr zH*Oa3VI}gU`~~kT{ynz|el{|al>d_xd&~TJa*N>q#c>|Y_$2b@zGB7SvQzN0@emIu zf`8mn#s9-yf`3=a_O<+niog09!7rzM2_Xpo?|f46zb5(F*hm7mivRjg6#rwgBT3yq zzdE3g%%6u}6!BqWBuV|Rov!$wd0FtYagwC`FRxJiJKq%iF%Mq)B+~oa+Z6x9zZd*$ zyu`ze;Q!8xihuV3!OzMKN%`A57606~1V0-$Ny>lwP`}KdQ~xFSU-saoPa-}!^Avx3 zm-u&IsraA&RQS(EP&~pA{^#DU_~&*LqBS;(l9d03*A@TPV+8+OVqKcxLipd(<0zTl zn*)NMjin@TtMqmosrc_YMewJdUyZv+@&EiQf}f44B=vvsHx>VoL4u!+t0d(w-=_En zv1St#rjPev#wU^9p?^~RL(Ufdv+)%VCxU-+-%Oc5caqgQ{GIQ?OP>V)17|7z?$f)% zpI@W+7fSvl(U<-Q7c2f&$|6CEDksiEG{MX&0_-~i|$;y`m$v&&^ zj}(8rw=(=6EBvRkMfhwCCY~AZg8yI7DE_YlaznlLB;>!Ji*V#Vv_PN%{p3^ zXB}A?#NTcYUiu{B^Tc4qe+E`|^!GwBlZ5{Sf9Dd#-{U^P|HFX^to%Xn&$&nO|MYi) z|IT14{^@Tj{&PPO{GpWXdxF2OOz)Pc;7>i?+Q%sV1D%3Db$#hIUh$u33;sEQRPkRj zN%7a8CBh@ z2Y*ufKkp}szjB!HpAYRO_5al86#r{y2!1}qo0R{r?TY^wY*h~%Pr_M(3z0wHI_(%) zzOyF^#;a4#He{{c8{4e2t3Nc~t_DjKkS4#e$ysP-{eMIoTr*;72f)VlG z^moO-agX4?bVMAZd=>n@p~uPioRlsy=Jb^7iBr#0{1fFwjg|d9f)M^sy;|{~F9q<6 zCwVaAli(lnl;VF(3hGBB=}*222O@<%)BXoh_dn%_HYg(RB{=s@or=-wmGKBCoi-XX zdiK}=xobvR&r9Jn!`EXNhTV*e9zWoZ-7m*SAC33vSw+O{>ox~cFyBpJO3%I&U%F*< z!~H*2cY5~C#U5z-U|J_-^z2rR7oQJ(T6zwJ=6fGLrmtO3kJC|;(yyn-9zA<*2kF(5 zWR@9e-LFA->Ga(DeI)6XjDONvxzEXS0DeM2SbdH|tIp_n6puaoj6{veh|+W4K1&f& zMvR^VeZGU9E2ER1gMBWf^zcEEZ2vuoQihdAK)(OG$fb-lei`H6g&1Y{_+_Gh9m34W z;FqcXm#`0=(T!hb`QL@hjPCq0-+u$eq-Wao;9lrIVGv$=r`?E`T0fbW$?&I9gq!@O z6tTYi(&Ar$UE_>n({2OO=BEij#tCWD@Uq(99jmj96VphRf2IFz3N(;*Gkm+sKVSh~ z`lsE2muvl(P`PBK-2=9D{*%!HGIG->2RHZ!&`Tb_Z1QK*%P@Yq-9L<8^7&A;} zNXvzr`~AbPH>91V!|Ww7U_`PU|Q#shV+1?;wgHkgSnj=`0?r) zm;j$lamxG1$0}1VQ z%J=E<1*q%yu3%>?k>oyqUJDo9AH{#Z=aIfX@BEk^Z-?7H@7;yRjEsyEsrY+lTnA+d zG_eY*&wVr2;LZ07Jo~>(8SR^lnTr2=q8awM5iWZ5q#?a0%>(-R$i~T0gU`fsubyY) z|KrJ!SI^9I)6c`p33XLgW?4FU1CLHZx{exBhwt49?A4Rb$(|U%*PiK_*ZCepW%j>< zh-Lnd??F0x;IG9OysW`Fq{wcrI``<%V%e;+Wn*2Yfm!I)Vi+>)4b34DZ`Oih(X5PUstNb5R zpg-rA)&2qG<`?|3#@|j6y^~+A^}k73br-*^^-o-gmtXSBI{$P^+}-@L-amlYw)oye z_HFQAoR61#d_P29Z}KlC-|l6$&HgZ@=RSV9-G4q>ZRS>fxzoRn((}KZJ6rreBHy<0 z%l-aysT6+2FFX9b$blBt1wN)6eTFlBK4MJ; z^en$ENDEv@X?Tu9SeO>LmpJz@R+|=hjKh05mlJ~G+2IxX-7Rkr=UPw;kaTHvpv@b(8^9xC0sw7?*I z%={y#XhT{ckHY&C$81wtU{M%v2Yhrj-tB3DwZ!=rV_VV!=TnN_X6*j7z+S@s%-D{! zz$saHd&l=EGHX{_poB{HU4Gk>7C5N_Z}0o4J->oHqf+^R-}a{k+9-Gb!f)@u7s5W| zw~x|zij(g7aUjQ>HEAt@t7TLbQ<#X}YF8U5%;CyP6QC~jNp6?4(Qe0w;jqwGRQrgqfsh1h& z3mijfPv^IZzQBc42Yc{akuNZSO6(|}P)&t93O$fM8h+051^z+xD1G%_63$vz6|HLHNF7$EUe5C{C2G`K({+&j^wwszCe^xG>YHW z`2zbW&(7et^}fKVlrN+CZG$f`igM!X=~T})`2w$yFJt)ac3)sT)z7i%k0O0re1Z2D z;ca~SION3rzQA>qq6z7xczefpQe#uZ$}C9#@Kms-TfXbi0sVjMeF=CK)!qJVfw|ms zhI?6bSQ2hnG_pnkjZ!}2+E}-sBDkPYQ3Ikxr8QcVu!uoWpkl#2xF9NO&@QxYSZr0a zirQMN)>0SL;(~RpTmSF-J2P`H7%STL`@ZMpW(x#yr zF$f`-x;XE^J+T`{+I;tYfC}|CyKI42t5ovcyoK&86unBxWONA zmj&chPuAlV$+n*` zRap-7kKO0d-ec8fj;&AKHK<{on#g;{=k8prI`wK0$F=P}ijW3%E{o%NoE(knefE2a z*Aua)swUQ_owp-Ele#Ab(B4Buu&us>z!$JUJkE<@&><3woqhy^rf^E=6N{ugM zvf5q6zy?L}=U_VluUpPa#MXm9hF{A60@v+Z;ZflRJ9}eL9@Cjay@H7{)H4%Bnt!-w zMw`ryU8Niug_B9lVDB)rL>DukcK0}+LY0DFFv8<(&Z&n{gJ7h93e~`|TkTzkXq9R` zJ7zEMBQ$@NB6lm;Pl{Wuu4bD3J-0u%W2Z6A0g|Rx;q`?Td{5GhRoAfc2TPhdwUm?i z5N}-tdv+;9MoHZo)YOvz4);>9)~GU!Hd;(KsWibDuOmX5!wcD9M|l-JF>Kv%2bSj; zZyGv1P_50CjQ016hbVsZ!{Me4!JZAf?D*MXE<<>metiRZ~7c3flElcvJ=B zJdN~6$h!@>hw5?gyn@TTPq1v}5$YBcB2%>o+K z4>>583urXU*{vRzvnJ)Ut#0?$v#-^|TrFBX-lG?ohFj^qf{-ODaS${o%WSja&iC}yUh7xY2moQx9cgC*_t zEYqRloQ}5Cqa?yG7Vl_r-p+iE5hp*Fq{m6z#mwhq@$Ez3Q{}PsN-PKZH1X}vT1^rs zzZ|Pimt>uo@f7-YI0?yo{ln)`$~0GLJ(Y{Jm2SdCpU*Ygvz+m?eZkKFTt@8f>qQ-4 ztp}G*{bR12J)R#2=Mp-t4mk|L8a-nUqNI;tTh8RY%Sv;t*Ruw}>8H8W>kH}5q`A`T z*>s0#F7)~$x^ro+^O_$fv?|hE=Jg!9E7M%d^~EfHRhmn=o=f+4dTv54)s|C^?De%Q zpvxkp{O#e7hi{}!`D~z+Z=R#yfK8|WNE|#|xi11c%tu&#ro0b|lRh44GJWW@($}K; znZ9&~=}XacnSOLvrf)+=nL!zJT}^s2CUj;nkHTuxBQ@MZc87ax`aX1Frjl{$cn5^w z3cTf@!$CQIF6CpWvkMGlhkXyB9Y$aTG-h|@?KXWh%9P!WQ_f0HMR~JTtcRcGtv|av z-I+A!SauIa57S(=j60Y9C9G$w7a>kX`bpFwyVnHNsxtjVHQf8~NxdrlUznxYed(@E zPey&R`_X27`Xc7FKiv%|=bi`;2;PMwDt(7v0*BcH2P0LQ4^r8KIsdIRxAxgX==Rd1 zS*t@?d_R36W=*!1?u_N!gFo3(H0RukOZM=6VWvYpS|ZGj{yt59!qSYn5LYXGINf87 z8L8n>+;L>AQU;fC)RjpSrF9h%ZyU9x8}dUJYL_R$np zctN@f^ECSdx)-H4;F>+>FkF{dUGGI9b3sp=$<4;C)aG%LrP!@OnE+o6?*q_FP6;z= zCoZeyaxfU8RxGkJ;#3%IWMz7V*&klVT6l<-Jzr{(w&}irUFE0m=UA9_E25yK_$O{8 zrgDPG2yHqG&R)6fOl|?w)$FYc??+-Q-4!`xXVcp^15YMp#tb}}lq?cE-?{y4M4fYw zu{7_$wnu8dEX`h8f$p@@cVP--=NDL7z~V)g7SbJB&ReY3k4liXS4H;nOKC$&u$bv0 z8%vChMvk>BjEy_60A{Zg8>VDe(VerL*=Hi)>H}!6F?(HrCt6dxr3JOS-q`cll{at- zWYX=ih0WebcZHc6H_=_4uHzV8M%!c4B`8t$X1eRrCo=!#bl0bkz%_dd-3^vA>okB{ zuQ2)Fekt>pHo3E)O; z-QxDVub@5eH~F_#BkBVt|Fv|lF3A5ulmBTP4Zkw^&t&_rG5KGQQJsCrDY$o}DHj4R{oITKfw#XqtP(Wrf%?o9eo%);yo?E5gif=l6_=#O!-%P9aao=JOk z*}wG1PRfkCm!rHnBVJ)T-{kWu{WED9cN=LVG|TC0Y^R(VGq2NKm6o=7gK=up(l&pk zd#vUB@mv_)^q|S}t!tU5)ZrbIr;L-$94Edh%ey8|8TWrH$n(8|Jl{8YNiOBP#;mE7k*zDimPU`uo$y4(GjBY+Jc8k(J z$JyOo^77Tx;{bX{tc*Gkt)1;@%kvhNHQrIm@A?7!O6^NvrgufQm(3>`W3N22$6b83 zw*X&t=gQy5=5n7=WdwaCNvKX|!TQ-XNS}joF4Uz2W!(Okaqm(_+wtl=81C}HT%C8a z|HRD0>;QW%Jk`O>&%9|e_mJ%1s4_ej$PTf2f6rLub>{(9+D9WzZ$G<>eMgdbm?=4; zaD5tXFJ_c5yPLfUj_uAq3pcynZCRqW8-Ml`>?EX@e7Rv|M@VL{e_#U=f5-kM6X&x3 zWe+cn3s)`iqr&YK6;3AK-V%~gGW+(CkkBgcbPg=-YkveweOj{n+sBvTvxR4U{|qZz zW1ouA*snX=;Q+gp?jufu`#}2>y6@pF`XHM(n|>osg!^EdH;sM|(tU`{1;5|3bRTMS z@$Gjf##y%3=0e!7BggJ2n~O)kjhu^z+no6QcHta3!sdkR_Y=k$ZF8dZ`#aMfDMP&P z=_fQ`Ct`C!>vs&-qN8jsSpE8P039QPuOCl`vd7xf(Rcms=O7wqKSlTTEYI=wA*gJ> zN$jFJ>DGRe*cT^AFG0@??h~au`n}40Pm0Y0$ zxB0**50BZ2(u$V6`N^JU^Rco;9?G(lB-V0yD9fHMpjDM{9-LwGae9@SygR^TdnG2> zYB>zcHrU)OKcY5po}MMcd!5?68^GB%H`Bkvh&~N@cj}G7-05s?Y4~_yb&?H{Pci6^zry`Q=!Pn3Ho|mcq+|d#g5*)h*qP>T@40Ev|95tJ4l`n zu_lwY6inj2t^6#Orl0+LmYMEMaDn$ZfV5Q5BnJ}FrgnXPcHgEJ!ga)2)C3x@1&w*Z9ZHQyeRJwty;i5kaFw!_gv!P;i0$9HP}IOa&{LB_XwH{7C?F?Dr8e zR?Wbq4(8jP`(mJ|7Z|e89t2RY+Oq_U1T?5#Y=y=4-Uw+_H?lrU#B`I&(7;vp2?%Lc z{h8((`y7A;igPbmYF`4dMBPo(*V`)qS`=quaD#*_SDcE$jRIO#HKW~ZKaOat6enYF zi~TOZYQ>c(Xtnw3rwEQJr&^Nuq#b}Y_|YdcKD|gfIbuC+u>3g_np-U%h=txOa^J^S)kAk z_hpzCl(WO{vGqGSn-ErEhfi=4c6IC>(MxtH=Xc$l?SQK6@HLM1a_2yxYCAl?9ng-> zM4%cw?1Z5e40PrI)!Jb*n|+A09B3?F)v-FmoDD#Ab~u}8AE$Q(I?E1EBRawv57c0X z&$DE84r|nimu#%jDT12pkV|VY$ytn;&35>b3)JYW1X^H+w_^STQ=Mmlme^J)2Wc5s zIk|0O)!}e1d zVKBkBBxUldB;|A3ru;uMh|3_yY#HMiQ!>3${wHxTM{zzF3A@2V5^@yB84Zm+Ie?9! z!e`qW_?B`w=YwGBRQhsU8{d0T2Kb&2j|#du$W6#d^kx~ig9yHii8!!L(Eac%<840< zzl-4<>jmLVS|%|gBTq9D=YZ^7Oc3{e=7C(IM=?KQ%;UZ)rUc|KNkS=1T%sx|cR6g7 za&nn0rO0h(Igv3r^V*D=&rMuyXSs+bIA4v4d_H;CmI=|Ye_$F;>#t6;O?jtbqLeGb z)^_qa7WUWSh$+K~vux`md~`z5X3!+p4l|2*ykUBmcRhqJV>nk6lb%xzzQ2J-#Tq!d zmPiOZUtm-&Cnjn%=H88?a%mCMh}s@*8Hjr((Z#hNp^WaEa34d&jToLOe-S{+@5w}* zR$Pco9)%NO6oZ*5S0)q8!}qetQbU}YOI1N|RLuEtFxRdE6-YmsOJ_TAi+m zL->*>A5un$(r$-c3XUiNx&|KZ{6UjbhHaENrk@^hjqc&CWrkq`s9k-4nF2CuDmFgB zECJZ)(?W2e^8&1;d|SGj#!^1Z5^zNDg|wW{l~@6viTL_B!YQAp=)qa=;s3;!htcK7 zaT_$vor8dOjkt6j2ain9x^vhcy$iRzcX zz#O}I&%;NK54q8lj4KeMGRJrevH3~)pG%s3rO7x?QvQ=n^C+CT{t)CG3CA?YD*ps^ z3Z{4i;n$V!T=0GG3;=ZtoA4ZOCft_dNoUX~?^t}*#3bi>oCq1S;XKcqgJ_{u%Gh!n zD`Tj19&)C=l+P@{n?1WHsBA;au8>_yKu?0ffFoy2uF*vuyzn%r^e`rLGx&}lBIAKjvaI~p2_T;*;dZN zg9gn#31}B?8=Qr8gZYisp2#lc)=fe!@NY;rB(tagZcjnhke%_@zTo5kGSDhz;mSC2 z%gE+4_o--gVFiPAh)9bvV7lQY5Zu-dxOf)DYa@p6GTbZ45bj)U?8Z_Ve8E#>2p1W` zMTT&ZA-p@*mwzWi_--uF|FaC?XXRXG8rHrdLl|vPWC-Vq4B>CY5blNTsm0GqW5Lzw9QSBCJ;96bp`crTzlL-^%BxN&SNL%85BvlT{7VesF))xYgjwEyogrLM^#3SB_*|6sTQP(S3b++Rcpp5nCJf<00GWRqL--Bc zuD5|9ybkyFgdx02`XgcpH{mHRVF=%kkhU4Zq-rG$;oboM#~H!}o%!t;!kkarz!2uK zTEY;1Q-+>}r_8Mx!XG0fVF)u#!VqSfwi&{|#*t3j4B;=3CSeHEbixq!aE_2LgiCv3 z*d`2N{D8TDA&j3t|9cq11r5E;3}M!+ZHDlXm=6CUL%5(o+sqJNhSo?J!tda;qfLe| zKdF^4gf9k27{UYzLwGgb!~J(Kgn5Y6HbeM1gd_}Mf|hM$2p6>7HZz3ztJH)ce3Ud~ z#1Q8A%l*$Wg#Y)GgP%ofMdV5gf^bhkwdCgCZj1rLV14O%dx$Y~*I!7HR> z1+-xDZ(~|8@3G&27R=}|Etu}MXu;#rHZd)jkLNKhnC^c@3m%4Wp#^V*BPxAN3+{s( z{Xq*ab7J4O+0VXK2Bk0x>O^?wA%#_qV16lc|RMZ=cEhzf21*$bV%){&yARe|JIt z-<%dqb{uKJE|_bkJ?|@M&-+dOt<~UoJz(-*OZV!6{2w&=e@j|08F{1y4`Kd>7Hsl2 zv|uh%2`!k6J<@`YN#Z_T5cioVuAv2!l}B3eZH#MZ!6xpvqy>}NM_O<%umB@kaFjP* z6KzQgrhi+sV760C3#R*PX~ASABG0!jW1fZS}?bd-;fr}Bnd5e zq-;MUS}=jIfebB}5#!al58N>=_-STNT5uCQzn&J%`+J@id??ZgE%=5c@z%89d5l6@ z@CG=(DJ}R;CMGSoe`#E}Z%GS&6PAP)d<33#Vp{OA7>zM4_$Im|TJSq`lYR$UFmE<7 zEtofrm=??hKc)q9@r`N0TnJ-YFc*)Q7R-qs(}Fo6V_GmLN=ysp5RYlWT+m`#Fc++t z7R&b&5h!E0IOm=^pumYD?Vt!cr0(k`S0cgt-9Etu94TJWVv z@%6Oe3pul6TJQpZgcf`iKtc;<_FqX09*vXTHfg~pBOsv#{}3Rd1rsE+;2#4dv|v&y z6I$?n00}LaAfW{_T0#pZzcQf(GbEt}zX6cYg8jZc-;HR&y#W$hFhN2K-VGq31=Dmw z3qBGd2`zXMKtcTIRh7_!tAVN$TJZ5eH3==4%7}Sd@HIeV6I$@^f$9=k@b)|+OlZMJ0p)4I ztV3f$3npqxXu%ICCA`M+Zj$wnNrdET#3 zh7kNt9L#~64@O7;4@t;T9A{J!dvXAqG8M8RN5Z$1!#N)WOUKfe1J?N7i&lW|S@00% zBnP<(slq}LlWd!rhy&XM-H$X|(1LGfILCTHxNsCDCSAzmVMgK{FqA?G;@-a+rdUDCzr`m=#On@c|2os=Cv6!pPRV0%q?!DIW)ofYE0zw z$-A~ph+;m@G@RC7oo1WzwqT-^E5g=x@;MfAKWh+Eh7;%2)=Bv2grc28lUzH@Ecy~H z_$r2T{V?e{)sX5QcnB?+Yl(!w^C?Eu)#g%%uHIyp|wrK%t}D(0*>m}^&o zamhitRxVq16AE=hoQ|tpK{|<@ABp*N`L3c17M_nSaAn-uLVo)E6APtCC=J6Eb88Fv zbcI9X_&5odRg)y51^*}x;row#NEsnYo5n5$N0a~wEx0qbQ86vpoXvkjTJTe_7Sn=h zETRQ-MDVSyoX`^&Uo+QZYUT*=UFHw(^$7JMr}+q7V^hqt5!v*_)9g-gn3 zvXuWbdQg+5fEJv!@yOMsx5HO{S(om<;_k$AysmxO8lBeAxy$)-VN0zQ+Vr- z~2! z3gfKkKP)3$WC~M2xX2X#l7MiLDU9R!NIVY6@OYe< zXs5^&?gJ8Ikttkc3X`&1WC|+~3X4qPG9eNdnZgBpV30qHOyMF^IE`Jy#ojkz3it0v z5^oPuY`5mK+E)(&^>u(yY8L5I^qS;V?EBwEH05^dIUX~f!u zi)a0|T|%NgEh5qWWPgO>-xCoJ`1$sDF=G4YlS}KgA42H@ul+SpL3aH1OZdlbHw|I# zK`5}(kL)7HDH#opx%*?rtvDS%o>wyAj44(L-R_ZTc<(fV5&e==09+>p_dv3i-A%MO zZx)>gL-E+TgwA$;`xW@(`@g^y`OQXtDZ1Sy$inS@BAtgIe=n@1b1aN{-Or`dgK4kF zTsofyL%QSyFN8wWjuTjp+i+=rxs+r7p>QrXg=~Kr3g!DFKv8aAiAx9H zU(KZ7fjhm)!*AC74<2^F67N15@jhfFE!7##=6`xOoW9~m4gJsP&Zsj{Apdi^L-ivV z@V}rtr^cZs{y*rh&?`|6-?9lR_2sz5__ly5{RrB`cLY@H1<2F)1k~tfGXNz5YV{jP z>9-RwR$t1J`1U^#yH0P{7odY(g2t-X53yXzW`P^@K`dBCz!ZHfU!8Wce~geu?IW_k zojnYoNw@0^u)Tc%zzn^SnTB>65oYT0V*z^F{8W0g{vn&JpS={(7U=F8V35r|T%yYf z1`BA>6$C>BEZ0K_Dh0IaT?uxv**&ZDkUao~O4(NHhgs0!b~*BS2wy$n2);6%LpOwe z3oEI<%SKvCr=^adnd@0yU!4F(xxegmcxTWcPq6j;@1hGjawxIbnZ4nlFMCsbcaD8K z<11XqsnbYizNbz9PMcZ#YU93@>Gl$L=NdG4>LTXZd2jS;s#%;=SW3+i=Y@x05Orrk zI_{197b0dCD>a)5e#f95^y$d%UkQhl%Cy}=R?1Q;RBwyFV2Ve>^_w;4B2A4RLJNNbPP@~{d$Ay86ejDWo<_qYe&!T}J zIm{r`B9ecZ!`YhC&!NeKMFRTj>1c=G$C9Q(|ALgqs~xt=Ks^8{gKHf543duIb~)H; zw8pFmu5$=#^#^F8phXOf)lV?ZQUS;6br=c3^#bbjBD8F9gMjhcLh}VT3aHnQvD7yS zn56%TF%T>h(4cQ6xLLpyJ%;%#7tp9jp>2a(1WeWMGt*lIH0g4txlO<<{Rs=YLO`>A zkxg~GfO)#Q4&V*}3-rOD90hj@SftAtt<~Z82A1fFl>jRpeuTV5pGj+XNwgdF$*j-a z0+#EeHNZ~mZS!0I>vY{SxevkYPvcoPc=i`z?tsTD47X7U>{8*Hl z9rT2tW9@Jp>+q!HP-lmCG3Gi6tG7dbM>cp$&?NjQnCNLi4R-hj^Ltj%6g#|+ZTXy_ zMmxL$vpaZE&{R8YW#9bS*?^KY+2O++Y#W>pftu~`onb(KaR#GC3+#~F`rsw0(Goix z%=})KlC{|3i6;ZS;`HCCP;Qr#rqvFQW{oyV*s4Tsmtm{zkYB_JUU%*Wdc+P7V9&lG zXq_E)I{|2u!yD^*v>AKlO+g#%u#v6%mY~;Rg<)?C+H8jivVwO6eQbwkvqqZ*Sx$Hs zd-`2zdEW`CiW0o%@K%&@!p-c>_a$cNgom)rJ`j|1!nI8IcUdheoRDAb4L&hzhZ7!+ z<_SJ?DpB{m+%DIZDknUXb+=uj?>J$WE$9lWcEWKSgY5xAX(G40B#gLKS2ba#c# zyxcBPUT&8QT%8l{fTc7jcZtS3VGVn;x1f3_?3M!RCukBxd)Z?Zf*PFgT8^bbE~onx zC%l_=7~*aMYIMR%TB&ro(l$Ba57;g{3!3GGcd}%=2x@l1H`%&F1k1->rh3!^fkY?5ghIEiz*q-uVe(rN})r2KBJA3_Uoxe^G0S z-dtGp=BVi0{RbDjLT=N?vD@Z~{fw!{JZaNVj~IlIOXbThIn7Tl2J_wbF&rxN+w8Ih zVy#lQaQ-cHXQ8xJS|-OLcLi!#W4ULt)dmejY~SbiU%EEHV{`C=`xeHLDd3BR1$;3o z;AMywY;d{X@pX^U0Dp1$EBcIXVVPc%1_<>N)c`LG$myP}$19R^g&xYa@>S`AN-fph zDAldf{dou9?7ojFR;{OVkiI8%uhC;@-~)F&#&508a-e_g^21JJ^=6K(Pu(@rACcVd zT-3T=58}ACy+;w!pwDG-Jdcy3QS(#p_#8PU9H;6g)~B6E#o#8*kF*EvJSE^8?w))g zR;La_$OwK;3t(K2hR_gWan7zD@(EL@}VxR9P#jVy?GtK^<+n?L9 z)0pM}NmHwzVVdtrnz8yCR{mf~Q>T}55+CC6<4pB>DMLm{-5Ruf(C=_B1#68u!)T+$ zbdydKjPW`mB$3Lu}%Xfm5B8L^J9-Xa+ zoQPib{d!!xo(hkuV7z=6(=_xcg$;d5VMEtP4Lv0Xn-e@vw2a<^V4~D4)Rn^kCV9L= z=JYfS$)LgGH`6OLKl~n?=`rU@%bkb_y7Fy+6vaGzpWiJiA@0f#87&Vk@pyAL1)5)2 zp!tObS`ZbekVLDyvi?8vsA(GN$BzfN%;V?KV!2%oi3S?t~`<#V7_ z`eMjK1y>2E)|YSry4K^@h->tZc~81d+Ok#;WSZ-}FEAL!>eU?1H+kG?)#=9wmIG@5a%x+M~j5 z7v0M7_n=4pu~0{HyBvQx%bkF7=%JkcE+4n_FxK4tE>i2^{En-80B3ZSIQz1cyGz`? zP=CFLICrLn5fY)5z9YqXFUQ56;=Bae>b>4Y8@Oj;eCz$hxg5tpdVjHCAs>C9IH#a5 z^g-gBjNaD=iv|7!TOTUU>1a_sN+JwnA&(ZP;nRwfUv1LkB<^D7cCz^Pq3@~kFiIH; zeVX|8XWb@=b2EKUmt_2IuAV~Q^hrqO>mO45LUFCuQ@K=IstFf;K38hba>vv51@{7^ z7?H}m8Cd%Y<=u1+1F=TWpp=F>f-O3ecQ8wF#n-dA;QNXTzP^y|jN*E)XVV=jF8BH( zx^s%Fy>6zvLUFOzbLg&AT+#K#EPj>Zg0AP%{hglgBA05*El2kHS{5+HBKSU2`97P* z_sw(k8?ff~ABm%ZD+eJkJs)A<)Am6@)bX&A=|iWbu0_Q&ed!L>rD(KFKe{W`ZO9@s zh~M6>QIoOR$PDJeRIM6`>696=JKST{edxSQCF9gt9XsO>qsR$_gK|*O)%O|d?g9hZ zVc$b&dIb8uF}o{ox9Vt=DZ3k|oTa9syxA(LhxtPG?sR9&_m%fx^w4~GS=>4GOIXiV z&qACE^(5+$-HZC>l|~bBA3mv9nJ=a9OLwiBjQV8vqs@AC5%b!g?go^Qg1{XK-i0G7 zefpQcVfMhmNTv87l|7jA-%{M#XAhybXFjq=IALQ95fCR#7s zz`H|};_8w;i_x3auI!^JtndO=g}%)GfbKST>l@i_C+4RP@>)E?99nB{m zvN9c6BzC@g``HMdbC0n!k7~C{)I%@b6^&sjQT3c(U}*u17g<_JcWAk9v06VWL0T&B zl80(au$bv08%vB0qr7Wu+<~Ppd!^VgQ{pPRbCx^%Oaxqg0PR6}mkP9|c1sItcfGM^ zly^A=GO9hcu-O~wt}s*MCc3Lt9mnW0+8(P)P@?S3bl0g9ng4RS>(vpsW^bXp0czi; z0o;0p$^UjL(3&>6v!G2{3-VuCkpEo;`QKfT|4$0?|7k(~KP$-p=TZJC)_+wuG6kRwL>ICjYf`uP(^{L6g5Iy8g=KKa-inf$9cOdscx?$ZTvpNZlc<=y=l z7s|Wjq?+;doQcaPqU;}8hG^72Pj^N=dKmm)VBd#o1((7<(I4Yvms0>d*!gRjL=T-V=l#IKLv=N%+^fk6q&WxGY>8?`JHg7Oat&+C+E8Sx)_mAho z=%xovo^MgS*4Tc>n{$d=e* zQl3Y%?QF*P^?zYAm~Ag0rzt+4^=-Cll|C8QY)als!0ahp20GZE&@7dAzfZG#jLvqH zHPO<;c~dj5ReY;_?IfVAJqpoc6r?JXf0EwgVQ3Bh-IJDmed``RDDk|^&U zkH?-^d6%N_vGOiu-DBll$~wi$yS&-N%DcR2#LBx|@MGm&F21qyE*HXBd6$bvth~#K zA1m*2LdMFwoG7vKE{AxmyvqeGR^H`;6)W#@@Wslz)9H?scb}p=Qrd9u$u=!NGN>AP$V6wdulWesdhGiRUZk8X>8(=bfmJIK8dh>1oXWQIN z{|+PiG+6E28#|&-XLC!_87`}nY=~T^1GX@GmgB@QQQqb8p*|A(;-FNHJ}_FaQ3=Wf zczQinhoG07Px$8XJSRs38GUsPLdwlKf&S?Z0KL6UJ7H4kCy`;$$Kzv7g&u&p7xeYG z@Kl<^iXFXo5v@jVLV1G$60O!e%?|Q7^ROl#&+<&-zO9^UyFowu_bhX)y!$!JT&TQj zo^q$lL$@gJj$je`+kJD}pu9_KiSq6hNTIi9_QT}`GmaUC0qiQk*UQlr!EQFib~CyP z>rzl2RO;T3!p+jffYZPU_Sy- zsYhc25S%TbN?%0KDA91Vh#?eQU_XawH5yX^U+R{ST0Q5@iN2eeUT?1eXwj3-1Gqs#mg`Aa7K0lFwCZX`yV-sm(N^hKY3&yKU4Ye^8sI^z z%~vmv=m%-~=k|Djb()Ic!5aHgfc5%WhOD)p0@$EO5Ik#_VBEg0?>rgc&-TFpn{~%i z0N%2v0wl`2EdW-cyi4RK%Dbz9^2)o<0)>h4E>SK~-rWRLktpxlJEE8DP|okVIoknM zCCa-80#zre3KN&8JxYg-8Elkta+xfp$Zcmi zkuf>*+KidcOUyX@;K6%%c2~og5Fb${mSEt#gywfmI$`xU2JNX<7`|EJT zl;OmAwRI9cI-zJYXp(D(nMHZ!UEcK&zKr2qKTLW~HTeDp9u;@P$+bj6;Q0chayc-vl54Q}&J(K9-+K*61_f5EuA>x}Dp1#jhOW*IwM4VP!h)f=Z6JZpC znJHH$6D)+;$WlX`noCtda8%6saWL1e0^^c{bgf*r>?RcIjyN4xxq@^OJ3kWh>GEAg z7c6XyEpTPr+Jelit#ba7g$g8;hT)32wS|1ng+pUvoP^7&NfND2*Tf-w$&(K$BSdNW zxda?h0wl`2W!OfUWBTb4*C*Kvqk`lyHNYU{u#NhuZmM>z>vGVR51e7%5k|^)4 z1TcHH>GImvGJCceE(3fRW$Z%bT~wAKzU%^DK#oB-?|Jyh@gX;wl5quMROT3uANBJ6 z&n3+uX)?|e-+z*6egS6=PrW;_3ey~`{1Xi4V2U>oeu?t#836hgZjsONX2Nah!?~eq z^f**~-NYp4dYlLuQ~2||Ifw@3UB))by9|}iL(a74`^>`kA4T_-kSLod@BS1(^K3Ji zBd_vM`U+_gVB3eoN8!nauYeq{oZ6^dF1oEW4E1ZcS~quYPVo%%?5o zD^6!&&46ndy^LS-a~AG9;31-39M|r`RnrEnBFwS2?!wk-1DXlTufrPPE?hos;99~y zjO;9|8$?arH()>IF5qc9{tf=qcjYhkKj!Z$1P>fB4ypQc2ssOn81h;aC5WOJqXT$5 z5lP%3W#2PtJ8cnRXA@y8dU7?wnXmMICo9D|S}Sq!ER{mj*mF@D^?Q_+nz=I$GUn!) zB3hf+4CKc;>qjEQw{UzhF$-rlYHxa$SkZh$+zC8YvA&KTki|Hb7_)qy?@YMp-8^< ziki7a&D^49?(SHVQeR2;<%GpN&C`9`@#H1d2Hn?)-_LalKfU%OxbIp5qN@kwef|O~*%6=9%?=)UIjM$@qA z53Y5%wAHAH?n_XsBDyaPj8#vdI-vU!9IMu0=>XlApiV_}UxM)}qWcomtBCGPFiAyp zUxEe|(R~S~sEF=M(5NE1FTqq5(R~S;R7CeBn581RFF~`4=)MH=RCOK7c88R0fr{w9 z3|XYg+aaXYc@bcVDx~|WGqEg!?#pO5s)+7Ouv|rSUxF1XqWiLST2(~%C0L~*x-Y?M z714bO9#Ik9mtdWW=)MH&RYdnC*q|c1FY|d_MRZ?=Y*y#8Pw$tqeXJt7FQfg-bYB|D z(|y@0xrFXZgtLbaQ3B9?SrD8(M08&woIPZ@Uwl+rvpS*s(k9Lx+NAr^CQc%@f$qy3 z>i(C|eOaR=3Eh_^Yf0$7Ma|rzX6`VTBP37vCCbx%W%O(l-FFQ}R-W#=^G=0yUw+pl zPxqCtN4b`hr~9V9O!qZ+nK6aJvtwLymx<`U{7|K@BD(J=_E6$>3E>0=uaN(^?8;&oVr7CSWzI1=5=f+MXAvuQQTf*bQ zS-7Nprb_wzseej1zA2v^U&nBK_eEfbh~rz-%q?o>g2GbB@g*0#sF{m7l1U4TvZ$GR zGnbRPwBh*DU7tPz*X%8HH&~A0_!c#Dg}3`CKSrMx2Iymaz_8MW<4Z9o-*ODcm-IVw zeEG3@6Zh$YxX(m!4ab)hJ92z)V_e~2ZW+#`WfC(o@-QQ1`oWhOnILYD4RuqZM={@I%)`GbrUc|KNkS=X zT;eJz_ja&RIx{gOMQ%IG;~BH4nXAwpMa|rzW-cBwmVsYuW-3WNSOkiixm$94Q~nCP zSx)(6F_lLg-*xZ_7Nhm}aRw1}tw4-^ImVj}>P*W2o21!ST6B$EA7YwE;p_@Z>`tU^ z6>xmZ;g@iHPXbU8$F~t~qh?Q^7&J`cC+A2IKH<6s5Uvi-$XOF(}!TQcHV`J)ISq~PFu=f*KAE~wXePoELbC- zD|BC>^io30mb;NqddUv3dr_r~l->b<(11Cz{N05u(*{nk?B1jYI13LNbTob@YxiNE z&cfP3$6zGeeJLLW7VltkfA2(QDK~d!31V2*kZv6i;po4O5@ZcJ0p9j^SWIW(SfkO) z1k#<`SuRUzy@`uw9ga8=X?I7|jilXxWx&51z;oq43gQ$sdcpcGYV?9OSk&mngehwD z{+l#4pB;Z=jox9{{4KY`dDxr;uZn(eYogK1 zuvPzuHG20z{VJW9w0k<%vZ{YsqqiD1YoV@-O4tqN2JkvIi6$_joxPv z(zZtLK$JSs=-mzA|F}kPL1%tDjb6^D@ar{tZ$Y#~qu0h$dfOVk8X<{BFViF%y-d@# zM(-c-sNA+jZ)c=QG}{xQRyZbpVM*FF~Tw`+F$i{C8;dzQn$6 zQ=|87gd`fh1TEXB(Ob}V+pN)h5=T<3(R+q8WTer{@t3py8#H=Tat_tDQ18t+3(_@| zkV^GL;oSfAYQ39K%1Eu3i?*ejaM9;;%}&&Me*=(WM5^_6$8z#DYQ0w=N~G4yd)a?p zt@l&pQXQ-HvVbWT;Tx#+4#EvDQtPENR_moZR_mp^Ew$c}@Q>Ac_kp_&wO&S%-~Cgq z_dzLHfm-ho2#wWxdE@;CYQ2metM$^|mRj$*Xq#BAm(T36S})!IOs)3-gm?T5ZSg4_ zQR!o~-YV<@zO7m>%|&Xxhrmp%);o~Ysr62UlWM)(47Q=xOYeWC*2~V1)OvqyEX}LIL4O-+y#@cpt#?o4MYZ0KlX`w?@|65PqdQjXrM+0Km+n}tm+tCBt@myi z61Cn#vGa`8dc|a{*30;bS}(!2sP%fVCThLYX!h&XdXGW0Sgn^yM6H*b;jdTgWvoQ4 zSDw6Z|DbSJtk%1j_Ndm&J>@r4>t&Ket@kk5lSXR21O;lnj2N%ZRdB~l-q)Bp)p{?7 z=hv(C^8TJz>m83YqSm`ANxZdM?@~sgTJHyNd{edFhnSdZy?d6%h5MFjy*3PpTJMCi zyjm|m(-o`r{*rELWM&VvJuIrRS}$)lv05*08nIe07yMYQmy2(#*2{%3R_o>B5v%ob z;>T*eoRG0vFDFW@*2^IttMzh0i`9C$V8v>^9DK1_?_9bgwch9Hj?{X`qS<1#UUqA& z*2`Xs)q2?-v05)%H(~M~2oS6FPDf)UYQ21PjMaMCiiuh;A21WOUdBq)dI{QA>%9m2 zoo}Gl`+em1Pt|${Ag4sFmrr_Muhz?xifvHq{Q$>KZL9Titx42+KSi{*)p|Me+EnZP zGs_&S^|sHU%x$Ul^6|S+t#>dY?)VEFJ6k(`7e5rVcYFZc0B6TnP#D)LfAS2RKo7BL zEm7;efu_G!t@ldK>{zY$CV)h(w-q3a)q0uzSE}_+#Bpz%YQ5(nAW`dG0FbEl5+rK9 zw*e$-z4rnnYQ0YbBx=0`iCQnCC2GACiAdCX8Iq{=egTlE^_GJ7m#Fpb29T)r5+rK9 z2LU8%y)>Pu^`3^1M6Gu^K%&;W7$8yW{TV=_*2|DYt(PEC>wOj=QS1E_AW`e>*RMdW z_Y8nUt#=7PqSpI7K%&;$5t5dPTJIQuM6Gu=K%&-rD}a@#^%D7sTJKt*yjt%Dpgfb8 zD3_@9egFi;oF_V9*mZT%JEE5owcZ?1Rif5A8mKx^>zx7w#hgwUTERf)G9YN=L~6Zv z0gX-6djASkm#Fm)B|9Yd{n zatdQ|=Cv6!pPRV0%q?!DYiWY>)tJcVlXq>I5XJlp({NgUb((F;yDLnTaz)tMPCm!N z{u+mvGSE1$wobxFClqZSO>*rpv*=4|y{!!A`eD*@sv*@nc!*jr*AfYV=SD{5a$=%J zWA4*9Dwh@+IEb2sTL$6*Npx`?N+_fIPTc7c@k0zx-@lQ!eSZfg;?RayRh*8iTtPaCogaz$bos8L z3l=uV7PvBQZ6QB>{)vTMkWd~C81hx7AZPT zMGXFrV);Igp<}h)%Meg91D8at_a1;Y)p{8~wO+>FQmywvhHRnM`zn0o_>db-$(U-r zd*m4JDja@cVd4%@bRvBJZfUsZnC2I7_D9gxYQ4L{FJbbMj~lD?UIOlK2o(06-Md6ER(0Ycv`Wkh%3l8C-r#+mCbTp;w_+z2#; zyYSv=hQC`*Mu@Yp+VFRK8~*OTgEo)_+=p*p$n8CgW$J5U)(kq8sGrg6J!sI8L_1I+ z8a!U%{r(l1r`*+Nm%wt&`yGGzQG!_49XZ-o12Up?dS5H`N4TwVxOmo;AoE6i-)CT) zeBYH(>Qk_2@m~oGRut*A*Ptyb)#VD!W(%lNkDzHhM?ke&fJY_I6Hud`1<~6p5m2k% zKuWKjfU)Y*_5i+p31Zi&?Xd6gI@p&1)T@VZbMusaK4LehgHiw)0aMhm7=T_Udl^C+ zm5+Y$wzCfeXj1Jk+Pv*;cG(QIk(q|}6|go_l^+Yx)4m0uS^W?Xa9%%qC88}*-O=aX zAp2f`C90fYuz(g-K`=zXay5jYQb4QPm0%Zp5YnttL-qg|DrH-(9%ey@+jka+o5a*TPDszsp8iN~e`Rf@ZE~b^Y`S^>8m^Z8Ko&9}B;f|9c?$tu`&QWT_7Zo>UkBjwCm)?^(Do%4G5bz?W5p|J z7AHTwSu#hQ7aoG&40LCi@V$2|5NPVomfH(|I{l78J?ImxLHPIJkOJCPCksVsf88F8 z^%VM}eG3hA(wLL&moB2yVT5H8;TcA_Ln3r!ggY6*S;Fhh7ayZ=x)7as;EB)Bg_3P=W_8~xt6ba7^4k2-=Cy)oaHi+Y~#OY|Kl%;N4BxGqt(6OO~~A!sjXUneZ*RMH~aS_7Ui z7VjW*2ke9+s)X-Ll;`_$ajr}FzC`1lum(4Qptnm@?}XiOqX_y5nglCY#)Aq$4NiD1 z?!Lhwm;25sPIx!g^KtD`}-t#CDsU@CVp%1Un0w<%D;#WV;AzcEUGtCkTcL zn&*U{u|`FaUNpjFw}|{su z4!>7i?nx`uP;9+|S7n41MS3Z6PkF~6<2{4j+~cYXHv2(Qq!)~|Yq&}s?D3(bPA%oK zbcpwB9Lvj6c-G*nDLL4j;Jt+fJEQg>m?$+1m3;qllE=rHoSKI61P$JiIH|5MzmGoC zW6qV9GZ9tOm2U&c_nlJy-{EXW+;swimIs%3JZLlpnqOF;`Go~4iu77~=OJjQqDU`D z8zcZb*JIzH4;ANhtlD}Mm!+aeFIqxWK`YYFVUiU^da;Zdt<>GQK4;P)7OCtWlwt|f zH5f<6olE}`2O`;O9%odfpTw$`-D^BnwMwHZxsOqj6!pY?>8?#r#!*RjKiaHMUxb#< z?oW3ECg?DP2Lv18kh2-b>hMe8FneGhq)I=@=m&EvZl$Lk3HKp%d+E_Qh{+zxy@#JZ z5qt7%E!`Q*xd(r;qiD{#6_@PcyTeR}dQ5;YJ9;8b8fx!(a9U}8o-RAqn2{PD)dU|9 zRvBzw5HS!XWzv*C7*}TmDw{opU&|Afjiqo%(G9h?3mPpw<0M2mO_Ju$In~NeGFec_ zqA1dfHkkbeS}Bq{y~qroh9moHvt&3!8UcQDDPDY# z+FKOqW!wCfZ8O$#{&+6Hrk`~aI`LbVfjwvhfZyS4v(kpzOZ_q52nN4P|4iCYdubyQ z27XU8Z;deU`(`;e)LzD^P0!?-{9%;m4if=B>W{og?R}Yf3U&Tdlc%Bfn%Ul3ji{f~ zUM9Vk?l0)BF!}t0?&^fvdo2tJwRevm*zpQ^yTlfg>Io)oXEVO9iXy%J?2$e3V1b(x z?=htWhT6+LUQwhsqV^t9Ks=+UsKBiK)Fa z>Av%1#ILnoOuCrbONH;4+DmornA*z;8B=>XQDSN@hj>iw<$@Mdd#NfOQ+p>OPE76n z0o@U`_cwG$)ZTs2^)a=#N!+KQY$w<^(Cy;TFMFcBf$mpX=9BFHr5G^f?N0?5Zx=;+ zJvkguKivWQy51hO({k!bv{2B;|YMpf}hxT+}9i&j`{lP=k)ZsZC2 z68nihJax)oRS2%Kk3>kb>W}FYTw_lHSfDuff~EEhfFc(XtgO&`iOdvrhjf91+Y$WT?*FN)Dc~; zo@K~dn^K`0)ChuS?N0z+S6q&QKihj_5N}o;PXTz#J`*6J_FfKPCDdLbKcV(==;x`u zl;zD+dy68y7zjm?UcV^Pi+NTQ=@q(aQKYvh((4vQdM&eOo8d0QX3)oj*y;FNNbN0( z^jg6@k8iV5KJ|s${R)?qPi^0n|1)|#gG>K=@H}PrBr9%fKC6B8fdfG86;0fpMiaMY zAT@N4fp^NS{eB7ex7MJwc!INY+-y4wj~&F}PQxFcn~aJpfI()}mEza0Zp{$yOY58>;(!5oK+lzFc|*SQ-H z@s_HGPcYY+1$RWxxy1Pi4iJ3xJ1lF#r4EnxGU{#e(dG;2qRxU>@FRyAgo<>7;4+8D z2RZc|Usf#=&{s|8lmCw;O@;aeR=414him3QH2^7tYaDaJF%64paILULYm~;JM{u2h zTJ-@Rja$UPSoH+cEERC9T9*R2UO=5%M1s%_0>&!~i)wJAfO_>9OMR1oN$RgSfe4lf zXi&Ej+$>;<8pC{+3usiMc=~dSfT`+zW_qiDCRNTfw+Wb~K4C#u2xwL>vZ-ztFi%z2 z0o);AfjXF#yHmg-^Y!*t=S4K%5;d_BAuAm+?poBDw04(7yHTCY`rIvGxjI?{{6xSC zwSe_05^OPs@SENu!L~@SO$2qht`rHjRxn(sk&B$L57+2j1ub#HVLTGv&E*ftTAXk_ zm$)iH%bjp!8fXu94O+0(2{-YSZ=}039xYZSg1UPFsL{yekrHGwH`M*z|0}ADVL<+`{O~rMbix3AUI{=Ckfq zY@s5-wn(rQ?c7CJQ`1H}_g;((D{W)>&0b)}$o-f(*=g4y3aH5Z6Mrm^shnUkLYq#7 zliIl?#igrpkd(dfelaBUyCT8%CLt82>##Uwm$6yLri%nyw8a{>MUh~ekX>KnILR3j z>g#k@8S?QPY^U0^kdKQ5TWKU!!O7&?TxY7($+%`yqAvp8?kS`ZcCg<8uTivfNm!K4 zX11f~kyvUt9#*nO9>urH*G>Y;+WR9~tewjwqMf@sN!u+-`y5V!v)v^x2!dmek?mnK zRz@9&li+Mmn}-%5&O69Uh_!QP&>ppONyRf|DUa+;;Ck6xfUmmas3Y6QJ`DBAD9Yhy z`%02fosOMzwn(sLe`nMRyimyQBYhBB<(HbcXP-`yU~BYU`C0Nb29N{mkh)#gYNb12-`6GB#kSIy%6geA`kTOC9r@-Y3K44fvbzeQa+8Pd?6SO+Yziu zK%r5VSOHl{d}kctl&|=T;Z*qWf8zTrd}Hn03lPwbl-Wc(mpoeYqp0bkgi<8f;x&w- zct9{m1ai=LFR_y@_U0p`P&@aZ5^T4_4+`xb95-9@S?#Nb42TJ~BCZRuS|Ql(3GbA< z;0JhK#=k-Qq?KL9S47UjNrptr7}BrXSuTxOB?C#K-Hap5h(tRG*^)%t`FPr}tn-X9 ztC?a7wtqgLznxD}_v$MZ@i zoH4~Jq1!z&4ey;sFrr^_3V`dR;2yX)12@s)JTe{JgHbl;5<1)Y?N{KB@Bac<B4d;@9BlLbW+~a>wYesl=t*{%%zj^o+T%UX^QT)Th9zrpW%XXP`cBl zW@r1$r5x1kY`@qPQZze#e+14P+E?Pz!S`1)DJ8Pgn>_r~%m3hE2YugtGz$44D`~0D zI1BMVy&Fzn4aI?s{~6sGbtVere@=I(egp&l7j);;IMl@d2i+BVCCcGjHbJGPF0OA2 zsM6HM^&J7#n!32YC!j`C7uPQlP^;fSO23_ev6{NLzHk2nvFkK-as3W<2^yWIM3||mi|hBa zdjK?R>f-wS?4^jdKvNgjA7rx+muTwZ`hx|uXzJqnLj)|>)W!8H1+;4F;`+PT?4DJc zy14#ODcfpIU0i>-U5EDUExPG;9-wLb#UgGXd zG2GNe%(FAaa8u3Vq!?~$jyNfX+o3xP(s6I(zYsCISgF}eKr!47J?PVs-M`n_kLM-I-#z>17guVz}u$Bm%{7(|0n0OI2I-+OOd(c@Jh> zs@kg8pJxzNZPi~l!s!@QTgRx{I!4ac&8yV<{#TJ`_eN@%%9ChI$Z_@CAki9q__@yg zB+=@KL^}m;&wQjNBGKxIL`#+KjE+dO1YL9?iB`)!?lOn7HK!vIEkR!$k!YEwLPsQ8 zw#q;qk!b&dDNv~+5-kT?jgCmP1hqOM(bB+J9g%1Wj@1!~mY_~YBwB*;IwH{$)a!^u zOE5`CBwB(79g%1Wrs#-7OVFqz5-q`09g%1Wnsh{>C77in5-mZqj!3iw^K^9`Zaa54 z1PgRTq9s_Q%P~fRR)^b#CAyGAt0NLEqur<@5-q`U9g%1WR_KUC%hqYt*U{R~95YEr zVbTOY7qD8VFw}xo0v^%FW6}h_5U@_af+-RFQowqBIhsGXN5BTX7{f8RS4#c5z73N& zxKBbh>vNgrehK+lui;+h0Rf+d&oR^0&I5>T*^_(v!9!xCryX*d1;3V7$=P8qEPlan1XbAKhp-$xECs2w!yycNL{OeY%hs&6 z!zGNlR&3VT;ej}n4jyxUkNgg@!!9i6<6^Vc4&T>6zZLfKSd<#?27@OA9czc_a$cNgom)rJ`j|1!nI8IcZaJ*g%eWMD)>auKqovJ%@cg) zRHE*c35k|5^CViLyh<%mb)r&Blvk;hRVPuY-4!-#6O~$`u}+v{$#O2&w7Nv4mME`M zOH`kz)Dq=YYKa=QNu`!^yeUzsCCaPR5;Z3(wM2Q9TA~F`_yXH(xJ#5L(Go4$CK4^j zL~BB#{SNBBDk0Gx1e7PyzTF2=UCYgrX#FpfXw6+_j9YM*8RMF}Ohlr+4dwN9M4~+w zIb?K1qGh2&9g%3+em!+WqUF9bXV%dPF8i)RM&ddkW1ykET_A1ZJ6)Aj|p6%-{wNNK&(~j7S6wg?ktqHNlN-evfQcHC=N_DGre>|TAo89-Zyj1JyoV@Qz-D~t1 zHpB<+c%-S-Srjn%*nJ-DJyvh#+V`ouM*3qS?;W4Jb5ZMhJ&3c)_8vt@gFd$!!1MTn zl1BYLSF94RH)2oKO(;~*&ZE$7lfEYf(B5OIoAn(8zJLXq{Hh=&V2LKd3M5)aYta#j zmSDM#NVEj4IwH{$tkMyQmSDAxNVIH&M|7l8OYpcZRH@ZRunoFMsn=_Z)$S^pZqQQ* zwiEEW<*r2k>A@ev&-cH;b^BI$RJg&;@}O!ubEsD^QHFYEqDb=(H{z*gZtUu@92tE* z$5fTaxzR<-eA?aPdkzF{uV=^X<$Z+auhJIp zkNZh+tM%1Pv%lx|=XUHgra3^;)ar;t%QRzkq*BWUtJ9H6?Yau~Y@tf6j#O$>u-2#} zm0Fr^(veDSM}X$=LN?e@UPVu|ksI#7@*Lxd?nH6N-gV`SE*$wa#)e_Dz*Oq zWA9zSDBf z1lS;#1foRXV3H#kkV^p3D7Rox0TJUp2yy}{py&})6czRN{l0JYOea}19?$>xod5Z4 zo+q>Qc2&JqUAMl}XTFncq*AL5eL}IJPbfCDSE;pg8YtbX)Y?61oD}oS>ej2&@(PLH za72BeQhPL}L#L%MqRUlkOD&b!GUag-QK@a)NTpUQbVad3R}?GcRcbAF;@!fSdjM5C zmK}Fxd3rtKvU)phEIxx>*mn07+wOipYwqPqJs?@Cc6W~ERq^2*Np=NqR33~e%UQR-XRCf$#$O{S zorpfQyKttJ__$?f@RUk#L2kP%b&g7J<&5r@;AGZv4@tW(CZ*j|f;-W}UXox1kM1qO zdr_p_M}n83SiA4bXoJ$JSXb?vCHVev2p%XN0+eG9lHeTlg*{k;EuOa2609w$V67yW z!Ac$>!CP6{dnEW6Jsc$kU(M2vm!sS8==g;8e0EfYMY!P|H)p!O5I%8q~X zC7x z3)kMcwcU8RHAkRMwcT$)H3D-M>RaoU^ALgh+8zv71yrx?$>en**frcRDp)%YX*!Jx z)>0O{OQi(&=aYK3DhVFIaGz1ZTKb%2RIrxe0n~FJ#8+s%jDW9w_9fU5tfe@tQNdcy z|G=nVEyJ-7F)CQga5X61gFm%H=&q!KwFkgW<^^j%M3*X9dm(~>QNdbuqk^@( z;{YYfXcerLCQ1@!O_DwESyI8;>kyP5le+~0sk#c*ZiVS=&Oa8lI9al)V67IhkznnY z(NA@A0a~v%z^lWc;p$R5jmd}1ZtSBuJmCeV8+}>(FvE+?I(*j7;01kgF!o-91>H>u znO$$(CWg-;3UdwJ>N>FPKuR=Kp-`{8shPiCun?W3RK zStw5{XJM45WmhFedD@$i1TDos@_U1D#9SriX-`9tJS}eljq^#({S+ zomO?=$#i6u*!iVfPe=6pd(_jVZ^FxRBchv^^CuAlBVw3W6g({`cv{GCJt+N>r*&l; z@@~~x`}pPbAvL&~`Mi(C>O+J(KdC-$!_rr~Mto?^u4T9plrB0I5!bzy{^r&`)=Q2lMGkQBkx4Er`Y#(CHj8E;}|D9pMZ#` zPo=;9+A|b%)pq)^FPp3KPna*!a(>F=RU_l>Ir`9_2dO>Jc53LDd4b_>BW?3Drs*@v z(=v?TJy*fn2er&!Qu9@P|4PeL!CH=!MCDjzyP_-#*8XSnJpZI+s$ea{iIMT}XZoue84rJ9*w2T*GThS?ti1`2M6mYFxZkwW z!)>9sl;=?h)-ru!y3JS&8*LEmKvk=V|463(FesU~-=JGWsJ=%O@H2 zH_7|+JS{7hSkKe$1*2*`Ps=QI>v`H<7!5dQ@`*H5u$Ff%9ZZ<7&O0JJX~z%ZoVO2u z#KP;fDdER((7uhMQTKiH8dBR)8ctse)TW2LzE^`u{U1TPuJ8!t**dB19NyNql~3WNWH29WuU;g^`X zQF~Lk>%_crJ(Av6ZeL$HnSA?6Ox1dx_BAv@i>LiPJPA+xK0NkJCMZ_x4f$1%T(EWp z!(OoVj|_XkT3&3nr4(fCZ6Plj+fqHU_V$nqelA$c#WxqMxH!?AC3mk_o|D z_EIib%kIbpYuUO@!PytXzgyE?l4Xdc{BZ8jOde5-ez0lGTMGRZ)r9|C}@`(qEdwFxqT*KUux`&xY_S_M8}hcJYCTVT7rfW)-ETm$t>YKB=Zf-8 z9Lfc2trx82TGOR3E4GVYMzUU86s*;!*=h28h&5RSYq_fAg0hb?3x?hM~V} zJSabp6X;X!dGgRLg0*ZI2-Z$+Y=U4dy)^}EKZzXH3)b?~@MAbL;A%J7ZBDEgtX;sF zZM|UawJ^rmzo3QO9^s8J>blLhrxcI(7-(s?m-N--V2jHw20-Zc3HxzxX@AC-bUh(o zcm#Iou`mt{2M~d_rtyyOA{bR0(>PR&x;-C@v^zXpikOC7`92uOhIhi~w2$MO?oJFJ zhS6mY!_AO8U5svfF^##B46hb3gxopd6G+x;F%Xf?w6fo%Qu=tWqaVf}GV!m&}f9eOFO%lo@=(dMwa!}{}_dy}Gr zVD*Icvq!<&E;;E&b+G!w`bD(%kGAea zXNC2XX&o9J2Wud#KgpW)M?8nQ*kn5z)(K(_hV?%j4{LUGHBt_R^=C`4=0$gUD3>+)&2D74lu;bF`GL>y z0LN}CEt0L|QI4m)Ac`S(a(;7{q(in!l7!PXN&bUTTn0I2JD5gY$@EH+KjzUK#jR*$ z9ESsvk)t>-XqWsT2e3Ngok)IT(2JuJIh+94^ulW#K-=Yal>y+rZePanu7xlj3frhM<~ zrId))M>C^TCa=+G)DAah@G+5-ON*2$4`1X-Ov#xyY|2(?5*oh9MRdXWsxDgPv_k7= zLYYl+0*;8RS>OO=n5Mx>PtKxWOy$=h}h_pNVAqct6yn4XMb}R!&0- z{`aYpgs-7~U}J|M*q*0wZWK)VBZjk^6Hmpl zu?#odhvE;wus8AQd`5f$!hz+RPB%B^P)+P0vz!@oB2=~VABpE9Sv{D@)N&c?V61c= zN~XUgVG&942)eJ0pzPRZa6g{73*)KcbrG+ccowAbVB%W<) z;fiyo4AI=0xBjJt%g+@Qw=@-R@JkEMXhRyHxNq#2zrh#`8~(cl1@8DLvu)2S1VwJA z^&PEod79grX{KtL{$Sd1xJBW=Jk7V8X{KwMnZfkA$7&kq%hGao*7!d3@hZf#eljk$ z2+BPB;1BqSgXvgvJqUa@+KV9YWyh(zDR}qAe~a*3I-;gKqNW?fJImLLR~llu@h;)n z7#yn%vD|pq@b-vUu3a<=)-`E^t7*LCG91i^<;F`H3^HQ5@v^HBPBLP-@r`s+#rGAC z#IbVnJy`A$eB1<6xOE;q42B$iAV0cUP$iaIBbFQQ6Y_YCSZ>^-;hW(i-dDoqWDSfG zSPC|Focph=95q}^8 zQo%524^WlSpW2hDNa&7ULI>?6bVn~;#2^XX(Kkv061t;rlLRDmN8io_5qb7x%hMPn z&t9(gGf1Ajyybib$+MToU&|nQ_Vj4UMzA+~{!-)^#qSM71bed=mN7`MH~aam2*wKb z#tQbv%Dl(Q_eMnD&BynqU!w2k>USa-CxX70lWFM2WNUnkiCQ3V`E@~Hx`Cq>2t1;u zJEEqGR}aR6z`XfbV>}2}aoqrV@oK?^C0Z>Im{v~{1g6yjf!Qv-O%QkreD*a#U|KVq zATSr_{w4@as|5nnn$-k>X|+ILS_7K|0<)(Fn;Z=5SZU%Y=OW(-UdlW)O1Y|1XfjG4+5Ja2yBWVu<;=9JhWh! z@gVS-Xx(m=pIlVpGuzp?j>7r8c(<5rS0D(E17Yi9SqNa;=)O-!I zA0RNNcBkdEydW{ExN7QujPO7fTJ+ouEMvbWH zl4lxG(^U|dm)S@`UU`qi~fyT^lzl} zuOv|VSAt6aO0Y%$MoRz6QKf(7iB;)eIjZ!p1Y7iPr1US3rk?(#IzguNFW=S$*&sgb z*(8DEAX565FhPk4N&mKCwRs)-H}&-IJS54K{^j;lkSYDk)hNl7{$+SXO&6~$YBPx0 zrk?(#2ugMYx~H}~ZySOUHC-7qO8*Yxu@y|~NAO4Ykfe#q$w@d+7AQ4R`j@Zh-PLHt zr3lDtC8dAI;8`L&|5&u{$&yv+Uj~zGBl?$=c0E)2_g%<1m?{0sIXc>0%)tH#s6^hS+GRyk7omnTE|cM;EG zL`|0slnMEHEf4EVG_PJmK>u=ZFph~8LE{~AyK-iZ61 zRq_Tbr+>{ldOI!T-OL)}>0dr)d>1z+{qWkZ^e^vX_+SD0m$xmE(!T^rn)EM!fy;RM zmxCXp1^bwwe`&0kC$ zk^Vhw8??2jf8`CnS$ZrQ{=o2fM4+u{yd(T5jH>bUFO9nK^zSENG>oTzNltbePygNr zqsw^umqxeo^e>b37*GEaqwF8c+WwlW}WdJpH>hj9JFhzcdDn zr+;^cG1qwdm#znmr+?ptm?7io-`Ox07*GG64`Z?M^zTv_ON^&~8MEAY`j^HEbBg1^YriGFxD7P|6TxNt?~5lS7EF(p8owKj2DcjfA@O>x8OYe zdn$}3{rhnkL6iQal{D$!yJ5BH-w`!kWf(`)bOq(S7vly?@@W{SoeXo3YXea+q=UB$ zh#tbxi5%D(bw3Vv@UoxBe}?fK>xFn`?U0n-$=8^Ob3m0iC5rcc`amwpeac@j<-fjO zN{MKFG&4$N@)~tZy*G!CiJV+oq*QtMB1hD8n>{h2raPjhTN+W*9Z}N-yZg_p>9&u> zo%v?l@#b=e#RP%3A~wGv+8SQ>{1kw|myTirDr*r0=2L3epqNOsuxAS2*M*a~+6xHG zH{IdZykrRo`~wSf8*X9<2z<)%rv%})EGb&pKaG3y;Tw3p1MWNR2nTCBdE8joH}%9I z>}2Jlg@;Z(sTrQR(1S*>&cC9zRR} z1k9Ep2a(vS2gZ0LQ_(e6tvS4CR=IJp8-T`+W-Ey5PSn@gz3_ z|Bk@FBk=DC{JRHMvj0x__doH9B`{tEcpk#Bz98@_z~;MHmfht7eO8TE0p{D6F{Y>j zZ1|GET@`UPZI~yp<>VHLG1+((VCLyGUImy7<5c5SfNMzIWw;Ew>jm)aHC_dn3x1#R zD!_Cw(>#vTcT1%@N18Qd7|X=yH(mvp$&NE#1(?PN{5t~w7FB@%_rbqMfY4grQ~~Cs zX~DmY``--z_T&7z{+r?76X3J23IEdie--|n&C%0@f6s!|f`2!&R{`dQWrFc4z>mOam`S`comk>{?=&wS1LK3GE8(Ea zcoksQx8D?1fK3m!7@-2pJcB{hQUNY+tOBfT?NF&u^&ww%KB_pc0{q_w|9%d&{V&14 zg$n-PgMTLjm%z(d`z@*CtMH3P#!0f{z5ru>bFpUMG3iU4#;X8xZj3Qr1(@@xZoCTcYQzi=|9%t6n(*&-c-qH)(J=7uE{JKuzs%ET zyb3V$%rssFn9bjByb5rD&YWe6D!@imME*7219|2euL4ZhgT|`>?*pT$0z7j9o`^R| z1(@g8QUPWy8d#B9D!}E9Re*I`pAZ)s`h;Rbdlg_ar-32oRe;T&G){_nX2Zk33vu__ zDLbc472v3)0xUw{Wl;ehwUG+2R_Kaig{~-8$g2RGq6)AnssPJYE>wVbz@2=q0?Z-N zX`W3{?W^Mw-ZOL=uLArPv~;)eD!?>)j8_5v6L#Br%_n$+{VADHea5Q*KaQ1nrdeeX zb3@Ggr+(vAfNA`r@Na_Z3>mKi%qM{b+HiNoV-T}gww&FaVl2TgxtGC}?lc|SzU7To zfVJ)JE4JNz#kTV*z^14IY4X^2TWCkzsCVn1-P^cD!@4hObOoqs~oVZ07oqq z;1a8lK8x3JRr~3OSRImE_6Dvn`0XG3u{ScD1ksO?$bN<@1o);d4wVz44rNgdE+%nkn<{giXVyqf$I+%U8M z7r9|p*>l4@8FItlrmDdOPYVj37OJO6RfBg$UaAJ)Pamop z%zT0RSgbx&HCTP9YOweijvGFc{=f~tX?Si}{V6xhDUfr+4CmZ1!#$a*2D6-*nW_de z+@GmxFvGL5L-AR=iQ$1DQq|x`wESD|XZg}5w-?%EMWOsV3gzEfDF3cP`JXG4e|Mq$ z&lk%7f-hfHgWrmBsT%wytb^K~_Z8anel34R59l>gRW*+`BlMGigRSo9Iu4kfK`Xe51VA(wZ6?u9o{XsSO2wbJLoqp`g#?DXe zC(M^93l}c=!v$em?w_;hv^y@Dp$-s=<^?&ADN5nRCNT-{gjAYzjBL1H6f9@C|h9 zRfDYAt{TiNq8iLwX3aZJX<6e{gV`hym3}X}c6`WGRpV8I<@pTD z8uur2)!_T+kE+4E^HhJ6yg#oREY~IDRfFFN=T+lXgPEmnylU`V7!5dQ@`*GG+%Oa7 ztMhvi&beWWu&4&#gTUYChSygOzJ!^n8vJxK^M>5;*VO;+;rNOFI5+%rW+pd$JThzL z{)^o3c5oo7!B=#&RD-X^1tnJvUdJ$Lz1l(H4j84mYA`Q0xoR*k8o6pP7yMi`n2T?& z8q9?-R}JRkk*fxC;^(TtoRGO{Fegf`8q6V{s|IsH%Ti6ejvHQrh$c7u zc^FM@m`0Nu{uYcTH~cJ&CO7;lj3zfsqsa|3S(6(sPi8M*T96xNOp_a)jF=`jyg!U4 zH+&R~CO1r@$qf^YY;wbN-QtFNgV zycX8XrfP7t6MfZG4SpxASxwd8MX&~%s=+*mxlPqzT7ym1;IAR&P*XMd8CVONs==f1 zUXQB59HbpwvQ%TYoNzc#m2~ z74MAk!FwZQf0pqa>xFnmt;Y>Vh@Z$gAQjXo-urFL4R6PkBKFJbZJ1IbS|3u*`X8uK zx76zpI^yKgBBjd17kLd+a^?-2vQ?Ud)-Nq@goo&Y^Hp86%4vnx&xmS{M)HZA)~}yu zlgi$Uez+oR=%-a;vA-@rN*RZoR~u&GqZ6ujCtY&w&{@<{4dzu3@e~Z6$n`_>bE@I! z?{KtpHG*7ABnAf!!V|fiXi`7sCgFf2<WMGr5F5L{moh_i&|0LaGLr zMK!pb9L!9sOF_VeNXsZrg!33ppIn(VT2zC*rzLrAE>(qSU(E;dXs%ra=Td@nt$eKC zO{m(_c|NXkg?y5_H4^z!h^t53Q1mL*tq} z3zt>R;#a3{Ck7_S;kqiVcr zFpZXKuz`cJs0No4I>TzqjV+D{ZV1c!xwgRZ&;a7FC14h=@_k z@zGQbeg=l#vw78Ey=ObW#E1k%Gj&ll7$=J(< z8K4@>iBQ$beVd&=Y~7*oE2_G zY0=Wcd(WMc&}?jti%^Rjp2Qj5;)b_jN#urw6rRj}iWVLzMDU9!ty~(opbf`zBKX56 z&J!z`7Q6+%XS{?olob}>ml>o#pw(}GmiQ~2t zKQJd~W4QD#IF7d8iwTpq6JV60GQv~$W8{nUcqyw;dK(^3qRSW@m5jaxf0E>j_(XXZ zp}aD~C5ok%wmgPGilxT&J_ae48gF?fgA_}R$A64Lilw$4Ev_k+I_mka;Aq=xjG|cT zs284OkYcH$e*PSSk&2~8DwbO6?S(|^T2`{$6(Ux}QzI2mEm1r*`M8_{#Z!~3_54IU z6~FL+45LrRM_QtIYI*zf@tGt;_{=1j9#UEc!<=|rkiN4-H>e85Q_DNtiQ{dI#Lqn7 z)7Eh`DW&rxht6_qan|X_qA&6q=EzUNn1gWauEJ+}S@dVH=7}RenO+_lIH)@Elj#*= zjB(^A(<>tuP{(hw!?-G9-5ZYlWV%R<$&UPF`U%O?>Bvu}*G25jsgC?)`ft%wc<}3T z#X$+V$60w$M{Nb6l1{MOye_R%yI8#Im^YE>kdIZ(woFM%l(#x-YmwTo5Vby6=RY}Scs_Sa>#T5}qPNeBvCBtVZdV#j1vt(`c=ZHbatnSlOQg;~{Y}A*|fN(exE*l}1?E zisSLCVs(a<-@$YGHK|BfSfO}o`mk8tVTIzU=_8V|C#)=H%16a#Z&;ytYWnr41LYkY zR>rWNk4ehDutM?F^c&LfGf``H(Bonq8CH(sIeb$}=npG*GUXbHn-x~LjhB8)tl44Z ztF*o?)<9VK8O!^=SaZV4eQe7o#F`scK8b0bJ}uT+VPysT=0BqCk$o_%e2s%`U9=CZ zp|J9+8L*y-&cMM1VTE^X>9cZ1i^Ix}Ebqrsvn65WnB!snB%*-m^00C#Q~p%qR)m!! zct+1j+{&=>UB*2xajU`#f5s$zA-W5V^>A2uD|_~5Vyy`))V)P?aa4p)5z48mO z)`gY1Y~5dq^#Z&w?mxwPDMY6fMDlc>UX)#+~{uFKV^ z@)CRVx013RRo>1vdqu29RN=Q!(m%**(HT{^X`22~*N&)i1n1;y5v5JLqRO$X%bz8# zJF1+@a}P^gW!@N7YCQLnSUpkYD2~C=VjU1wCb7qCNmd=?W9ju0B}4n7%5OMcCW$s@W%ii2ttXWZI9H-j0V$FsZ_E@J_15xFAj-_cOPWL%c z|aa5VXme{?-akeC?tfiN3v6e@by*WPjEOAV%h$=5018eWn z?r4dXQH4BW`lixbV7(AkKR9rDknUUJ_t*pcX8C+9K;&B zy~@11RJh8#yEF$GV@KXAJ-oC8^-Uc4$@GZQyHP^bk)KSDluFkf`N{MsX}<}M{A7Ae zi5KvOuA?VP-*q~v@CQpr!9kak`VL5a`yKhobdFpk=Q{F}=~DTf#a$D-BS0kN3d%u^9NZUY^HK zq~uPw3&;LXr3<>8obGdSy4`LEoOJq9=~tLyJ&ycj`Wrd-UPpd1eWgTTr_Yg}OkXWg z5@)6(KbiiibU)6p-;tk8|6IBVXFbc2pG?E}A;b*0Gg+ND=H!^`sLhwQ#r>%DS#FT$ zGb%nA#-O9BUOGDFoE>tM)k_mG7C8Q@c3Ku=vE$Ebr>PiA+`H*56Jxo10&Y?x#tL^K z^H?!fx;Jq0I5Aear;mhDi8&u1b|<_CMpcZ*9BjIwkSaGn< z&7rZm7%v2+JJ9Fl9rs03B*|OwX;0a_vf(`dIE&_Xt(dj6jn)bvZp6V!`n(iC_8qMGB z0`~cvrMf+i;;HGs#D76ducLTs`c}!)=g3c{2TGoqj{Ic$Hp$cP$WNwkkH6l@o+Uq- z9wO&9;K)y={~E_TuxG1Gc9^&xbmS+~cgHDWhAJ1b!48k93Y3&8Z(x1i6R+mDEmf9r z+#MCKgf*sAIf#|$ms6^jD!*s_j+R<9up*J4EKmO|S~W@7>e>5opgTP-=1kOvKB3sq zClnicmT%}e4fs4U=0vNyJ!zaIXI6Lo#lm!U%qwKWoy*ZY5Fd%@(CNtCq^HI#xhp7< zpDcInjxx(EI7#-yXB+M8L_{r5FN?YDsTI1SSfMM56c~%~SH{0W zYt-Fi?}Kqw{0f%MhCBx>k|ELQ$WNwM$B(0NyBzt+^qP19jBZDMGQC!e9!GvMy*~cx zc=k2<$@EjwmVNj+JQ&Mj{s_!Ww+b~+Z-{x{+V99urZdr5*7 zJi508DV|#1M}ibjE${m>+Mq=7)bg7pNb%J2LE?epspW$uNb%J2+a%jER_7fOq$w}ceZndFVAPVtDVbu`4U#YyPd0e`C|;faqM&y*b|h<*Ojkl1@|j~?Wdxa*`FD% zw_k}evcE9gXg>-?WPfG2)7^o_%mVqj(=PXMw09PY(d`~a{j*4n9=8B(n8jlBy6>Yh zS(_Mr?q_h5jS^#~yPP#i!X>C-zuSB=j8sIhX1Rx0FB7uD1MXl}tSZJFcVr5qJ^Tb> z=DGySe71Qw1IC~mwHb^p!neXW-#y1d>)|bMeSw>VHq0i31Yw8VN71<1w&7AFTi~|D zI*{qFG%t3OXzVDzy1c}7(wHvBayOkuml!MDZZvid**z;Ae+DSqMe4T7@n?XtUBfS< zoQHzV7hynW*VG#521s7=B-{BjK-p3TF<9tk8TWRR_M>MZeB&uNTtyd>@ko*va0XlO zR*}`N?}q@7vNz@ExAUW$1>^WLKwI)>fU_-5qG_LcB>{`QZ#m}QRV zZ~vGf3GxM9_K)3?71`p)@Ub(RbOP3Y{29;R{;}hEWQ)5H|4sy?R$(wcK>6-d z`!N-N`^PPzgYo?BAGdTKgZ%9uccUcWZ~wU4BteA{ zuU!dV`kd9S1TVt_sNtT7--5<3SO~{U6x6hYirB61-IS4ce99RX1{mhw~m8Tq>hGxLD@D ze8;RwG6SC_RsOvi!7bLn$!8Fds;lzvmT0v0^KtW4JDGk1RsPi?RQZ?pp4G;{vG^hE z`_NDIc2)l6{mfvyD*rP1Q2TCJDQa_g!VB6}`Iq5E?d$MaJ7X_=E)KGL;jNKQ2$@|U zH7177AqwAFL#L!2VkC~rzv))88dM#Xf73~_0Mv0-ta3?}e|a(_c(37ED8b9R1nnWR z)Xrg7CGGcPMW~&71CpSn_$O~9<|-+{dkTUic=^!M-h)A0yYMz~B>e0}Je=sjlWR#; z2cBF@SS5CTNeSN1tEWr%z#eY9P>7eGifRPyO7IpuEnxM$r-cmHgVHbGkD{*(ke3AS zeELv=m-)Pp#p*)|UiEPsuG_V1#D~`GT80}zNtJ&Opg$12WxSEsvs+p?yJhN630_Wt zYWrv`Vzo~*+^JLJ28Mgu`|(-3k-lfPE5XZff4dUA49{vm6cw%A#PC2+Qi6ApmVfJf zmM?8`d!bEM6w1G&Q2w2T^6x5?|G7f>cNfb4e4+d=`0|zD9fNX7@RrBr?Rj6JJ@41@ zSM;FX4`}(1GQ6r#{)1Y+kfC4J@|ED#@`X};NXr*W^((&o>3AVl`zn9hkOc3pEMEy; zEx(7u^f6I|4N6MzjzJm{yhk_Fe!GzNJ3g%vygf_{g7*%lRf1R3%Ch)F*1?b3CmF7` zE5XaYueU3~%i|a)JD-4xJUyHKK=5vhdw(5wKlWvFM*M{N5-sPaJYH>=arYd3)O9(1 zp6%4oG4le$-R(;7GEHB*61)uKH|CY#y;sZp zD|B0}*>Hev`QoQmku?#R8h_bAop*3$NFvgcsqU^UA-n(#tgj%D;Lte31p^UtZr^ z%D;ypj}W{!H8ao9nm3hyuVfM`|2~I+IsG(T?Dhy{i89BXJ_mOd(o2aJwwDyvQvSW0 znMv?=P0TCTBkA=5SKHTDPA1=e5>s_D`}UU@Z0xt6fqEYh{u-Wy;5`Bl>XT3V&d*^+9x zyhf;%{L5SBhuu1QJ1yJ`f7Up!{QDY=?_xxsfbzzx z@-J^`#^XT_%D=n`DpC12-Dd)JQnME^sru9L@k}%yTd=Ks|9SPpFC*)H+ zo}i9}abS2dBGA?}-VvS$qv~QBhl){mRQ^p553fT^!%_J+JvO`*MyI3lZ+c?58b+6+ z@^5;&7~PJ_zv)~_hL_=3Uej~J?;=^R!&C^;c@op-jyo2{{P0(Zndzwfn_dyR$rvb( z%D?HtuoK2CN9Esikr)Gx%D?H=;ogXu>!|#jE*95=u1W{jhDRc1$nC&9{}vLFT;MqO z(xo94PZv8X|E9}A!jMZGS^D(fC1$y!@^AWSF;=)9Ci_hIH6&Zl~GT)9;4?#_bD^%D?GCA|pgw-EbE~2%6G_ez%6;@8Bb!hZHSOa0@ zN!F}C;u+1wwlL4=1hEFg${*Mcv!g4Kawx1kTY@z=x&_vPuyQj7c6wIyEm$OYIY>LW z%E@IDs}6_rM2^-Du2A{WQ+bplRgZF6>);16T+Aqr+x);X9^lw*rA4y4c$7mmclijy zot)p?*XxkYy(HnZO_ConipwC!YzNb*E16zN@=6}fQQV3~#xXb`899pcf_BUgasaEN z;T%W{{f${H8q)bTTZ&ucSW@?b*TG1r&cdGjU*RCfY*v4n{U~Xa0gtG zl4PxXZoy2PR$PcQQ*j~);7eCrnKYXB*d5-}$$4%rRfT9@%}erVu3ZJ^Qi61?e5~J1 zsM<|=KCW_we3H5~5?lFNUBwVQJd=Ci%DABinOPf@ype}(kWm_jE9QnCS|t~U#_@R; zE~}cwuTG2e7;a0pVmfG|wl&3EuR==m+qYBnM(8N)kFt64qr4jtC+Y@_w!@*n-bQ1RlJdB!?l#7N_6{ z|2L0v4`tGOc^hK$=tJPrAr1E^^L!COQoq~p zhd@s7rgLJ7>tuf{u{}Q&p9sT|;7!kn&p|kFeAAiEm0iKa4I){3X3U9D)f>)_#Pg60 z1TRy|Wvqj-(s`%_{o%c~d_IKkYr6!&>>2b#l3p0EfZ_OVGo3G+&p69x*-4kgA4iN3 zyy>O!ez;gB39H`rFg}um*^=a59(V#DJG=+aMB!E}c0;N)ypBS+Q;u$90zTY|r0?Cx z6gD`!1kLSZ_DSS6iRMmuya(pi9J-~2OU|9b7X{%qYA!x^%2`Z2nZu#9aM8I__;?a- zdm}oyv~cL$DSUJZ-*AhVgXc~au6KL(9&q7l$DVRr5bnTLEP@^|-#LU+xB^5ARRwtT zRBUFI))9Nfzv%-k>4GB`h!sp5m>Yygar#9I`@2?NqDK!vfAHVbci?&!?!n7_wD4eb z_9}$vPX@@Cpv-0net?fS*c`XJ9tOS{MGywQ*cY<9K`Q(^2kYklHW;|K7sT&cj{P!6 ziU)gz=pEf6dPlEM^zs@%nvI_Ny~Z~poXK8e^2*mDyrJM;W1@SFhI@^P?ll_jH72^( zXt>vy=w74YUSpzrjfQ)TiS9L8?lmTUuhDX^G4XqimV1qf-)pqoYfSuJqvc*>;`bWu z&u~(S-)pqoYfO^xuSnf*x!0JaVH?KEEX%#d#P2m)?lmTUuhDX^G4XqimV1qf-)pqo zYfSuJqvc*>QV%mExWG<262^p(bm5TYUSs0-8ZGx46TjDJx!0KZy++Hu#>DS6TJAMs zJM0!zeud>;W3qF|?pbNM*O>UdM$5g%WY=&K%6SNCucKgHlgVCVvViRQE#`9%XOJ(Vg<6o8dJeN^0j`W3o@k3ANg zaJjw%0jX6OY{tFD>{CmbihGTj>@{v?u|j0B*SHz?8nYWE0rwg+*=yX4dySdwHI~T0 z8)e{s12@9Jn-&9ahGF1y*qsc!-JTl2NkXV%?D-tK?vT>qFg6Fn?$%@2?G7j6cpD?J ztBcPq>ZnFia`U6FU}#w~?9ManmJB)$lkItE2loka&}qrAJI}D&DRARF!*0C>Fb7+&^%}r5`mEOg zW}!3fG3Hn@ z>~6UjbL}B$TX&NfXIV1r?q)FtEg5$ASuy5WGVFL`$wG%L8FqK87?;|fei*ljvA~jH zcejhN$dX}qDS`_!|v`9W4R^6?mj2REtU+syE|g* ztgvL*-RH$vY00p=FNm?ql3{l%#dz3~VRv5?V~r)l?!F|(T1$rA-6O_2ONQOuE9Jak z$*{ZoB<3Z1CiC1cF|S%O?Ct?EUaON~cdMcYkU9wKWZ2zZXSk z9z{xgrI-x6dq|v22J00@gJ<_OsYq8?C&TU@77Jf6Cd2L? zk(51QeKAu$Dn5I|IvIBN_2_#j@8GabhTT0TDf_}Y8Fu##X?T3ym<+pnT&yF*IvIBN zO(~&2tdn7PYb0(~Sm#Ez`<7U<@pWTb-xg~itdn7P-xq66SSQ2oo)8OPOeVwbo)+t@ zuug{E{YSJ8H5&};WZ2!h=y$M&!a5mt_e``S#>axNPKMn*D`&Jgtdn7PKbD#;3F~Co zUCyxA$*{YhO5BRDegw}bXV~jx*xmCIw<@fYVaK;l?m}Zd9M;LOyPRRKlVNu+M!c}D zMVql#ej(Pnus)Zq`%AH2fEUL7r&uqAc!dt@S7N;y*2%EDm&6L9`f2Rxm!;*CsLtIQ zmow~jGVJcRlCmDv$*{Xu#A-x!GVCsA*z3dwT+Xo9$*{YeVXu>6cR9meC&TV?hP_UP z-Q^5>oeaCn8TL9Ec4ten>O^%i>@H{6>txtn&al_Xu)CaLuajYSIm2Ej!|rm1y-tSR z~1Hq=0$Zf>~3eV zhNAi}*t)xjb!k+8jb}7NtOZe>47=M^tVL0s47=M+ti@5C47=OC#BsJHs*_=N-C`|| z>SWkm&al^sgu9$!uagOPIm14V47*#OVYgG(pt?!&eSD4;hTR=j8iYr^%6NuduQHxt zx1w3<8FovC-Fb%HdJSMUMBRD~U|vfmSTgL+GwiyKo+y3SX{ExRVYgCW&#>E~2C(hn zVt1D08B~VdPW>2f^)}W3)~b7kU90XHb}Oo^UIW;YVRs*si*QxX<5FqUx+TNzE*AmN zh9$%9t|lJ)mX@4x!iRIRxd#1$iMOH2MzumLa0CoF#4~!p+(XeFLooCoB8Fu$m88=;e zy3dt(*U)Wu;CkyBc3ac{wnYtK>otJ+W@)DN8o*IF{{Zmc+1 zXUVX;&BgExyWR0s97~eF;ByOQ*xgPszbdbt=^1wIOwX`u^Lq_oog2GJJ*t)ryYmdY z&L_{XTQcmDn=elRuEg5#_8TL9Ec9%2kbu#SkJ@H34 zZcFuL9Ct^>#1qGq>SWkm&al_Xu)CwB77fp^+v&%kRe>zxbF47z?zot09oo>IVb_NC z47)b8*8sM28t~~gfbE_%Ji~6uu)EnYuaFH(hTVCF-I6?OY5)tvZo8=YTV}zDXaKj- z9xDvHyDa9#T`S}ncCCu)01Lxzy#_GnP@g5k?v}-W!C;ta$*@BMnD?#y)@uOMm}SYZ zyU$3>fF;B3mWwf0mov|>TQcmBH75W+c`X%3Bztb`h8SeMB43H)MyXPAU^EblxX)1 zyFG`xU}YxUGO>%=;B7otP5TKhn&Zi^+r>fO%cI7~$8 z-CoFWRfOK{MGV&s*LZs|!wthF-VQO`X}H4M`3!d%F5~tRR=?YD6}KN__>B{uLn%GV zu-ofd!7{6mW58@0fVW7Qh>&lRa1Iw>;H&@xn*t1M3NWxKz`#afU~)gbrT_z*0t{>v z24}}7E5N{}00SF^f!PNBce(dqxT?SEtT3?3wkZs3zJ#-{ z^~{s@Qy7>(6W*mliwXnl@3SimY!n8j&sj!cV1{!TxI%;UuCIOeCD&K3~UN8uqnX6dWP%4z#Mh?>+oS=;K~|QmHez!y#)iC^Klj17zP%}i`pr? zh!Ft91Wz-Ap{a~OE+y{IICt{ioJea`}6O>z?xQJU?XW?9|qQQc|!dv49q$}uNCvE z_9VkqQ-Fbu!oWO^ak4QCtnH*Qu#OppfwdfkfsMkz^ikL4RAFFkGlhYT!oW<^XA}lz zcvu)%eg8_&L1AEylSJ#HFtCpMUl+<$7+A|x7+Cx16)jU?U|;6OFtGYo7+A}HjpZjg z9ux-F@t`oUmh-n@U^@;=Y|X>K@+g|az?OS_H4g*ZA`EPgN1Ff!W;J_;hk@mmGlzlg zKL!J9UJnCX4+FET#1>&-y8#T$E6T7iu=?{bu-w4cwwAq>#1>&-%S(C=1KZbyfqCcO z!F!iYgMn=k29|3GU|>BNz7YTn%z`&aMZ^FQvdl*=jsLhKouokwL6!tnWu=@8f zu%_SFSFQ*HTMq-X+9NQqFy8+Z4BV9S<+GwZH|8*~yfOj|%qPkv@;sKq!16qn!@$)+cih16#tt&cnc#FtGD5u>B?q%;kJ7VPNNBV0};FVPIR7 z^VJ90^j#OBmSYFz^-%13QI* zt(WuVyNwp}zl3~UJlI}Za}!obeMz?Lwu^DwX_4D37%YzYH94+C4mz|OwuFJ5hk-3&VCP|AOBmRB7}yd9b{+<{gn^xhfo+uz zJPd3J13M1`Tf)GQWFR!T*b)YI9tO6Aft`neEn#5iVPH!b*m)S(5(ai22DXHO@nv~| zfh}QR=V4$=7}$9j*b)YI9tO6Af!+5N2DXHOori%fVPNNB;5uPom&3sI9~})Vhk@&a zfn5#*k0T81au~Qy7}(`7aQ#5GehvfI2?M(v2CmDiyBr3t69#rU3|uD+>~a{mP8b-f z2MPn%2?M(v2Cmn5IynqnCk*Uz7`RRt*yS*AoiMP=Vc25zRs(H0Es3;KI7Fqgp= z3@ol>dU+U_(Vde~4Zgxtl~WG`Ge%%wUy#7SJSZ@*I4VBdwqW396smg+Tq_JLM{hwP zI64R?o+-l3@j;I z5Tk^e8I^PME~vV(5ezI<=3T1_!!~mw}O)7gh z7btaBoP>x zr_+LgC8;0W!@$6N@4g-k%-k&)SfYJyfq@y_f`P@kd`Q>I$NJrbN(l_id@UHbm8CVl zTKSs8F&`WP1Jgqb25xzfIk-W|8+i~Im>ya%aLYrh(vEOQUMNoG*=*oUg*bp>(yvz$~$n!@%->t}UqW znMl;n_QM!zL)%l%x01uaJ{2~naa3SnSAc<=a=wIt^`6bk`RYB}Ffg!tvc$dEjbLD> zFtEHnBn&JCZyF4&_#2*j*Motb!oW>AU&6cA%lQ)T4J_YuLe7^%HL-)}8_4;xi>g}r z^6s_ z>%KB2hk+;Xnnn#@ZW5^EZ?v#y3ZDf-`GSXl!h9N3uvkE0zOo4$9Q6VU^KDKzNlk#l zLI!Wmu@Nl{6b#-*!C+v+0tQc3FnECngSX?#7A-tf!Qf5>gB1n-9x7Tc^kx~72O-RV=cARQ-d=pH z-5X{J=Mcmy@f)lBZ>;javC99(s{0!qj0P=jdjM0b?r%ih-%{teG-G6Ze$ z1yWXcz!0>Jt76PC1a0H?@J7VUH3V(r&BKFW3>t#A5aYs-49+(MZR2|QNqD=!Oga+A zgzzR9Lx!Mjylr>~k}WU_(SG9zdkFJ&;u2-?QWc)CeO&^Er2 zXIn*29*JY+G&R(*x5A%xGPk3~^N3rG z_yZA;3Wh;DncLBy+LNis+>TyC2km5TM=#}YZ6|X(`bJ4W=63XLl7P(Z=-ZhfqFi;d z*^t~yq^YD}@CIi6LXI-)$a`S{-S`!Bm7c(qPLk*9{TJGwF18Xse#7TQ~WU9^{O z;E3|niuR7;JrjOB6QAp&y(*#a(O%=x-g(Fz>+kq@wAXmF_d7U^s_|$qjWMQ(_8N9B z&|cQPVV*!+0_~+S*?6>4x(QiE3OXE1>(Ow#}j7NKE%r+kFr7>VU+Dl`O@n|oNxyGZtG|n;}?WHkjJlacR zp7CfejUnUFUK*F0o_9_?kae;VzjlNQ>`R%tZRURs?^w3ij>YNEZgx|?V(Q}#5`Ui$2P9kiDz z`!)&fWeNTNL(pEHQ48&5&6d0l+RM1tLwgyws)_clhSfrQX{`x!w3qX_h4#`~*F<}1 zwa{K#FE!C#S}nAfR?tLy+43#4mshoFl%u^&*+P41HJWHISBuUlM|)-Mh=xad8P^R& z7mx2iduhEf%F$k0JyDMK(mEi@(O$Mo?2O|+L*3+<&f)I@t}wa{K#Ewqq=qw%-JE(IM#AW8^-wq3h_0ax>g% zUOWad9_=+nwAU2TUgObT_V-{AQJz}S-txw1uU6foy;}7lU-cr|Yl>*Eo`*+!O%d%i zMYPv=w3iLhWr}F8{vzO_5+_G*5S@xYiuRT_Mtik_9_`f%KJ6=b74kWc_8M;FhrVGXu8b|w3n^XFdpq?$(?2wOhBN$?1C;Mr|Z#Pvjd(wFQC0N76|nPw3o(W!M=d@(pVzg z7tmfB%LV)b+Dl`F@n|oNmBypJG*$@{2DF!L@UTE(KznICW{PO9DWbh5u&C%*alOuX zw3psq2qFq4E81Hg2JO|(^k}bk<}R^L6ls2s_Uhc&P3loK9_{7a7-M8Ud9>G*aSUkh zYQ!|m*HCGoy)-&a5$!cawATde^EXS~drT4SHAS@76wzMe(O#ZnzbT@n2)riR8&RIx?!p-#@tc%(22Uw^3omzq_C}PaR9D57dY&N3|BLLf5TqHa6MDBm*GaHXfMN^nWDW6cV&w9 zvijYbqP+~~Xm3P$YDIfXM0@eXja=n~O;Z-Mw=8HcetHkHI#QkA1CRtiHG!dDokS7e ztbq1r1++ISpuL%*y;Y2)-mHN3W(Bl2Q?!?9`uV*UMSJBPM}*o?=eL|NHfrhorUkS& zI|6m8?aoVhkSW^Bvq>^x*!Ez!niX_@GkzCAHGdnKqP={n(U}#{-t6%nq*1h2e_CGA z-b~S6`ka+1+RN|&YDk@5M}uguuYLL@*dFc86z%2I4>Co28IChWds+P?Q?!@iY7pIn zKdSQ^wRC>d0@|Au(B4eZUUeg9xG~x*O;k>Z_LfEGH)`qp%1`YP?Ukyx(B4eZUdgID zzgmRq{BDT$W(Bl2Q=MNXAIcQ%m31#uw3p#U**c!%8C4D28)puOs8LBZ2P^%QNa^Q$#bv{!v7 z+M6lbt3DL%6(3r&YZ-0?(Z)KzdUi_-XQyayRzQ2R0@|xnL($$$(O&wVnJL=KaDS#~ zFT=C4L-AR=iQ$1D+F0jT+vN5_n<(0=k~ z(Ozv&MSHb9746Md^q}5~_GXVVtZ1*6uV`bWS|t7G7YtV5z>?Ma5Knb3t#vG41d zz=c2Jag39V(OzvQMSFG3{Dk=uEl1JbOwnHYsOxg7Xs@=JqP>}-y-d@WDcZ|0eieRW zonQ5>Xs?cwmpD!mt&5_)I_`g6C{xj1EmP56?Wb3?OhtQrnH!_M>RZuXEnm@I9S@53 z>UdDJSIhZZXs-g<9_>})+3Wl&7VObppmCzEeKiK!}BzrVzcpuPXiXs1{OWhXyw0!umN9gGc{9Ay6wzLJ50<07W*xc}XfKEN8spJk-co-TcLCJ- zZCA9HcQJgt0NTqb8d2wW7_`@Tw3mY)qXjpuKznJ#rik|HlX*j4bX1K;dsib%-4xMY z^GzJe(Oy$Td-XkqM|({X?bQd_9PN#$^Xq1_%A)f-9NMeD`s~qOeZVcCy=<8OGTLiA z+RIZ5^rUx_t?k4V(Oy$TdrcAT)g3;M_8O1&vPzSK_0eAA(O$mm2+Y!BQE89%nl2h1 z?KLqCkM^1(+G~nvuPLIvrik{MBHC+;Xs;QMl5@1z9LI7z+G~nvuPLIvrik{MBHC+; zXs;=vy{3rvnj+e3ifFGXqP?bw_L?5n&7-}hi1wNy+G~nvuPLIvrik{MBHC+;Xs;PT zdsXN6A3}S}!=k1PP2&Tm3jm5S+BoUKXTLE$UU0dv`6gces=-BvC@m zj8d7rM%_~Hmd>v@k}3~hWDD&bF6AZ_*+P58MXQ`vX#KjPO*m>{vRi2H27X#4 z7W+%kUXC0=dpF1;Xm5E#o!=JP%c~x$zVr>aFBP3%$xjdtM<2w|&eaHt&M#wdu%+`W zN&QjL`DIek`4zOctY|M2h`O&NZ|VFBmMUm(IU(9x7PPmlXfIDk&|b+TNqs?r_A)Fw zzY^U-d&`OF{4%$oy{%}UThLxc3)(Bu;#@wYYvp78ZbH=r?PWeedt3P=b!#NH^0m5( zA$Sn9mmUP|-N1v)tPM)u$b+E0^dM;O1|C`^7l($Rz04wLuVnG7lc2qf5wy1%qiAng zbbj%QvJ)G?+RM&J%pkLz8MBM3TKQqnUdDDXJ?cWVw=6op<%BxFqp0({9@;Ci1%DUqEsM_Y zDC+!{Mdue!tq6}2o!?i9_I9w?4XM`fIufy}^DAGq5qwwJ-mN&h1mFERvp1-lR9bio zcwIbqgp)YXMdw#&-mTSKeD2hNIj05THaxr1!UehPFGoic?tMMg-)Y>%4?DREf!Ezp zRDZWuxVLYbC<5=meuhr(jwc4;6qX1D;OTo~IQ|qTQ7)~z3{Us`=g2zpm~{Wxpv035 z4xEaImEe@8d^M-(cbmz9TlLqT*%sSS zBdBi=HtR=F-x1Vz4!$%bfNnB^`qthR_Fyk}@+n6T1htvru2?X(eb0%QD2InfGdvla zIJNhL14y$izXM)7GCYgn`#+5EQQ>0@6F02AFMR6+gb5hd`bSV-?D~wLzQG9UyA8j3 zJc9bZI-=sci7LJ$s4w9ufCC$-_EaR87sG1=_3hvgK}(FFz7d6YM^NAY$5G#%@hlT=Mbvjgsx`c>8vv}LzW;~4cL9^4 zI=6;vSJgl@y{qg-&;p#H2N-EC1EYWrAaPV;#(2q?h>k{d5JXUv5s9KPG9sEm5gA2A zMIDS$yo2UKVo(ew;w6gLU^IrPF(?`_USf=zZ>@J%RX2#|dP_?2ZP*9(%OnO?)##kWGB_9ZWfu_R5&;l4z{dA zRM>-GI(tF{o10SM;9#@84qHVk9E{(_66w@bI2d2abT}tc;b7j(nET*VI5-s!PKAT1 zlXH-}1j3a~sc>-JNc2W39E?u5#pM_MQ{iAM6%K~_?)UmZ;lZ_1;a~_i44|HHmshvl%dtc_41cCA79@=qi{ybfVW8UABbZZ7!M{CD17Xi#G#J~omQC=3_Z>$((o z8LslahdN!m>u_jixNg_$C9%s~B-)4Ike!iZ$Nk3 z0yg7D_rnS3lx*(_$oz;^SlOL%Fz!D{N%68H(8KPhv~$_hQIq=_?J#>eNRaO5vTJR`v+24fm!iV0+m~(_r7iAUS+x=2)aB=is|{S5bSmvjD0)exciBn@uSe^p2o88+Q|Uj zeN4)(%Q;=U+jO`^9G<1a-Qutl9qy5-y%eqSde4HdeHg-I!WNp%n0T4q3uwAH&`h7} zXg-6R_->P=*f}`l?4K8+L>Jjap2qQIH{S$ouRz)CTl_gC*L8R0vh>^q__jHg*d)&9 zoI4-Lz5}+tavb9xI0EL?W*K(90wwUvrx-@BA3l267hrMtgHi!vD_AaE;8cJ(6(CLp zh*JS#tIiH5W0ws+v$?6)+u`Z>u34ZQqJ8WzkF#Ik2x_pyi5jS@pz(HCjU70p3|lMg za2!rUDP@sSGGd)z-3!zpDr zr3_N)tlrjv)*}so6{AXOisVZ{gwr91fWxpO0Q--S&0b;!y{QD*X#EP&$ z#rvtjxUikQB_?R^2w%s6e=f*gQwerf3UKN>Vvs^ucPI7j5hH@$9Of3D}@t$2+#*=K?nBEAaw0xL?2~ zeKn}*!7ro}H|sk{id-W(TlLv2^MK@htk;2yA3P}F)9^*|z}DIi!rM||C42rML7oZ& zGAVy4D5t_%MCn*6TFTNWS{8~^^g&!eu@Q5^| zPKCpnw_Z?v%I!_Ly(zaB>tUquFc;h5%Uo5X1TC?{{#;di30i80Be|gWc0R(NPCML) ztIMEXP|EG~Ik#eMs4{3Jzbu2H|f~~y9n59*{k5~5BnHt8Sis^?z$2QMJL$9Jqo8xBR)OC z{TaSCX6b2+Il`R}+tmxntR3b43Td7`tqNc-X-7`q#BV0lySzv3p@(vDk9Iq;{zIKX zS}@jKhn#|bgqy%P0Y%-)XbmFmTclm2fCjlr%|86 zGN~MJ%I&p+ABqBSi+-GQJLUGK++N%XSlKpwq;kM1x7V^-adsQSJIY#2iIm&hdMv(X z*p~ep&a)}E*Gsv*mi^cKSn(O+cTAomC6wR;8qmgNBx+E3;D08y2`k54dNd)ZojB;kD^cV z`%6wv--&zD`~i{^TGidp0vfOWib(xC^9QP9a5Fq$)^|>`@{Q^QoM>x$vmXvpchEj! zGVFuZhqUjX0{aj(dRN$EPk?=>T0r|D+J~u32>S)v-%tx^-*Y1DCY9+0d#9gHzu=hL}_7UnD+CQSt1obBEzq9O-ssUp$;M7U2R=!z{V%cv`NBYrf672!# zv;4PJD|~8talDUJ=hA-QOxP3E6SQw)dyZEJ;b3cKaEMxDSZii*EGEe))i`*BkUv3& zqvlVnce3=ZRo(R@fGKJpbanLscyN$ENzFoM^`yNqKTYw~wSMAwWSlI$Xz433a`{u# zEtnIXx)IM3@-xJ1g$~h!`BMd~)O>xOKTW-YM;5E~%+UZd72mC|)zr+&w<^9oU#~YY z+APKCy+Lo?8{iDZ_xsOb#!f}u-TUE+sQVcdzUdCj>Yj3Y(W5E1w|~m*eWHfX4!KJO zFDPqw%i65D9R+_-jR0FU_oLt)mC6CXip?YC_M#Jx9e~RL?z=_;_7~VsvCSTU)XG`g zWFhqgrnV=kk?$NN6lvd@TREZ}o1o;h} zcERK}{8P!=0SOhJf2F6e4%s9Vq~s|Xr4OlR+Yf|D<$zN;;P?vp5Kww$a;MzhXorx+ zR9Zr*9B|O)+=(|`a&?<>dvOc*@8kB4-3`~Z!tLFj)vA`AH)I=bFL<^?&c!X1>dU)a zyJNiJ?iLJpcXhYI|uD2C%5WxQ|{J?ll$1%l#>hga>~g~Ik_n(*X0Fn z%E?VRxx5issc-Cp)5}kUp}JZhZUg*Oz*?OlNjc@@K5jpcCya-vum?Nm3E}xRsqh0I z=r=a0RLx)#w8UF)~Ui1_^jr2y9Sq&D^$3Uo_~_Ol`1@jNA!l|tybZ4%=@#M z7%J?8JL6!py$%y-y$TN^+xksG8&ue963`Z#;^-UEXMFDQwxCTaoPz?vJAyVN3iJLV zXsg1LY@l}qeXPPWctl$TS#~&!Jkj^0=RG_8Y$(wCHt)A{cDNN!iGvTsGql6Q*k>OK zD%jy;EcbU&(Jk8H+#`WL5j4~ek3shYpV~uk?5W;v%E{frXP7gciJT64K?b1J`5Hir zejk_Q!EA@mtmfzj(nZddAFODz?BkH-54{8{Gm~<1cjK1yy2E9ea&q~SDdps*oLu)E zocwcoOaW^n)!RiUq?}w_Z~LP}WcMJl>{Gqnl#`o19xt#`yXPwM$ahm@1c8%!fBOAB|J zDJM7O}TG=BQ=B6uPd)Z0T zVBf+ZIb6pai?odQJW%i`K5hdP3OQ3J07&YCaq7-iE`yr#<5>B=is|{Spmn$n#=adP z-2KGPcpCs5(!9Dif^=2!eOBLne{jJn+QmE!?=LDAhlG-G@oF)QUO#;FurC15%^x%x_P>*pdtXVI?Gk%FtCp`!2bbDE!mRQ1bLhBWk#GWY z`Y&j3aG8J}dKQi>xZEb$DbyU?;0l{?QcfIc7H9b6@4iuz|Bz%s+D(?d`) zxZbAtc%7b04&e>L8f?@)l7brrH0ck?)9aJ~&H71}SuP;e$xS)AsZK69xqSzHIVYES z|1WcL$w&Tob8^Y^ZL-7nxusP)M9p?s;4V~fxWTp9;qEwb1l5A3*kL2-jQs>nv%_8) zpc+9l5QQx2qM%kgya8w5pw=N1e6}6l$IWcGvju359o8{QooL#&+2NVwD(@j^z8&7f z+V>RHZijD^@jOD%LOc8vw^{#(baMB=v9Gp6;kbUq*$-&5-D_s+84%ZWUh9vpa4dTP zP7wZ(4QOu0djX$4+mX;7OmKLaZR9_Xa>~v!M>+H5EF-#}M?2K@^z?6dM8`OXqlTQ( z0zFm^FVx>d=L8d_|8~gaG@XmO9;>oP0j(` z*|${13I6ER0_5cNSMaKITBx6FK+bCd3i`js$$b;2>jr&3C+Yig?2Y;;cEpFy6in(S zo##aV*x7&+d9&V%nGpQLSuNvnGTIS*=Ct9cr|DYGYvn$GoK}4{o8yY&>>T|8$GyVc z72fCSHXctGw;w>8zCQ!dRrFlj_1y%XfW`U=UN&R|EY%B+1L!88Qy;}>Sph5bi(Hag zz)F1~%lHCT>)m;+5(xMo;^daiw*PxNxo@NUcdV29F>-dSlgl#A`g%@)L#4x7^l~oZ z!`xrt0njwPoH>V!25_rBcQU}YM0IzL&e3gx%g}8)OK_Cy4#u7lUdTyvv|EYWV9yD6 z=l=U`_Z-e!C%lPQjuYLPKs}uBV9ux(cOB+M=!AdcPB2MYQNWHg4TJ3uKLMkh@uuOk z=SfJY52ms%v&6S=Q4cLE_m3#GJk@CznSS>bjB0nc?z^x1i^uJwdB` zB$h)_@Njb~lmoonfmOUL~>W`4GZ1XFS{>WF* z4ffYiI_2cz&Xyare=hgu|3B#D+JkY2zSFhiakSko!#tRls}6DIh}gI^t?Uh0Ex7@- z!|Wn1(;C`!**mblaf*C0N$S0XTO4_nIBKPDLWI# zlm9AXPRo9ubsb2XY}}E^7lqt6U_`C&_H$&0`GfnTRF>ap%pb}{Z)N!%yZm9a-RuM& z)i>CDFM9&6l=4lqbC!KS{^Sp5IQw>dTlSR8DAY6r~VYV_iA7}E5etiGN3={>LuWamwW%PG>k zg|kkAgq*43wkb$Hl`{9aLMucAXZ{pD8MASDO8DPU$YpVJwN|P449U_Q)}Ts&r$_Y% z=qoygIX#GbX|+5JB=wa|w#S}?w$0(e^bPahUBaVq;gH1jHM>V9L}|COpLp6^^m_-Vwfdy9qoc;UvxS$mVE_r`p(JU=-{iR=IEA}9oGUmc)c9RK|eL>x_j zt|{x!2Tc7d8{qn&ssAzBYs>0?$kcxdXTvW|{THzR*O~fn!p%$mVN?GO*tm;We=h&% zaMs_8F&;PdH*lIh!7a

>Ex4_)P#G_-^=i;`>aQ@3WEbH>Sh)xiR!D=6`z!ePw>V zXnbXM{*LX5X6;L~b6FATe3@e(W<{g(_e{q;*>fu5y)u>YTJnGFi_0g|Pp?IFb49$) za-ON@PfX8cW!}BP7@^rt|IB`h*|@apv(h(j(x=HVmEWS>Y}vm%8$q}H#MJrD6|7T^ z;ayXw%#*F0C!T4`d!|mA_kS&`^Zl|qKQML5IDKg9lyUkfs&n^~QRm+VqprTq`MO4&r+edyGv8k%L=%Nv7D*Ix z#{m=&oEvo|L774>9pl}ZYPqpO?lY{M3b}1a{I4qHegkDhA@>JK;q4W27t)0axtn18 zR~2&aVPPud4z7$F_uo{=eH)QPA@_)?l0q(}=wpT4AJUE#a;XLuE9CNI6D#EMq!BCR za>I`ma=H1&3c1_}V})F99fW=E{8Q%$mJ--3b`DPSRt3an<(V&1rRIb&Om1+3c0*Xj1_X( zi#t}xrB|YmOYm<|$ZbKO|4<=!7rcz>xdk_PEkPf-snbW|q9CZ0-vz?u5H3`LDgmzE zh}|LRD?j+-nS1hrJP*j}WsSTXG7kszk9P;?=WZH=MWvs@@do`}extIehhQNF16*!A zb><1hK=%!}HR>(6DhY;&Ta$SrS1UJ#*prWEduH&WwR#p?Q==YZn`!5Q^W47z7`3%F zdD>u^Tif&HPTR9~?<#lZ!C=*^U|9oyhKp@$;MZ`T+%X%M(RyBo?kEN$)gqM8yR!OG zB2HzQgWgMkr&nOV3-(qQ;$k+Z@h}HJprjT9^w6I(XSBKwAT;mx#z^&ec!AKtSlNmO zSi3IrA(5ELK} z6>s%$p8z~o5*R_^KjiAT&BDMm?-*X=5(lj0Mm3=wqS{XR^6AqaJAYSIdk;QJf5Wz zx=rU8;5v0Aa@zG^mbqTd09dTK_JZYV9>7w4A4A`ymIHKZYE=h6ken5oV%5RT0#@n< zy4|WCg4=3Mx$59H^#;IN&7CM%sd6>cj;1Jg@KZ%D)CNuE?qHp|0AQo0M0fC*`ZYkJ zkoyThqL8~kCUK&Wdpf|!VNZtsSX~KVsqpucfIb!Esc<=idp4(jPW7UYc#yRp2MSd< zo_W5Yf(pOR-tTU2LS9jYPj&<9X@3M%r$TvX*UQch#6^k<|IFE5Z8rclsPKDTfCk#f z12w9!JEm4J)IJZWNrmm~_Tl#RK+SkR$7365KM&NR!i$Iwuy-wDuvB;o(Gm91K&>i# zi7jifc|>y(HZD-Ac~&vV2}iZljP( zh22W70YeLvAiVb5jy8%mQfs&JTVLiW8Kn=YXWI{isFyCF9&aTVm#I>kyyGg5rzcn5 z4n0e?iM4HQaVIQb2(DKXqEt`G+O{B?N#=bem-UyI`IqXZkai_^gze*$YAhcwN^Q$@ z;=0thS=<=+GeA4ABxto~sTxv+Y9|^^EFu9jV4icZHE4LHl z8qK*kVpnc05*n_bGLa4=nMk8btTBW#yRXH0432-qW|Q$Q1IT!JWXj=iBQk}`7s7$e zW+?7VCYw*(tD;CJ#-+Jcm1RfGq^zz4=iXHoTxyV^m5*(Q361(eT#mb3Svm18&BRi< z(ooStgqPw7+!?ozP+C6!L4-;al(uun+&)67T=~>EJ}$y-)f9<#Co*~^7~g-Ca;gZ? z+AOY8@Q4ziXW-(@AGFy!<1)%Tn?K(+FIw*Bsb!v#<2t9k2lEBsr!W{H zxX^wY(K4Qrp=Pj*$F>BV5&Hqk^SKHu;KO;oGmdb^(Mrmn(Lg0 zj4r3*BVps-0nHc17`*9KMCc!6GH&$yMOXtg)B70V(qMkQbU#aSManK;OnL zJjgM z4>Muo-VUJoY%^FO@9%K*uX2zsb}vItHD6u@3thg=%6M#gmtW!|x!4N3qYH{Flayr`Q4t)%#1XaaObviod)=oL`Oep4(U1oPQ59KRl zRWQD*=hjZQRP{H-bJ^UXd`P7FarbgMR?HpxF~bV9JEjetg8-^O9|Job(AIsPnF8$& zqo(T)MYEl?%PJ7gvWD^{pc=?m`*uge@b{jSRIA1Ov7SY{YfrG$Xto3#;@T5u&9c;3 z9;DN8^IWS=n7(j63Z2A%LtkTs2bjZXLdR`DBCC`+(!+At6V?cPxYi+9{t**6Uuo2N zpL&KtEbFr<-0cmhwy_Z(8#!O()jha^OEDI%^1g>-aP6+caj>r2^?FI{G8c*VA#7j` zC!cFqOu&@2uVRlB+1nLv#q`r=TU}_|N1~sdLF`)46sH2%b_OX!S)08D5uJrgXda4v z)VY*qS5KZ)WIWDfS9Q4v^$A0x+ZJ^FM)yNrAoC+uVP$v5QgZ*X4yKnKfl+fm zrJc*3j+)%hXouO$alr29vsN3R3GNcGP&c#y+$~_S zJ`^Xp;2r@>bT!>p%B9azeR3VZDiLk%)TcArz2bJWJ`v});64E>^f5lbj|AMQ7voWO z@MGagr|P_UUR6FSy@@9jOL4jg9+Q5;6N-b`QUC9&^QKJTaI%Au{!G4MP;ZB)bGa#p z*B$%VVV=*h96=3sI1!g{L03WJ?Xa3N#Fq=IMwH{#ekk1ElnHDFV}2+MGzws@ZIDLl$edN#o>0ybOrDr`Ldu#b_J@jl1r zt}BsHbb>wPZreOJ8Bxw@OqsywzLW`^GJ#VjFs^RwnRo``A1boIH{pK7|Av_7<1WEJ zT7Sx($=5N)NYee%=5p?C#xwFm^9y%35DHAwl0^@QpWdf&6;FJlRGJ%E7n=*lM zUQC(5=!AV#$^=F?9Ia9&aLNQunZPL%xF%%+r%d38T$wU~w-MD%nZQ-T7ZtK;iLfbF zE#799IxA)&r%YhssHRNdvblj5Oeqr>Z?01&@c$?i_%m`~`|usZ_Iy^gta?by1ny%v zwbO=C}@tl;hF2vha z{(EwNyxuVUSljSpZNrbX4R_X7LX&k!leKHOzuJZ->yRdE7f(fsYiP0+v>no9?LlMd zXlSwyX|ncE)MGiM$=VC&(BC+Z_jHbdK?@~G4M1e%ATem88Q zN##+u_t`Y5JnHtjgeH|oD<(;3vVgm6WQCbe@qums1pU&NMp>Ywm#@pl;j&`njKv!?kEvt3%T!L9xwY*eMdyEAJ!CJqfb?!`^-b}lg)J)1O5R*E+ z-FmYjJMEA9JK)*F%3Q<()amWkhbcR8_*cP@Rw*d?qW`a~B>~hZP zovG8Cy+s_T)0@3p9H`Tqy+@`!1$XtIe+A!)_YuaS;I7{M60k$TUH#S@Fl{5aYa78` z+bHciB>`RUOKG#F_ncm+&>uV<15!cizUR%v=dK}AJttUT^XmcrMpPeMV)OeGmOqW$ zk4x;?uwDNOe8vL0{&P4Y2j=pqQ{GC;E*3Fs0W>ug8f@pV3w8efzn`O=tKcda@t3pfta@wpP(Prs( zvmeoB30C+KZI<9pKcdaDcUJm0a(sSbn?-s!7ESO|0c-sXJ7l$h_5Shfs-Fqi;J?oC z`MH3N{*~zd;C=y{{HxgqzmQgM_U|CyaE;___0MLR2PEfXe;xbnK>?qJFS63L_Ji=Y zR9MNLe@Kw0!T>vG@Jm5C70x1BXFmo{e3kbAERf(~39_3Cxy*uJ+42=E753$P{I#H> z3O_>R;1Ov^oeGCDZ@nOVu{Wa4vRsKa%YOPY+AKYrRQQ39D8CWDGQQ?piPwI?lY)*_ z;Y1$8Q&K~V3h$-o2FaVILP|gdPYarXulW)^BdAq{Z*ro&AZWG<*RU^N6ofAXUxU>h zydvma6|Usi{K4LYo@i6yBiMn0P4-7X?J9g1yL|9Rdl=feScUw?U+}8*(oz);V|}kl z%Q{tf!ihky+k*#{3+T$UP~kB=qBkUOwF;kOls`+kwJPjW1GL$`58b+6g$HqD-xRb# zg}o*LZLxV`-KfGI9F?~PZBpSJj>9{GHX{o2{vv3r3Xx?6?+W@@g=g@HwhFTBa27}U zJsC~U4n-sMeVeDEoE>iEXnr7`p&cH^KKoEm!44l|xxd@oEsAzX!L8sEK|}5E7<5nY zsa=O-ud`#?EImuKS)vkcmZ(IVB`VQo*=Hr%>|TgjqRkRDCjz?M(^?V%U80hJF443^ zK$oZ_pi9*HFA3;!?zSZYx`RU zivqglEOV4oc9uEHF=v@bK=%%`*YhI*-D6Qh&OZ%b$qkN`Mu&bRpv(T-&5s0hd9hG1 z`{;CsV^{R0!84r`5TMQ%!QWPg?QQYj$5}6!Eh4XT{044z=SrD2%Q+5N{?JQ!spoaz zv*$!4GzAwqyp%UhZ!d3pd(`xD0bRdbK-U~cB%te$twm*#fUaLIpzB8hy6lKLzmw~) z!&pvz7R z{U;j$UK3F8BLQ93T=Yk9Pydq)L7gv$`-bQR*ZUD|_5*A$4Suqi2*FQLDi z{YXG}os35$pnD09dYT^z=st>^R{v}^Clb*0%LR1(HZ&?0(Dfq$T^>Ta9|`CZEcPP- zU4o^4B%n*s=|=*(1S|YVK$l>p9|`CZto9=TU4pg#E61YJom{S@_5Rdv1LOoe;rBQi zAn$UPZ1BrzvwlRIWq?h7M4KhpY&j*`thWQ&tQpJ^ZrMT^;hKda-9O4mftt0km$W12 z-^BAxz00-H!oUMFBffNkG^8qJXaH z=&9u$J+-`}BLQ81b^(VO3F!J`2u^l+WT77k=<*C%@FM};Nmvd=pPG${fUZ*#(9KYp z!xI7BiZ2N0ng(4~-k{6M8x+xIeX4N90=j-Apt}ma5&BOYkDM#qPq1yq0=k?MMgP@q z$hg|&*O}}5NI>^WfO+>NNT-H!xxPesmR({ZcZ*O9Z- zr_Lt?bO|~wrzD{3eNjNy^xc~BzFSk?caeauUoN2Q@6Fk~Ry1>a_>q7v6+=Tm642%R zD_G8Sw8J04{unX$V1%*au#&0c#QX&& zj=!&%m!evKzxU7w&gmY^uZn3QqJOYNn2j~*A0lRnHtUpVvyP$7ioHhK{{G+@)dT>B9}wzl!^3pO+@WTuRf*7I02z^yg6=Lm$B&z2E?pvP3f7 zpU)}jiDJ5cA?=(9ru!Gs4n;5B|32-4$ff)3w2Pva?k}KSr@5p17qj{Gnj5-*3GID$ zdk?iV;GrC<_itbWGi-wAv6SbrYdp`~N56@M>=-RwoJg(gWyph;ZhtHQeLSM%`qQ+u z(bA zdCYb8#Ey_3`E}%GBQ0H?ZuK!}Q+{tQIU~B7ub1O6KOH@qc1}d9^JC~9isFK?3;O4X zo^O~BpQ1Kex>PK$Gb)D%@J_wnh#Zcm-K33{E@Mv9MoX7=D_Tg2-<=5FgAuhp`*UDu z=~A~=8!cU~e@h!JUD~cTTDok$r;U~_?VRP@k3adt8O||Uy5kWhI}IZUE#2=hq|ws7 z5T;R>$TyoXMoX7h98id5wozn}F7iAU^}Nyebc~knGMGDUK#)6ONYjm$ZeJ{CJ#R8v zaf%c*TDqo+FKFrh5)y4Ac5tP<7O}L^(&bQj+Gy$C4j1$k|5S;O=dqMyv~*{|q?Rr(0d)iC;DvWfAfu(rWXMjU zg?U+y=0_XyvK-kY4!&cwbniEj7E-@fiyr8rz0et!Hd?x6kruOgQKSyqq2(AY-3n^Y zLQ9t#wAyItvRo8nsfl5(PEnRBLnxk7@c62wHct%T? zOCYC>mM-n0SsFj2-Jo0WnZJdxo3+u>rQM>9mM-mS`UrgHZ=>C6IrC2excwSa|6SCS zHGOhVS)Z&dtAABl{r8sDe_vVsKPs#L$7S{Zq^$m*M)eyl-Cn4dTDsJ!HT}7!tUn(x z^{;F|Qy(<-KSq0PS^W>0`VE8D)NizOP5nko*VJ#cbffx*&q1JHU&H#LrAzHwqqO?C zslNe_O!7}~%knJ8XzBKX54CiuYioR;Df4|c@-$TC+rB9QVzIlswv*j2q-G@w_?@*4`#D3S*X|!}XPdw9>_e`Be zOSi1f_si=1z|<+@^r5NKXz50E?tU^3s?}gnUU!f$V+>b&_m;Rm~Y3ULa{76fey;|>|h)-zg zvY7}QY3Y8#u+-B14#P%Ty0Ursk(Ms^#FCco;c$z!bXi2Sba@GE%J!0XAeJ9#>9Q`* zkF<2@mGckAi3eJ`a=(RbjaM+SmhPpDM=f1mNt$@oQ9NG7Lra$p^ZZClcPv28=P5lu zK#GL^sR2NZYDD=0g44Z{z-a06s-=pt{v_p_pF>+x|bl)Ka};E_dezvk{>1= z=gDJ%{BXtdd(NtEIR}1q>KK#}E#145!Xr(~;lllCl)9QO)Y9DoBi7QDEozr3XUw+p zW0cH&Ibr3;N@a+Da4QP$qkhi9)Y2Wri&be{gZM{{+b?RIEWZ6EC+ExRJ3w+EvDN)7 zL>jODhDf5NdpvG?22dn2->4|_9&71Rs6E!wrQmw3rOT5|tfkA7My#dF4L{b><>nh} z>2f2CwRE|8#9F#s__3BQ7i6rZ%Y_na>2iw4TDsiOVl7>6Sh1EaCts|kdp_+*OZREo zk(TaZIM`TAm%|!s>2j1}EnN;rtfkA|O|*3P1BkVB&q8M#~?lmakM_Rf(H2hA_NQBx;-Zp!Fq@_#w+?*e2=~6zo zhaYL_%J1%&*L-87dOXL#?H06j*`#tU-Io3c9%D~)a^dl|Z^#FB#q@_zx??+m?bi<=Xj4ZTtUj%6Mu@tDK%bX^E z%4C2A>H~mgKho0Oc>o_uL|VGF0Mq^J zx}5-4qNPjZC0e>`fl6AsF93y!mM&2t(bC-lR7|vV)j*6=qNTeFP<^7MdoWN#qNRH> zP-CK{y8x&u(b8Q3)SPJPZUSmav~>FwF<6O~?i8TbL`#>)FelN{C2C8wbgzbId!nVg z3TSbnrTYR9wRAa2tGIs|bb<&*M`|&)bcKxU9GlnL5fB!r{zjrQDfJwrJ@_ky_)@+^Wj5qh?+fXLIi= z3obRt(8|ZQ!-PiN9hc)SS5{8EOEa-lt~6A%5aEqD0(ZvkBgo3yuI4X9D59Wr40p`! zBa~_`pBg8}MYye+BGK-2eVoHrA*GxuLbR4YfPhDo0Ew1v6)vO9WBT(Wu2H0=%ctp{ zzn6y-*#$xk$oY|$E^|s+x-TOdxN|bp4CZ-k%TAmTe8DTv=PIn7cqJ&WkM~DQD&R#e z-JNE^ga0EhPvn908qOt2X;vlgE2<{#%*&3^G0~R&C8j zlNb9um-q}ZKFwC`w_Y?p4N;tr6Q5zmr^%|l;&;Ypm&j)~bb)El2;fb6>utS zGEQ-`pG%y+Vw^hXTEqAHqjBmH9h*6>2IH`FE~fcfIBk9-XSM)GhfA&mDjWG1^xtwZ0`Yci8vTR?**Gud?oG-@)F z-3kFs`b{{yT?901GLv0TT?+3OO=hy&O>Ka75K$Ds5?xt=7XxC&WyESSR+!kvxligbN z3xK7%nqZiKPEBUAJ6ymDO=hxNCt#%}GdYzI?vhFfW1Ig{F7!CeC~OlYE_4?@mdfma z3vD#(E{U}MBQErO6n4!I4qa;h2usV;5f}PdO!=ISxX=VWbU7DVM_lM{B0xdEh%-L8 z&;$c?#D!*=qK>%G-$Tw&9dV(}uQ|=d9Z_(D=;Ai&hznf{wQf0}aG|-XN?d57e~k;xSy19a@4?xVaH07#E+sCs{8*M_*(EM?=8IftbCx;EDbwLS z$}wk|hzrf%<@9vKg+3fLOJhIUIhUW}d z&QUtzLi4BJi{^KnPIphhtzMmFm$=aKTrDG9=q_L2LYoF%R^Fh?${UnQ2&WRlI1{E4 z!WVLV=d%35i+>UAFw5_|_}`axpXJwG{C3*KEWhpIFQ8qQH3Vp0Ow?x$0h;zcyS;&( zq`|Tc0lI=uQs?6%LxBQ(Qu!bw1n7)M0<>)i(BqNWEh0dt62jKBtRXE8Y%o+kTFVn58Awbi1vxWf8TLLd@2+(qSVA=O0DStS_*@gg3 z&T_Yi0DUq;8Upk=Fs&?K3*?(k7(;;OugHPc%WU%+jhSdEi)MtEYa0SI-%`l00WOCj zO*aJS9@yEl^ClziDN@uBpiLEDAV8C-9%c;znx}-etRXp1qhgb7LxASNkN~}eM_~xi zauiuZfaXwnSwny(+ZjE@Kk;u4vXpHI&}5mB08NFXYy;jgxg{`b1Kr=a&5N^mC z0yMj|Ico^ev|F-<08M*Z_6U6DZ=>C6*@gg3P96xzck%b^vqEgWhrjb_Wrc9M()6wn zuvhVESuQIC?0ab!vqBoZk9Ji5kIL%*aasL8DXagdQT>JhO&%Z#&`z&-MAxv+sQw2` z{VN;L?gvf%kI`ORR{uk$enWsZ^&0}TsoxNwP5p)d9o26L(BvMH06m=b3qAI6Q@;&Gdq08v-;rj3hw!1>e!k{MVwoxguU?xl}?}=3^=$j0urS2%{5H3E^)~M>nJr z!Wl1>5Kbk8@pv{OK(FbKTQVIHpvf_9&=CQeOwvZ(2WL|dpqbO8r%VP|pxy*%))4{P z8^Gtg5dpd%z%(5Zpb1)aM1bBKV2+Lm&xcloKPZD+bwq$Z9UvhQzZ1d4x_}19D$!vUu5SZpg(w@_d_~BACl2ZSVOnY3kNXyLUltm0Vn=N@?pD!T)I_7i#Fy*<_ka|B7itAu>o1wTfnQS3I zN0Cm9OLMC#%Z{2kKhEafRTf-okfD{2ZHEbs`axWdyIfg0@h;88Qn}JlMa$r&I0ARZ z?IXy_+OFm=L`Wrs@pdVd5dI&P5T03yD-)L|z3us|YT3b{z7Em5(Sgg!?r0vu=eVkZ z4{Gg>rXhTitGaL{+8vEU=eR%~pXGwLTuZUxZzDj)>AbN59{49>!%UMg?`JuzzMJ742g3YXubx#H0#vm)>j>ge>tr1*}hqbN9uc?oqu;vV6DzzH9~BdV7)#b%M@b61RHcDHcYTlM`FVSn{*^L%qu;v&up4?BsRPn`&5gL#D;mdG)+fh!w(>*RiE8}Xpz{k2v`Oc?yk5pJXg1&QL)&t zNKXb`h1A(D+LM7NV6li#1{ncMMSU{pCZJQ~Cxfhj6{0^GXaOsABsR=GSgj+mVS=?H zO$o7K_Q875ri9oqK}xWt*znsJvSuBL4YR{if^AB$+yG%ooLm4LNyDIXQWaJ0Brk zvuQM!hz*Nb5*xNlV#D??@HK2BHf$TQ;nj?5#D>K*V#5-_hz*Nb5*xNlV#Br( z8y1I=I4=JfF^$5om?ec_+b9f6s!pTmHVVTOEOY2cg<lyp=BF|VTwmm zVfX^ZFbcyg7sXg=Vi<*C6T>JBON^|v>^j;7%Qgzb6riNSutS2Y(1(}vJysO^CKJyn z408!Y1lOBsM+Da&(oPAsDZw@+*ro(qd>ca4j#GjyE+A5ZZA!4ky~A_33P=gIDZw@+ z*n(P@5^Pg~t+0Jlf~_!4W7=s-u&v^~rXiG;7?@)5hPBjLagU`0+mv9tgK3cxZ1H+3 zCD{It5^Nu-1S_`c9si4bR<-ONP+y1ey|SwM72Y9(L_1`}89;sIY;zNc#N0n{>-Kf9`SUngi< z?R4l1BLO*0LyrlNQ{)RMyW@yqWbHnPR%M*6op{8Kf5UsBW$OHUw+O;JBeEFjBJ-+` z+}IRq8`ha_HSJltHn>u@|Qb=|Jl z_cz|<bnT_IkdZN!DHEt?uW;qXR^H~AoC+u zVP$s)htvJXdYE38KZNLhN;{W59W}Y1(GIhh<0Y5-IqgDrB9G^vw2OKbn&euFAYt+n zBvQEqiBv8@B9%*!NaYeFQn{C-g^5(|Qh-D%mkmy&atRWt+&cggsoW-jL@M_n zzZ#iH<=zdDNag+nZi!SbdoYp8B}k-l2@o$itGk6wq3ezN((7rg@2;H; z(A~$R?7E!OwYyD+Tg2g6I@~P|JJI1Dnc7P+-Mrps!PhfHeyx?%> zIDlQIPqBh;It+uDMk@CVq<3Macx1e@VeT5@kUb|@U_XU@%Df4`#9o5~vUH@Ey8w2g zmrEIyL@#$EjwR8{B}nvgSxXq}GbRJjQuOZq}eR3UgR@p1i*PZ%wM!Q$s zZq_GadIk3hSfP)>sVex9fIIbK%$?xJMi}+~44s!px??&oa}vE=fqILFj$O~0?02X8LupCoKFPFViNc3`vivN;cEa)6!`bgT*|@)(|y4sJ>4 zyd0uwDx~65@U(c&z_)sdo)Ls^{JzQhUJx`}g=?@M1TPB0_kXX!S`S_kbgl|la&Z4( zzlE4>DtrX1IoM=lFXOj?-yI3`M|%V&9lj0BFZczo$`O4Top;cnFQ@Y|Z}pex<#H!o zpXlWhZAkQTxnplk^m2(dC3?9;n-jfUqOFNuF44z{UM`W9=;d;ZN_x3GwUzX8=@}+^ zxkQCTFLxe1OM1CPLleE+;W)mMUM}-WbY7x;>@d$BbR41vJDkWl*j3PYJFMo2`9f%H zgw8HUEObU8W|JNA928UvYPQ1y^9m04v=%$u9b0KoEoh1zHgYuk37Teyy)r;Gf@UBJ zXGl>{s~z6JSy$_DF3q;X`?#+RcRmD~V~2H&Qs>AnV~1yAj}G<_G~W*IVeNYgYPZ9; zIbTKyT4;x#@`y$XT5N|eb5)HJRHE}T=2ANx$)4ET;XLcK!;OqmFKC4wj^q3s<8T(N zw8JeY0F86Ta`q&8xd#K4^m5@0JX zvj7EM9qHxXj`k*cx!*<&iC!*?CVIK-_e3xENq`;e zC%y%Y&z=)8tWCj1&Jc8|X?lBk)7zt_3%~VZho@0bAID+4M9#B0qx-v1`ZUyIait$D zayDZI6m&1nw9A~&0gC!B9J0j{txk91@+0VQEhwk~`u*IGWa?Sm@4hO{ZP3eD=0MjT%#l5X zWe$=uP5N1u`MQ+ZF`f6RB1bmT%jL1P#(KFPq9uB{44vrZ1^|g(?m*BfOM1EUId2oa z+_Qm7dbvElFVoANftoYkEPVDn31d?qOmVpqO-D~H@93%J9X&1T=-CAv=5&_}Ef?$M z^2idsTscR@dburF4vAhaYfkiXKL(I3AmcgMDY_8%oQ|z`MR2J*3=K04x~#lGmz6hY zanvCG>TuBEa#zjio*abB-IeH#P(N`zz!mP_@f@KbHv>y#N)+|0-H>s$`wTj_PS5A? zUh6IasMi-m@GZDbK!d)N8_*5zV-Tom)K~GGbfe6ICOwp8ZW0oEvtG;T{6m*#@fQ6! z!7T!&=?T1Uy;VR$=cQXh=jEo7(0PB$v5x7y^N^F!d0#_LLgyvujOo0i(NXvkBR+fj z8FY1Uzsm(@`fg2m->oU{y9c7ai|D*8l8foQ&74Uwop-WyB*iU3=jHq>#B^S+w202j zLyG9U_aRb5=M~e?dBxYzdBrqzUU4vVUNH@wS4>0aUBkGB&MT&&^GXCm=M~e?d8L@4 z^NMNcyy9T!ykZ(Uub76;E53%#E2)OgE4QtN&MT>g&MT&&^GY#8=VfY4=iP+fis-!D zpRH^gKK$=-dv>je&U-(ALr2nicfxKH(RsOP_IYU{{Fls zkM(kC@3Y%h)Y1^^<+6ben-J^evTHIPPiz^FmvB4K%k76*pFJK?a{XyqSw8y74WJ!n z7jc=^(5}ngf%TQE?a7m5b|zMFZrGkkZ_1A2-ZH!%c5`+Ow%A-9eOfGh3B|JLB9Az1 zwBRSwGUi5f-h+@E(|LK0jp)2wXa5tF^v>v6QC=|(TN3OjqG zmn%h$UaqOa=;iVh7twhi!Z^ixxjZGrdbxCu^>TT@v0g6iSTC1n@iM(!7LWCE`5-CL z%Oyzkav3<$%VjijXW8UPFP8^Hz1$mk6h<#sj$#LTxwpXuJ;gt9C$UtdmwP%)>gDpf zFVf3hhw382>qSidkMwdm_z|7==O)s^al4|lXu~e5#l(*3yk(KToX+c_Ea|+LGKQh^ zvRq8(H8K7RblyhB1D)3|(Rs^`?j{q@(0RE8VmdGFn9fW4zfI>|YU;o1Qr2(ua?AQ; zWm)~J%Id$jtp5AT>i@6n<%X!2dbxhDxIfpF_2&bo{*?__P!F2=AEUjttp0~g{r^om z?^4zez1-2P-{|F<`WtY`lz)QTRHBy~!iRdfCnmnnl=(gz`5L|4@$`jW?vLqf^m2`_ zP`ZCtiz%}syXdQ%(9|iq#ves>?tU`r{M$&>)wenS_ji)x{D-Mi>i?9smzDYO8RO-$ zG9Nyt9j%9d(r&P-S4>4bORYelevNrYjmJf%mJzN{5>h|e0FN$;{+<>pa905ZT|`pe z6A_nseIoiKpHXZk!cIL2sGIsb!{+k7n$566q1{Qz2B$}1E6(Q>_e9UCeryI%UNyn3 z+Q(f}zK0a)SIF`@3>4VYR`Q4P066#agup0F>x%|w? zOSVdaDqizf@v5bYvE$vj7IyWpnJ6_5PBR(|6h^dw}HN zcZ9p21vFlLgh>56^9QQqaIZ69)^|>`@{MXLW@Aln_QOHy9@ zHZ@~UfPJXqNu!41mHERIH~bpPRp!5;xcSylpfca2xDnP+pE7^A;^tBF2G`=Z6c>KY zo?IhGC@#pFAJJ!m;zFtUJIfv^Q+&XwlUkwNuehPrM08$mSTzGUfxa!1uZE9=^2e$+ zj9twGoJ14V2HMo@%pb1~#lhB4r8D0m!&*a;&io`9r5Xn_FMomzM-3%9^OL1_t?I5^ z8B^MXQH!u(%zV#rg)bqcZ~Uyr57#vdBprFiuaP8^2N&h4DnhaU#!fZ zDqy9q;5s->@g91$o;ey|rdo+bwpN~i4GW& zuHJ~ky9-o)D->;`nhl65l2Bkg{p%7E^lj!dI;8DFu>); zQ)iw~40N}`tx<15d=Q;s4}2Ysajfd6PDAM7iqU#r zC-=J})l!tuyR!OG@;2Nu2fdd7Pp`mG1beH?xUzLUW=Bx3t_A3!KWENp^+SNr{1)aI zslK4+B6KiT#%h4I>mnZ$Kn44%^%3#O|_01~}if?3 z-vvnYa&H8%61`j^FVV|=5U8Y=`#eyX=;aa>6208FfQpG;t~C&&l<4L51gcN;a=#AL zkm%)32Wm|8a@&EL62078ftnM&+&=)dBzn2kc#t+N(aW6-)SBq!@)+hMdbvbxiC*qi z@N7@?a#sQ^PV{o02U-ffTu#y|?s9V4#ID2XT*=v5#T_b6{SH$(Q%x$jwJLs~z$MJ$ zw2KpNVFIUX$ro-vWh&=W9P&4?i$@^@UyW4B`;m;tWt;I{VHUSR&esU7xrC_poA8wB#C5fO5#Bn%=X{3b-eFb|A8(k^ zG&h3u`1@u;Gr#yhr3t#T#w=FvIu6+KVl@j;6nFD z0Q$Bmh`B)CP2wnDR5yn|8w;OpqVJ6jd=ZGVj|f&bL9 zfmla|WV}0D<#a4P%QS0uHp}T)a+Yb=K(@>2Xg|v|tjLBr9c^csmKE7Dr{m)@P16Rk zX->!1GfmqfxcST>N3bP>If?8J&rp(wvRzKc`m@M8uH|I{=*nl+p8UVqI}}=Q0s5pb{GF-YYi>w@Sc&$ea5f402P}EgXL5ZS4z^H(T3W~xiMxv;T2jUH0 z!2}V-c;H36;uV7N2=NNWBgQNL=lNAvP47C(WnMpT{_pVl&|AN%UmaatRegQ?8Ef1z zK~u;(FdN9`3AHJf(vuG)VvWlu2$kFqyOD@FH?@I}p^~57`#Z6m+R@B#klvx2CiMIz z%}17g6Q+K65X`B&poCcCstG;sW$JIM!_>NWKhbU@F$DN;O)Xd);js774Z3fFQ@89> zoj5pjYJZ~2|EF%b0tIU!J=%RNivl%G2x$vZJwXz7byDj<`OUR zOYoO?)3A4zpS~}Js*^93U@g1M<7XAPt&mcG!4s7)3E$B4a-l{u>3R)%TLp={4@>APt&mcG!4s7)3E$B z4a-l{u>3T|)hs`K9s1hx({!`^G!4s7)A%v?Y1UJipJs~Xr)gMz`eE#XWBF-XzU8NB zRaky{B`iG23HfOjlIN${D>=(g)2iMmewv>3mY-&sgDgM&GV06o)AYnso{*nr$Kxqa z$WPO1jh|-PD9caN%Jb8-@B}F2r)lN+X+9DF5`$9aCb8}32syv*_Fo}wJGljo;7Uh@33j2_ERbDT9>xm+xt#4e9wveCZfwBBF=Z58{IiD;) z&Dm`EX&RQFo&z__PgB|$uiS8-(vqM45-FCSW**B=7v+%AU3dkFSrv?p^Vi`mKYbjI zr{$+Vh7scufVU*rme98RG*9mO$j^!%`B~8;KRZ0~AwSJk)$-E|P`l-)`NMC9pXQLT z{PdGGJk}vUeK8EnPt&mc^m4qrFU(IrhtX#FX+k?;ipeV zIOM1KJ9UPi=4#_>!RO)p)df(&Ox7YpOhjKYYr@|F+mN4bL@>Ati`IMuq~kR|y(L!m zke`;UnxEEH@Et=@4jFxLAuk8l$4?jKkkLyE@df}2;)i0Jx|QLvjyLTT7`I)vHD01k zOx<}t5WbL~jz~l=(!DGE_Tq>f^F0wc=6fS@%$v?n2dJ0)bS#Vdg-3sgb%wm|GF|_o zdNlQ6UH?jkmq+SR_Dr;oJ0FGPG_41F~} zJ&?ZOr*EgP=BKsq`uXW)*!6<^^ocAF{B%d)lDePX4(rCds?(Su3(*-pVoD1ewyRN@YA}^@AK1FZFC@Y4fvk!kp8Dbn!M^tb#p4a-lnS6kty|IT8`PoKX%TLQq7S11hPGI=ysVtBDG=ELQ@YA}y zke{Yu`DtFETYj2ZEI+*~j2sH5dnFC|nei&#@>S7Met;P1T@W_>^b4$<{PYw=8h&~y z3IKG9l_u4KpXSdU~Qw(;R$;pB~R} z$WK4caL7;Zg`GA0G!Lucr+JhNKh48o_-Xd8<)?RoVfg7W=q$@m^Dfcw)9gjdPxD^V z^3(LP{4@>APxDsR^3w}&U26GhJ^{1*G>5n4r}<{AD2I%72>diV2K;n3w-NmGKcf8f zWyoRp=@lr%^3yadKYambw&AB|!?67HH83nc&FU>b%_c?p>7iXvc*swSUaR4!Pe6j@ zr_Y9A`Dq%KpZ*mL%TM0|!}8M)!La-^4a-l{4bKxXguqWf2gCByOtJj*-(XmN+V9FI z5g|X_8HVMjX;^-`9}LS+vuMjtABGgmPmh6N`RS=JEI&OThUKT3V)ADnFf2d)6%5Ny?+N12^3$iou>ACuFdWNI)AB7peLt)` zKm9Z;ymi}qB)YiVqm{G#^cq;zmY@CtR-NUi%fPJlxBPTHta{5&9|LQU<)QI?+`4hwJ3-eAibJnq9p%TLpqV)^Nr@SJY>=>@Rx zhU_-1NWnSY)3D}(pXMN~;*uq&O`In=oGUp%tGGg$*i#tGk*Z_4tW|M?4CgV4!_Gv^ zV+4n5-WP6nGM3}X6uAQ7YECA;8mW@^BMG0=HsQa-Brby-vsLubMHS_co59Z?qIW7q z{C6q4Xc;%WO56l};Qq)1juNw{l9Nj=ma2HA5-EuHUWO<>hMt^x1wExL`HjuktkpAE z1m~+RBH!SAsCh;-@EPXev~E35zFG-2FTymxD2H5>L)K%t*^n&CA>+lBJjH8+$;Myh zGyL=@CaF3fVr!JWnCG6<))%%Xe zetHtzz)#a#PGeO}mBj`vVtKfGmF+U})6)>N{Pb-w3_s0xli16UpPq#j!%y>V7T|fr zlst+}!e=(1<&1a{n;L#t;dbPk>XtmKJMYZyhE(pz_nK5O9zDi!b0pn}NY6U}c{_2* zqf+u8QHEAO1|r>KTn<(@Eq*+zhlm<)%R>R|TJYfuP&V-4o7*7H;KS1o^g^0fM2+bp z2MJs*o8s(O3-HZ(bRaLEE}9dg#)e9S%iB>lHOFqPV=0pN+Sp@wj{o zT|{v)s59{<^2VW_F@r|WnB=k1Gv+X+p7998Y3X5EA4m|(aAhpPYKeW=#J12gX1vie zmhf#T6?(=DC;U|~V!Z4O^^944sAnuet!FGjt!K(*m)jzC6+*q0bJrO!NOzpjHe-NdE5u_#`wqJ zao@nh*Z=E0?v3c{|8gGpG3qORHy0%dvXKM09*F!`CJdtmmaKW4-X7!BuD5b&b8Pm$^8PghN^^9rd^^9qawR*-f zLad(gIvlu(R?nF4U8Yz)V_JDVV_MU#o-wVwo-wW2R?nDLYdkK;S(D{)X)UyR#w*ay zMOM#vCypMgXS@%rJdeA!3tTOa`!WtAzF)@H^0*h2nX}B{vB+7b1&@0(9_d>i_b}A( zgLvE#D8TZ#Z0|Mho+}>{H9*zKt6f%j5Dc zrZA7o8^yvr?v8Q~*biIF!Suc!YY!7vLt<>KU&zeS4Wahb>RxXe>n&v+#sj9Wcp?wi#!j@9tZNCnS!L*p#k>KP}HV)cwW<0WHW z&zQt(Ue9+49dd8e+ zR?nDwX7!Ba9A$XiBQYH;kIR}Zk4rJs7%K*ajco~S%j0g3L8BWqE83tIJg#m~$m4QV zwLI?a=nc!`eun2C1$kTou$IT=BNfZz(y%=4@9{49$KY`(uWa>HmzqYL9J&j4t+|H?Fb2KJ!1)KJ!A3Jdd3o~^^Ez5&eM9v607x$C8+g` zC7aeWW~|XOegnM~>KSu=HhRWfo~@qo12AHAq@HnGtQ?`9F&E8H&zM2Whn9VnO#tiS$fx0O5M$ecHjIjwu&zN14@c9BS;S-@Rpl4i(b6coq%%IUTX4vQ% zGi>yXNz)oV;~t1NddB>LuL3+SUE~o5dECX)vIvj6H&TtBG0(A~o-wbfH>77wccW*_ zu+cM~gRDl+cr^OP=o$0&+~^rIygohS0Z11;;|~xBTW|D?t8u~)^^7^`jh->XM$eed zH+selTRmeI7wQ@BhGGnldm4+>dd8CxEX3pTG6Os=ldCx1%oAy5P58aB4S8Jt+?za5 zUx0u#UGunEtnBOOae0ah^^BL|I2k=-o)V0nF?Yu38Ow@S)*mOq)Od!Cp7Fs5NA!%D zU4K1Q>GGRyt7lBZ>KRKfCAWvPNYKe;Y1A`jlS4gY?hN&ef6cwnddAG+6woui2`=a< z{xO}zT%n%v7zC+j%1*T>`X;D>s~_v?~o_6HUf>KRAa(^-*{TGuoF zH_L#Y@pP7<^^BP>`g57Ce^EX5;bC3>N`{w5>VHJnznMJl6|5gTZXedKd0bt8Jw|rw z87@Zdc%UA&(o@jn_5J zd0fU9;&ItehR0=igFNozMo;d;yC-iU&P$K4$lnTE%eA`OpAf6L?2*ccx7GZsr8_cRu} zVIFrd+zgM)EW+dRx_84oF1;*|D>qqKX?aF5Jnls-k323fA2*T5Wfsfh?g=A@!nsga z(x~D!zvgl2XjbQHgbk1TM^;WA_X0$2n8)S$Jhm0-MU? z-p9=3aeG#p#%(5#`w2=C9(OqIbqtStEJmZ@ac^TdvCF-dS?@O+!IhxsAtTpm{8A{mo1^5@iqidjh-KU(qm(??-VfBpP#nT3>XUy9gt7pu$#_Ab=AkRBOJ!86AJ!8&1t7pt6 z8$ILa*=D0>{7<%-%FoaD0I|YX2acNi{_Zk?M$GroF<#8W{VR>8{mdB+Vo+siS z2|VtLFf5PD6wBj&48!uc)aZiU~dEAR& zSRQu)49nv(#qzi`ERXv*49nww0K@XQTXl=@xJSdVJnnQDmdAY>hUIbp4a4%dKLxmF zdED_ZERTCN49D`gw0z6s{uWlA$6W<0V|iR!Im_d|3#;1lxL?DnvpjAENZS6E$NdSc zdduUEgf+^S&tI9>#J!nTno7Sa@8%8mW@^BMG0=HsQae!{|1;kE3L^Z=48Lq2h%y$Bk4@iC~fHU44pU!ja;3SR~gDJ&X8wLmyq9^^o4gbgmyd zKc^aEmm{Kj1%g~lBn6SL(3Q)Hb`8he2ga34ixdr47hxHQ0~krqDhcgQQ%3joIMc)N zJq*u;Pi+uj*UZFe#f3;`icW;VOlDDBnRGIrxL1WGoosS*sfr|r&75J9xpqYgmm1{I z%BJ~YLZcR%d|c%s`NTUv67%`;hblZuYfK4T8C#YhbFf9t-z%XrGD^pA#cWwZzUJuA z7-_O_S=CwO^?ky>%B1l9M?R&BCib@iS1EWz3B&3cXK)#%pUs~a0!E>pu^<3O&zOeQ zGp3Q(Gkyi7LE~BuH497lY)ilq!MD2dd=7fXyb|O)aoCc_-4=0{#~lU3@VMt9yb(O^`EUb|OK&-iHREwv9`0Uc zy8?%=WCntk$Gsbd;c+?pvG*a5%OAruJTBj6C44r$nK-!bAGnvji>HVEMxo&0XJW>imQ6oOA`?CZ zHiai<8)T4mabg1zcGh<1tC#eyy!;cMm;z|zi7yWuwxU!Q`_W-2t`(lxEA5GqYJ_?R zBSWb_8e91>Zlk$-93tYbe=c@E9xuHXg8(U$VR`$p_q(0Sbg#`&OgWFw)MM2wC{+AC zlZk$t6Uz|eC6HK)7CYVtC?z)Yb_TWLFN40HcoBa}eb(r$!v4e_Wqezzp~eGu4Z>wE z?&ag1J$7BG|2krlU*W={O;-u7V6fL<#!~;iO+N{K$l%TlmVbc$e6{5B`*Bo*_Cqml zzhJZzY=O=y`I=?;0bkFQe8X@>a5|b?@-4%e;Br)1@*Tsu;3({8$-fz{R*TCJc3c{D z>dGVxSB(DZNt}90JTdAOdF7J07=zR+c*x;h(&qokYsPR?xA9cm*2cB0Wsev4{}>NyZbZ)w|d^#mK**Hx(JF{ffq39PHjb2to{k|t5C|0z3ZK7;s~ z92Ro}ch?V&9fj}$?rjB*^isr?`h9T-QpX{R&F0w0zfzw^Q(^@iX6zQu775f;wks>& z-DP~)I_`bF4&Mf!l077x9E1)py@+)t_ry^xoi0H_%B3?TNJ!al&xWLq!e%lG+tP`R z!=L0!OxlJqDIWgo5s+57P7*`HNnAgRUWAkrO)MZ;j%kyae>;O79TtcK^@0<3i39b5 z6L-_Wqh4^^_E$1^0E#KgoX;Tjg3H=BF&M|*m2GnmgA@r){`D=mm6W4zMI<=6_Mfmt zBslrLhu95@1eagQ&hz%^jhS-QnFz*x5eY8!Cm?%b3^rSN=i?Xz+n|e`Y|=bQ`i;tD%z+n@xH|rcho2h zWEfm7lmwT)rqj zRCC1Ws?I|nt6xc;YV{!2Nj1-7kJqa1$f>UNs1jADCS$Eo*9lTNNR?y8sq4iUqCUqs zQB6|7F!dbs%ok&XT7~1FZV;nE&B2jSH;OS*Iha@KCNV~-r`hV8#TcXB$M{kU#2Bk? zqj8HE`Kj&` z;|?{O`*XjhPu2A-?Ex{CsDpSEej~)Qzj8*C#9-rTe@v6F#mHtkQ zHR>w%!BVMbt-2kJQV&VWI&~KFER&Qk)e82(!(x1seT|ha_Y#P6+-xO#{t>Z!HydD8 zR*#Cs$0M{>cw53V<7NpZtH-2}4sLcaN7L`6S8{H)Bgf<8VpY4@FHo|2LK;%%W_vR2 zNwNC7*%U|AQ{q|gX6Mp#rIb0y%?@TqJ?(WwefzoDE!oaz#B+$7rAV-PRyuwdTFnFW zoLD2=>`~l@=cR@QH+v5~S4r9^H%lm4{XwiTZuW6nFNihP%@RshuZT6y%|67wd`+y0 zZkAB8S}oQ&Zgvrm&7Zv*v}}r-C6uhzc=fQRyIDfX>Md^~#>Z?oOC3S=7uln^ZgvOO z_qMdG$;}>j0<3qu(c2SB&Js#i21?EnN>=Yl+7dVW64U-F`Ifs`-m+uHa^-x|&Hju> z_HSaXawMZMta#nJer-w8s%lP zoNC>~8iP`J#Hz&_>t(OwSn3hubRXwsxj?I)vA#I&6TNI5OR0--rJdqs$FpB{6lc75^K7b{gA!eTdbL0_8aa|AF*b8**Do|eZ`vNWxKFnb`oo@mnGVz`o%cTn!N0* zETz9#3%%^F9G?SY921MY><7oe+BJ4IdSZ!}oxwfYEp`d4wO;$v#{R-ld&Kx;%n707 zpnH2{FZFZSwwsO>X}TI3TgejTEOU4)a+Wzfb}%x=6-9#8@YwrkudkkETaJibgBmIn z!Amtl8l6$+((NeezYglcgJ2vNL*sCkk#%&m9J^{I4L&V49|hDYY42ERZ-e@jhiaUh zBqyqRE_UZgo+*xZG?Ieam!;`%u<1u3Vu-phb_%;xnm#?+^yy*KNiV94V?2%e>S!Le zOQie?y&p5BPcv#~3@de6tQrnE#b4A^vtql!s8%1d{Mk}kooeFzYm5=h?XP5V%!zSw zgd)K~kMZy>_0Pe!-B`r0Q*Xxlu{3Gm>SzO3hYh>}ZfZ@8%$u(WC9Ah$6JS&*zKvIZ zkq*eH=jvg+Ek;gt;C{R#HCLF_E$ArZ`Z}Bx>K(RC96+m-v_C~ zS-|J9yD)x-s1yhKm$CNPw_$1>N5a=JuLg5Ros4#FoRUc~7O4xEr(BFBYFkd8K#b*TH7?YZigP|bsZKl+Muiy9s4a)XNX0oy zR;feT2U|+3UsVowdn+kmjT%RzofvB!Z!x@s9rnb5EAX_OwKA-K8gV{SC znJB$QP$sUszi(WRHklhcNjoak4IBmi<7c8Lw$$@!K%Da_qY?)rW#>3&b51?MMPOGk zs?}JI-TL@yxYa5CT#?!%z7x8?zjAoYepE&RK2B}k+=Vy{C67L_HG~p=32wik_m%XdE6Ngp}#o z3)o@9<0o~%u#IK6WqXc{KZb)|5zF4dad%YwL0DVHvV+-(2HB-dEc;Kk?^tO?4lB|q z9PFUy9q83k{~m1HorH+~YGj-xJjvkkT@8~!uT7?=&<7C;hjH=`L zd5rv8YEBWER%7E$IO9~SK^$eL$60d-B?oo=QKu{crT$(Bme6j;->F)tE{&hc407aW zMH@6L+MwBCg9s(7#yD5i3bhsY|MK`c)Sgk#90TKu_yAnV=j1+Mjtq%v^%ow4tK!M- zJk}HmR#(TLLr?ct7q^FTjTrUnQcOj4UA!&2eUSPUhP%36`f`Y>Wu6=28N>}!%XxHe zj`O;;K|M`lff%FIP|l%S#2Bm2=Ac|C#zeiG-4=fl<8X@d*;jYQQ)td~^#qT_qWEB> z%+?*ZI9`dAxhli6_dQ}XX(&0^@ho&ysXrOpcIBAy)#`UL;dI|U6z#i*qJ6h4>^nlq z>S4)Jq55&OERWCSNK%V9{vL_nC>=>CSv@M_FQ=j8pf{(#K*>QL?zuq8LElf&5`mI~ z{t_gV91IX&Ldn5E2@*;Ub`}Rh$-%A?B$OQNEan=JI6#W(7`!epYaBsn{&B5hfs1b>1!AZUvbgw@CNSZgSiYka%M~eSP!X8+|NLa>doBBC(v04o0Oq9mj?ogSMu+Fz5u=p?6YU8O{Wk zq0>^`7_JL$#~!A7@Pc(va2jTDYKJorKP1?-9O0f55gryigoBr=qfY~V@Ed>VB9AmY zwBrkD8B;|hINfIkQp{VUxTs^{j@%Z!)cEhrf-kaee0fFB1Pgv%t z;3C$w55r^8&Pixiibfq>4a5yhB zDx4}NcjS2M$V9Z1Sxfzi*b0=KKJg6%Qy-!iKR`g5uAE#`di2qp!&8q(+$oZ^(}a_p z^cYzL3$r9(XbxEA+sV>BuJW;0u(Tp24TaC~4-oEQw%c`m!^) ze*uBV^sGop8cNnBHL|H0$NT6cI9R%kG z|DH(w_eSd1P_nN7e%9Hb>wh3p|8E!`>v#$7|B_*-7yJLa{c#(w`}3hle=gJYFRF*@ z!@B;J3@?w=|A?;t6!b#+QI!ei{FR!_rx=W^y9Cx{)+Td zXR&@l$?2zc{q-EC&v3~Kq2%y*K68W zmc`fEhH%ur!Eio`t)-Ih;uoigtK5vlXjNS&YQI^{ThuIrTJ^hH?bwkM;`e~x3F!_xoi zv5nc!uXUYL|2GW#fsBW5SzbjTa3?n|l%R=TJBo>M9x?dClLES1lb`wppEjj&R!@PD7sU-3|zM&ZN7$ zD-lrpvp)SINuNW~p-8Yi3rP2Ld48{O+BW{@KF1`XKr-!&)2n9+`ALMfJ z5GXl)h|7sDP;&ZEmlIN;N!Y8>8ptIUB{AzlX%ezFmV@#hcy@)$U&a6{h-b*&gomhH|crBDWvGmWy zSfq&BrcZTw54}X4HUP$HF7FbS%M-BlSeF;ePpUO6?F<>-tJJ!FFn;0kV)`YF=-bec zWM>TKEq=jEnj}Kb7IHx(P_o*+0gY=XP_o)aZtB!wxF}GS^1K711s5u+N{qOA6{~~l zC=VrkeNUc~=K&RJ-XNrO(hmpJ{oBIm9IwIkYfe3n3RRalZ)>Vmcg#K2HO_^nPCud8 zE?x(>L5ff^-cHeNh`xpGAvc8~l&r=KMmcQ>BCBrhmN~Rppky^Ez6%WLDK$l&HaPm$ z_B^@M7ATqXUe&nA!*RO@F~*(kev0#?yWPX+3~#%4uv0Oo?en=VQi_sW6A*cT^JetZf~Ge zkB1j1TJ0>ys;iT^tQ-TtRlB>_^V*V7vZ{9vM+@=74KqsZ>)wq7^fiqG-QUBgP;nZE zijh%Mu}G`o?i!@z)Dk|GINtpPMzwklovcQ?rCr(ALvaD1ej!GGbrFq;;)dsm7!_&~ zei@NR0n@@!(6x;}v&r7;DwtC&2i#I}^q_rA~zLk^4IsUuL&r(OFsyiDFH0v;Sg0 zjPb~-O?R_@iNTuat%Eh&%~B*-o#Sn_Jr0@cRB@1YSVA0PBLH_qIYFb2#UdP?Au`9M?MbMYI92 zOIZ}d9OOD>DvoAHUS}cs1;kcyVC$rp5!sQaeUna#xQb&vlFqCh#WO4iw-j%^3`Do*Rx^K4Yz`?4Ia2rbLW*BCusm%~%WA!k|3EWC9>(;i`w zTs!nE;^Pf{ba~c8x=_blO>}-vHN>_-MD^PvekA7eyqw^}bzJDP1a*P84GJjr2V*5F^;uY{ z&$gsEBKQ_sp3k*$QoJYPJLAwU^$$ai6p>;6x3PQ--S%i~)a2NcNGSOYHtmi=M1`6X zTL(j5vz;d|YQk%_sgmHMYI?W5pcGzj#P}8#JBt`J4^3+OCeMA@IN@A*e8>w;skj;* zr{(Ck3iGPe-vtS!J}&IA4d{)NpEDc*gCn>7Du$xQ#ZN$7JBD-W%=j%Z)U9o?nGj!! zFkYjfG&NCPsrYC;jI-jL0u}mF^Ox~Q;g$hBjz4k&>&R3&Y^a&#mHMor)c4W4k|z;t z_ZE(UuP%sx3`6m$rkWvd?y!Hvk=4a<%KoQwk3%EeYQAP8FuW4?a&FCFNmouzn< zhxRzxhKGq1@O#Ldh)ubr4bnmI&P$+e_;-)Com#%McKh(9sn^)h^Xa5cep&x<(Gqp-LC@ZCGBnBT!H)tZzv0 zYUH;d$h$YHY7X+Aix@)(GaN#_2h*`NsCN$iG}Ox=j_*?ZN%&7OlUs5jdM3tjTVZkI zpHpqNj4W>a3s&Kjk;RRFy#hhMj4W>a8-^>&$l}JoWjIqt7B~JK!?`lDxbc58T%9C~ z8+Tk9bxE?gaaWA~$tSVm#yv6Wi`v0pam&f##;IP&SxWUN(3QtSMGD_zPJ0 zD0-7+gF9|dLbtGNFw>U&e=Hl^4f|K;Wk~1--+Els`+FG@x`7*`wTqV_p&P`+s`oM^ zbc0f{_VzL)bOS@^W=QA;nOJv}ImF5a)A~=#2FnPsvcVsqfj_2fFvnSwl?|rVnr!fn z96eSxcn?@>y(|gcV2_ymOqG=lUWHQ%J`={)$_7ut`A?r^4v$67GA(3-n{YO^vcU(T zh94vwd^ifQvcYU`Lz0AUFiuXA6O$x#gJy(odF>@U$NP=gTG?R!Jg08@^k~yt$OiK? zT39xieQITc`O`2~Huy6bg=K@!LTd}l2G^oB37(RDB*ze64?8_c4uY;e2=r@qVu=$2r3oWE6@ zmks_U$89V_LN_=nej2QelMOx&H7ER0*jm|O&P3hOCq_HEg>10y=y5sJH#*LVW@Ur9 zXN6^h55sh@vcar5By`K`s5u&A#R;F1;U%=KY%o8+(G8jvZBPr@VBH|T<_{X;7sH6rk+Q*UuyTa5 z!CW*$*#vPqz?ZjwuNMad6@w*Tqf(^0_F7$dX!lc{tnoNvcZiAitfjJ1f=O&Hh4>{?CX~e z<|!_e4gL*|laUSPDd7jm1~dC+$_BH^p=>aBhO)tPxEJe}4Za>O=qdgYX&7`5bA__O zCm~4LU|#nXkPT+^`ecK7@I%?)MY^P!e92TmHaJpJ>$1V5d?_2uUt|wugPG6B2J13N zDyB4{TSh`Rr3u|K(wV6!p_`hw8_J<@2e#i#%SMNjFU|l~6-PAK&rb0qD z^=tqi$_5{4eP4+9z8LzF&`rHGkiL)&zMa0LOH;3DUl{|M&@ChRp3;PF86m;co69hi zGG$~0Q&B=UwfaPs2if3`?M*+u9oCJDv*xnFj4vb`%ziSm!3=LuHuwQu=SNqtPT7aQ z>pDs3rq=Pzrmv6LC%R4<_nOcxdydEH(@344={n^&X+l>YrzoMD`ezO5qHOTnc0a$? zbxQr;Fl=OlS)P#%X4uFEGhAma@So zvseJj#8X;ZQbsFYK(54d!7rvcWt` zMmCs-!^j4+cdcwNS!N>}JO-U*WrKN_Xk>%gi%#+iY*MGVyq9c}UocLMap`4cgK1dV zVBX4F+2943WSbxx+<=1CD;wMnFV?JVFdv0%ST>jsB{o7fn7^)UWrKNJV`YQ6)>zr# z_uw`tNkTX19;cg?4d%=%C>y+zZ8oyO|6rR#*591JTP%oHmd z{5Kd@HrVgV=es0ygGRSA3@aNVHh2sSD;qo&hLsJT55vj^ zGsVgV)3CC^kHE09!SBJavcVPI0Es0@=mx)Whr_V4!RN!UvcZqTu(H8l!LYKydu|(% z4L%))l?}cUhGS)eY2mFK3EjZ=?uS(YT^ta)L7De7EWC9ip&OKom9w(JYmioLWrM$f zRcB>`%eKQ|va-R%?dq*;@G-CkS=nInQ$wt5@U^gpS=r#1VKrFU;H|6WT^b49;81Tk zEWAA?qn>-lc{J0!qo?(biNv?lJ_GC zpVKzszr-X00vxk>UEnI2UJ3v2CYb{_pNxzFA|xY6v8kxqL~;P@Ht|ZtTo~+%*h&uP zd=g3;!B`Gh9lI3mM6AHR40Dj{l>S&KjBN09X5zrsNz35aOg8uyrgN-E(s6s$TsHU# zI<Y$x$hU*M9njT->=qYd)msA+32zLVja27LW~YhcYTTxpbAhNS1F;zhC7s^yJJd z=$WrgLWQfG!6G*b9TZW?6`^H0`5I;CX`CuRxG%iKHO%4|L^nqFuu= z_nvX((jrB}^&3Xgp${V&sFKh?nlid6B>=~_Fgz3fEErIUMWXBu7b2Z0IuV5WWl>z2 zbTXf~SA`{=U~+S*iX?~4BpWP+bM1;0E;Y!Zl}+=*ght(H@^O`m1Xrjg@6$W-C(LmabSNVWP|^J(jX-*hnj_jvcVh?e5)(Z=R(ao!40y(^p?|DGudF4hr3tVF2ms~nTDX14ZaPAkqzeT$KI3E4K9w) zLW+?M=G&}<&!(4<2~POTmhc~71bNr>k$-g8*toFvt4gBI-UgSgE2G1yuCn@3c)g+36k9tI_;ZX1N^wn?hn22CE{ z`3_4yhaCy#i!ma(3Zp-`L5zkZ)op_t#Tc2Sx@~Zi7^9L@w+(IZDLGGc4D5}#h8|)x-Dcd*tY4()g>_Q6k}$x zz5&KvV$4ob-8Q&ej5*0pbXz2s5p$DNw+$A1{4wDFa(4DH?1E$2Sz5S@57}8-6_%ZS z9v1H6scsuQCY{p3%~0Jo_`UQ>&dpHWHh5et+|z%7k^`e|o9W3kqi&m_x^3{3c-Fg_ zx%6BqW#XQm>bAkt-s`BZHFlOAk30O3ou$bAj-VomchRJRR!i8bBJP~A4@E!Ipg^9?TNgFa%- z_A*qr4f={T$IEo#tlCMexn73qwn4ub$61qQXKA&@&hE$2W7*jeu=4EecU>^oEIa!$ znw#+7z}B*}{B0|JmN`5YIm@(QXKzM(Ejv2~HT)oUmcNc-*;%%CBiPy5XlKIz6}Fb0 z<*(}KrcaMHy#+hV(`aFKmVIj3S^kWRWoLQEQJ9^bgVq*iXLpqQHU8L(WoP;GA-aL9 zqYZ4q&hod*Ej!x_#t&j=xx1E~jpGFro^>NC_*AYj1|_L(8+;xciIe4!B-L$$FJt@_ zC(F*hD90mYXZc&$mYsbKDVCj;1=Fyz9nfma&hj_i{}-{d93_^WWve%Wo&6U5lJH|V zG3D7={;Z8Yn7!kXiPD0d)uU~L>@4TgMzFKLhMQ$)OKW&!9o$5;WM{WPie+b+$Fj4` zQ<$AygXbQWo#nn+b~cMVmYrqMmYwYg!?LseJ7CzxGE}z>j*L@3HqXwEgSBz&>`AB@ z&poiU>?~)Z?&uSv9o>SR)g3)9huTNSIngXT%RMX1&hl#w%g(Z9%g$2WJjRMaOk-O@ z+p@FUW6-9a3m$EZW}xjKUz96WM?`4a_eVj`D?5pJ1fEUv9sc!*;xr{c2@3%3!_IPfw(RT!Fk*BhJKGj3N65}{(G1yH1|7rB z&VWnE&hoVNKhMs7fLiJeJIe;f*n~ptEOGY&>}(~@Z6Q0$pkZejHta0Jh1l61h&Svk zzauZe&eBC58Iqk{EG>($vwI`eu(LeJZUQ??cf-yyT!@|JPjVY}mbd4Ion?4^?Cb!f z3p@J(0%7Y7J6nwt{^qi?EG}eccSA9Tou$lf$j(kiun;@T%M1{qOx8c#7`_-`)`Z_1 z+sbR0#&up^3n2CqM1(}`9SF)J@udjJ4r!vcC)WG*6SX|?g+%RA96dwS@CQn?TeKXL%rMs{mCMAZm4a znyBT(FhniGhNxwDvx(YUb^Ui<$NHsD?vC`yqDcLVBlX`CssG+c{hLnIZjX9N)K&rd z3W?fCe=gJYFRDjBKdkFt$?)<>{g3GSHb>1l{sDbf(N^tVJUjg28{+oCifYA;~18zyRxg_|L2 znMH_NUJY-UsHK-BYUS1o=MP@j7^3!CmPewN7nPex)G~`DYKO^1X-L%4kl)|dL@gc7 z>bx7mhN%6Bm6NEw29X;kYI)Yr6SXHJj}W!XZ043k?Jaa6QTsIln@ZGDhgXQ&p8)gJ zjoVD3wiE>jQF|IN4nx#VzzM|=waXa}iQ2XprJ{KYqLwEcL)7x5VTf8T_=c$E;%kUn zE`)}t<>Fz8T26dJ)N(=^qLvfI5VaiQhN$I&W{6rYSca(O;4?&RBf}w4`xe6?QF}DH z%@DObtcIxNQ8Gj=4~HRY*}ImgJqU&&YA-@(S)!Krj)tgZFIu9OcbJx_rI#gYX;`9` zH@KFly&cy(n?Tf_jr!J0)b5CyEK$n`BpW7b`KV$eh}y65+{qHPyxFltE!P@L)VjD8 zwL~r5EK$puSCFWEmu)sgZFvrD4vAX!lqG6;{~aZ2`@peP&09m%vNTK7-pZo4<{PEH z@{Zg|Qu8+0Nen+p&D)@#dogFWA!@ILVTsx%7?!AI^{o=MWAVJVAW=I736`iOjcAEl z8kVSC48sz&kHWA-?W-^>QA@)TwRFQ%M!tTV^u@8UwDmR8OZwO_-kwnQyCwK_}GZVRiwC2FZMS#OEjU&0z>iP~So8e)msC9sBB zqIMmu220fT;)6ps6B4!KVBwuwNYrv4CR(DF))Y(B-U83*mZ*IM)@)1Ez6Xm$EeB~8 zmn=DL;v~)C9ARhqSPiikGL|D%(}J9=@`L3_5`dP&&P4o%z8tQ3U${NVSdJ%C(Q1UN z4@T*HVNxY;O%ndCm}wDYmSZ-L%o?J$En)?L2dl}Oc6 z)QvpU$*tsY&L<&u0%JK~b!_N+DIx@9?iou5+;xn4np5Vc%OBn6Ql(v{1J zb`8gziwJS$(jrB}b!$e_;XvyyA?o7lfpR_0aB$?8@c7slM#8UUrWG;A;X(8DSRuEPpP7b z{oNJ=AmKj&!xFVS;A%@hu|F@wjy92~{Sc)k{1Q3TEG#5yIU@M3SDw#>L@nPWhc*yv zt(^y6pk3BMdyfC+zZ>9Q5F{WJ4Cg&==vp(RmEiCjz65|1@R?G*@b1X25I zxPhppx17eB5w$EYAu7BH|1})GlIsy+iQ3=8Fhnh9KlVN(Y6;C6qL%Nt5B$M^G@q-h}sT(9t)zjJKuM@m7@32II{b*17KCr&1)Ri zeI$$O$l>TU4(Uz}1vkfsxsb{2P7PqUGv}<=SdRvbjw9HiH(yXp*^8G$Lf!rWB~YyP zzL2`@vLn3kFLgBX6{*`Ibz7uvOSY-OTn)H3?TdgcVlIBLssw!P^#c)8q;9cRWZOWN zsAl7-+ZTCxRFJw|hD(tjgSuTK4>T7 zk-FtLYqHcWt=6bpehrwXZjXhPr*30-YO>MPZR9M|g1Ws8H_Dc}JsmatAnJAk3b52I z+xx?*+ep(ln!075TIzN!ZbU3~%e#`o)GcrPHjBEAG_VDAyFZ#?soQ=q{&UoA0?)_r zJiIL~$ks>QzK(OdrEXu9;}KG~7vr|bQn#y-VyRnMFb#FfZ(J;OTMOfVD|O3OZv=Jg zfwztEmNMb{&CuIpF);KaiQe(Z5#2oWmXm5D(A(?aX3^Uu?uiSdw;7~Z^p<%nddob8 z(c5?NfW)G=+&7EfRw0i?Z&|cOZ@a><=q;t1^62d}4qhu;dlsyXLvP2RX2>#NYtdUy zN8QmUMmu_=(Od3WVf1!9UhZ1-mNi@S_DdKsRt(@8v}p-#i{9>lk)skWF_184osMQ>SLh~DmnVhnmajzwzO+Gz+DLT`Dk0d$nf&CpwBP5Aw> zt!!di6uq5|h!DM9jG#O_e*^*9A&uVl!Fs=b^p+>S5WRf_N6(1r^p@E-6TM}V zL-dwAL-h7O?#24i+lS$T{^K8cy@F0-t`NPw2tlH^yd*4u-ZFZ9=q-mth~BQ!CCxm9 zC4C>gjg-_ndfOg(iQeAGGBkS2dc1ya|Gkm=Hyyp*0re8S?E*S1L~kSgxlGr;s2*=B z9@h1*WO#X`{zr8En~C1u#rlEX9?1GNdaLW#=q(p7i{9=4AELKsTi+KVzAuKp8ofP& zzCdrErmseCweM!4w->TJptrk&vn%ZI0eDz7TrLelqAS!y80zU(t1bbT8}F z=&i2v`{=E%^ZV#+q|VQDof|-J_eEVqZ)3Pn)cg6hu2ZA83>);8TyN3a zWhhY4+apk-L2so(M)z2)IB=q-EKqPK^^ zFzD?}be2VLdGBb@TlS(wZ+VAl(OY_1^p=K2Z+U}j(c4A1-q{57b_(iSFM7KZYO?4p zACPPqz2&2djX-Z5++$kwmNz>Vz2#bC(c2QZS@f1}7QN-nD~R5{&o&$MHl0J8L-dwC zWzk#Se@D^V0dU+Pddt!*dV3d(-XMBAi!I& z1dHBY1H+=XG%R}i01S)XJ^{m`w||0R(OVi8y`>u-HR5&`=9=q-!3=qPJ(ku;}fLFf4le9t?}#wgt)f~cChL!dfOdVe~aEWz^b?C?POSkEP8t#tRWV? zeF)Yti{5??tHGkT{rKR}qPJ(k!i%*Kz2!bkwCF9ZDHgq@V&Zg*-aZa%wncA0fJO9{ zgS3iEmH|~coGUp%H9#1mx0f)MBUQ(8vR28A1uDfP4m%UElo1@Rd0(9TpJgn^lc|XE zwSwOAtx1)ImmU&o>(Xhdb^OBIIwlnGURE7-il5w$9g1P zI6(1a2zC6Gg>VjNY)_JS?e~53HVLQ7U$o{a3HgoG(|m)<`b(8Nu^>(^U8Nv~g{z!Q zPtLr8p847&)Vwy+Nw=~H&R1PTzMg!jc}6tzL+0VMZavRN)ty5Tm0S^8mXohB%2$qp zrwlaCtCm@K>x8CV#UiDzY$xR4a^mZi-gWhuXWA8)s_HLvY^p@|q@cV*@DftUF37^@3;4|V= zY*H?k_$@kneEXM*G2B(cY5o$`mR(n%*z^hpdvRS!f68D#34X}n&J2p0?IGZArFV96 zo!Fi*YIf~}Yo39OPsEx+T0xBeUa;CB71C{PN7&F0B49p?juwJDP8Y?(5F16=?Y# zm(Rgtjcd=W6>RxE`T3t%PzYz_LDe4|dp=$HwolJL~$pdnbCP zN2&_pbuJ&Xpk3p89QrfZp9mj}UYXEi4Klg^2=lHQ-{U5hZS0rE_Z;oGpSoO3v6tg} zo}9PVjqkCHzMq9^)sn0lDRow5P?g#?H2dJwA5y9%1@UOusnxvoO8ai#>J1YRT?6yY1jOJ;$7IDqwR_ zB#kxBo#6Cl;xMH2;KNF{E=*~f;Pg7Tm(Gt~k$;ouHJW`kM6@VljZcnudc6~R4NPdS z4%mqvM`PByM|s2J?3+asdK`&`)@_hq0gpAlI=`skt$4~zbzG|h2Gh1D-X^@m_0 z@}KefI`p|P^m%fE)8~}_&3$@~aopdA>B}cTvcBDJ+TqQxBHgk9BJPHnGl9o&*O)$r zQ;%U*d5WWkD41$Vq5IBANvb09`qb|A_juZZK=l!(Kl-3xQHi)HN5ti1# z0Xatouh%%D&(>Xa{y&AS=`k>eLaugsc16{Wv(u%Fc$$ll`EXzWPH6n+&WmH1^pi#7 zX`Zcd3@7zFDkuI(k2S8E)bF8)`Q)U2vLZdB7o_Er`VH;`=V$c#wRBRyc@cBTq<%7~ zpC!42(K4x@%-LsICl1l1eiP~ZoK9_;)K6yk^V*y{shW!rs(FVcy{OG~lWHDdlV8(~T05y`EzLKz`Qaov812!9M9c{fMt^kg zJK_UY}ef5eRyy@r_2F$dfl~kbC>d7+9eyh3_~gHwaWovJv)XjJBbTU4wF&LHqCv8 zhCaK6K6BwyRoUEUV(7DfSk)k>*F{y$U9JgTjtpJuonGrYHg|bAbQu-av;;M&PR)JZ z4t-7weHKl2`uw!B_A$N3emOf#UpSf5_F(LcWI8G*))rxhC)>C)WFJ1Wkndv- z#wml}$NVu|C{GN#sw-we@%tF3_n{Keydb}=t(#`w~x5UBU z#9HNMvd6;uz~dG5t8QjX%%q|HD3#?DU zI_eaxn-((~($rsDT8j(0R3YLwS*K$Sl7u|o0t7^_OpC;G+j zV-arP@%tEzk10tXC!gTXc=7ufjEmjn z)05)&F;<$s_Y(EHG0?us1s&Yt_c5vB_c8i= zh(){(_sit_oZ|N}#qVSO&hZd_N41WKldr#F`GoNmWySAf&^yV#c&wDF5X`}MI;|W7 zE9Dlyj~R-7=;;=}k9p)cbi@H}@%xzK_c6uqW3XO!b+*2&98ZkhV0X9peT>WR2#Vjw z;2}=dD}Ep27r&2*e*Y4M>WkmU0M;meAA?RPejiiC?@rJY#qVRh;`cH5o&rPRCpiv? z|C`^()a(x0RZG*i~E~NH)rRnMReQElCpjX|T7O`*W{spa0H;LzsIIIZMN~JKFJEPiNUloYj`0RD8j^GHC01~w7)4Z< zVi^f`$P&RbpG+RZu6j_6RmpbxVUQJ$!=TX7$BxOzVDx#80r4!dCZztdRkff|j zp2a-NB<0KG3i1{Yi}6k7HBgo8+RDeb5*yO4*-PkI4~_b8<{9O4;k57b;5GV@w7(oyeMVQZ-$Ce_VR$LPYDp>;XFl(KhH=kigtQ|98iL242|yz$HUbB3wOMJfBD zlzmak9yj!PLZ7B^@6NQYIRY{9#?~47kjfuC79(UAw`S}e%v!axJQOdr+uqaB|Zy)NWw!tJR zO4(!Spo*~k4gosk$B?qGISsU{TS?92mb|MwZwS!X?lbW)!L8&wuUO-f$=yZey^4?Q zV~zJs?k*zl9q99g24}~%VNNK6%fDJtC*NOQ?lgiTj>5$v4$S(EIPeIBo!0>@$DQBqi>JDpIKBiMJVj>NuxlFuY6s(b&cg$0qKUT!`I`9VcP` zT!iEC(rdxLAZ0QvZ$BQuZl^NcYcn*Bm|vl|STzgs4#V5b*!E{K(Qk7i49`Q0VzrbY z^**51(ahT!+#ipmW0x}M`-vCvr_^VS-YO_y#~x*T+ZPaw2ksh#%lNAa@y=chU0dqE zj+i8WFQH9W3G(+6+VmRCSpHr@n|>1H?^7;KZqCxwi_$7uf80`dG zfRiivnq~MwZ#?EI`G(<&;B+*(IA(!`w%e#%ao&!7_= z%3^Nd?)t&8qYz%ey{*8JUW&LNrHP*=a3iTk7*@N^D0HyM?nw0yUNG%F1_l z8DF-Jdta}^x1orVJtUkQgbpvgh;=6S#8EAsF2MsZnoDO$@B%23`|a6~)KS<>Mqyhz zv2pm5e2GchFeb&re?0=yD%VM3NH~e>XVL2qI0%U*7LY8*v`Ngrok5Qd3&i0?I@~1= ziVk|NP5_b~WN>|FA%Z^5mk9DVEk0Xvmk z`%l>3LS#t3?;$oGk8jP{>&IsV{L|)GB*iP@(_neGBV2x^OwN6JBY4%BC^znxUV$8? z{sd%CjKO9r?|dACVEgkCF7;<%+fHPL)j_cvVQdYVVbx4#IHDRJ5@Sns z1`D{{V+9$-lZLv&W7~7;HFThwBSu$s9{O1QO7c{z2eD47dEQ{S)vE5usjl^M@UByn zu~w+-gpnPj$}!{A^>{-}fJWKW6JxojRv3r%rcQKc`xVTY@F*&ktpERs~m4+K+@-9gO2F z{8)%J0b$EQ0k#|vwj2~-%K>4_K>@ZL5Vjl?V9Nnv%fa2E=dFOS<)8pt4hUNg?v;{H z0>YMq`-J#BN7!<(#!Vv6QMrB``TK?PRnEXG2M-9v5B`x_>-GX?q;h-FFdh^keO2yu zTGK-^DtVQwrak^ls5+JV7?OjBrCSXuH;iSE2-T=^AuZ}r;cQa5W#oKJWHzhZ7!K6q zZZ-7nr*gg6&L@O(tjc}J5q(kyz6Gu31U)6xkt#QleRx_lw5r@4fo zI#urd5ujdi+hHF|RBj+A;Z^C;GL;)jeZQ5KEmyh8$AEgxoxUBu{HSt^$@#jJtx~ze z*`qh4Y_-bqgyEog!f=i!3}eO8b3USSJYhI^Tc~v^#}kHwcU<0wtjCyfR^AnAgUa!Q z;ov=?-cmW9FdY0|sErDrkOlR=P@kwAPZ$n13gx&to-iEzK}Ozpb39=<_`rP@6*6v) zCkzK43TNczc*1b-kx+Rz#}kHwKa01hb8|dlIQZ214mZaWhJ(-Dndp0in>(6q`HPe_ zy15hCca@-*+0D&`?0Z6}CO0>cHdrClXg62G8B5F8T$)jj+M~oNkU7@P@fsBL6RO3{ zF^(+ACr*LPRyVf|Txn1v)D$<@%-QTO)HF9o*m6)S)C@@BjMWL%=H>`n4u&MS+-JEt zI_O|nVn@t-yPIpEl!gR7ZHJpXmE*F#Pz&AMZPdPlP@Qh>U5@T>p%%Nj&)K69LM?G~ zFLBIv6l$rP8^CcHDbzAIH-aOvQ-XH3+|8}0lt!Uey17xb&s`FjzJ=Er%yAhlWhRhm_z*X>=6KA=^Y5zrMja<3LQ78{@q7 zqtj*X>H=x-%*53Y&=5#_+oZj%!3UhGS#ptV51Q!gW=ow8M@|?v^}mp&zsROf1n=13 zoW$`QQfYc;Y12CkP3J>Za9)C!Q9s~^i-Pk-e#Z7=v5aXH>^cM`7bfb!kPmXSw2KnE zgQyFBPx(tkT0^j$>#r-Z7ENyqWN|D_aB(y{?uqzghMWrS3V$|!`?MirWAIX9CrXnB zzFgYCmkSNN1Z=^E1kbMYgRRGdcqMTfh)lqjhrz2d08#K%6Nujmkq`Q^AFqk#x?nhM z|8<#yhCsUehIF?v7({=&F)tF3EZ=T4)&*~Hv>dR za90vUg@=y7%)U>;7h*~91ZOuX#Ij(~H$hYiu{@YSX(=IA1}|_)Y9UqyXR}UPh}FS1 zTs%gIHNnfcQ4a!->+z9*ShpY}#1lcU!$5={tz=zr2*;q8w0eEuu-m;wz=mKJi9SNS z<+!(l+YJ30Ca%J7#&5I=8Fh(Zd+%ztM<#Q)SF%us%X=)(4*!mxwKiEBBc&agfL}cd z8og66620tt+S%iJih|@pDB0EHYR(4_(+P|cqAqBo?KXKYgRLQ0&xzU78;Rj>3>?n+ z*QL2l!4<5tx5uZw=HPhN*+=S(4W4D4eWgxI@I7{Zf2q?N@NnVa055iEx+6%DnBX;|q%(Im2kbEK*uF5^L~a|l=LqjXOnN4fTS2>< z==}s#uS9MP8__CViW0d$v3--I6?u51X_#y?>=uk_g?|Tr`y7jm#$bxam1qZgYUw~v zEgk4-g@K-x2k&%`3oR4uLgF~-Srjzz`aHwq6*3>pLwkZYZ#k|wbwM+&>_m^68|>l2 zrlAo!#RXLOdm-7Abf4+y^~&G^?^~=OGk;NOgDxs<(2_!fh*%D~JbKkkK*Vxzu}9Qp z6g+Vhh)cYkaVMXb=YXYR5_Q3=oP$d}J(zR7ki&VI_Y_9DF*t845SI(l6kLF%7+mR9 zV%VF5@4(!Ht7I(42KB77!i$jC60G6uT<7t=wKaI0#Pvc<3&wK|-5^9;a3+m%r4a4b zo!#U;i?Qkmc(`zIs~4g?rwJKKBpG?`@L&qApevC z@qpN0-f^d+9cDO}zspcjGlD&Lzk%9j#}CjFcOS0kMoA82D|Z&&J<)%&izK(Fgk6PU z6>~>P@@}X!dq|QF+U)rb#=t!h_HDi{Ne9Bs7?Cgw6JYj}@U?;usH`x@+=Ia z87B-xEStk6NyM@_LXt!*n~B0p#IiX?atAQ?Sb1W-44%LoFS&!*p&63g$lMd8T9%4u zF*kiQs`=*3JPYZd&20K-$8_*#4&AfoxKk*5?q?tpWZc>}OAo}~zVw>rJ9L_TU&Qmg zS;nN3&cphe&HSe*^AHZ>0*(qIDVbh41WDh}%bT;A&KNp*a}Lvyp^rD`GMzVc@urjM zIzta{7BSsm=*G=?Y<{Dm7dPiKy<5KqC~R`v8mKo{vVjRUp~7da3ZFw$;oFDj;TU6g z5T4L8mwgL`X>J`%3u`8`AxELD;Q%Hf1sx9uG98%gyKVeLq_n0qjJVFP(uo$x^XA&YEjxM;`6(lVC1J3v5o#3GcYcSXC}vm<$p zHHV{3*`1z6GcYOWeYTPP@C~o`*`1lr7%r^rE@Y1kU6oDe%}*gc+jKK{>de#VLw3*a zqgM^)sV1cN;`}t4-QhN}qnRFSX5u&db;_J(&ZVxsnQlWn=b~95i3YOBo`#eDDd;FW z<^*IJK0RgkAA=mn@P0mf0Mnis&t4tK=KE$cmP&Rk(}lx@v*Revy@?}o@B$>$(=ZZI zcKi=0lAjLEPIwT%j^SrRvn^JP^zbm=W@MZy7FW~Ws#%DZvTB9jj$e0IbT&KnMI^&_ zF^caXAx#gQ{POJdZ*mRKKN@+*OVygwj&-s#tcn|_Ao~PfzB4s#M?2Y>3Qdg60t#&7 zC85L6rDRVcd#4%6Ihw@|FERWIW%g92mzoXu&7O7&ewR6Y?nWbVGp1PG-mUdh+(W`` zEA#|aLioXs13*;ECSWGmj=r=;_WdICicNNRJr+XS*_nKlJ@aMu!UJ1&j`YG1o1C@S zWJ7FncHY}y!AS8>agbQcH8WA#aXXUve0Blv`%DvO>+D~kQI6@&;W~%ezBN2qju~rs zvK-kYPQH8V$zWZ?U1LaEJcp7F<&a!>68mTFz!Jz_R3gb9BWxvg(W)ZHeUII`cr@zf z>$2Z|k}{+Pm$F_##xg5|$Ejw&%X%5}eYn2tWg^4MyPWB~`{P47 zws%*T^lpWf$76i6*Ki4BOa<;+v)3|RXP3rxOgEWU+UWI^-C}y6quCpnZZ(sseM5^xsjU|IQNqKPb`v!xH^JD$)Pr zg8n4?zq$o_(f_;l$78%5&wEP7^IofeRTEh6v-%%ndQFM``>p=tF$&oSto{WY|Fu^C z3RrdaL971>j>SU-{ll=Qkp0d#~!J)Qb5O^wAXIzl7f45j*yL;} zHaYw9D#~lkzA_!RPj;MsThPrF@fz#-R?q9qFWB81lpR@j`XpS=J^YR7CZ}@c zRLFDGTM*d4Ih#-mAu*5{?x94aJcMR@D)Re*TxKeS$Om;?OuqUBMx!w}2EW;)VlyFj zD&0V(+8NUNXR>Ma1B&Idb2bp4=mb1QIBVb2_)aB{5zdBc3D_#ryuS33D*f}>Efw#3 zZQWd!UyXc)y zK)P}$z4LaeFVg*^>|pg662bn|XI~`QYe;rzp)=eI$PQDyzGs}u)-ymgsPD3}pWQ*d z7gru(TMicbrybSvR{l~>b-qPCoJ@+{m*$SnDC!O9k~$(3W;PA6ceWnlL)q0HD8CF_**A%4pQ@q&J?m8Li{S_}7wLC^Rdw`{vxdSR2rG$0<6G+Bdis4^~|GwL5T)9HO`&YkxqV@rnzj_Rp+) zsF?V`6DGCcPDIh6)gD1#beN*UsvSrJIzo)EmRmyEBh?z#y_ZHbQDrbxwJX@3qtpdV z&)^ib%Cy$b;9N|SS*lIYp-z_RsC}J!kCxGODl51$rl<~#ROMckNFS?ygu&{=^!n^H z#ivAhV$2>VqlhO*uB_t~pCy;elUR0!aIKUlvFr&#tO|N?9ehji8G3avb7v4U6`vB; z$PQSxP4Q;=kzfO*oh0VHF4(veh?5m>rk{gF--L$f{xIe(PUbC*M#|YjZirkL%?tKu zMdSLsgPZ-C+)7p#YO2&!dM!ne=ldD#!h1Xnbpq{eOz z1V7vcM1OD4AS|ljX($W^czmp>3kGBD1p_@go(8)^v8~qtw&vg++?C+%6xqhwN7y0q zPzaxV6x%an4CGXv#MacRUU{^c=}a)!+YN+_RL~*24UT=ZJxiXneP^2rkLx}dq>cvT zmtvDEpT?Cg7^Wz#&*d17x?qG_PtjXa{f_d+8hgbfK#UZ^4_0C-f}PaUT-iY*tRrYt zzXH)K_$y0xR_m;E^~)h$xs3Lktd68&HxDR&!V4X!QpWb-_~@Koxvd1`JVa_h$R8n9yYR`0I@8% zlcHCscR(x;xDtbFq-15lr5Ic*#Hyf)Y&WP>EmsB?V{oHt0I?>ZC&CuP;UFFf=yHM| zt7|~43+R`EwdzR_>jOHV;4zhfscs19rGn?xXb^7&bVtE&)M5}D1Nx)jJ#`m|PjbB} z`V;jV5RS_IdJ?G5h4NMIY6|z=zo9InwxXL1Qf}{UG5;zznq_IB@+!A4M?dQhLRp>4 zJ;g=X+ie2XpmMTlmvhI1YE-#5Y3()cd7zq9Zca~7+qyS`YF4={ObdHOkANDha-AIZ zVeXrtTJV03-5KFl*I}+y?i^BkxsyOmQ@P_w9pYXCs!ioyWXoDz_NX0i*4U$|LUpJd zU28DIeIA^hD)(vvRJ*$o)Do4u3HO=7Y`6Dzm@?&5(MYT5<>aynuS0XLqP14jLltv> z$Xr^g&81tb<_{`7&LY}vG2;zp(003d!S*NS(o~Bg(@58Gee=~wwY(px@VRU&{3;gF z4bo<-$zw&y@~ZH6E*8^@yNgk=rC35ME-E^ym`MY+f=Zul&qZz(&AGb>lCEbi?b_x( zi#8zlZe-LkO(VA@^D&#%yw5`MGpt0zwne`{W;HMS#qvL}oVH$4&Z^bISr8JUyozf; z?p$mU@BQopxv&>FN0Re zQkz%#EJbj=S`poPx>H}Qh=J_`_9`yxFR$~JbniDE{}Ul)T@?2xN$(<*#) zLeuW2Ncs-DinzUDXO~w!l)uh$dJ|ipOAWa_kWu$5B~ralH! zkqJ@GUGliySl$O{#o}*S?&$6>c15`;a%9 zqPPwmGxOwIhjmrq?}ma3A2)XRHRQ&{&p8ZO#XLt{QEdmS~f8+vI%~F{*Xr{ahpDHSR zKaZh!1j#|~*sT^ltn~`j4_w0YuDnrJ!%UkH))% zFpfvz$5i2@h`#kcTZ^QhBKp?*oas!8=v(hEOh+lAZ@s@Volg;c>-~-CI!*K~Mv6p( zCi>P>LNw|};A1^kh$c<+t>+2Rtckw$dI&LAzm1w+Pa#?~(YKzjE&z9{Ci>Q^RF{C5 zris4ww0sY$O%r|V*^fib(nQ~SS#>?+v}>Yoy*{!B-l2)U^|n-pp=7=$`qqoocOh+o zCi>Rvt8N6*sfoVzYSryvTcWp0gBYSXg3C0~x86`8mTRJKyNu36+h1Y_2O0+{pNJ9$fGkF7i3U5K=&FTt<5 z!2JQ1mamD)H5baMu^CNFuDM8vUYeL(bFoVWktQbBTq2;}ynX>o*DMucpe82Qd`Ifk zX<~BC6)rtOy(T8te9wIzi>^TvlZ&^SLr~JJiODquOs*y-*DMzSEt;6z{|Ao}z7k`- zToaRP?vS2dtBJ`qcM7pmACARuejvmxnwVTuz~pLTa!mn~tBJ`q1x)V$^ijh1p$m?R zh{-kgyQF*-5tC~k5Gtc0Vsgz|_c4@3Dk3J=JSctYt0H1@%|q_X_>)%=F}dbvLe;70 zV;W=;lN$|VSrL;P5tC~k70xCVEhFb+BC}aV#N?XC-Csc8ekvj+*E}JdV^u^ogOGU)wn%@hxQDHwD)c^cZ!nNpogBuZ( zYyKjBrO}Ou$u%lLFSDB)5tC~YLN&P&F}bEfsL^gjOs*+nawB4LO_Uf6nPYJXFzuzE zP%UmmOs>fb=CRd{h{-iILQQd_X3l1Rp}yKt!nC^XqlDi9)$T^b9J0)mm z%iV~WT+=AjN;e`V*X)v5i!oc}MufPUQHkv_606;a7+14r zd;yc2u0J2`O!{5;?JbyGb55cLLu#AeS=#i@LemLSHRmOG8TBo9dO6o$SK@Ruy;1YMqFI{YonZl!n;udR z?xep1etWkef1`OR@g97VZQ#qL4Sc!Kz)QepHYE1K^P;c$9?ray7y=?A2l|)-CRabz zgpvX#R}+(KUK7oAnwVVkx=cZXmhQeG-EGvw3g##Cf9tFn1X>G ztBJ`qpCr~{yjwIex#qLPYV@#G6O(KHlITFMr)gqxjq>hANt-^M&G9@gj`%3yEx|oo zcd$P_z5XCNw1Bk=m|Xq+BuWaHTrF^|0wz}rUaNq~)dJWmU~;t}whEYBO-!ySU~)Av zxu$^0)dJ=UJg%Kbw4k{%LOh`bT^4$@l69JxT+>ThyVsg!%-n(LY4(Ggp$<@TwngS+Q6O(HW@E)q;%o3Ap#!27WG`IK7!QNjXtz8q7YsQP{4oyt1nc#VY zaOsQA=71gM_3I0>O+>`x;uPUCFzJ~@w1Rdw(VGdXS0W-N*R)EPqD1s3w(pCW-1M-? z7}cad4ZpnwlWV4UT#0s|r zNufc7Q-tYN<5PsMhxSMllWQ*V-iO=F_nAHg{zFWnP7{-BF7>$W(x8dSHJ5qkfoRmk znwVU(%HuV9i5m6e(x|D zNCN2017d%9i^)w7=kga!Zh8cJE|}c(jyIwug2_!cN|Kn|^v=RdOm2D?NfMKr-c=Zg z$xV-vBr&<^JtRr=X?oAqluJx*`sLRJvQvbGmzdo2F~UnsZu;1@R7^~6`gqADCO17pl6>2qK0&GxlbfE!+)BaZrq6sH z%}x=Mo1RVo?4&yIlb%EOT)^a}=iUw?LB_58^wJ)Xwl%$G`a5)*TN9I;UdE(T$#>$- z>G=!6k|HKIy?~c3Cq*}(wwT-$y?okYa#M8jX^Y8C(Z{DPCO1VFpSGCX6g_;}VscY- zVGXt1(QpDtD1~MI`h{?^=GTo5689mGl$zal&Q^e$Eh7xTwHbqQsX4p`qTT;a2 zW*W%T>X;t*Ll!v;z(qT5k(RMkFuCD~Jy2RHnA~tAudyj&a>Jdt&YTp%o1w+zrU*U@ zEhaa`g%$2X_9#VHWz+c-F}Y#WQdF%=5tAG4IR(9HNIlhr^j>^CZ%h#^6^>?lY>JrN z&|-2^#N>trOm5O2fpPkFuCD4iW5w3c<{~;Qz@9-aQtx;`6*j7;SBsbDehN?Emn;5@G#zHWSo91 zuA;paPt0J|q(2nDg2@f1b|Gml#VB5lMBxyI>gD0|UKq60{G%cFcp2UNX~#O@46B0s z#o-COd}s1)M?2xnA7dn=6fwDB8!rhRDY}&KB(is=Mskj3iN{M3lN+AO^wQJ@{D!9; zgx_UO@4L~+yy>f0-QKPBQ@5g`qdBdnN(f&QlWVGFYcQjU$u%{yZ5g3gY_ec-!*+J2 zIttHR%3ctY`{m~aUj-J76#okFmCQSC{l| zg_TE4Zg>rsKqf^@Zg?%zb#`f7$8=MQnB34}a#O_Qh8B~XnoRu`lbbpOn!{2|Zg|s$ zR{yOFs9(n9wvsVfRigj)68(3S=)bc>zs2NQ{T7pJ^;=A?)nAIq4ObiJMgQ+gcLc8y?`X6I@O^N>dt$t!XLyO5x5$hRROm2#})6imaQ^cKyrI_6CXBSd` zCVX@l_2($#ajUSlWVQ^McP9qMOffJI4^iA<+X;dRO9x^+TCvpy161=qs{nM&+E+3Si7^BT{$O>A-CInq)%ih* z&JV3lnJ0_MwewVp$qoNB2)e3U!ax5u?&oJ#r|AEjX+I_Q@E6J}o)^q?VLkkf=_aR! znB34&S3*$#<}jgl!A+)?8SbG(q&$R%Jr((VEti=JA@Z7+z|dD5)kb{`e#0Urw}zP9 zuu{EGv6(Qfj-yyUJBNYzL`M^o8``%wzEeX?ZWyY)!B#^|ZrDqz^v{P|3MSIl%@yhr zlN%N=xtf^VaBJbpXkv0h1WeG+!_)GLQbSBG&I_Io+5PLnYQ@JFE3c*?kGJk&e<6HL zOl~+p(cNb>F}dMDsS;^oa>H6R3ib04&WlDr5>>qCmxGk5D7)yL2OwQTOm4WHdWOoQ zaIoq?rY0sgw6B-!H6$!xa%C?d9Hw}E$9cZQsgz%fWIySawv4 z$r6P-sSQZE9b-A1wm>X*ChOf#uYHRS{C2eq$Hz> z$qkE`+!|tX!_n$pNE)y_+*=(|Rlwwi&FV;4qhNBwebjYK6A2fNvG`nK-@^R_{wKETj!-bU;W$O-A(-6oV8w+mnB4FX#RVyt+;F_& zLJ>@Ec&M1TU~p}WgGIMOcb1sk?8&^P;e!Q&lz0;)m|U|*AH0m}Etp)h zwLH{mVscGC+3$e0;HK473E}DW@D8S0HYI%fOrDqhfQ%+4*VNd}0ZmM<>F;gW4vR`Z z4OM1<$H$sFO-!yC=+W^s*d2;(y*I$ttcl4rgN1FZeS{q%4}}Fxu9?9m*ARzmYSm+G zvtV+~T^3;|(e^BP(iTiE*FDY)&gK6BCbx!|Tr*5jT5rMRni1+k)X-aU zIChlx*pBV=NFjVpOs?5Uoz0c4iOEIS*AfuDG%>kmXLUJ<$iCa#Mbu;S0*_T@SDCAU z&XyLFYxYp%2S5`sxu!{Rm&4Il9}Qw(bqoqH)+7#8XM)IRkHjHDM4FgfbC~)LO7fbR zTywO#0Ysf9Cf7_?_kd{7#N?Wjg=o~om6QneFG+BGq`W|@fY(8T1L%hjPM z>D0vJn(qmYcZufOGgqtmC|Rb7$u%p~)gYE@VsgzjQnFGLlWVROVwG+p+YRb|u&vg_ zLDr|Fl>TD1T~d|vR+Ky1*&*X&3o!Z5T8W7Df$!jZ4i!%h{-jd3+1bbm|Ww#H2n-t!T~1Nq}<0rMJgK2 zvb0cn6%mtbvhD_y)v1V>T+`eA7*vCbWYaF^rnbf9S4G6+ni|3DHmQi1T(hlv6v~=a zL`<%!cZrx9t0H1@%`o?SpjuQ!=#d%W{sL61iipWId%0WIVX{iipWIGu*}C>{QXK2~h3s^`Mrhh?rb6+kG4qFuDC`q*e5Ca@mB} zp*i=XwN}wX6?2bgE-lsO(ydkT2Nlj@5$(2^aRoDIyWPBCyOp^#)uPC?NY@<%5x-?7 zf563MoAjS!5#1ndwu(Gflq|2L|9-KUR@_~TiUt`{kycz(R9DQT0b4<(&$gqG+i%7f ziXiDo=F+Zhg}c!Pm}v9ldcj@ zrXb{DGI0&aor^8vy`Oy`7xn_@yW~9h%Q&T=`zNmWg#&aOMP(nz=*PunRZ5dLukt8z za^-E7vs;^_Hm`CKMR2`Z5#4&aQ(vrzF?oV@xU9dt&R5dyKtw-!giYmiYb>3wW^jr* zag}Xag^x~X+G!L?-(gn~w>RwU@~VgO%UMouV(W9MA(yz|y0u8smq-aRe@<4q6U$n# zxi^ZebS)wpte-QJ3?rDyM3tm=At~0)jY=@S0`pAz7lBCnAqu5CbVRmN=|T{kFGbNa z*<$XvR}~~3Q>;x_RZ?7NCegqmoW83>xM+~6m7gz86B>0*u^zo#Nj>52w#4pw-BZOB zB)nLZK+m|T1X)>|X#P71{ZLWbPLH{%gl^5Hrg2oU3f-!$Qt(a}7fbkNr@N$zBwCxo zT?)1+LG%_(uIX^Mz-^S>n?I`nFd`<`%op&5uZhVu3xvpMVsgzwAtI-S63p4|&mk@8 zE17BvOZse!p+)QoQugP1IEK5rd}mysao{*=m}8N{|8Xw2p=*fAHS-d4QPA@Q{PY$~ zuIWfz55nHFoh2`73ioXDrND=3a@P=(YhFz7Ei5{VoTM*zfyCsRoVN}+vVF)KO;KD2 zj@mr=&cV%3(*L8>87)J`b&~WSWSvKm?2XOUA~Lt;Q}oHq@@kORo9Vnc#XA~=CNkHY z=Cvd3Xzp~Hc6qVl>kd{q-Q)EoV{bUm@aBRoa{7^5E@NLfFPQT1^eVsiV)}Y6M6$Oa zbIsY_O&~P)Hq9b=e}~=^nQPATE<#BSUtXET9^YmqeKx%(QNT%`)sp^?m_Y>ZpojY7 z&ZI9JwJDxeU2*1MAaez7+n4?Y$lUtJa79p6@-WaPaNFvG(9z=2yyv4rGO_Ni9>@c} z_U-X#1pe&>tKdI-v~L~X)&Zb9><@?9;!|y@Q$OYa2p?iqwIb83!w+T3RE1804RZFx zkLQd&5SM8S$o&9aARu>miqNz;(No@*e3rF10nlKwA1 ziI*UbSj?5VgjY-MeZ|}@oD7e{4S5`{w})bWxDnf@UXxAV1UcTGl1}YJz+PC^SkGHHiE8}G@?_jHAQ$sm2ITTee576n z+cPT!tk|k~NUpg?h$)(fL!$$)0&AZWKyodg?z-;T>h%fNXrxwibMm#ah zeD0P3xn)2uo;}NeTpn|8%79$QlmWSAKrUc+Wk7BjkZa0-T=xk)M5iZ~0l9cWD+6+| zM^gsmVrwbsmjSu31$#(t>RxOQhV#mR+%h1y49GnQOW`HH^Ndmg2`oJ%H+;Da$mN!Q z8IW5B_mKrWU{ z8IUX3*uR6*mI1kCK<@wjfLt>GAlR)5$lVmrs;(FY=&J?fZf#MrE%l@DqGAtZ19p42 zh20K07@?CzjP48zq9uo*5^<_6g*ZLyfirYwj*CIGjK3j>cG%$-J$pt9T=;kFDP<5X zMyd>=EuU&z2GN#5wB=K6ftMwd4!3sYv&DaBRP-&^F-IOd4o?US=%4zw?x;HdG!~N z+}4(^o>yNTlWtejkTyrv@vC5NSL=|r>5kftM-AhA+ zyn7d_44HJYqh_$7Zr51cL9RoJN{dKfj)c<_M=5&FJqO1~;MX9PNZ=cfv0|p71N=Ac zbjT?mr&~q>myy6_B=CP23H%crZ|-P0jPHDRA<~}x2F?ZU4{*wgub<-?u@}louo?Y( z9!z_Y5WVzC@P6iEmmdv@v>ZQwiHp-H@M)VDc=-BKAqMKRcqZm|q)wgw3A~%R!XAmK z2cxF>p8Jw~U}zpsoW0Ve%WT$Zo>F_25M%X6Jmz+}2x!qyvCh>(l#kQJr|9)noSz@b zP_5SEaBVX`7GjM~;$@#%EyN@GD4sn06Cu{=*El~v6=J>qHpludAvWksIo5Z}@V=#Q zh5?v+q-3K$optV&l27zn9w~gE5T8dcQ0W@?K5#oK>c@kO?-$BfkwH|Uc|fR)icTW6 z)_n||k&5=BVLT{8`l{%5TGK;v$Z%do)jS;XXF}Df=wnDW4@*NDR5Xlbj|f#p0^^hP za-{4^6)om;y)NUjN=1irJ-s1it5x(I%iff-H7eQ~k1XabcP)nX5f$yjnSEQRbt=kD z0`-m@XuDoTy*MlH3bjE+?VN}AgnA27SoV9NHY$7@4%GWXeWIe1aRXyE3gx)bNu23F z$ZYy<#Lp0#4_w}lW!z{ZXY)hhjNIq|+TXu&obF)UA~pPnk-*zS=2$oSfOg$4L8`@# z@@!c?K?m3BM%&=d(bNbv#f_S|()tTE&5d$gYPCYmfE2EWI-%O!=*l#xA&Dz79kbl% zPCBz;iFZJ?yHNwBG$eQ{+~G#2a$L3-YM~q5M(sNY)#*m>a&(6awb=ddJWh8f^nJA( zEn<&$PkaN^TW)S<+sTgEGr>=dISI#I1P7EJybjGx`p@IHcPBDB&G-br%4k1wG9giN zm6=dLtJc#b4@>Z58ec!j9vz;T01X-aEqpP@94Xz4^c=EHl=17U&lv||a^g{F&f8z2q2muXR+S?}WZPg!es%9m4nQGTfbau0)PKV=u6GiFz^U=IM-18C-p|`$#-Orpa@-wy{ixVHC(UIO2O*0qDH&gODCzsB| zhcJga{d+pXB_gdsFX#H}O3Xvk8|~NhmL|A3n(@(d{7Da~2Y1rvmsNVVA!DO?DZvkU z*ap5_+Q9$0<8GS`~k-#MjWw^HxUG%hA+l~cHqpXdQ@`=ZcUO}5`^yrg%X<1J@ zdvem#zla3pr+4s4MEbk0%TPAyE9l(!_Wp{JW_>*EeINOhB&GL~kajUWsT78`0{mMbD!|^e47&lC&ZZk2DRY^G}h$B?CRR zbfBjd26|Q=GN*f7Xc@f=iQ}Yak^QXX43Brb_(UYyW7<4^W3|qHTIfV?GS+y5;~s~u zr5k<^LR>)7=NEx`lJ3n<1g$g|c>LOyUB(xAB@Mc$v_VS>4dO>~O_xWnn$f-4|BF3- zlQfdAm6}VukKi`*eWp*rt+$v&j0AoPgWI4NayT#ZmVju~=iz&-=5isL^aXT4S9<)m zYP0?huSr+QSdP{8th2&<3dYc)*Kl^O^IitgsvjqDy%5v%c&@t}glN-e(kNF7(Qe(@ zP4XG04()TSZuR)_qfY%Wy~Qezn=VW2z}@cs1|`entE%P>A(rFw>R90E?T2BYlKzhP z?VUzOow-ZggdMwkO2_V=(y{yZj?<<6vdloHqtu05thG!xq;AG4%M8h2 z>Y7tCv6eGKha!J$Y7{-pun|bNr0&5KW*W%T%8Q@lkVW5Svvg+JjqigRzm zPk8Vi5K}n~BN2t;+bHr=wq`;Hew`G*{T8-ZG19}sc(ajl`mxv^ZzDsL^jS6OkHD|H zD>@ray%b6LOx+DgNYewSdU-f~E3D+y{G-8gyj0DfcB~W5uqybOw(taQXlL?m`0)44 zdoYqwY5@hd@xsuN`V;IRJc;a`sgax``Ka@fR3p}Dcq%);G_?W0;b{lqcbU`sZn&1b z=_}vv)|@@lPw|t`j-CxYrb-B3b7$LB%eTlgdOLd58u`Q)zrD^TySp9>q3!HUbrha? z8GGS@Eu160*bE++9|*@t@sE7T5Q13CH8WAG;{+tD^Wg&C{iT{XTW9kGB`4Jz8p3m! z?OVe;m-C;ohS$k7o5aa?Z#@~Ti+*G!E#4U?W~2_~kX*=*Ejpt??W5dfthHcs9Oy`Su;L()V9$uBi z!>sMy)g`@KVdZ(8%4@g;GN}sOy@uB^U1yiZbxb#c@w}&GJnyyoS2dxj_gVdqF}*#hr@)4}^(6M5^xU*1k$v9A{_ueiluu?+>Q{c3pN8I;!=zEX>uC_7HSE$HTo zc#SsWTRpEcKa&!>dxLr+>rUU~IOVO)yv1~5O2+1G@{CQ%*!+%ZU~_+UI)uJ+x7GRH zcd1kQ@V?b4cCwLn;@h_T!Ri#d|6_^H4@z`?Xm!dwePngYJbhfyY4N}f&{f?M{`u{= zpPyNsqW^QI{gl|lUnnn=5_|Y7(}nf$H>R7Mnw3-02S;5CLH(Piwy2NI|)n`cN4-UvE7gCBqc2?-_u7 z&j8h+#-mPuKiomx7*`%)E5~@?i^&p&JE=F2=yUQ(xY+Hi#6|U)a`G(PNyseua={9B z6=je=rVa9UQ$J+oe7L)6=vQo9lkhv1f6qeW9>pav{F;a)@mz3b+x7pKBG+O-; zk_Idf_g05jInF?SzCCPKlVOduTX7urQ8zQqZ_9^c)JIJ7Yx3cKiWi$&em_3kU-6<* z%TLaS2PiuH+UJ=*P|^9;^0V^cSVc!z%dg6Z;}o4o?HgQ+2P-c8+8vHV`VhqhS^ER> zj8|MJwfq!&c&M28z!N65;Z8)+q17HiUv!wF!>S!f13E&Cua+A_;gM=K=C1bMQ<0vi zo?v4?>SURY+SjS~Xc=9nrh+SDirNiBU9(pu z(#NWkFj&2rULQ_Vd{&g_#_%{9MMqxxgvTpBQ7)I~v2ccPt(51n@B|@N$#;OmZz4q;-Pv&{q70Bo-no&|?w+J*p*KPWHZ)}G}rJsgEGr;5X zQ=J}+wPyx;bUY1qpJH3@U9dIlchER9SlGte2iYOAH36S|6x%a{_iZ&Nu{E`79ox)w z#?19T0b#$G+~IMg3SUe4Bd{fP^XZNC9Nwe5Wv40qet(3pf% z^E9q>W|*S1-j`!I>dXkW6gBjgRKKIV4tH#)M+)KVmGF0FCv`Sgw#JqYwujUb5WVzY zS+cXb97JT_@a-b%v4sKAW>;}V1D!1|Ov3<_*+U&M0Gi&04>V1RdmWCx8Y>ET;Nww% zu_ke#ItxTbdn67KBGU6=h~_YL1xoUI^-&;>RzywK>8Ef_H`CQx5Dj`fZUD^5LNw}g zNwfOVucpwCiixpJgJtLuV-9a&;t1I`tsd`JOrn#1hT5XRcPAAeQMnDSCw> z=yAE`N(3I5B`YAGp9|%y=xPf0T|)FSDn~bIQZBzZAE{_G%hE#SRkSZhKkLeO=T-C+7h!LgADqYA zw%OE>bNMCtMisqDYp-$n;rON)56thxH>={Iy8JBsSQT}0*oV1<#kJtP9(HGh%X1=I zRdf!iz1)6vm@E|?PwEht-&b!_(Ti+ZtIHm>tLSI!(Nv*2R7BTmX1M&`dZ&tBO@L~5 z`C;`XD!K{l$IO-wqc2lVKN@Kjy_{S&aUG>O_oKB|@l8N6_eAE>Qf)35t9%U{70zW5 z?Y5Y)f*G{kZeFn6$y}OhQRJgY*BylU;0ux}c}tS?xongEuUJHA6m7POJXVw}ucZG` zv6xoeU5tvokRcUm#YII!i>xHaD!?s2Dvf;e!7t3#DIc>e9oVRFSI8^sZGI0$^18ouS{Wdvo7OnXs zIS>0XPATaA5j|i0frv)wR$s{I$Hiq;N|QIQ@)&Y*VD zGtVm)(|45!7Y#DC^7F-MLZfah)}xmzsVCgsme^gdd#adX7mE_;88?+6Yj6|Ie0w1c$U2}E?s=t`vyI6D3haGEz4X-9LX z)3nR$6<>F-%IO{#LdL#PIm25Bw#ey6Zn=zAu~a4xnkg^oQ$^B$5YyN5JS2O+f|>Bm z+1@G;ntPjOk-Wu2FJI*(o#%ZUB{h77WfpsUr2K?c4%1FU$C#ULMIG002i4CdCn z8c#DybS<7UcwY!shjM)+x;o|zJ_9P%1WSH0d+>wQ)ndtwvj@+{GoLz)b=@v!FrSFj z;n%~a5?yc29^B)bAdk33$PKgWuc4%gT&5_UQ-4bdxnWNI4@=1PbLuCu72mYXYv$JX zV`+h0J-2>pN$IM&^@B(rWtrE_sUKNFJ~F5NYbE5yIrZC+JjOC_oLj#`3Hiy~`rS*& zj(PRZQ13L$+&Qm)CQFawQ~<6$r~V<97RZ%zh5&Va{6SK7%bfbd@nEauAqg1iIrZNp z>j}L1N_0IkxBeTIAiu@SMxtxY96@FO9y66pG(FY>5`eg_V1-jYK&IEVes0hDsiaS< z7)JW7xjl#FDB(Ew%?s^}H;iHVNWm5+y6&E{edlSmU=7wR|7`(D_1ln1w$>6|pUi1+ z*qx-}?E(Sj4ZM+4l?8g)yoQ%Zrwa7)c@4X<`uqaDa$dt{q|a5>bXL!4c!l(NvR?t) zncMIt>GKP8=iG*SDQ|IsUILos7Z&JcbDiz~e3otW1UN_jTZBgMFv(HBQgkVauGRB) zm^8ytFUp$%px%eKEU*1iwMF!_|Jj(S7!`oxhPenx@e9GEEoH5^Etzmw-Zz}-2O z!{1zNg*4kg(0J0Zj@rxR+Y18R8w6=TTJU3uuH|!wFTTKXULztQRFL`O3JfdfB3m%; zoKo?F5nh5LZP+YM;fPUS@w(Q{?TL>-!-s6$owosbJ7(C({n)rMg%#agP^GSz1m2Mt zll^Fwfb@@?9H(1H9v!D!oSojIl-u>p6e|Wb*uqWdZ6de-5g8ZpART zGtaB|o@Dl%hfH@rOn#zvG^%)RkMX!zx!(a(qK+--;q{pQtyxY_Y0aT@k=t<%dw!3p zAY3vPYTpA7v%g^Bm|CgjVG{9v*AGkH+Jgo!V+V z3O}X_C$$9@r1#lcB>mKI+--WFGo4AD2uB5(NxoQ7{;+PtfobW(?; zkzR2L(tc{vG^DTR^ki`LJ`#CJ{}-U-#^gqjV(`r6Y)bBZ#oR5NOcI*HQB=N%Vt%+0 zZ*06Ko4yHhygenI^w)t%@GdUf3@hkyF4bqhh6(P`Daiw1n>`ju^6Ud}53v>71e7T* z@(H+bCsB<*+2>faHFFHjVqYYrfy&7`XiCLZyO8VWxb9Xgr+{o4Mp5$*mSK>7k>^tuJTt8QeBiPLgU5)FL@$ z6m!o&?^ACsM&+JQ;m7?sx|(`x1(PcwCiOe+dwQO?C0D5LEix!rjq2{VDG3X260JPr zEo8yXNb7Hl+`We*d8u8T6_=oL(%%b{>o?-3mrFmN-tIu8F-!REJs%mq8JCy|LU2I` zB`5|mbLee)&^+Y#WMR@j8^2pd$n_Iuk^3U9toFwJeD_!A;pXE5o`x;O@d3of#PIth4#}fWP$OcHuvb51@cJK7d3VA3&m2FU6#oYvc)Iigqw~=2{`9>Blk5 z<~ku}=-6=L0AjB*^0kddU3em2|VQkHfLd@15qKoDxAv$yo>)b5FLj5V) zZf+5xQ@;$$Gq(z{SU0tT_`VQJ^!~Wcn%jg}YH_Wr+_%x{W%{@Vl-%zA1Y^BipGawU z2-~̴B*Ay(?cv6jsbgt$d7VSoNxPYUOVZazMMC2@QJi8wysSLlKh#|MzY9%Ugu zfK(=q4|p9E_9zSS0Tj|5AHY$`$MFHA>b_EZ06CjfvT!1?^z8?% zJDiMpLdG5YmmhLOpOk^e{$)Q-&{IMksiKLro~Pwzr&UFFkaL}sO;ZuEE#~J!&A|R8 zsb_@3-saoX_q?&%)A?O2bMvxLvsJW;llvR@GqkKjMGw>1Hn<@yt5Zeq zj{xm{+Ao%TzR!`hF`dTdtzX$AEgx-FrK1R)0x+0LxbYFNqJ}9Q~)` z1NKAz{?qXR2SH|cd;qEcbbJ7pdv|<5Pt1FFd;r%&M;sqOsyjY_RCjy;sqXjyQcK+E zC0z5&jtNpr-Dm**n2|y)bE6TorJWMAv*m8Io>tc=)JiuRMf=<(u@(zxl^eY?8Pupm z3#})P4`8@zcYHwQK(NN~0dL@vlJwujZyX=+9bVYhvjGzlC0ChE;sfqNd*k?kc4&y> z1K5RsH9lZ21jO+HY;PPNz{}L;;{&cnJ8|X(e&hIno$wT6o8DR4^iAReco~i311LX^ z58w#L@d4aI$rs}T0xbN^#|JD&Yd0Ss@C~#k!6qgB{qY+I3HWd~wv{iJwsMmofp4NM z77}1yNi>7VSisq<@^BFeGQ<2JjL6Mxf76=w63`2tCfrI(-O+#q{#n>h;>;1*5k>tT*UcB>D*PmgC+I z?)1TO@aih$rXYG*r?4132;$;h2z3+16zY$ z&y~NYx5XgNtiw6qBuJndC2^1d>%>6pkiZ;hPWr??^*$DJ(`cs1j;0;xsigzGNsxdY=vjG; z#&nMhEe;Z3&o&<5s*493;R6XUFcI(y`kl zNWhNW-SA1~K3Ss~y%X=%*Lc%uNqQBpg!g;1WFU#4Qn>E)F_Jp~Ydw9eJi+o^S^9X%9Yhy3Ly{YrdxBIWiYGmbxs^wwnx8)N z4;ZZ!qqx$u>7Sic2Y%9X=$<{tokH1jp8$~{<5qrpX&%zHrq@h=hfZ_r7bhaQj7g_5 zj|)L_dj3^lNga|z{sLaMoD^fh(hG+m>8BV9mOh*5Op1|U>2sKlQj4$&pB6lFJ~e^u z5j=8T>IJO8^dj;!q+UJ}>GRn9#uUAH`h2E$>#Lx!$#H9-K7Az{m|zo9Fy7%H$0FPMc;fdi3W3>9FsO)*q} zJgp93QjS9w*#u#z0CzT$K1&Os0#i|13>Dz@_Fo7UAbT-Xfa%SJ3NQ?+7%IT$^{;I|Meuo_8u*Y^k#(sUatuq!;%KMob(<*pDa@G|D97%ITa!+#-EfYtxa zPysf%5GugVFjU|!_TnFh3j7Q#7%BcK4iak>LIo~FlA!{;2i#1k0JHy5r~oIw5GwFX zD{1lJlq7KH3wMWM7hupAl}P&XP=OlMWvIYSlwm^!Sg#;snU(P`gbEx(c?cC41hnX8 zLItcm8!EshPz)7dx)>_J^uHY{@I$Nr)|;qb#^kn=F?XZ1hE^qLa=_gnq{W~jgqsUM*Nhf=={6|nl7;DEy? z=%(UO0itgiDlk9heWrx>*#fT(6(Bm7p#sm4*MlZ@>n7_GD0gh8KRDkJ!8Y=K>tMk2|Ql||SusX#~HquUtp#oOt--im6=={*?{3oFT za?K(Ocz51D6beQz;rQGfa#_4i%6GE?hr&MX9;tRLp%p zbtC05RDidVR^C?*6=0P(RA7qSiWWizNL2CuzlwJ-Rb(uB=Y5ebh6?DY{ z0wh%6OaKjvp#lqVK`Dj`Ji&A!RG<&6v=}PDi%l_9fESHor~n;)F;sxgw-_owM_3FM zpz|n(3UJ{ULj|}Xi=hHsD8*0#ns_l(fDWw~DnN%-3>Bd96+;ES$Mn54qKWD~rVF70 z$6?rtp#q%NVyFOTsTeB2=_rN@aCGBPfr%iBp#qm+u;NewJ~0+U1vrXvr~scU<4^%| z#i0Tu;!puT*2bX%595AkjU1T~wkh5?KcY84WO$Om5!UIAJApV^@y7Z&ESGbk?;nN= zjDn^(RDjze#ZUnnKW>L`yN^%-5^<;iHz>YBs6YiCK;uvWKH|io0`xU;s6ZNQai{>< z;!pvuyv>FR{DEyQh6?2JXeMDorbBif;!puTb(e+;G=cG-h6+$x94hcbivFje0?WCw zi=hHHgNQ=~egq;86`=Yr4HcL@0Gc)zDzF#@aj3uw5OJsgi8xf?9uWCrsK8So;!pve zh!}?okcdMC$cDW|m=Hn*h@6f?1y~Y?3J^yUhYAb>5r+!w10oI;AQ6WO5UCW03Q%+$ zDli8naj3v0AmUJg8$raO0*`=*Lj_n8hYFC0Lj~Rf5r+z-Yq>HCp#nRBh(iTBK*XT} zH-U&l1>OS@hYHke!*_CpP=V{s@Yp0yNSpx-7YD z!t2nS`_VwF=%I?a7c-ZZYIEtZm-VAP6-E9I>AHg; zg6~SI$0i-bnFm4~@N-+($yBHOBESAuUi;4*O5_TG}ZByy9 z?G)tpqd9jMLDB-|(qL`w-DoFrzl#h(Q`5+8$-!_GMHKvPtVF}MMfajk6)*e6@~2o% zTQ4c+W{QmmU;p5o7WJ>u_0rd7ZDMds~R;M~|?n zoNkS!^EDHkVmVw_n^xhY6Pk7vc~RQ;^`IqI8R%aZ?Gh1~<|CcM?VyCD3DTDxq6*scD>3tU|YHs}#J`Pl_dc z7t&o)MG~#u0S16AN)U0Vz%F>VXZPvPDj<&Ts>GFjzMwJuVyFQ3*5gnC65XK!pFmpD z?<-SHVTDiuS_EJ7%KjWe1$ZaOm&gSg=y%jG7b1uM<6Le@7efWELqX4__=!UWo&-@0 z6_6`{McdC$uz;Zgjljq(54Q zY_0sh!#a;5xf_Z$4HalcUK}bwB!4kf;3}jyA1c6w5Qhp}2R4KXkXtTeRV0A_N7HO?_f*TRMqjkao@;%=aDu&lRFcFTw11o)FFYc^IMBLx{2ZZH%JVQ-~IQA%@lS6`{DT znzwUar6TNgntl*hRZk0|w@vSt1Yr-bn5B=b1d&zOLr%N)VMbmbH3me7?g?x3wp53K zn6KZU(nx(5(iZ5NBSG|4#PD|NGhr8At-2j-OY~N05cYhCWx9sMP(@=}uIoq)6Jn(v zMxsH8ReB_eGW7U=8T7aWZ@iX1>nq@m|0R&|5O@#2KYofJB#TNLi?h$8)VGWQ|%@H{6chK`4I9LWXyn~7-UQ$1{srxLB=FvkTHoEWK1Fk8Iy=X z#w22pF)v&($e5=R#~@>}#UNu6G02$2{{+aGdNvO-W=RY(CJ}>-%ZHE`LB?{#bPO^k zg*}o2$e2_&$an)N?3ffl#yq+hJ0=B?@lY&W?3fHhCP2m<>SbR7GOoqF>B=tw8MAEl z{}PZfE&e|ZGAnD@^`d@<9z^?d_cnu_>hHZZ{^6!FF6N&0-GPx|%|`fY6B zYzA3eK7_n{2sw7m%ZHGc4`#l$YDP*vX}=0e-&i$|5t~Q z%cB8A1A;j%)k_~pSL6Lp*6fhdId)=!_(?x^fR@CRJZrEu-3zX_iD z>(-rs9M7Km+k@%Ep($izzjfFh**Im4jNuK)aUch8ezv34B@%^9R z7y5YWZ<6T*k55f(HJQl+px=weGWmbldlxt1VY-GH@G%Ix4g$IY3#*7( zLF4*LHfoa9Xk5J}u8NAUxFSY08diKQ@xg8+5slG>_&}p()fh3pFqrs^5;X>+F(ke) z#ssg=>+|>ho>N`Zvn-0{-hA%;|Ci5)**aaPPE}V|ov!Zw*7-7gYI>8CnM}iUdc?U* zGJI;)!D5=o;_8*GF!Mfs&<+Mc4H*4&&<~^?jD9-kB5kBZKMlia=$Ana_!%6A%UE=K zq_YxtIDGTI6aa>dI~=~nlk_6S9S+~S8ObnW+~M$jrt=Zw4u>BwU5praIQ)?5QpC8! z;YUo@8O9wBJ)c5@A^11+MQAhx|Ar|Mnhe3eVOoS{L-21{B|?jN870GN5n2twzhUTq z1no9M@NYQSuR>?dFa-aGkuO6`XeB88iIervHl{cbs2(x!+M|BaG@diH=HO!k0JOsoFu{$ zL-23dAVRMp_&40z=jB;y74p*G4u@AAi^F+z$Rq5%@Qb(vLpYS!?EKD1@F=gQ9Nj8DIt)yJsA(wU z4u?DXJU*0hhr=dKU(0ekNqQKA4QI|_ox>PxIMXRf1{==IlO%%;58i|g8L~6#?}p|E zUWPH#hcVdj;1N7BgqQzDB&1coH_Su**~=GEi@}DoJv1=PU@l}Aaa0duu;J`gqQH zIb0_cS9>qec)x_=R`JzHC^3sFp}0mOF`k>c3scfF7K%Fy>9n~Jzq#{MKj$?xPvDGl z7o-B1$(w&5@L6uY2pgE0Xn*eeDOON4+@p}YFvZzgGEbq)a|=WmV@^judb9? z=({wBh$Ur7*+Tc)t{mF@M7tK)hcH?dDnvSBo&q*m%FpnQd&mUkaxh8}FAw zhq3W~DV%9+yk82P#>V@laGq&ugXtT@beFO5ewnktjAD=Wrg9J#8XNCdpt#1y`=#0y z#>V@lu*BGSzZ9-BHr_9Lr`KFgYrl}LT59&Z9PgLX?0*@G%QIT&ptx*VkBj#^Zp$PT zSB8aOJOJCIaxbQsx70y#nYYX@ju?x))v22y-R~E_#4G!lGpYgC5f@>Y~j z71!`;z9E{$RPieue{YIZN);bux&IPxQI{&t`8uR`)pw+d2cSc8@247YehsPOp=`?s zlGm6j9?x_41A3Y5Q^f)|0sEyHks@aVZwB27;fn>o`q6KO`OI6MPstVqYe3YUL%BDJTAml;U) zfsBw;@n)XGq~H~fkW{gORvH3c=?)j~mr^<2FQv{@@$c;2$)Z_~_shI47w?x+Io>a& zg)ZJNA>TbN-Y=ykF5WN4M6ZkYyFEvbi}%ae%H?>!{}>I`zzgQV0h#eDxLOIH#IK9@ zOZFYT%j_2z}wVp(?n-?s+8&Qf^Q_%w1noHylm%+{k)#Xc>(*hXr|R8=K^7u zDj7z1&CL(qz;LKD|KK3(5^D{nhx4ynD5@Hbh2nB@G<(7E_+#oPKsyY{LFGdI^2tRT z_-wL)&)NoFh;q4A0WnRXA^R^v{nkUsn;y35uhIcU^GFkf=R_zO8|s%e*BKk?m*b|v z$mzZ)r`u@8(ci8K-oO-VGN*I$zAoq9Z1!VEyctYKnHE#vKz}EA8vWgB)}U{5?*+@{ zdK|@j#|Oc=IO`dvp0mnNKY*Neb26KgPK(1dj3k+>N(&U%bf8hW>hxwP+F=+2GB+sA zIooL%1Tq(j&}A3}GM5oypX%Q5dNC)b6BA`}dKOBiwfm=}b+p+~zida|EasSMOw%WAU}QdRm*#vbn#|tFnU=m8 zIVE!+o#PH7)R}gU-KI3dS~eIP>X&qZzMIEoK4fV@&Yd1nF`n7Zh)@GYL)%F$B9VSa*zw{8~ zxKO`!Bhg1L)bA-Aw=UH0agfTPetCYyp!hc1cd)dg1dlWWm%>as1T}}@4E(xKzkJiK z9esGRqYqDZ^bFh4vr4deWSSE#Z>CZ>O3tik8n%IOOqzGdl3}2%TzmRpOouwt%u#lH znl(3g!BJ2!4gY|UVZ~v{z2jAsU8rB~v(X04Pc~?NvO!(8K{nJcy=vZU$cym(H1Uo_ z^YB3sE=<2$iw4P-yah5O>daqxbuLOjj?Qf`XY%r1B4loj=6eWDmHUwhP3C+$pv%$^ zjo`KZAx2j2a_P$!Gl69mr$5ACXf?|?rLRnL{nTb2qHvW6GYlh?0*cF=cJmz$$|WMq zR(E!7nogy|gzT&9(<^zc&3*J1z3F3-)1@7EWBPgIEHpOMFNGd2$YFw;ttO$P!f*^9#O+v1X=_OFGa{zmgooR&FP{8tPY)8tPXRG}NyoHPo*pHPr8G=!4*R_*Ju; zBs~~6dx!-M^(#pY^()0R)UPBp)UPOLs9#BHs9#BHs9(|5P``3iL;cDV=odCeZ8PMk zM*WqfM*WqfM*S5F8ugb)vo`84Ux`N=^_Q;Ni#qUQPNSzzdx1v%y#qpqibUn+;ds`8 z%FWuSzhwE0H0rOQawCoUOFtS$8ugdye56r-nJz{e^_S^Vq)~sFu8ZjG%{-%*G|Z;ig>8AejSfJY3ZLVY z^CAWYC^X7>C_rXArt`}2K9%Z4C4ZN6Df%U>7n;t5PF?gU&Y`f=bevU##$eoqPwI`) z=P@x0yE5Gp9f$KN>_(e2qH|c+7np8GI~mz{2!+>>u&vMj5^}My$3&EhNSspmGUvY+ zacN)p3e)LmU!K)h+59j%1iqxu!gSsXZpWX(UNje6i=V>Rc7>Vj3|zrtVc!#JQX~DI zg`~#6D72~>`sKp@TyY?NEwhzcR=Ow*Su_l{!*4JRCtEoDQY440fRXEvkfv*--%a5V zqPd4c-#>?yu03#pnzgZ0FsfBcgXH%%pl}v@NgEES_*z)QD?Y z_%_oEqE+}UoWvXYLT|%6A`Ir-NT0=Z;a20nh%7|dM&IRXMF`E5(GWJ3cXoNRCEe;M zc}-WuS+U8%v?E}2Hcy6;elO=)Xrx~`i->_U3g6|W3ZuK&Q**9`3VMovYDFguSt`gK zhun^tNHWqdmw-_duhv;NuvL-7**%+wLmhbM(5TW&6grt^lX&ri>rX(jc?=&6OXqza zv7RE0^t&xO!;2(}==_AGu7ss-wG_O{)B1iD$}-aL1+<}&ep$}iSg1BM(y!XM0gkqC ziP+F7@gt^7UU2sD$hh=Nw1-H)IXuzo*)2+(-D0(;k$yP^^3fnHVGCCGlGu;*)!uppmJtNu&zlCd-vDSb61*~5q{U-XPH&OqM ziTZC!)PHlL{+}o6za>%sFB0|NYU|JN{Fe?#y^Qpm8y@%Por(UuOY84#g6iE`|AR~~ zOVodl)~|S6t)GMoh2>iRVvOp-y;}dn?2BL9`X|kX@SBTSKO+53W&Oi3RtgVk{Y@OE z57T9ZUU1pBApCYXbQtOPaHsoNLicg2`_&_%`^0Y4MWo+fP*)@UsxF_13V&o9?5KU3 z>3sCS-Z=gYuYEDPj;`=eJdSa)_2CenJ)ZUu>31AfQabLQvvqSuJkN5W*7E|7=OY<+ zFVco`tQ1~iKb3UMtY*3~lD>JFIxUg(&EJ@A^@2a145P2yqjkP|8SB(Yzgnk8`sFwY zwJon{of_#kQRnN4I^WPbQvOF^~%WUQml8{j!Ke z`sET>%MKTR>KTR+Dr_Qkh2~T|QWi$|)XJMZaN{YA^yPUAZjBFvF&_7P+GC_&t|Zmo zC~J?a_`+r)gk}?5u)=768qOzgcuOygks?KNY!1R$zZvCAFwXO+p-{`^e=S!nwX_|3 z=ZQ$ik$(Tg${FeRTpToCW_|kINADqpiS}gpTA(n==l#7L>GuGXkx0KcxWe0L%e_%; z^iNa#i>Sg#zpo$>NBR{P6-WA&u`f5Q!ZfK2_V;K<;qCojvM?k4PC@utZCsOFRol3o zY~y6|?JPNYBeQQ8$w2_Hp(nx8uKwGwB$0j(!edVy>GueX#yHY%FVi;CFBzxfNWZ+< z#F2h^(}*Me(&5LEe(8MUNWXN1aim{5k2umVCw?61mlHCM^vj77NBZRuk0brkp~aDY z>9FERzZ`sVq~Ft-wvm2+$Fz;~`xTsQ9O;*rHIDSls}x82<>iPY{jzsmq~Dz&#F2ha zLT9;1zkFbnhsVNEK6}xVFF%E&eLhz9$U|A-7@t~8Oe)(3!d>!lJT#dYs!Dzuk1(AL^6VhfSyhCnNc|Q^A<9SKmcI3^a z&Bz%gLebo^IfTv9jH*^LkD|id=rkW|>dbh|z1)~I9Z!S4tk@#`8q}K2D`;xbAhzciuG>a2x^Hf*|9iGMj`aHh+iWBKvZp+K$~|2kx+T&tJ0>^IA5&V7 zNWZk^BK=;B62?aQy%|REnqeE5+E%_chh_;bMecKc7iYG?7HhmC@_z(j1M?wsw)3xo zP}I+SQ>A*m$G`(@Zkk-HG2X@(7z_YEx1-;NwWYC5LTIK6rS{}Fm6{H8|nAU5Z0I>ha=}z|5OMr(r*t0&qeyB z6uL;i%OI5_{XPk)=py}6D!E9%uRyAEk$(LxaFtx7-;E$Ox=6o!Kx%T4evg9G>>~Zn zgVf?8{Vsvj>LUHFg4E_B{ccu=i{&EyPKVU)BK`6lX1hqglsa6b-;1Ex=_38!2&v0O z`h5}-BmHua*3y5;Z4+LH!?}i|RpF+*nd0cTd6Xknk8-jKC>L3b6rnqa3PnMGOvH$N9~#B(?IXBn&xi!|>0{q8sFxt)-5dlIazO@5b32#pP@i9F7B0 zkfS)RXmWgz16U1lB@!H)oHZ{MwE=|cO|G0wE zn7BoQ&^j#4V55eUORJP7_h02v)a1E3r24ON0Znkes)=$vRQ<5bwusY_}%iZZ&d#C;5kf5&|+ z4EfeF3`ZhUPKS<2>qt(7y_ij#^h}z~m+!UKQhQvQt}2mjn>jzurteA^mm1{K%1`BG zLZfbo%hAgv%87P)B$msSFBMa;@M3I%p0Te5nOS{mUduuq3QEV&WA?RBt~oh0j*5%W zt!feLoqinW@FPz-rN?+5TZm~u-(l6hphi2OlH?lJX8jv@q z!XM|(6rmjH_Ze6Nc1|uejfElGGK3?7A9&^cT$MM3D?#~uyfa!-1+54rhRlQp|68q4 zAlz}J-+9QWVsu~^>Gwtmx@NO@TwSxx4VV$aD7E8Azx*JJlVwgQtH5VaV{Ul*X&jN) zhg@h%#dXkVC{d5BVqy4!l&P04<2(t&M_J}JBujX?x+PCxPRbkgF76V!S?LKl<|6%m z3xc_ZOXQQ%XCUpFuW>;&JI$dQnhq8@InDb^UK@W(dLGme>6hBN*@U@r@lZ4Eg(0g5 z!v}Ers^%l&N$U+^XgBzQZqNOI!`#A398fT)n9N`rOrD}XQJw~c=d~~uGATc=4yKy zRHxaie`ty7@a-`FH94Khs?+363a-`6jjWE^n8JKQmocQ4p(Hy)hGD_Wu(Q3d<0tKX z{TsMOiaS07mxs9H(ofaUv<$THZ_)+moB`bN0PYx1mjk%t0o?Hb?pRhC1GwV>-0=YJ z_<6w=FL5D&xjgF~z#R|Zjt6kZc$gc&9S`7+@ugq@cRYYQo}T6xg#p|#z8wwVj+dne zaK}gRMPTq#ymT^0@qsEBz#V($vH{%j0Pfg+I2gbkyU!B?xMRUI58#dg60kVa0o?Hb z?)ZIv^&Y?-<0C5}2m`p|SzckPpoPN$+;QRS{s8WH0C()=I^@N}0Pgsv(Lg{A;Eo4y z#{;-yzIhqI9S`7+v3MT99TR#vfIAil@LH(h0o?Hb?)d*c?)Wa^jz{vQ*B9FAU%D$$ zu!=h#>2Sx3sb3@CB#1i}7;>$`kb5i)dDBW5a_KrakunTa=(b<8D@+an_FJe@XM3EwY&B zC&*%iPGxs`%kVWP?OlQGeim8$hD8?t$IsQy?*Pu`zn8jk+6)1lTG*M#*%w2(4L06;6l#8PIOaGOBQTus;vNj4Y!Hrz4*YZqG8R za5^u-tSqAnr-%AWkTW~WsKV)u{2d{5WEoXBy|F(N!rUyQ3a5+yd{{dpJL*6PBmK)E zbY>Y*-N!Hg=LUc{s~m{En(i+Q@?U`7>A zU&XV{wzM1fI-2i+hFj4C|nXEKHvgFD=$ z1G*^&-p*`tE|Uzrof+|CCK+~k@JlD5iWDOb4_-YN$w0#l2O4G=vqU0r+i1g~gxszQ zpMhfdJNyhwF#vIP%hgC%h5x|s26J&BjP`;7oa>R(y=d>)=%(T;4W`-!ud=h z<(XM*y`h1rC}%ItMlWv;*Za~q9LWT$AA^8@8$Zs2uP=mZUkBn}dIJYWVGAm-npF2O z#lKPg4YnrL-Bx^&(wp37lNoI{85H*74`QEV zn1~Ooe)C-PU6wwu`YpEK%hCr{zxNaBW$6Q}-)B0Xr4OwBfazkEKCt>jrb}7+!0L~f zu8Zgct397WLqs1~?TgSD(FaziL}-fW1FO>_G)MG-)m0+2L@%Rcb+rhs5q)5F=r4zM zTSOmNJ=lK$!iRka%M;Lfz>v$dPE;sZ6m8k^nuky zpJA}hi0A{WNBVz;&>7JOR*&_&VW2Cb53H{Dd0iGp^nukAMd*p>1FI*Auq2`ntZopY zH=+-$-rDDdSQ^m>R!^3;EsN*_tEc!cpq_g@Lmyau$zbt;)jd4PEPY`0A|}CHOf!pl zx?z?+u==V)a5#_4`F$K46iRT#YjB9o9XamX;GlVuqz?>*4F zWrJI(D9W94iZc(J<@uX&eh&XCs~yd9n{3787_Fdp&IoaL?3|$KN&LaTk-Oc7B24HX z?|m5DM3$Ia{{@HPGQE)p|FTl2gtDr$t?IOTBTu`%QfF7EbC&9~cq1>qqf%#v)9F;5 zW^d#zcUJ10=XAPMrwO~U?^2z3hnu!#0rPc-TaM1^E|ipkgn`E1_PBaZd%NP&SRZ~C zdWSwdwM^|z!@2X{Ru|!zu0wZ#7}Vn@t&7pLy7qLuCLQ!+u6%U4nIh9hFm_jJSFAiw z!;vaw+NfsQu0r<~vsH&<#Mo6RYqP7+1x)HHlu4{Y@4%l-_yB%UADK1+rqvs#-`qEa zA*m};R-xWF{oZmU)f=bZXIi~+`U9rb8>c^HTD@`lBc|0GV?|0qy)jm#6x17IMM^=v zF;=7$)Ei?(NQkzNHsy)jm# zdqC(AZ;Tb`{t)JhH^z$eVpvmej1}oM5Y!uEMS3ID)Ei?(${tj2j1?&b^~P9{Qc!P< z6)6Sv#_@_&yfId!Y@2#xtVn-`daO52TW_51;Yo@&PA_6oy>WUmPglKh`YIa9<4PWg zW0~;xkaE0`Y_<@=@F=gQ9KAa}n)Jq4k@C2BW2{IeZC9jBXTlY*7m$2G9COt>* zRi`9%aVkk&oU%=b+Kx@R0UdL&DgKCKt{%Z7IbKLQYiXc$%!4kUN-bTS(ttSTL5nzC z#W4@MN)&W)Dhj$dm7%SR)0xmsksu~hY-3W_sq>iBb?T3pBtgvJgQXb#dG@9AP@;M{ zeq`O6UA>q|GG%1{c0H15UBaey37ghMOQ(wTKKYtRe~m6;zgEO<4mN}(S`VpnKhy( za7P2P#^cb=N0wQG!Uj>2StGK{8f<$hdJ4B(Fl$g46P=FxAec2+rY^F~8tnWDk!98( zmvKWhXCtUxmZEEGjx4hVg_g)NYtTSz^axG|%o-F9j8RAX2qa?FNbUb<@caxO4BC^aHEVCrC%o-G~i!8GS zd#5+D%o-GyMwVHF!m`LRYf!j9vdkJ3Rz#LrgTl(lGHXy+6zkutLed!^)pyk(AAV>zVz z9kT|d6^>bh^LeFX)}XY?F>6p-?U*$vt#Qm6l-_a78k9W8tihfSQ$-;Ucs<3tTHY~h zP_yWmH7J!Fvj)9IonzJz-{F`w#^d}N9J2=V8XdC+r82Vyr6$L$L8;8F!G39W%oWo8XZosL<9QkhwU zQkP@apj2koptNwkm^GH8oxP4(<8vH6j#=Z2kjl&&FOP<5;HApU8kx13HS{jCUy!)V z>=)==#xiSMh1)|IS!Rv>P(wbl%o=QTF|y1W?7xwbW!88ALP`DTk+K-Bi(WYdZs54! z8!*riS!NBkw=GICYeY@-u%}9y4lh+^*2t{Qtf5WsOg6pKHvK$$aLcR_C7Ct!JS?+D zlw{V3lFS;BW!7LvG(?tJV-8x|7+GcwPL5_Tbv*tkvqolZW({rNv&jZNYa1wcaLcR_ zF@$E!tRb<4!K}dnuK`}ctU;j^S!NB^To+Bo1kAk<@Demca=MmTBN|74yCxt5Y*UnE z)`*hK8j)qzcoO~H8d+wIyX1OUW{tCPKba9(W{rE1(;l7N1k;vTL!!0E%otNQP&?B+d!K^`Hi3D2*vj&CU$TDkCSQ>54 zwMtHMmPs6TFl(?6?w3I9xx5GuM@eRlD9Nl5S!NAdTNPPm4GOEhRGC>L(+{(TUd+kq z#6+2#)`=qBZ<#f8Zfq;<$VZl0gL7kp$TDkiJ{2R&tg#$9rRYAK0hl!?)J2wAV*%6} zqLo;C=5|W|rwl!h*L*iQ`KHJ+YkY{D=EyQ@uuMy2nKf9ZHL}baJjJ%iGHX0k$19s; z)`%>#MtU5do-DHlO?O0=Sz|DA9J9vgk#Gs?#jL?oDl==a6(xA28F=}m%o>@snKiVd z4^MXV;mMA+%o@?G5_-cjYeZ8i9F^vo6(fmac}!aFQITcVI1tmJPGZzMW{p&tSwqNm zGQzA;y%w{EHfVmbLGzOhvdkJ$l363N%o-9RGqTJYZ`R`UEwcuPL|tT=H6B6dHbjki0JTPzS%Y`+w#YJTP?!-}W(^AMk!9AP zFk9W(wP`w)j>s}=km#c`vdkL14|i$D-I)F}au!O||J+R?^mwTnW{uRC z432Imy2`8}$uhG>s?4mB;!7v9qkK|TW{st^tIQgbRAvpapv)SQEHi7Q%FG%mW!4ad zZP>B{B&p0Ak}NZ8q?B1hj*iA$H%G`5t8QzPqe`bC$ugZrO6fFsbnu}l7MkxoITTN} z2|A5z2d-jFr;$=R4Myh;s7N}EDtL}{&}j^|bQ)(uC7Ynr$SR!%$6=UNIt`}tS*6op zx|mfu4W>(3rPE-#E~|7JOgChePJ_*F%#t6;oXhm~BVR<@n!J?KX|RC-n}8>7l*)wE z$%O2njF4(%gj6G?q#CPjct%_%_^w|b=pWPg%9L7Ebll{Xva;`KIW#BRAV>f4z{Ekywhe6Kzj?H z<81S?N~*!L39}MCemkb~SrXS2rc%9_70L-om$FK#u>d-C*#xOZ_K_y&@4|=l#;lTR zFx`?>QVrUikyTO+rrXiZsmRY!_&XA|^@D#28KfFp;)OsBI-s=?-m zStZqAI`5@!$DhJpG?!9RjU8cTuqD-Kr%5H%=s?oTGSpn5Rn5p5?$5;rs3MrHp&6x% zG9im*!fo)IQc{hJkj!0#Uc4F!X}XeXY=Tb9&OH=%kCviJs-aadDqIDr#+|rM#jKKQ z@W#-QRZWkX?n}!byAMH$kew;<{$5@%bi6xl%x?K_QGRsRj+_ zBTK45Yek$Do19Wo4W0~1H7?;9J>hF6fOHz$_w4tOLEN5*jR2xdFp*ECMLu_cve#CUiODUwL zBfAfN3)e8+?xmDeV}aIx{XEvMq#B7n=}pvsW1{|>67}DlsQ>4Q`fo|p|BFQZx7zxZ zRAU3wOHz#t;=XHt-kIpnyR`n^Cbau*t^Yx$mnG`IN9$Ko4Xs~EHMD*u)zJEtRKwP< zq#6rYKS(vEu>Ro~D}{%&{wBO+Ej&z@6?!Qp)z|05l~jW^it0{ZVn3C1%&ca*F{`8+)M?2osRq-nUP?(d?$A14y_j{%Is8-WR8kF& zlTfeOYg(t0Y9#7>JyGWyTBnj~Xq`%`Ve3>V@5SVTxQxcb$y!{vjE7g8Y@{jNj1*K!N`(o+yMi64*{u$o($gztVOD^FUkn1 z#x<_+HrjGWs_}iQkW}MEBw|txaZxd;hKzkBESe^jl}R;jVPTSLG}Oe6YZ9=PZQM?_ zah6nrmhwtzvy0@ENj3fxmV{K}fZ8&t#vvGuF{#E+ncjzY>plE8nP%|%!k#{FHZiFN zZyGVF1|5D(szK))lWNcr#-ti_9xt-UR3oya8gx7j`krEo z^xvV@93@FL^g*^>9u47>l~jXGj!89Eu+1^4#yf0tl2k(R}uzYoIF$dYRO9m2ARo+#JrZ#0%K5-q95K@e6%mQ-UN zgq6{ghd}K?|6vHLB1@{_Vcf2cEU88_gf-ES!=d)7e-Z>os_`QTo+H(u6gpCk+aZ-n zHGT)F=twmvl^m%Cp@?;kRO5X}4USZU5XMGFs__L#O^#IKFi6dgRO3uYEsj*2FwUiHMNtZ8aP=T!9>C7kFum1PvVHYKIB4EDz1aZ_!9Nb!wZc}_>Pp>Rl01s z{65Gs4#&?lc`e2Z1aHxh+2f6{I8k`7uZTuHMxNd$8ThJHa>R)>0c1Xo?kot5}&|>?%p}$2^sK5@lytY%M-|GAAdl5b+Ukiwz6Et>f{s5_K_9Q&51TpD^S4&@1HBxvi`0V}yow>xSXhjj-rv(e-DT zQ3pa8>5qWWX}EPG9P2ManJ%-5fuQ853k|n!gcF7Aw8wDkMmR}?C5Br!!Uhp~4YzKD zTl>5`OAWVfgp>VaAuKc8x)DzCN1>j30n)@A3opr*(r^=D&qtUH5w~uHiqdALjpQ+w9zlK>ei4IR2!Ysa*<;D0_aRS?ZWSLLhL?7zY3Kks#eXL`1&NS6 zW1+jVkWMRG=J_c)&CporE;kD2jfL(~*uW&AyGHJ*7p6E{OXexur2yTfFveKuF3Z#z z3*F@am|!e)mtW)>jD_xUur(VC-KEfCEOeI!T8)M7QaEscoZ^2IImLq*E}mbkf!E31 zlcE&*#T=d`bH5TP?-yrMTAunn^6>g#7r3$9y(wPGGITcwspO!$ljm9q4Q>CU(A`(ivJSs^A3R`gRf@!K zcqPFFOztnKi8u$mlHgND?yu5I3mtTqE$ea6-6>f7EpgCY=Jh&q3g#_!&|T&&bI{$J zA>HqwyOdTq=q`!pRyyb|rBx2POKG)(?owLgpu3dbanM~#o`df48ifwJ%jHDgL3gQH zbkJQ&B?sN5x2SW_UGW_bx;qW$*WjSL%xiSeT}ox>E~O?1-KA89?y_H+9dvgm*lcmo zT}rJEx=Wwd=AgTj%Fta(GaPi6QW?5SseK*L-M4UwXQzq{xRd7^0*>7d2i>JqhVD}8 zbkJQ&W#}%YE(hJEREF+STIis=9A`bL;!1RKt}&prBvsr23$NVNfTN(-L3jCpwA4X& z`FK=@?*7+ks0Ln8hVF)ILw7Y4{C+{=F0)^tcNq)a{RO;0Xe@O12-J`_7P`wu7mbDP zvj0XJ3*F_qvt(oo#E}87U7dO55H$a|fX`|T#zJ@5-hZ8(BGL3t+w>%KS9rj4=LXy& zlh^Y&Px`cIlF(g~gzg#(-DO8K7z^EjK`?uKhaceQVyP4?}x zwt*L-Ty9mcKQ3(;lT+*hAuk~#0o`S%70n|}Pc5^bDW1+i-$S|On z>e1>`O$QnU=q_I;b{Jy10Nv#&bQ*%X0Ntg~Wr*qmbeF#zJ>fc*brt z9`BF4NryEV3*F5jr`cHOF3YqS3*BXzR%4;N?Bq6Mp}SAl@yaHlyUH{Fwe$ukGuv3` zE=_kB3*FrWf`jf(9*JS=pu58D9uyaI+k5U^1#Xab)+LY`*D87xyg51H<@)A5! z8M+&;4c(2&DH0uhc(S7{bl1!(!KQ`onyD0yO7qN$N1TJBNCLU)hBbf{Aj(&N*I zUMyK%}*y9G(XuO3*9wI=&rHQ-8;}5MPs46RanW#&|MCR zI%A=`TvVy89spP^(#H&PNlTy6k}Lyy0|oTTQ3dqAj;sAycq&LyEjupEsr1@j z)PWy!8l8693lz|MAA}4Q3FsXGf3glhZ`J~O`7$n2Kre4*p6uH&XL59gvTehh#dKbF zZJ4u}F3P42a}Lub*|T9fnXZ#98)hEU4YDu8e2-_{DBCj3xlC_A@_p3OVdRm-8@dp}f5FMhfNS>{HBVp;695 zu{GNSF2bH%KKYfr(&c~Ufw7=WRE~$Ce=G5h4P9Yj1pWKzS*I#zJ{%IBzVJm)43nD>gY$C@)WjP~K~K z77FE+vxpSR%S#nT3gx{8D(ETxiD|T1Do`lzX-E>v%hh1igje5%vu;3PFH$Hk4+Hgv z78YbW=5^r7bYzow@dJhO{zfgG_az{zB8BpjrrnDa%A2s%#pYQ{-Aos~K%u;OLSF&p zy_hx>%FA-r#zM8BP+qm6P+qa2E&CDEB`;7Y?>@8#DDQBfx%BK7CC+ZK+EXYmr$9bZ zC@<4>IyJ6jx+zj9FKxF*3guz!Z7%7yO zIxUexd6{nY0)_HEtaZM64eL}WuhywhUXGJc+wz*$sZicTov$bAd_(J0D6iJ3P+nW7 zLV24|7ooiW<<9dxty7`AOvgMGw3m-$JbcKsoev)|-Q=LWKZhZK^0r{EH?B!|E%XLg*vU4|LV0N^Zv;rci{zA{yeSwEDDP4DfIDX9iQn=H z&HhY`#u&cdh!tnP+mS^_Q>;D;TWGY*&JmyOTUHpP9^gw4#iMj zW1+lsJPrEZVvF?qP-`|xD6c-)*2|+Je6m7$+2k0?yNYd&p}b)U%}hdh^?~>FG@ri( z$~%os80Sxd;ug21AZ+nPteX5S?nY;%ws;Nj!A#W^{mqO84DDQO;O2$HY?}XrZDjtW>U@VlELZh)zUaH|OBQ7(byw5{u zHkb;8@-nBzSSasD5L%6e^45;w`w0u>ZGS90(4|OTtOdL3t^K z4$Auwq%xHEFOZ53%1f!_puBHEs&i1@2yZ(Y9F%tyq(%qjW!%sv2jx8xQnQ2dE`ZeH zpu9IjYIRWFS0J@HC~tioE|!Dx9tWx2L3w!&vmKO|Qip@`E{0~OgYw=1smnom{{o3n zUJlY)`Y#2(h9QS@4F{;gO$EvuhNtr=N2(qzLwUpSB4%;eDU>%1Z{h(C*Rn3|&&2lD za6H8oJ%w}~Clf!I)XG?e*(f+B&fzGI zEBbtVkONo^3BFKn_+j`p9IfGSE@#0KvAs1MuzJ+$65CtHGzYonFuGdp`#6L`m$48B zwr26xT+7>joPQ_tIo1>TEUIJ_*2tfz#5o`<7tP|@Panud-D;+wRCDCIG$o__;|fY+ z;ubNOcNk8fAxQkvXvfk| zuevvai5hx@zIMtrCa>2wp(z86^QvzVK02Xk=hGy8ht8rhl$UosudvT|S;@cRWVR$8k zFx-NLIIZZ2w2tINIFQ+_l%7em1ztH-yVV`I4-17;c8aG2}E9;D33GSB0{C4IM*y7a*hR z4E#7K?;Q|y&1Rvzx@MajFe5~9YA2z*I9cX|vI?|NUP18k^&uCUQgIzLrj@97DPCxV zcrC}@dg(IGlQ8@vR0a4p9$8!R6cSM0&*7MZ@}3OASSarWNFx$EYy!&5p&FVF%m6@n zIT7;O_*2pgpav)}wH3-McON^nX)g>}MHoJT%U5*?k`Btd41(zw%6lbpl2G0-WYeow z;wKDQEDRs!ftT?!?(eu*ej}^xi*ofZ{q=Uh?=lpY-?%@nVbHz$cqOLT?rwez>3;tB z@e)3GDo1wEz4G|+5+=Zl|E!=ep7p6#YvOy zwK`i_9X+vw)X2({aQbilPP3Y=#sjdjY0;m4DgMeUP=7j~e9#fk(e(6laC}nVLTdIf zHG4nJp7OZbyech$(hvVwsl*ry+NKj!sRv4P?wl}Z~;jH^3Yl{%qx=Bi4iX-?@>RqB9J^VeW8Z&p^flT%uxN(-TM##@z^4tGjFQKc>@-S&2+(z#CQN>%EF(m&s+tghE7 zU9CzTP@4X3rPA-6(lx5|&WT?AQ}0zOz3Y^Is!D61wC4wvZQ69JxC^dVrPWZn^TSG| zU7ga;RB08I8az08{ELV0kxuDGRayz98NMpT7x)6Fbh9e0fYN2DN=r98rCU_#ekeT| zR9af;lx|g}Wl)N$DwRHPO1G)fQYh_QU8%I$)^Qiyu1dX7nmwpe>5ES3SE}?5hVKob zD#cxJj8nQ-m6pKLiy2k=hW4q~?Ue3QrG-%1C0nWV3#as;Ds@4rC#qC>+9~~3l{%sH zfvHsTCdX&@s48_p>3|`sbdPP*zEEnIdDsb_|JWaK+YY)rW>4ftR{wD>je_nqvnD-k zi+t4_l04ymS1dZmUyy zH(jMhRxJjkbWlrf;RqBOOFtSo7j#{k67I$xMm6;B{462&EHCl}cMXr8iZn3rgW;l}h_LrMFe76H1>a zjs^c>Cw!_?`Y%=LfYNuyR4OfUO7E%C8qBPvV^t|$2>!+?eW*$+q4etJm2LVvl(v$^ zkMFD23TREOQ>}gNh#R_XtW{;T?uXX=EmbS#G;4HP8LPDnT2G9twDnD=Wvo^&w6-LA z3;$wUXF9Ed)mj3r{pu^Vu60@)SgobdI%}e8)!Wvua9SH%t%cC~=OopN=fhi0YZI&0 z1+9a&QmwdCM}98ui5jcb1FZ#HSK8XyX^pa4D`tB24-=@0fAN%<;j~6ut(DM9O{vtn zz-f)OTC1SdxNW7@&z;s5R%;owzV*3Etv@=gEv?p4XkF1*spU1s=Q!SK^+M~9+f{09 z?zHNy)*5K#r&Vh0>9i(Ut<})_!uF~aU!j>!Yb&eO1FaMINQ8f})*=j9>R?p`v zweE6SQ>@k!XuZCpYQ@v+d8gHAwK}2Iuv4YhU^sT29aF7V2egjeS+(Mk)8MqWw_5K& z>&jg!wZ87OK5w;pa98=$u9aG+I<1|o*8Q-x;TI~k7CWt7;&z?jP5SZ|Rck*x?zQE+ zS&golcvN48?&L$JJl+?)3?E{*z6}TE+5IIx=;Bcz?fvy|JP0ez*0y+$!F`^0H>>qi zBdFuw0ZZ|F_i}>TF?^%6fO8}B!pQ56fNEWMa$j+-2>c&QnY*vD^{Us zkxeL>a2Di^i+JG`2Dy2u2M6QT&Puo;@U$%FTls!@T5c;q{olaT!mkPcf9GlW3HrL{ z|29v{y*LHWZT6%Ty3L-H@@})|qmb}{*>3iH9OJOO*^_}fN^Y|!r8>9S6Zg!-W=~4^ z+`JwS6-aMo~r(S@~4!7C! zJxKUy{ZBkEt+m;cy|mD6_T;(uxXqrE@OfZ~+w94_Uboqkc}v}9Pv$Lin?099y5DW~ zq_o0q_FRo+$V#`_lhP`;*^|<0x7m}@8n@Y#(mQUmCne8q_GHh8ZnNhd$jZCTp42S5 z&7PD>ZnNiU(5!QtJt<9an?1RiwZU!nWL~4&>`AG-*^^R}+w4iHyxEie((E>Sasz3L z+w4iH)ou3N8=7ryvnQqUW=~2p+-6To<;|Xy+TCVPj-^?t;?3~Nxk_PPf^UQhBo{r7pMGlTvxJC#8jMvnR({kK61?X^GqHxg726b(=l8(RHcY z?70`D@@CK1M?=-|wEP~oluYs@BQAaR%J!_&e~td6JUVASw0 z@wD(8pW|s^d)I@fr3>xMgg?aZhTp&)vL$zRusK%W+Vsw3)BEtW@HQIu%hSR>Eyg@8 z&%sBOVxE@&f>75lPs=>Cwtt?MtuCp zU;2~aa}X3G{~38&c)E=-Ps@M7VK#j-Ps=LY+Z|8K{c=6*W>0Rka6B#C2I_cPI5}p= zJT0Tp>Qkc*oHjOlPJqzyzlW!Vqhv+Q)52D-{A8Y%4{+f!ScG9Y){EkGL2j$`ffy4y z+9szH6QvJNi;gzg2>o$9Eu2s5!P9aD)ErL>!Hhrx!s+(qY2mkM$J4?xj;DoX`sZo+ zJsxEpPYchjJ?3fQ{!Yi!Leq|?h1)>gX3zSO7`AS+=b0S0ZnNjfkjk4q>8;kq({coA z&V}F4T)qKp;(u>|G#yl+_ z0W=$L_S_pC<9J&5)!p&5@B_Z%X`#>*^R%o0j`H8Z)AA=?>wbA!hz4*xEfjiUo|bLU zQ6PZ8?}jFWQ_J0+=7iI}yEEB$eRx{5@9btz7RkpvEv+0$F;B~((vfzvC&ypu<9S+c zfF;Y*BFT^AX%Pk8;VH>GaPiHKlGGiZOL2Ej@U#R4G$y5cJ9*=aE={ZL{{SD|ZWYUYtS}uT!C2Qfm%ljWEYgvO@nqsmRHZWilGT}%Zk11OiSS}`O z;a2T_$Xd7o#ger!8I!dz9h0>%-5*&?BaX*pE!@i44_OOUBuX5~T8O02gbA{iuOc@l zYvJwoQ;@Y#Jtk{mx<9g(Z=oj|vX3+YtiKDN)MK(1raz9Xg}YUStmQ2vZ0lpPmdUvH ze^#;u4gETQ|j)zuxIyHgiC zJa4D2?(kII&q&ttZQ8>Q&q>(OrQ_~7TQ|o{1z8J^_ea*keu~LjnEphvmfvWdul|H} z>JCq>Q+IfBoWx`;TBq*tWdB-%nAa0^zM*x>b$XLJEqa~aVj5%wy2EoS>f#R1*WG!( zr*-NMPo`tC7TSx+T9}T>T9|HfJ3McIA=%-%JJy>qS&NvA$y%uI$XY0@4_V7cG)uCU zQ)u>+$y&Y+wRndoi^vX7E`dLptc6;RtVN!;aR1;PCEnrrecIy=Pp%|CC0PrLII@;6 z%SzOewNR+#^1qgAx>_p6-kG~S;~k!VW#!!A*@c6jOxD8tdwGZFK`0|TJa2Y|`;xU> zN)_(#T!Tcs!&6*TyuBw5B<;YqnII<6TDa5s zQ;@Z^!_dc)wQP>>Qf`MQ-->)PSqtA!tOr@k8oar1WG#HSsf)>4=xZEV3-S4mtc7YV zF|UcY25e`K5E9iFKY+H7}tvZuV5tc4HVNwStLq4ep z%Wok#vK9)Ctc7ZLwa9nl-}Rq`;K*8-d=Y{p zYoTdJ)^a#<99he$5FA;{_aQj4mKz~BvKHnzvK9)CtmStQ99hd-5FA-cX)M0E#AGep zU*pJHE`;F7TAqa9$XW(t8p|=XQ8j1M=VCc6g3})aZ72a>G@V+u?Z}q-M9n^8!dMZinafkXqdi&le!I zxgDNc;GNnGx5M*DNbPQiC(mKF+u=#6!|m|A6q=oGhvzRKb-5j$+;6lHJ3Ki^Yw5D& zwuzfGhjR@FsP01K%@jwu5wwOQRgZGA*2;_pdpol@?BWC52wKD8TGoXH?g6dgc#13H z9#A1`;a8Gc`Bah#Ic+l`H-ZXz0>^Ca-e`fEl5b_1@S`}J1Gk)wg4_`*1v!f2iW=jC z9KdQQ`E1M0pfw!MD{QL_SxN6=W^kAzH&ZAS)Nm;@VFi$VHt$Xbty@)*QMnP01+#xPtwVwQxUa z4JVgYDNXLbN^ZEW;mqq-vs{~``md5ZNozP?)kL|Ta;mZ*&XjvfYdEdfU1q)N=El+* zdW61q$~7jh7x$FPaN@k`TZE5JXd3s6*3ft8EaK}8y}GCAMdIer8u||{t|+!88e zE%YUlgM)veD&2{y+A$~l$(N%PU5l88D))iPK-|@-OG>tR%IM~HE-1c&;h709h5)ie z7UHWqIwGwjIT5%WU2M`bX*OTJ*IG;57AmFbsuJ0@ncNmC+4Nlr<5GiMTKTEGOlTDM zi%L0qxkNe9E|0`=x$>oAil-P`pl9rBLFQnen%AV%HOxBWE zv&{{dL9!NV$2&avK^7;ABb8(=t8heKA9A576_c!GN{M=mG6yyytN=6NuF_>3{F#u^ zZgUSHITl%c$y&C;F}K6>1PC!%ODEFnLDs^po!H??ZMlura+=7+L(R0830XxZd<2)T zn)^ZB4$oggh{;+w`*HSv#Z5E!z4YbCiFbJN!&W9_)2koDPbOrsO!ylfU}(=IS<7<< zixi=w&IHdt4yS;B<5pJT;B2n)Gr?+maQqltc>g>6fb;h~@o5tOz8cCO&%bno2>^lo z79TkL?_7rIA9OFBGeNjoPUW+4(Eal{6NJg-G%5;p3*Qa<-`z&Uo;ee~MsW_OchJ3X z&V)a(RUNuZv};cNk)A(yZ{(%ATPB`+D6-DjKTWA^;sN+_>z~OEOm*)$k#Ttav)DxN z!Av5MdUsTi33{K#3E^MEaAf%}yuXjOe_}8n!zR{p zy47w5QEzD04Q+xf;f-M}GO`L@FcsFF<#(;uU!zw1N>+xEw5lbhufC&6AO=(4A{mG>n*xnA@M|JAp9emyOt z^XiZH{E3=wo%lCgY`k*qYmL z{5%-=GKs{|=ZO;BiTH_swz8e5gd;W5CM?>_32;Jt3FaV(D zV;N~{1_0Cp0P0*H05x5)0H`zJaY&Z2)4zrb)%DD|sk?B)>K{8TL^=Nk?DSvcjny1H zLFFzJylQh~iE`jD@vg)Y<L&=xHq9Q7w6Opg%H*2`TX z!i?x4LRzmB;h5-eTvA;nLVI*Ag{wuF740_&!V(c?M|On>9rzsL<9=t zek#J5(Yt7S?m7`Vqi1m^&0R0Tc~Mgvgd0TYioVQ~`AxF0EhEHXr%9Le@5D}Xob@>DG^KT6r?=Ho!$kvDuJ! zrx|okFXrTQVxsiHPU~p%@(|Ta1FNd1LPQNWf&%;A!U+i=+j-Re9Q4Y&E>@>^t zkDXqDr&x!b=D9iSbOzQOcABOgc6tZ|hn=n)iDB!o)1<~JW2YI+dHt}{q^rrSO_ZY@ zeR#5?`(UTFqi2=S8w}%vzDpA2#Mo&@{&d)Bval3|zXC)#9Dk*c z$4-;Q#$u->`El53Q7E(Hq!c?XNBhN2e=KZTij^sGQtJtumSPWL_?koIb=9XwP>Y4N z5!8P{$5{k5U3HA0rl)oY>N_9=R3w5r4=1t?cAOYNP3C%wpynOye;z^o8fs~Z5!7s8 zz$WyEoqib;xgYHGXx!#3cACi;JI!>Aoo2c}?DS+DkFnF+A>9vlnkw?nkl5*4rDb_M zk*1U#hcq-XcAB@_Pl27LdW@ZBx@?FKhn?OL`GTE(4GG)&7&|=? z_x{g{ou)a9ohG48jGaD_CKWq<7Lxs8r@7()BAD44W7WQqX3>n0wpi>mLs-hkkL!?- zrYm-OQ#kpL$4>KZXR*^{F^aL%ygU30*l8C3jM!;5*__&a?P<>@=xx&{O;q ze_~-Ni=8F~0kP9u0``NQ=HZXSPV?eh?DXww>AcSa!qyLVI$>$u*l7|U5IcPVZ76n{ zgQW!1}+A*Ib34 z@w28qpWa0MHzw-8DN+B;iTXc1cA6v+#7^e`@6-OgGtr-SY5l!TXxH6Z|AR~~OVodl z*8dr?(LUvet3(>&fEcAEVZW2c$^MC>%lIZ)@Tm$6Pchkt6FGEUZT zoW$5^t#fVcbfV5Tw9Ze!PLl+L*y(?`^L$V1l=|OiI>t`ZUW}b)I>t^j-Q=*-WD*hV z^ln&h#@J~w8DpoZ@37Mp)(1QNF3l1@~yoNL@jojg0kaq30F(S*gH=|I>t`_iIo#OeJ&1u zGIpBx_cC_+0F)8z^bM|XU+nZnR3Ucy6(l|_cKVkrOziZOnz(VF5j*`hED3h{AUyWO z*y$rM8e{BqFVmJC=Ut}BLQ&Y$=glU@PV=S_W2foxW9&4YZ;YL$BaE@rbRIEwniD_9 zPIE%W*lA9b7(2}&9%HBJ&|>T~9afB;=HQF5)2B0SvD3d}+G3}_f|HH0)4Z%PcA8fy z#!mBc#Mo)}uES361R=&wpM=hG*l9j6#@K20qQg$}vC?6uspYWK6dZP%Pqhv^eIwR8 zp8`95Gz@(#cAA0K9d?>;MLroj&G!@Qft`K@Z!R2mnvXROJ568Xu+y(W&0(jh=CIS8 zdHrIif6q3@*y#`0<|I2#jGg8~cM>~2rnDZ|X5Ea~ld;oXoY^sU`bQ8PcKRv^ z4m-{2*NL5O!%NLvYw>ns(UfgOTH~(1xrWpCE3r24ON0Znke zs)=$vYc zn0+mjYfcW0qv9fTt6Icjr+*yh@KZ=Rr4p;Zy7BTW6Mi6Nc9kw$ zF29em%mYZ4usH0Cot}VW4mRHCY1+$#tRfSVy{LLV zk`6n43xpUu&DoE$x7g`RkP~C484xTJvgy^o#ZM+=u}pXm4?K&XajS3*{SvF~3vKl; z{rv=Be`l4b@T$S9_wLR)N|rNT_wvI&4G^C`QKHtoH3lK z;GOxdlI4u$vp~?j>bnz8m^BlGlN`Q)H`f#1`~oV>1Pyl&*-zZ>m_;2a>IH>zJjSy4aGrUPh@2@)BSe<8_&Q#TD^Corvhw9W>9S^l?RR3L7 zr^TDJ{6N*&$?A-8I?bxn>`kisrs^DGb()wza&90_>RjYRnXbcWkr4hC{|Bt;hfv=*x_x@~2 z=5U^8IEO_CB;iCrBWo1YDCHWL+E|yO6-A305ET?lL~a|ag{Y`OQ9-d%*I=z8Zb4g% zb;DvSF15J4UTnRVx=^eetxK&%eSg3IGc#urf&%UBecyWzpAVDgH_yJ#%roEfACt^6 zCO{jK5w@F6EF*t=%VqysZ=i)dYtd=ECl%DW0t23?^TJ6cz5U?kzsg5Zr;@E`p_@yn zGoPYH?2Vx_(&hv$q0Y9|!z#B*sPi_V&iCW;_TP&-zbqR}v+=-FLY>hD_XrVvvpR|B z_PrvOsAF*x5d1{M9cn(&?Vk!2-mY%M$Pexlv0NRF>qhW15i3-N2`m?}N}Z5_xL?F- z^*V02f}e|6qpm{p2M>r?tFEqqcu?|LuWrZwUht6kY*1%0&covKv0BO7$VWtM3}0fV zE1VMQ{NIH-55jH3lFgvb^jrQv7HnqRwv) zz=dN=QRmcErW5MihG&ulbv_z7{6nboaY!IRomt+09Cc0={l64-o{iFO6Y88Q;J*%a zeh-)HEkK=L#Kk2+ogbF|h*0MXaXm>;=f~hvLY=K(q?hWiicO zdKRzaU-h_!P_M4TwnT8C_ZfT=)R}P-)R}R*MxCF+zDC!mvxjYu1a)T83F_PpJ_+hP zpdXfm1a&6dTtuC@-|)R`hdoqvUQ>;DSWndEiXsPpgPlc3HNZCi*sr`qnHfI4&h75)dJ z&i^#N`9(~^FXNkuOSlY3zPSu5$CvQUa}eZT$~Uh=+3I7ynFVxNgw65I8J}Cp;oHGFNBFZ_{o)4S+ z+v`a=oBW@odqpb$M@|0QNI5TL{-B(9Xa0tAHu)RMnafl{Irl;sQqB{Tu+OE!J|BfO zlyg19f^uHQu!eFrVYiWTp2qY*IS&HV7*Wnq-gtY~k#gqwt|(`=Q%pJ2{iT%igC@^+ ze#krxIWxVOa;7_`oawGl zDCe7zkWkL`xYdj)XGt=qoEbi$oGG@1a{iFXl5%chvR_U)AA(>p<;*BTIrHxK%PD7u zN+@S})WQNu;31}*TbUjyXWm3^CFRU03FW-M+=NDyGewGWX25uL-UaTMa$dvCNjYDL zlUqwUk3$@xoNr4accz@LU=UKy>)_a0%K4{^OvU_&L7epQO>;B#FR5H8ZqU}1wW>ox%kGEGZ(^`a^~U@Q_h_DG3Cq&8B@-j zC^6;CAs$oCT+m|5nG04-IdkyEl=C@sN0jr^bVro)L8xp@IkQ`1%9*_sQ_k#;m~v+8 zCY1A6AY#gS1{y1&ocXL6Q_gI~gmUH+WkNYKR6;pZbWJ(mg8QAVpqx)eLSINZ_roix zgmUJi-Ir6&+?v<|%6T339J;2Qxz;3<^V_oP@fNp0hIkz)XU@DXDd*KJb4)pZ%rbXH zIrDitO*!{3YysuW)Dp^hA!2+vDCg~f zG$t0JPk=}$=eZCG<@_{6LOEMN0Tar(0V1KCzYCF2&euX%3FS=XCzSI8P({l5S*S3f zoT&;4k<$MvnIP7A|`6iy=a4m+##s6NO<#>uSdID~tocV&JO5T!Wd`{bp|66(y z_~Mu?V$3n+{9)|Pfm`%O#9lZd5jl$EjE2W2Ie<->(s1YgI9ti#T=YUplX#W`)|`D1 z;|6D&aY8^)4szp@rkpQhBo1uj^)TXepq%fdKgW8?pWDbCDCb`@5a)m)Bqb5v`)x`& z|Airs*<48RC>|uAjt5kzPO8-pX;gA@nJk6o>^jSn7?Lxu%aFy~#MLpk7zMwaNpQZJ zB#QYIT^%E$n9nf|r}gIJY*F6jNTQM}Lg#dfIi^w8!w^!26X#XuD13B6(Z0_lxptUY zvQ?4jJHtEQA(%9vAI;GyrW`X9D8%^ zN+m8iNY~1tV>h8_x5e?e%BA8-=;BB$#w&IeU94i90$0Y)DHNyA7o;!%5v5_cVs=iU z7%x3EPKu*&Sv652%9$o^B{9AQDf(1VqO^J3rC^H^BB7l7;5I6zoXrmXR#MLYfz)Ek znTbV|Ge-p9?aKaKL^<=#aik;4`E;D&|Kuz;qhrdMcBRVC#37-a?||r%a;66esk#c6y%U9u$ z@fi(ItvvA(4ufk@JzES@ccxnH>z)SyJ7i)R1Mqt6ENB?g>lmp1oLqo-hkT3yU>9iA z#$E8>>>=l13*N5g^l%rn&mO|<8+%71m&_j8M5uWHZ7aJA+7K8IWA?!3u`DMUb1IhC$u9uVLvvJZn1hsSraa4Iu**J`I zhxW+b8kV%oE1UZ5nXrcrw{sMl*_Fz9e%Z+oj+4Qeq0G&B3n{wu7SeeroBUEbD}0|T zAvky(J-hruft zajhwVzCs{l>)~J$(N8)5(`_1;K;Q60TnmCv9o}iwIpG=DKL~7>>MKr|C$H{`s&~TU za1R+&h&sRtt2sin+)y zPK~w_=!-SzTV4tDHFkNsvT0lbeROLKws?B+=+b@{Vmz#dK&vM`Z&I=c1ob{ z$=^a_T*7wwO1~25TLOJcpfAY8S=>_evkzm5$j>f;z9rDN1p4ANPyS`TOAWJk;QE>W zPfn2ntjM1X@k$BwEk`qK=NjUW;n(!fxacsx56Vw5Dd2a7fPV-0BqT-i$FaD&2|a&ebO$0zBFfC0)4qe#Qb9k z^c6l*;L0MPC@$Wa7cCjb)NKj$?Zi)a0JBS=FZMdBN}w;+mqBQV66hPWxFyi{zXtT3 zybBoGN@Dn(saE^Cx}h=XTS?HDwsj5ZD~p%Fyn_1nH>mF&vxo3!_I81zR$$%=02_9- zk-&HV4P>#sqmlDx4`~EtYK!e-qP}I=uCNF4a^WoShfKl^r#;B1+>j%2*51je&=A8r z8)6s+mW3FuF~o3hR{{1*2Khnb)y4^(t zl>1Ji^DyM^g=6U)PyV;>S#)~Dg?G4w&gTH9mrazU2}hT&VTPHFIG`L85yib7hl;DD z90!hs^J-JbiYrkl-ye;)WEHhIbo2ccjQSP0v%)X?A3pAYb0@#-f5b{!iu|(w_j}>= z75Qah*l& z>3bp?^oxMc{W1|_^_z(4mx~y$FJno3`*VbD)Y}b&=w_Fpv8L$9ST1F=z)kuP7Az-X zrk>CZqKEwh_%v%Df&K05Q4lS2=IBw6h3szOJ4C(a+uiqE(;GCL3fg zMzHz1uZ9?Avkw>PYKq|^+H?)Y2oX#42#Q(}?RpQ2-E4Nxa!o_U{zxg?3jH_>y1QMC zd>*rch1gv5ugMlXG^pRkN~*81krvZwsUw-pO{}i3CQiZemeX-MhX#3ut>=FYUC^CF ziN((C2M5oxH|6ZE@!6hMK7*WkjA7>c*gW5318ZMz+)Ej6Uvc+rK!ay4VxB$sL$799 z#mSH2X6B0Xg2OO~`m!M1_e1^*5VDt*xsVZFpw|vO)1BSF3=S!kZS}N}fA+@34D~EJ zA=}0TdTPvt>|&1Uo(==tA_1OffV(6>cLumyhW+R2kkDw zkW+tJ2dCqC+jA27-dxabPR^TyKkoS`r`}~Rw6gE-gJbXWaKaA`mk*RjG_h$tw+}MZ zgQp@;8CN#npN`}9AqXo+CuXMH;b5}pJJ(hEMl7e6UIPri-;z@l;TzqGxgETXNibreK^`SxJ|@4`U7UV zR78ueW}Mqa%+a5)pm&I9)vvIr?i4Xk*Ed4kC1Sol6j!p~ZV?N0HG{P~0TNxLPpySm z=J0aarq5t%_eijtb!0Y}4ZlQ3W`im2(2?0-wobc_%m$lD8kr5ISfL}c!4#`>WHy*$ zwT{dNQ>@XE*1T(WX2CC|RSI_4 zpX2dYqH65$Ba|R`T!sUF$UK66t3=_4%z2KeCnRLO9WG+XC#3~9M-#K%@w4U+G(!Gb z8XiAuu4D&2BWi*j9>>x18_A*34)0;e)#5kB4u3d4tsOSAb>9)S9;wjpT~QnC5MEaBo~V!Q@J!Zd zgDA@hXK)Glv-FYggtY4tyzlT*lykxj?9C4(Waxy4vCTddRdB*58SihhTGTk8kt9*gD)HaZ>626J60(!^9nnwY9c6H^swVz$ec(ZpQf8l7+_ETuuUOEuXE8`zsW zikjkteYh$O5;e^U-)E22h-z}e8#L4~m(zWw6W+@@jBwwAYIed}rcKD0RDci1kw zikjnuce7n~6V>X3Z?knrikjzy8*z6Mj1o29314QL?JjDe6AoY>?ICKB6OQ6o+SBDY zYjeUiOr=iL5+@wP@wvClG12a91x@VE#d4;HtVVHt|3w^ox8g)=FwW%zg1O2ZZIE1Z zl{wm-i99?#l!ZCQ6|=$m*DTAiu9ywh-^P#hf(cUSP=BAnj+6H5r!PDlVv@_7g@Rc} zr@HLB8ZG$qbXUv<>$&WKCRfY`>-X7JGvy-Ltn0bLo+EKuEcbYL>7kdPoW8#R$KJ=` z#Mt0Mm)lmR=n+k9iryL(eI8fvi(R4oG=Fj!Tq5b`Og-jFn}&MyF!)^N(iTBM_mNAd z`vF9aewSS~Us9{pZJd7#TrnH0WpXTZIXN0E_YC~e!-gWX@AHE^y_;}iL-4Z8Z^@Vf zzLIX+SE2%5iD1E6m*1E7^$z18{@@OS$muqg=~ZcfP(M=-@tTN&?#Ft(E;-lek-VJ0 zAze_brMl~+x^;Rm*V_%Q(8T&&4$}9f?hX2ACh(y<8RK`X&U2uD?D9A0{5i9g76Ck>KoR6zC zIkX@r;%Uv_wg!2Rqhz%{l5NmSO1(x~tafinV6C1>v7LzZmb(n0_3)2z&i6mVar<_h zsBwc`J^m!$bY^5W*o?N3-b_@{GtIxdXGWXMjXk6sIeinyRGr6FsF#^fdwF8ZN@tFM z&uEXcxu75CA}~fojc(%Dt@p%iuwKKC+1C@Z!P;V=|f^lMzxJ73vj`p_E zY%uE=y5V10zKK$bf@`@`(Aj##B($pUPrfTe~wIsOI9O|epH||O{{yf6Rz-tCe~e;4d#%j(XX-(uJ*)i zu%5&2y~d;EqB?ysep4M>E23Us$_3~KPs|4EAMl!VqqOB%J(O{7@;<`=8n0JyIRDt= z{ZpfUisBX#Q}j5_p<6{X>9aW~mxyRK%h^)z4iu+F`)sQ_Juw@sALnY(?wt;w`KIBP zd15wLhrDySM?{>8R_&JJL@r^pj1 zH`VoNa&|B)G)KO_{x% z!|q`GzP|f4!w@8UIYLayRnsQ0Isqll4G^=z>IPIlH&Dz5tIN=Ixj|w!Sly0{a>J_7 z`3-71?lW@3#cZ$|gPD{YA!dWsL+HX>EyFZg-FxB>gUIHCgVNA!u zb~HvnbAAtAY1OePQ+`j*HcQdQLcUIFWAL86=*}rlul(K&9?CbHjk}8M;7|T=Cg(22A^%O9 z4bDzMKZf~nM1obyY_R$!OE%sl!zDR?%qC`orHOo>QGGER?2bld^C#16aQD^7jh1$$ z=tZ-^>bw&Xe!7?qR_8OpCNUeVxUl4BFnFul zgMBoU6`rr^(3kn|(7jNt#WDX~F5HW(-VZ7a>7bv@=;ofS(q0EI%sAu|REhBQ?gJqD z%XVE(@4^+eT6X9{)QV<<-O;BY(dM+-U}ek(OD&X*DEV3JDqlU!mg+zgOBi3w2D`y@ z__n0Y2CI7Z)&+O5R7#BYUMPH_8F(@&b7tVlq-2rU`R<)(BHG-v*BWakDT}yYtaxXjs z9@nMK2AkR~PSx%vlU~Yfu;R;%{LP#WHD+r3nC^Pj$T4~g(;lz*5+i>r-HnQ`F!D?2 zo}!M#F@GD~O)yw~8pP7H*#&5gH3xrlxk07HdwXSBd9SOte&LXm<=}h8?(X6m<=}hpU=6z(&T>=Ms@x% zlmF9fi(f|hkHF4C{#R+U!N#cUQzrkk*{}c+34QUZUjRN}CNfX}@RkG-iW2PJC0A zKbt&_+2B;3@2B!KW`j*XeQ5HOe)=fNbEi|0=U>xigH77TY_Q4S(8Ojue9E+QW;}dG zcQhY9r@Ovuv%y*nLzmf74S5vJm)i{QYq`u+h$!e9oXYz)TeVJ~gkwGL;f`B7af)#U~{?8X_LaVyRcTtQ3?2_5w{6za7HybR^jrmh;F&iu|ee$Q-e4=cV=dt`WoA17t z$n#kKbP?^EZ_o4Jws|wWT+Q#`gm0eA3$oFX)7Le?r&>g)f4URIj-Hqe*1ti9!2q!bT%(6z?gaxqESBYhX}sbFahFi%*33x-DjdbuGm=>~j$+r#*@zMTGi1 zEYiU-wwMjp%TItfQ83{e{S5B^f~mHc4c6mu0}z}kqE4r2Vtp=#P%z6Dv%z`?w$vO+ zV62|ZeCFC>HdvoG8RBxACRiKw%k)`b)8Oh9UBMD86w##nvlXtk#cZ&?ne|yDNw?@6 z6S&qEv%z{W<6Ljk&gy(klik5$o90y)>3f;m!PIWE#cZ&q0rQ~U7PGhstnGNBc=6uPV6PH9O0H-&xT}za#S8^0t|X!qCN5D0O6U7Uv%!*(6uIjx zi)Mqn3b{pD7R?4r62*Lqu8w6z0gGmXHydY*@-CVU?vze3$8>)QP0W!aW`jFL5wpSm z=FJB4s)wR4#$sg521|S~8|)ji!S^D7m<^^6f)>pNOVH@7mi`igb%m(|um?*pDf|w0v3Su_6QwlP(I_11c z3Il4Q6++AgcS@m{b9!iq*;)U(5z$ixPev%m$ks z`g0@9C^8$&jdWk{!5e_{g$l^&b8r_J%n^YbeFg|FaA-Cd*c@(2eV=B7eV;jYkIe?l z{#=>WozFz_=6FA}TNy$r#ONNI4UR(L^vz;q%Y@>U&^8_>Yk!WYL z!KkbhN$vt)M%qCik7k2q`;a%9l5q`U4k@tcG#l)T*m<=x5#B8wU-exdY-r}M0US%g;>~%I9?E5quTt>6OzL*V$rCFX3v%x<_ z_3Zx4wlmdgU-!n4m?rLTXyPTahup~U1%pdZGP8q;|fl zo&eF$z73*P5z6%j*~<`Yz9N+C4YMDFSfr{chKp!ZgmS$RB9=1@#iIP7581f$Ch$4`9HIQ==jbm=kQMN@Kt(!hnatr$I#+ zFvVCEVZcmaym|)J0R~JlK}8raMWc!^V2a5q!hk8Js0ah5n5H5On4(EV7%;_56=A>> z%__oxDb7(5229bSA`F;fj*2i~idGe2z!dXTeIp8bmlSlqiZEdMEL0H&{0hV(mBxTo zgaI?y%__oxDVC@R1E#n`MHnz!r(H!DFvW5eVZanCRD=OjtWpsMOtD%;7%;^e6=A>> zYgL2+GoSS;!hq?sL7l}ueOT)Av5GKY2K$FGU?x(;fY~aA1Ouk3Nibj*q&C5Tsp=98 zm?7&E447#)l#B{*f&sJ5RwNkkN~j_ROjX2yIiHIdFxA=w1Ewlsz*I#Hn5u{YQ&|ZH z%s%oH444c^`H1Ljq{QAHRq#S|4`z!Xg?!hk87 z<@3qGQjgdA7L~?;RfGZasyttC#2~_eg;Pv0V5f)yXEw!v&8#UI73Q+!7z|jPC8NUF zBc01JT$?o*Fq@?=TQVw~%cd~ktU$7Y1Q)Uf173(WtI4J?;OsN?cvE9A;A{#5&KeAu zZ9FAwFkrfyu-QNiIG`Z6D~1mot6K^K&KeAuQ{T!O447^&YcOCg7Jk-X!19n_IS=5J z!GN722HY)$0cTSfaMobJCYjA(!1P2(OGbs!t|g0o zV8AASg8^p^25j;-7_iCTV8AASg8@hRZ;AnD1rjwFa5jwrJDXy_Cal4LP1pznb~eR; zOnw zRuKj)Z|gc?z$(IkWglNHJ`shEFkn?n5n;f}qlhqImBxTo8Ut2o3|OTx;F3{cyogI< zz$%Rat273zN*FMqwpKgb8VoqoB?g??JO-TUi~(o5#DFuMF<^XUdjOuGIhl$Wa7HlT zjKP3uNl-9ghB3&kOs|Z=faxt5aN>>U)RIwQAsz`&r3hdF8F|NEGAc|amp=@s;>Jc* zv9XG+!zanukV7?phRfGXkrhl2CUK;uoyf52F%XzRSWV32FxzXnZkF00n=9& z3FJ=!GQZ4?zcU{fFmjxkT7?gVk^Rcgxe`2E5fl+;Q<`e0ts^)DmEi*4dF(V9hnjK zhj1$@HY1!H!p$gqXD*h`g0O=X;X(&1!a$AFR)kw-4^1<|4Z{cp4@0Fg?%MSc6nxT* z8J1nk`onziaKV`OF&T{=E)?^AMvWgj`K0ey_MwJEZZwvInU)NrK9uxt@C$R1HU7;8+sc1?;~}SHIT%=a$#O7Wy_PHoW5Sdy2bU}dmn;XDEC-h? z2bU}d*E->exFridbxz04QV|)ZDk8&FMP!(&WI4EGIk;pwxMVrFWH}g*^>ro7!Ggw@ zEC=ICTCyBmuu7JLOO}JVf9Lxp%fS&cY)oC2EC=JK870fXCCkAj%fa;tGF-A840=m2 z^OEIY{7|H1IhfXcOO}I6mV^J_MTSe3gRxGPEC-h?2M+{+TCyD6!=bg$8asTZ8+L$t zI~$>3phqbBJ`T-$!a&a-X(zkdIS{Je4!>UxwX;Kmoeg%_qX*PbhxR$g+F`4L8sX3! z=Xe{oAG7NiBKntYO%w= zodh+_*#=~|WI31+7WOhGVX#-yBDR5*@4!i%ZN`a`<>05mni-R*Ma!;TQOX<9W<@*2 zv9aQk0S^X|>DW2cOZIYPGKm0l*HK z2)5QPHs4^fMpa1=KH_jEtPpEf64gB#VLPSg@790TJY!QL((|F_EOuv@e`jPJX2!?$Nz zv9Z`1jC1)^V7@&QSsgZChdzd_wAEo9SsiADLLFHh=BGgV>B#Ev{SXB$ z*4wALyf3fOZ*dadQi4l_=R_yb@=E zwJyKn?rVN(H28x%3?gSfWc{i%S}0$94N3@c2_Y^a#9Wa|2r)jn8d)9Y3OQCsR);^s zRb;%5tPbM(!GGv9nFE3!H) zAG=Mg4!cFG!~UjLhs_!tSsgY@;6t9dyhvw0?3p$CK@=u<#Jd3(zMS5ZFTPfIhhaVJ zrQ3NCeAGJzBGiB7HSibmh1o(0A+|~gaS0)=RnOFe6Es$bO9-)*KaFcy2_cSw$qV=u zOiPJf-V15%$X8;&^&(zCa!TyFw$dHq7Iqih>AH8GiSab|J_PMw$j{qrd&CwCyO;63 zt);}m?&YbJ=BH9xU{Z45IR$B4VIgk+n*3FBnTC|$YQ~GwSY*Dm2mi1LOCGz`9cwdXj@BPFlr|-av(ER@5 z17l=8z6*7L{THM(pe=u(eJtMi4xI7t-?s7%_9U$QgZfTKfP?JY=|1vAxDU2Jr2B!% za35mxVl#;LJoAUzyl4!1lac69 z4)fV{vsQ=AhpZ#3!{z}uWp$X_ncHl2SVvZexmRmxF}1vhP2uab)nT2sI;_)Hhqdev zMplPQ2=TxYLX6Q^LWr%ZSMabP2Ry7?Gyt&eojAlNZsLiRJi%>&`0Twr`vTAMeFx%+ z)nU%>qSawvtPcCe>M*Gzu{z8!CaJIR$;UTVhv_X=hZAr3h}B{GjKNrqGa3%JK#CK1 zQpzO2t306k{{A>yIirIYQYsSM=2#r-jh!tAoMclq)pnQKPw8#TE zRFSKzI+`;>#u%@9@Lx>-XX(%JCV_Cagb<4%U$n$+C4{&SUMra$`VvC?zZM~02&UB_ z#GR?ut`Xv83|~ZumtqX15Mn`lGX@d{LM+U15g`^UzFDs8?t*PWh;s=-++L4tdvt*i zx4ex9SNt0))`aEqTSSP*aDfzr`0gw5;EI185aLQxvKS$*?1&JH+**YA^C7fCJOq!W z5kjnSf(Y?*i%bE&0yqD?{sIu28!2Yb~Ra`G8 z%V*=Ha|mua+(8E*iszJ#!&@@v2i(N1p{?yQuWahKXIkZSJ4fN}$KB-!2K38LhH#t= z&J1O4&Ra;)owtzAL-7vIy_C)h-+vH)@SO%6J-hru1xDm2mpmk+@8G8|ltv&p=Mzr*wzeD{wF6eMWa7dmQWYIo&mC8H(gt@^O}0 zbrmjjo-LwItwM=BM?}4vk32n3M1y)U2T>+sta=m4c;zC-tIJpt-@X)~8`XBW$@03{ z?D8qs=Oz}_VzIl=c#qfG_-$+ z)XrDc6CnE8w?VY3v)M0$>}3cxU-bn6;tjLef{Ro&#c&aAs)k~Oh$U(SMXiW-wFkv+ z_Ata*u14$)F;dF5LOsrc?rz_Wd>*s(!g8ot>WbC+_Jgiyx=g)D(}lO1@9u%U^I14%k7Up zJzk*K4m=aAg#Xv!kOJCP4+}-9xN&cWdKUdr(Z&RNXbj4V#T>3Z90s^W0zA(EcS(Tm z3~)CCIEzpkzwZn>4?{AUu#wL37qoo*8)+V@c9>>uYLM!6U# ze-`!2uD=P|S%SFPKk?g4p66}P?)Sa90soXu(~QxT|~t6IjN zj^p+r&iZaJ*Wr70^F@J6oZk>YGGEHR#F+!PXFh9msdGO@jjvun!v&W)&!ZYS^)3n= zTrQ%Qnt@Zn6%I29m3&d)N{3}HsF%=|!9o!O)wyWy;0F??M%|AUEx68M;}2Cs5Hq;m z`3ojpt(uKn```wL!@EIgoCM54`$0DYwKVhf^w}@y`ODS#@F;gAQe3po4R)?c)gWE)$qds7!OGUJ( zYR0);#2obr3wno$R`m*->P`{!RDC1FT_WbILs_}IMJ!Z;zO_62M#Ca?YAt-0IX^;M zx2ZFj+C38NW_1$lbFYXc>R8O$;3p#PQ1e-zpGxbrs~ef=eIk~t!!cumpNUwZGE88( zh*jzYHr4$iR;$<9KR*|-MqR~B9}uxtUClOlQ1V%?ZbzYlhs0-tI*V~07N3vRO18lx zA~uFEG1C>!V+d{8VI^DsQBl4f23V_uUx>=t;S8#k&TkMhw8Q;5Fdmac`q|+!j;3Eq zs}$_8KSpTqD^d7e^hZcJcw7pC-$jq0-zrh~U38u!>In&1Z-A>1ogTzdKb%DVu$k>@(uB8 zx5Hyuqjlo9+zwx$-yg+qg&poN2x`5v5{%JpuJyN0HyP`JO*m#F}Pt?bDcqVJKL6qf$GuYFAmX`OOP$VD6#&HKaM#3E$^P0hobU#YrC~0o`%EXimvtE7Zh&fb!dj+M>vE-Sal-Gg zU3L{U#|iId?z@R#6NH$l6cJ*oMF~R8an_a~#8gWXgm@+D z*Y1Qu%{>lkz0+rU)0tMVulxA`1a&Q^h!AHsMTpH+=4dx{l{wm- zgNUAr5aM?9v9BV8m>-+TsR$uvp+glR#BBF|Dnf{#fGC)CbgJA8*C;9QbeG?vsZ|j| z%G(xN*gqZ!^VmU>GII}52Y>M8RE_!QJ^fW@Oj%T+;2(hWhJeO@6st6%|4I`kS zB82#F5H%`7h}jUeDnf{_LTT&F2ND;$oE#07a|ZtCVY{M(UG92i{5^3@IO4xxFqu-m zk}l;dQ7NxPWN^d{SgU;%am2ep7_cLFRa!0-Lb!spd-3@LTUc=R^b2(Dqm%ES#bu<(B(4CBtJyzv8+CO&r{qpf@0~f%*yDvzm zoQiS;pSss!>6xO2ad6w-Z{gFV&Z>v-JkF42^#P7Snb!}Y&rvO`Pr0`skZ#~*1f` zTn2YyxF>7Ji5fT9)ti9wn9dyOrDn@W&&(ES{@o1`X(q@XQjVOui5HzZ?+CO+FEgq3 z@;IqNmBG1Sw8tr3P>-Vq!59%Ws);uZ_1?`0R;$*qWA^p@!5n`U`~0g?+!6gB|1T)sHu;;Z7{ix4etd={YyNiR11#Z#GmfH$0eyXp}02 zZunQ0Z=#fTemwU%=#s-|ms5pbDt#{Ue*a_Zhw z;k6{V)Y}CGGX=UlU7*X;1)3lA{8V0d7I=JHkyE`{|0}%vkb9_}J^|uNFN@pxf;@!5mQW25l2kXq#}-(qS>rzOXc%gEh^%O-(+8_h$H63c)n@4W!{JI zS)@YVzT6|C&2kJ!%wND{{JnAPtuf<5UCwmR!1&f*6{m&7^}&+DOmvz)M4Z#n<@!*G zb`y*94RM}}MOPm#0Uki>=_BRrC>HWqIeRB_`<6JLW@5)lfUB9?Npf}o&z>Sru-9Nw z(5K1S!K~Xfacc^c9S7$kI<0O648#U~-a-V)9?2FxpI0y|%N1YG;gIyR zT=4Y;bmy{M@AZXrhgmN7`XaguS+4fFmF}7>7kfRI?%FI@bbT?4Uzg>At}mhcEB!t~ zF7=jEjqLReETGFG;0YYD@R2!$$@u1Bnm_5u`0pakjL%8!3?74>h-(f&z;2f#+>~7P zC=@Dt0#eKkpwr6UfJVp-q&v)B#@RcF?%M3_$SyZ5hrVpcPRHcV4L<kWvG5Nl90Z9<-|gqnVgBHKa4O3u ztNfu{3#=^f_49|(?PbTYR^MRp{p=*np!`_6bC&Y}{^Sp5a?VmDp8w`JIJ-?jON9Ax z7c$9DaL6CcO;0QPO}fXMWTb}2@a`jLRnptMRFo#l_>7wIzmB6b8kNnTd?%dpJ;I0J zkfL|D`nTn$)}qm}=beZkr%6;}o7iN*PyXdk=T$vdXgbl#Pv^E%m_45fHt|Z)lI2R1 zpTXd***(}t^7Zcd**eVD{C8OSh1s<@=D&Lcj*G0`4`SdIf_^rm3j-Te+T35V1ltX& zMEGj=0Vr;N**46nU3f!QEjxuFYQ-WuqfbGi&8$rSFn@MCYvCbS{`*pktWEbUc9oxf zm}6n~0|@r_KwfW?d#rLoAQ zVZdUOh5?Hu4O6mf=`L7~0gI1idd>M8`{NPU)NXOAb~l;y3|P!5kjqx!b~b-A-8E)v z{Fv_gY$M0$ElhiSwhR@`-%595b`qB1{1UpSWRJu#e;eIRmNVxxh^0$S{&(KU{H0Cq zPPIvUD*t7v{O?KSe{U-PpQQ5tX)6EwQu+TZ%0F`k@?Sm}`J(<0^zRe5=R>LXeAwjQ zUXP%UnEaoldqpb$M@|0xj%5B9Cjav}*H@bS`3=eZVZPpQLuCQlhB8#qpUQ?~5-F_+i&g-n*4 zPWkS#CR%EDUewH+9p9>cavD_Lo`hi4n%9?J5(T*H_BL;aP24_F+?P1J`$}H;wZ!od zJ4mRUIvA~;?`O-i7nU{N%~ap>9h|GQZ(vz!^8IbzRhsmwqx5)3pWji0uljQ3A7FF2 zYkff6NDr?X&#>|+qWfW)~_S5gR1RK+`(DyAK;&ilY!J)EoaF7~_3Jj@TVufs_t z?5=tBWUe9k;ZbF{MUWq1^ZK5%svExtRcoJyI6M0J-Rwt_$fHcj5rpg0?)DM}3G;i} z8{ydQ%o(`Y?PbdnwcX@1XW~vmddW9G+88aFA^iv}eu|L^79Us{7p`8yM}^xrDqI8> zv%hmH0*hrBCt$IU1OzN@sw#rT%~)q+u=r8BBd|D&Q5u8Ayx7EGF)tc1Sj+`K28+4) z#$YiQ!Wb;(;t_+zocJ+V%n2ET#hfTHSj-_l@brmIv`x$fZP2&479C@A!5TD>1L#{a z_y%#iC_llz0^tWe%t3UV{VLrzO@jLb`vf%GplR%)M(Nf;)7TdirI!Y|Tu>)TcMN(1 zb0dGEw60ZM!I?4HJ_0ROy?-~jPqACkSiR|9lb>Sq;ZYtW^QYQuMN3`<@(Bl;ZV*JH;l4rlU~h7TE554j<79276uXEzkC_glE}Zwz*jXFYWk?u&y; z*#^OA!Hr5#CBjo{usQ_&Wv{|FkLm^4CdjGl8sJlH_6*cdcY@f_+qerRmHG`b3f|)@)qt>^Xz^XdHpX3^vw0*$$Jv3arTnEarV%3>L3rnP(tqE|}#71vDtX zdmOaLCWK|4c+ZswaNpXgLLS%w7VnOLJKv9+ZENSRp+)VTA3!;;T5bRRk8dLG)4)SbRG~Xx{tnE!ksF z14##?rLP8B+h3+J0PJ8N`-}ld{7tNZLA}k*4@)gR5#sCix$r<+Q+&g|8X~7WiX%mY z>O2g@;28TJ_!QLg6Ch5sS3=aNXK+mqrrIw-)T(i~0SL|%QKv4VXqI5uX2cK*X4!v2 zum**xUjq!9o#Dsz2sPaJBtS z_%y4VS)WCcbc@O{fopBTm#u0r<6LjkZ0mf*xfd+9Z-7{&?q$+9*$+XqDbB>;N8+GaWV$C+0G!&46Z~$yFC)D z65GTRpz0Ib#Aib_B({mKff}3GCcYnPd}5pU&rpqtZQ_wN=&Zyx@xMbgCANuKqvpgm zF;z=qn|KLAw%XyVE=t|(`~qse9WKTE3C?l;2(`$zDmh52xXKv}H{)|SS8}u(JW?p& zjG>1)Qq5T|YgIg8nYx}4IBw$;%Xxxhw-^?|$Prg^sKy!n9`2eWki_Ra$pb=h+GhL> z^x`teF}T9^hU(Nu@6UaoY4XC$&U1Jd}DA7yi-<)Wy>WaR){M( zu1(Glq6|p?GA2bg2f6VXgN34s*Ijtu%%nK5jn~6CS;fnK?7x!!9P256My-;NQ6jG~ z5a)mt(0K9Q&pePz@F=8@K$X)s7g9Wmha{pDCN5E(lzTTO#K~o{lp=SX<=G6$nb&2= zVs7HrOL@}SDt7Ako?0UxGwBCH2Ey}welBnd0&^euAj_LlIjF2+WIIlWK z;iD6Zb|sVK+F@o9w>M1h@~Q{_pV6PIiHXmthO_^H6E!R0q-AsQ!O3?Rl*@?;8jU#z zCnPAB7D*aGL!M-Su}N@o9Yx6@T(uN88wmJk49|>zBLw#C7>U!03z5k~f<_rlqBq@K znT$6#;^UOgjbn4EN_j`I+hT97U8%$+2kBZlbnGS+>XA4eSGiO?30)kC#dyW8q6;bf zHBNymW9JlPW_8MWlN9zsL}?hVn4ME7=A0fHXU9>vtePm%>U3A^!xuh9pDId}c4rI# zY*9k=rcIGT(Bh21-Im$1KR1Gp9^n1mc?J(qd-xFNi^!>SaN`rq5rJP2GKJs*=N+V$ z@yn&FnHVOF0}qY}zVVg)xiTx@GZEh>M>^x@5hFMsXZSxk%Pr~Z<1u1_+3q#)D4&Z% z@8fVH7qqy)fH3!L=gRw==$`F7@$iu~Lswr=f#|<;`EnMO#hHvRcY!Z6$3EWMI3wGK zywQ}5YY<}J0>j;eo1csy;MOwZA0SP}d6MzhGR_ll?h3D6#=tS#v8q2oor0O(7@X@( zcOm$9Z#IOwts6YP>yg*B6n8pdrzc)x;B+}jN1%4mHgP4d$L@k9vxo4h+m^>%cfrlGhw!=E z?tdRT$z8B$_7Fa~+J$eTwP`chSRbxt|GNv?W)I=>h`l2pM@aV$;T^a=;1?oWW)Gce z*@KQitGNr7&mMLxp1SOv*mv%N2WK18#5;2la~9MOW5Mkj?g%&w8pJU1rzmO0ZG5*3 z5iD!i=L|5M#Q+IBqE9!dQ-0ZovB`hIY8)6r20%Z{Wi_n|96W2r*=Ss2MR*iW5CI0` z##q*YatONn3@)HD@DwiO@PeNhC;a`RT{~zSHIRuyukIje(0jAm<0!%+_ zO+*MVRS^NE!bU}e08z z|6l}o5b9U!geT&DFZfjO;5wkZ*z6B%!F;y`0Um-h$0i6c)%brh0xTmWL4e=l2>BNx zz+YrVm>1R}0=z3nPl5pN3sppbUmJj+TZ#aut}>kv;5OWgCkXK2$l)JCfR9E32?EUW z{^JO6s_0vc0JDV?1em4?3NZpKR)o7mfX_l{yGDQwawKcSnf- zSLt7tdJE{^k2Rl#Kf|#||MD05rZY!+sm|Os`j_)*3+P|sZ3+F$J&LaB-;d#w(7%k6 z(7%k+HU0ZbY|$k2FYA`jzn>vaLjN-9g#PvL^qkPYmHjYm6Z-di==37}I~{7v=-)}Q z4KW4Bg#P7BG!1=nx}m>_{-wF@g#KmCx~6}R!gNUJU*??9za$04ka)%?{$Ea=(7*hB zk15dQ=>lyr{d)^qBcXratHL_d75%#kjhoQF7egfUFGWKCuE6HTzk>e#HT$|t`u8RH zB=j#uTTK6sKtp9VwIXcV?xA$seG&c3IhxSFVnsNnf5nQhis)aCzrq*OzqcW!i2fDl z7ty~Gz|gHZ?jmsqo4zU$zKO5g40Xd7U@18~9LR?L^lMVRkiNG8U7Pi2w@^Zg#2 zU17ew%>cYg?+%zRqh|c!I7XQ70yt%#elZ+Ubc6Z!!pi=|m@hAJ5$5|d^iz!a@{;fm zV7`pLjhHWs9AUn!3^Ct@ti=~&zBeKWT8e+-w*ib5VZNupNz9k`eO+L_JpDzOFFQZN ze8~>ee(*@V=snd=%DTzI!t)FyGr5)?mIS>^5S)Co?@@zWqV*s zFUEZ7jxk@l>l4iP1|%ey@7}n{j4@wHGRAxvKEZq`wgmHikI54AJ(bCRIp%vHg2k9G zqX_29``$0dd>JaieB~hv*AKq&k1^l#m>w}--ac+6=F2Dv<~v4iKO@YSB8B-fV7xlh zPH~L+KF7?7`L^KXmt(%Xz85jyZy=6fzCTJLcgB3@F$gi=wQy`L=6g3I6Z0Kh85eFF zG2ge5l3>0^;#nuQA3Oo0F~)p*P63mw(!F>4) zonXGV;C^Q-FyBTb^o5x3c6b?;V7}Z6`Etycn-W`q`4Xu}Fke2_B$zMPngsJ*hhPcj z%U}uS%bC|D=KCbe9AmzJVVNV$mo1fGzI@V7W4?U~TY&j8wFL9M3^BeO^SyvGJH~wH zLnN5*wGavB%j`Fc`HsWRZkL$vN$^N8-?JeS%$Fj;e18CuV7|9PB$)3*5DDf>kzl?I zmSDcmKqQzieG<&~O^5{Z^#^i)H^O{(gh()7iUjlB6C%NUnRJ5r9tEES^PL8fV7}); zB$)4Fhy?ScPlEYUB$)4`5DDhH4kE#Pb71-s%$Fwc63q8}hy?Tf6-0vhegctTzWV`} zOEBLvAQH^?DhMmVe5vr(Ey8?BxEC?sr=alGEy8@M3JK=B7OEz}d_RJ!O)%dq(5kuw z^R0)fPcYvTpc)d)cNWyx1oOQfYJ7tE{vW8u1oPdVTZ9Sbdkhrbp8akzp1>L%)}cAU ze5qOz%y%9_wkDYGEl~3l%=alMV!j-tRa~-SD3-&yk^|JhiV@~}8qad1nzNj&#xQWk zKbKw{cJYbpc!I;V7#0_QS{Sb6c#1O;qWuW;2Vad;$@>v-w3ulbpJs*yyW^NGa=cX$ zNcUds&4F9=Mnu{hmWUk1aYi-qNe*CBrZn9+24^cdoQqyaiMEC-IbhA%2T@L(B`7Q; zBnP?isl!4ML$hSxD><-@*Taa@0rS0;{v7Kme_;+KBwfhkaR%ZXFo>Xd@!oG!%=c}E z{O0CDibwGv`E)!WvpUIgJET#`$z`$>oU!XHPhd#Sye>l)a}!s`+~P)>%Op5oO%la? zimr|kQOu_qhtqoVakeOL3rSRRMd+MPF~>B^*?^EToH(yKN8zIriuPS5$+g4GB5rS( z-X$6b|7+>b^~1#HRKr;s92Rz$Yl-;aBw^u7E+-~vH0IXDLAkU@(g;dASO(%Ko@B@> zaqUehqnow~5bzHeo*Dmg2vD8yl+4RQA#JpvAI;GyrW{y ziM_dYr4pAMq-*8Sv71n+AI0&w%BA8-=;BB$#w&IeT}a_~aSB`+JEu^bK3|YRB_c}0 zaK-GLLNQ)?Xq*s7;j(I?M61&ku@B#W6n&~FQCcFB*rJ3;FyEfIjWT=l=Q`$%)>dM^ z&my&qZ%bD*u?X|!h~QgY*`JFrU%oSrbjDYR5uAcE{GXiVHgt^n&Vold(clF0y#*r1 zd{g&q=ehJC=F8AA=F7LRs4UKO#C%udjBFqBMpH5-<~yjsaK@y-UnI@}(qt>;_!#3n z0cUUA9CpTht8p&Dd{2akG2dpmw*d2zHZ3GGJ5h_ z>@1iPqp-8F!bB+S@evApWQ4*V!D8T_`YmFVP}mX*Te5~*vWDw%+1Urje~vZW6noLh z8g2=N{nuH;i?wCea8nn^5(=9b!sT;) z3587=!Y!e&u_4?N3X4sG5(vmODJp!g-tBpmQYx`gu<@0ODJq`q*Fp+ODHTcr;J}hVXFj~6xy;#EQ+fm zFd1XGB^0)V!WwX0MnpU;N+@hhq?Tb>DWS07Vbd0G|0O8wkwjs|;%#T1HAZ2@+O43l z`y#Ao&6}N|urneQ_Lc~R{U|aj`|R(4!rK1#LDD)-#R&ew-mADCJEM+Yv50@{^4ain z55emvXAsv+$0-{J6x!uS&zzdmamMq?rha>-RYtdaR2HXq8O?xx*~t*DlYx8ae)N2c zDbAZi=b<39oJ;8}_bcweAK$+pN91=Q^2^ZeE>@8oz3^N;XKshF|9Jk|8ag~(gz>#oXZ3$IkUO0UNgS7FBdUhU&fO7_U8!QsJ9yk(akPHV@=VIv0Tb#ft&OpELcv&Og*6+L=XE1@M+dQ z0{h$9qaa#zc~6M#?SmlB)9aXNXlD`Nd|f>OqMyxAcDL%Y*<^$4#RxWE_tg-?Z1&+I zT}?4uM4PUm7$IVb9zjtnqFwJnv762AS*}Oy4KY&6wn9J7g6?itBcI3cu?_5x`PXC% z=!Vd5Vi`?s8q(>XNAGi*KoYv_XR97-&9Za+A9mc1!w zca6{X#K)hIQ;#vsd>@y3LUAoNGUx1LktjvXs@B+Pd;F<31{$+4TscfsKh5WNOE@r4_(Fxf$CeTx3E@T&T zRQGfk;1&tM4*_QHk^tQq;BE$RzlQ>=KmHQVviFgU`z#8o)?LG|3T<^%wa`kL%uLd_b9Bd6* zV^#z=iWsXuL=y#VlE8TV4C5>oF+s1!NC<8c(Wn=qWrH7yn5-={UvRUCDf%gv`o|)s z=|5o%1hqA+&yG1P2)eP3|(9HcJeQGVlGDlEYeFjszM}pm~Phx%U6|qDg zt08_O;toBZ_4%o^PP@L5sof`Hxjr0|Cit0%6*|KNmWx=WPheBsFJiTRo&EE35o`2S z%=7^fYxUJ^g9jy__4;;9;@~0i*`Uv2oQK8dW4)4X@Q8?w;Y-YPg|n?zVL7t;*&(MH zP+0kVfF1Vdc>I+p{95%R42s}!DF}Y8I)Z+yMB&$}d5)+jBqV;Vx`-j4l!D>cst2>7 zo^m>(u*?C!UHyP9`fF);{C2fVtFRo4_$BK-47plD;+L%dO;%yqN{j4pILGyCQuj7H zoOBY@>rO|juq<`E9UjXew@&<)CstwUx55s07zDrd&b?5p?C>D=?34?H| zU8zf~!crBj!cx^c;c>W@2Nf>W0Zv%W5u#mLbr6rahwc|yh2;X*=!83ADGjP!s>x2+ zz?rtAs3}g^hf{5ksA;e*%O0x{)#QXXXsBT>r~Ay!ScPRzw>aT<*e<)eRCAp0ZkB8} zQLRq+Hd}Y3sCiC|!ZPH1Cw!T0w!8Q(bix7bqdi0|a>7xZ(0jUHgu-%6Y?W15cP-#U_*t-=cT7z*efAVFnGDjQBrRFMgv^x`dczP%cbBrriVfC+BqhnpM3ah`3 z-*Lw^mq_|KQ;&Jl!l52L3_h2MNyvicm;QpwU9k$Q-{l~jFR9h)HqO5Vu2_ZDGC3By zoE#07dj|gKVYCYC`+!neT%$*FO@BkWpjJzD*GYBj^kA;H8(cwQ^|>6R?@Qeq^wCV!>a*E%oD4yx`p*A z_rxl!<`=?)3XgNPRr3?!fiGgdeww|T5wS?~BjG_e5p9}Z2@kR&mS}z^JWwLq^#zQh zMJ(4laq@wDbA&4awhQLu=`394xGj{FPk9N4tW;`YV|-&gHjC zoBX*`pd9N;R9HVtvkBt5+0Rg!=(+?bS$S4 zgY6GvY*x?PE-I|)=qM_z>F8<6j&6)PdUg>$r@Imr)*nUXbZJ@MuO1F%hRZW#(VxdF zp(av5><%5AR8=o zxvOUV9vp#sIaE*9!~b%`l#>Lu~9#eHJbJJ=WN;FN>o^X4d>q@u0)0PTSbL+ zrlTJIeq8<(eUm{UqHMerorJU8= zC9p8^VJnDy)gucPMYqYs{~5kD*+zXQDmfVf5LkFGJsihf{7s4H*@- zD;2VqM1_@~L<=VwH{6WFWinq@)Vbh3ac-n!zPIeD}koNSu}6aBRNL1 z+2AF*24fk1nesBd1)t%0+_INjJ=SSV=AfXM-CV8pQLiD%@*@B}RpH?m7qA7AB*@YKd%l)kt)Pr6uCz z;)JIqtX|}4DdjwVPL0j_S~4oEslm0(7x`Fjd>9ng__!OpYxqs^VQO|A<)Y;*I2#Gq zC!@le)~!smZk6$uhzhGyxNZ$^;&P}mOXFtBwYq_G^cMP_q&uNT;jNS#^eHTVHRVP< z9-rZDl$#KV`V1(yC!@leKDj5+CuV z)9i~MMdc5}GllTS$*8a&d!JLdCD zIj{0~R?ED5jXv^bJN-T9NzwG#R?0P6`sQ`o)NARRKTw`zIlsi~lkkmXR9NHtFQ!Zr z6_)eFGj(~>lqvK6zY}G?l_=9hg*D^!jww^d>D{QzJx@oOe@#Y(HNH($SW~`1VaX4qBsL|mfrq-Nfwcvi)eGoXcPhf!fo-kwoj{tRW0oI}E)QDgWlARMN4 z5*5~D9&T!GRWxva8ll>a3M*Sw35AupFDI;Uv=oM@vtyf(`7`PsR5XqXYy8*Bs794L zII5g1zC$D>>)*)>kwYZ~zX{vzJZNK7M^xBwvidf<^V3Czl{?1pbR|(?<#kVZhLWhT zawitfP`sC1Eq7w!nWC)m8S6AWOYv5At9A+$0*zSAa%DCG(yo%`#$?7H-M2_7BmoNuy7Zuk3VrLxhBhNc9TX3Ng z^cBVRH)D4Q`pH8H&)kz2@93p+#}N?=bune~~$>$VC;f(03Ag|V&M_#g ze;JiIVpi*4geeqUs2FOq&hO2hnlCB!{#2H;P)Sr+|Kh1o7AtQ6pF||1!ul!JV42zj zDNTMq_QJJFqQd$&u|3Phb+ezPgX@$;h4lwA&$pCBh4mScIasMKhJ)q){Va5qS_x%^ zKjSMbUQ}4m z+hJ5#uWeLV&ucF#tk*Uwtmi%2A5)#{`xOW#Dy%0_VLcNSR-P$flJupG3A8E8%ku<< zWilsjIT>4~M1^HaIV!B@Rl%x?2iru2jV=t@iwf(tjS3stN>o_NoaClX5*7AC976Fe zIGTtG%aeRxp7#4j>20FIN;;GJ#uA*Aqr$Qvlf-L36YNvWBX?yKwmr)>Y-pTODpRUa z3bpgRa#UDxBvo#|$Z}NJ_AGa)$Z}LzaZxU(EVZsHDp-yRyTd%YRCXDKZR4k0VsgAB zDlBKt*Kt~u3&{j~%iAneZHG}|dDcUEIV!9aDN$iP6BYJ;7)VrDroglu6;@26qY@RC zrsb%xcRnb6V!HT&^ZKA?@5*5~ysIZ=i3d`n5R9MNxOY+hcvP6aDQHcsG$>pf9 zo+nXZnOma5mXj%=@)8x6$r2S-lEt}v$k571>tRAZi3-bn5*4#*n-TcHax4kei+hBWY_{^aZelNO7;>O(SqH%= z?NtAz6B>zsT{qx^$E16^S!p`4rr^W19=r%OP*=cGufl;}VS-(M6I|fmaP!C7^CfsD zb!uKC}f zFSDr(&=+-wa*a5s(h)?<9bvGJAlhGHGpN=PM9ZzMPDcIkBx(x@YdmdXqrL9|qwbOg~-nXMy;mdYF*L9|rn>IkBx z(ySwhmdbn`L9|p_bOg~-S)^+lP`A6KZcB6o(K2P3jv(5Xpe)x(h*n1sEzNGy5kyO6 zwT>WKDtGD#qGj)_(Gf&TWvz}NS}GfK1kqC2s3VA$$|fB_v{W|h2%@F3MMn@V%h{?U zh?Xha^!Xgq_0pdAbOh1T>{COubW(pEwxQCM9cNOIfiJdZHXaTYFlH7mfE%$qNVm;4AD}vVu+SKUxsLT`A~*vX<3G7 zsTE_0mb*n6qLsZPhG+@AR@?b0a2@>JW?C7drB;S$sny01EwwU4%YLbgA=-W5vmW>> zDiQQ?s74AD|6L$uTyV~Cbo8KR}uv`Y{zN4hzNXsMMUT52sZL`$s< z(NbFyL$uV&5G}P`gJ>T`J=fUzH%>uo4|MkD?6LC#rGC~q0@_x)=gg*aK;Aigo@qIj zU504!#l0?VAX@YL8WWwwS!SYR&N4}eR!0ym8Q83jAX-*BuOo<-{a4TtMEeAkqS;5M z%f)b&mI}{wPJ)AK9YM6LZ-Y)kw7M4O8bGuh?`CV);>jke{y!Q|b{=Y5BR|O)EOWRx z>MZ+g{4o%1dV7f0^zBQ@zI`d`TRDSA5Uu8mvl2vWeysOp=>YRu7Z5Fbqo^Z@mL*r| z2%_Z>RO=)}t0Rb(zgt+VlMt;=LbN)9X!(X>l8zu+qOuJ-f@pbX*{CCkmba5l`uti{ zG=gY_(JDc-x*3%!L9{|w0itECTLiBHL`!9f@Ku0lsVo=33J@)o6+&16qNTE05Gz2m zRMzMSqNTD{M-VNQ4MJZ5qGca!6#NPhEtRKr5~9^fh*n1sEgfvp5kyO6t7VrV+H^Y* ztr^Vy+{A3#&ov80x<7(w&Dt0#^~mZ7qUGAiX<1JZM5`l+_EDr1bp+8;snSV^R!0ym z@9**6h5OxM(k-<*f@q1o*6Acft0Rb(c_!%yqGb~sO7Uc$!UK>-orGw01knM>e)r~Mg-C7 zQB+QM*|NNjAX+&`=?J3b&wEx0nHEE|b{V3TXESMmXghBQ(V7Y^PF84fvO*C=tCJ9| zPC~TuLz#eR-@)^T5=6@>QKch@_8D|;wT>X#B~WT~1kqBd)e%I?pY^QM5k$+rtk)4l z`wV9NBppGtJc~Ez2%@FZs3VA$N|TNtS}N_wljReZ79ByfJP$819e1z$8>B23)(;RZ zl@<79?=-eRfoRj)L$s#v9!~b%!^ysjAX=S-Xmt{z)k%m}h_~Q}F6Up-vZrHA{r$M& zZ3EG=Np=~cwaXB#Z6I2)H4v?cWr)@;L$vlfJmlzzCu{l3`83-44~Zw6_V`ZRHYn{F z);mIJ`{E3jF(@rXD`QYv%K3~zX(?A{3`(1IvB)w8r6oRFpD`#c@zY5egVNHbf!`pp zESksftDw=Q9GDid@VXly(_xsxk?bHuFp^><{HldQC0MhNF-k zQ28T-sP^h<U$Z3(o&A2%F>-}P}+mwrb~poJI4Nj6rE--^&=3mh!U97JP>1apO*)w9Ia< z+4`uvu>E|DsIsiGZBSY^re8k%>NnX6gVIVXG6tpPPd(Np{*Kca{3VJ_RC zv}Z!>R}3%V)nBHTbMVsj$Q=F5`~n{K%*4BlPP1m>wNTE(69?ZmDD8KRr$q#kQ zmTgelG4wYlyfKY$gqqf^Otfy5@n=w4E`e;uptO{uAC$kDa&5+-wDdhGV^CVk4H<*d zQf|zQ$7gsO`S&Htzdup_cM|14kSPDViSi$e z$~P!&4&|c#52bsS`t#vLf37#>uc<}7e_+aglJbT``Hz_L4N7auHz=(s-=MUne1p

F->-Qb^TeREGw81&{B0$^iDc&8D^b~85x-+T z&y@2jk7qLmrKOL&*-n4Yekz*nbSveWj6rE>Q=c&?EoJQoBb4?Xy3K~ZYNA_y4HYP@Y;Zb4X}QCeQQ9M6R^bOQ%Sjdhp1Uhv{hGWz zqr4GH%d$XPe+lNj#VV^?p|q`|%C^AG9#vsK#fwPeuOjjnp|q@+rz4b>+kI9?C@r(( zb%fF$3Z;lWljeP>7?hURm3?Vg+MV}?TtaC#v+#U4NG-rY9ig=A;J}Rky{Nhnt$mDDBs1k`MP+uR+-DoLN(>@Bk%SR0*Y(xo^IvFj@*LqqGk& zb20p^s_s*&T&<#gRJntr%0(zGQ?dqRKU7l6DD8j4Q~wp=;p+Ilc)>91+_S8(PMw0; zSlMeP8umGL2W0|m;aK$!Wg=_gk%}jqN>B}umM7{$%ElI&Vo zuecFb5?u?&DQ+H>ubmJ1SjB~3x$o(a$15(#%J0x-g5pA{e4ly0C{ujEnUkAvC8D^Y zRh}>%$B$Fouqp>&xrQgmQmXVBFZhjxK{nwo{q>OpyP*r<4~DEEy(Y1xaG zy!0udwB{>TGsJ4O`R>)3qO6ghpe>=aI*HP1ewn<4(&{a636z%8dy|e(+D9>UpTmrv zhVr@@l$Mt?{Ei+_S}sxBptJ?NlIrmW?)w^o-g0ZFBb1htAG3wex%(@@kKoku7T3BN=cOVg#K_Ip|pI~Vd<4qVEB1O z{Ls_YRE|*>LCI>D%6L)oIznkl9u{?k((-5Mt8|3Y5;m;X5lTy?Mn@IkK!(xfAlmSo`^9ig;z-K-;& zmcKdQq9c@+P~j3Cp|nH@m+J_nB`>%_M<^{*R_h3*rLsmxC@qP>wK_s+2?cJ@5lTz2 zZ=;S-TC#habcE89!rQDPl$Lni79F9qWaPH$2&E+fw@pVVEm61k@;%rg?f-#pK%p4=vbj7_X9ukvG<=8INT`OkaMy4eD^sr)n8BZ3|_skUmB zmq&L!ZT^UPjmrO?Yp=p4omQ*z7j%ZUmrWS0PUX9GgErVEdseUVEx5T4hS?;_CgCj| zHnU*3O**VWO+LybWoHnuRa60$lY&E1P(H$H;n@2fQ%~9Ut z%MVc_=`tp9+LaEh;sH+AvMtQ+=TXk5QbA8ZuHs_i3zEL_mL%-rZ90}jI4t|m6P#o>U>0D02(NlPo6V@D! zZ0F#B{02QIxk(X}mX{02bQLpkVw8o=Quuyml?irHRyj zk&Eeq>(#g@ms6HnXGF*TjCr`McbsRJ%I*voeYhjE^;0e}IbKJ@Ql=AES=%hUbwbrH zpiAx@W)<<9!e(@N)ccO{%l2{N?u(R!FrwcAShxXUH-iFJ76a=zr$IJK08+p5VD?M}-}DSQi3PU%Y(wGDBVf=854VwAQguC~k* z`ipGyUMoUr`5@iX5lTxXt0R<_N*Sg7FL+A}N}KlREbXx_0cQl??aK4HPFBFnLcTeU z^t7O~0YO>*kB=r%+WAQ6d=5T(oP_z54VoQtvF4gBLTSx4+r^ULp=erHT$)1pFFFip zgvR0s77ChQ5uvotKP;`XSl99C}XaG=JPE}7g!we`b7edxl{wprw*u2$;)^Zwa z6;+o0(jJRQdyirGIy1mZjMA=wqT5AjzmAlO=?bMSa^J_kkoH*h&WzQP_LvQKtvtY( zCr`y?F`x$Y8cF;3zTMO}D@W{=J`;Ki0S{ z@LX4ij}FYXS~GI2tK`0-MsLJ*pKFU!s~I`gbw#Puj2!EB5~W_hj*fIYi!wn`JyIE0YYetTByQy1{GDmxuH12L{ER<&58B@aD zT^$GIV$I00ZeD!@-Y(GyJhR8#V<+_5(P*GNBMvird ziLzQVa;#e|${Nkcu^pIg2WIPX8{>Q59v5S$^MY{_DsH#wQ?1|&4tHs66XjU7o%s#o zbUU$X&H3*Nn_pGcznP+g5YJro0snZ zvmLnijxk%Nt^NNPv#mz^s{h59?MV2nk1<{aHM_AHVtnvW=q#GX4@4?jM-Kduq8P8Jz1X<+^^t) zTGq+0!hLaYk~Kx=Rx#TN7lG228(?=oh~mbH)BPR4Thl$dRzLc5IFG9YNa zgxP+8=MU{-w&Yr4%$CncV$7CGjM+YgH(LJ=%yu)!x?RlncSwmbTPiDd5wlJ7-M;{{ z<@_slV75`LTKgY(D&^1QvrOBBt)2RE#>Nr30uqR*JMoCTFRd(yotKiTDA#W%L+QILRta~q&+?&OiS3>w8yZu z?Xb4}ahi)*TZ$#tmU4-;rQ9BC%Ws^QSla_2x5L`fL>?THwS7=(mSAl^kJJ)t%hT=0 zU~Oq$Vr?mZ6l=>n^%84Kd1tIGqv;B3`zC~__9fPKD9-(#l(nV1h_xL9Hzn5gT)H%@ z?WGXgV{LiG0fLmttyo)TO?wC6Gh%J8hbRxr?}Q*#H>_ylC^!r zl=-I{Sf*iZO_|%Xwx-POS=&UJZ<{hdg0&rivdG&0Id13QOqqtYrCefd>954vQZBK! zlxt(w_BJ>Y*7h)5ZiJfutD--rWCgJO8O&f%PYx`$=WhY%-Yt;m1xA;Qc1A3G%W4TLm`(~+h4PA zvbI;?;KyZck4GM1ZSRgVw`Fawr3qQvHz0gm*7p0%OxAWppHk&MA#3|rcoNq3WZd?Y zSX)L^FR`|aiC$uDKcF13wmjLCSX-VnN~|q6{1R)+&9}tbaw9CUw%j~QtSuLQiM8c| zEU~s+C?(dGQ@q65aziVzw%o8vtSu*BiM73ma>UyHlybz{9*xG9SX&NjiM8b@l~`L2 zM~Ss%@5Zd{!B9%9?Rn^|n6>2%V~Mq8FUG7bZ!1@nSX)}ftSy!HS=)PYy|ZE0S=%$< zXs4`g1>w>+(s+Ug)|U5oAI;kG`2_gS;kbI+8Eg9no?Nug+H$XnS=%>Z);?>?mDet7 z`wP~&#M=IybtW}X3R_!ZZF$q3WNil&cY(E~x0tp426Ft%SX&mqL)NyTKT2wkwQWK| z%-Sx160^2cV%Bygl$f=>4@%71ZiEuEwp3!)mS!<)`vR1hwPi}o+P)1XW^KC<;G^D% zwH*Q_W^Jj&tnDFCV%CCu%C^2i>2|!xR+8zZZW^Ly}iCNnfP^_4>rB-HbH$W@1 zw$DS$$E+>2V$9mU0j(-#Z54>7>X^0N4O&gi+Kz=*8?&~jL#vBf+lA2TW7c*xv`H~* zy9HW9%-Zh3r-dswOzy{PP-Co%h1bxI97QL5#L6tS#S? z^p#g7X^+b`?fr&He5SxTTZU=-Ml{<8rDRUraxyaZ!~x03SzIb;ztTZYU{j|gVpxZx zeK?)VN$_+Uk8;AAqw6qlaP$Hk5X6y_+@vH~+pC$06Wb)MN1j%!?R`w=Tu-F)u}dq~ z_GdKW8ZexmIN`P5_N?t+Y5AocSxQ3rL&|CWfd`QcjHu>+F%OsZj`QqN*%`R#!yTcmpK^&wpz>H)%5>to zYMX_(PN>?&bjiKLtfK8$+gq5<{lnzvQbVpE=o^sQ0~Uxk!Y`cg%0`C9{cLIrw$MheQwfz;ml~`Lki&$IE2)^Kz=W`Kj%h$(|9?dVLQ@JRYg=8U9e)uk?fqTyjFB#TR6d_& zo+ls{adFs|wH=IOF>8AkloD%u3FKX1Z5P4}tSzm#XKm>(?Xd`iOvUhZUJNm2Z6AQr zK5P3;q_k#jS@q8RA;PrBY+≀3a%?>wzWOeXlQ|wgdBkUR571)V7qXy8cF_4I9X5mM)n$Xf4$}xM-cF zE%OGoQ0>p#0%vLSyuk!>??Dl1r}*EUU}ITBp1u{w@NaLRbo}=nyz3Y`-BLqXS7+(k zdBZm0h#Gofgu657? zUR_FT;Mq7zX!(gX#vLDs@ZTA~L!EX2Et{agrAWVHVV&}(bI%Nt=9^ULhDm|vz6^UG9Xewj-9{4(pd zK|h|xSdCEMM>&sK{<6-{zS*US6`yxku#uS~@P5%jOUJ#1PO=!i z({L>1ZGje?f)7?(W-gC%H09`%rK8=gY#Jqn2eR;k6px47NN?8~`F6N)4;HywT?%2T zFJqbA4#5WAsYOKo*lDMQB3^nlcDi1yNN^H924Z*XZaMw%r`vN(>dhkoNAL3xq*lu6 zW}*DljiYGw)9w&g&_OpJ^F6il4vIDnZV`i@)8K9~=t_foXkaf#ZM z2s56byo>1)JT7wsPf&(2ZKG&-f)e6<89X7Dc!IK=mQM=eizg^!vA%+*>|bEdI}&kj zxp6%$mUy1>Ha5TDCpLf09nVwxaP~bT+KDQE5|&=@tdxMKEBDcIlceG43gcP@KNW2T zo~KaznP_;{@;b|VUbNXN|1h?K;04j}=iDLs(+Zx9PrnW7P4NUF5I5sdf zYX`A`c`D1=`E4A{w{2SH?flW~vv))*#<799Ta;r1%ia;k25t>4Gpz&bhX;0pQXP}acLiyW@xydI6~``{`G3|iC-0TV2C-o}PerT+~7!4mOS ztylDbvean>Epu_SiVd9Factm31z(CPcr~&IpDZ>oOWuXpzoQIF1dxb7(oWP<<3^_UwXDEM$h}(GdO#UeC|1M}5H{Y0?DUV%~ z5@y7`vT;aE%QPxhjS>}HG0fO6wmT7Mp`!)fBnX-cb!5z>t0tEj@K+BxoZ2|x5G>CLN&$lZwa_xFTnZ5UF`;F43<3lXD1I7fnN5oW1XGCv1TVqucD58C z_&Po}DFq0=1xmgYAehC+&@!u(3=o`zmgQl7DL`-swES&F?6U(}zJL$I_p<*3pk=TN z{c#>`11+b#9YV_~uMM=E^4f!zQ*EK;lqb+K7gHHpP6@P}Y6C6P#!$Ypyi#6kXgN;C zy<9uca?0Bgv>aU+vK+BZdgO*cX8)*3#tazDpOnGgfWl84)l)kZq zHk6@d7G#omdE6FSPI+Z$xjoA=Y$=kI)A|F25x>HPStS}P(6TrxqoP8b#+hJQhL+p2 z+@&JR(6YEFH?1tiB^uB1mU-4gkCmZi z$uH1y%0SCJ6$!M=6quHwWigG83bahqGPImB&@v4KRTlI1pyiZ6%PE1DQ*EGS$s_|D z6(rCyWr3C@xeP6*yw=ciIXTMR8d{cQaV{S+wDQq>c5(HdHoEYa@N8d{E1478jQXc>WdKyZ7|a!R0Oyk+je z1`t|Kd3=DJ@;LcZok&0xhRL6||i4VrV%f&~mB_ zEe{-nHxFt&AEbZbe!OnLzdi6ef&bL?uOn^H>>>^R@?bM*(T4`E9)0$G@THlV-#} z{yGo;ePd7w=$&kM-9~HZ)ep3`c{sM2Vr&|$p?7`P*c=)8u~E!0{wAwBjT6*ax_n;s zQ<2Tk$i{%fXBZ!KsLcBh8YfotXHPm2qIs4vs)fz>THB0`ZN5SqqSe;Wkq;ZAd{olR z*l2-qG|3uz^m=16EV8*ewz=Hc)LTQxZ!k8c-dP*lEHj0znP*j>^@uS#-2AbI@h4){ z!)Ab1&l|?)3~IemSIom=osN2P7zEesW;q=5eNMxN8oBOX-~UR+P$}b_C5hwW4wdM&(-8rI-?~^-v2Ioq@NP!X@GD*^ik94a5e> ze`EfD+&h4`l|ix%!`&{KJq8|HliB3wOSm`Xh|+XThomzE-Uo4p;u+aelxTSNnIbIJsNhSd(>Z4Ts4 z;cYsI;B8LV?cr@PFz~iaZ3A!5f^7tEH&8V2_CksV-oB2afwv{w{{XygmZ8Ag2zrGr zz-tR{cSM{fRdAx-giR_~C8%zLUdGp!-xg)6wg}bUBub-xirn1IqRh~L=mzB$QJVDa zRBjbzww_3EbhRjR^f;VLg4;xytKY^T1hlHogmttKN@>w@uamRq!^wwGVG|akPTB)4K?7n+jfy?4J5hogxZ=7CcQ5`4f?hw3ODX>( zL9ahGv28z#2UPyK^xF|}dJMMHe>=S05pnwC!P~r=@iIGrx0#5CQymef@#yYCKL7SI z>+wz{oR@^RqfY9GINhTMx~2o(7BqiNE&Aer1-#9mUY`WsX1)%1`?Gj69R8Ev`Kj%Q zIE@)$=?LDI^OEKp(y+hc{oBsq?T(1k=%zyz&rtZ$=Wgdg8>2el?f*G=o41u65vTX& zTjV!zuM-S%dH+-|vEzdwZb!uF&#Ml2y93_d3#avth||1ZVPWqG+dstJ0ecw zH9=oNY=!leK8Zgtc{(CaW0sWR?T(1k$;r_g-sWwC`JRK!Cs|`Vhqu#SYk0ejhxXv@ zwAUKmmMronKkc=Kx8szKh|?IDik-mQ|GOhj4}1Xc4OD^fc3ZSnU4PCXu)6$Z7FFPH zJ=jYp8Fsr5BNN$6$D63q@=X_Vyb@HpA7>tTS_5tu4Y<8_-eAF=_b?=KqoBN(z-mnpGPw;P}D}Sube?+nWZ-Kb> z^Qfz{j4&dY+{f|9X4FY%Esx;$E$~^wb19eb+%wRycJSO6U{bs< z2Jq+n17d{dviJzk717|iA|~)$7t6U8{}Eex1pY*^m3gGgW0-+igvEiJsTzuz*@X+% z%KQb#Q<-NVdWdU^KbOKnS`h_c_HJYz3Bc^FV9SN!^;U8+3&ZQ(A_fe=?A^~S+bGg)xUonIXeFX9}<9BZ~Zkz24L2I z*b4C@12FsL0L=cie59B2g6q%2#*x_vtqyMBAuH2|@{N>TtA`hS;~E(3wv`936ER0| z#TU8XxSlY;aC7te*v+j8H>+betA0&4XE5(g;${HF+rC9NUH%EVI=FUU^waLFkF`79 zd70nP<_;dtW`08XPRjYr&6Mw=?_y>h<+~{l$Ye2)!9BF8vH~7D1Y$IJJ*vBoodI>X zzhS5EdX!Y>2ITE}v>fFRUv@oaUluOYbZ#lF1i zH{rAA#duiih2xy{PSp2KJFJU%=pTxc^6nMC3Y zudwqK$elN>0zh90oO#tW2c%}~{0GE{YVqr?iX z*YBi|vO*k8($AnC;Yv{q?HsNW#n8^-w?#3ub9j>|hIS5b7RAuc;Vq&V+Bv*c6hk|Q zt3@%ib9kF5hIS5b7sb%d;T@tF+Bv*a6hk|QcZp(X=kRV(4DB4=BZ{G&!!>qKw8YTP z;k~wlzBaUTc%PWvq)){f4DT0ZwLad5@*Pp`)Jr%D4@mE<(Km8@zAMUFJ&vRBpeP%3 znhw^AvQeK5Fg*O8D4X=}I6mJOWwZWz4$4EKY|+=Uuh&UATlF1$_4%-*Y}4m6&w5FD zPe0lX$`3^Oud#7OhGZ-i7C|6so$wP}N~6RCR%&s>3J5vQ`zA)AC92S*HpN zRUJNMk3vC5ssck*hfj-Ty(%zNb@&tM_(`ZWXWuiTou~>7RUMW>RTmhlI@}~_jjF&< z)!|P?o1qE}RUQ6Jv?f(xsOs=}(PpaxLsf?_h&D$R7^*saNwm4Dz);oUZ|uWRvu0Ib zsOoTweFC%=RbZ&<@VEBWSXWC_fnTT%UzQdvSB0T0?-d!66{>K`snC9B&mV|$uPQ8} z<*SmmMiq`{i(ZqowW{zO(|#{$8&rWma20N~dAixC3ZLW1zAoA(Rp>bx+8Z{{eVfr| z9F;$cwnY`@uy_9?+E#dB+Mh++rV2>1!oP_2o+_Nf7Ht#FvJ0~~(r-%7dv-y7`}8fF z7YSLrz);oU+hUow3k+2qz9U-EEQFh{Wj#HvJVvI{qGE)8+G z+-KW```LzJPCc|acA=VHsvYjM&356-?3aB+n{OBHVd?vd)?yd_$ll#gv_*E|L$+wR zXiMzEuh?fJL|bMT`m2unU{%rAD;XcHuzI&ruHN#2UNs#wpMa zbgn^9thEaZ*`m)nw?Nx!_nFyrjujs4)L{}?j%6>z29zB%0+mR6qw(3Z1qWKf3C^of z%volllQ_#vbmk+Yp`F9yoKBd1o`iS~k9WR{60-WNz9{ZQsdQdnz&f8K{by+B@Dztv zdxmxnr_0z?X{qo`XB`|=YpHLO)VD#u#i5!lC&@XwmYdyN$MhBtw?tJZ?Hpe2@HA>dRfkuIe-o-YTqJ#JLRE)XIftSJCRBB}*f|c0 z2~`~~5pRZe4wpLoA)*@dW4z0p$I-%wcFqo2irS>TYw_8$3CFjEzjD5SS!F8tQnG?C zMHRdnX5kiRH3r(#y(d8Vt@AY~SrZWIW$A#tex?>FuZU9A1x~-;Ny&zG4qufasMgZl z*QB{MdLZ}PZO+ko-oISb1u*kle5rwJ2-!rOe}tvR3bj0>VHPLpz6E zU9Lw%JBL|O4DB3-E@z3Mox_~e+JveOdx(QAdN%uDH&M1)_Pv-b*`YkOq`fKl?7apD zs+@2icO5#;4Ca1rVxjEknuQ|WKf*P$P1eRpsYh0?;_g-B@}kSo&fx(r*Hd2eE7Rd< zm#f*(&f$Tg7}`0kb>By`t2Ms@93JeBL-*Hci~HSSQr%j8JvWZS-II_~r_bPg|D5Eh z*FR^T&r6<3n%_|lkCHqMdL=iMquqg+$c=g>Q^rZ#n)KW$P>yw{z}p85Q+B$_jS)UW!-(XC#PGJ@2-AV3q&~i>;EGy9F2d(@mkTYcM^QOl zT9%g|@C|3UJVTmL)nSwS(C%E;Le-t^vgB&ZJ{?WVRv(KpWeZ4qUxL_~de7-dS{+{L zF2q_e6OrAdF4lXA5vbIf*jyUXp&gsKkja`#1bS~NQ;T;uW_Z9-Lt z_qv}&%5t6O+50|GR#^>HLYAw40#b<9|1szra-!Dckd>;j4lJ?Ba^U?5Z4?GahTfR94i3V}* zUU30(W=_TkcJEKo%G`j4cOO7GpW*M`b+4pcow);_-G_`tsdbr|I6HP9$`x0iInakZ z>}tr9G7n?$x>wVt!3t{ehbHnU!A3noC~1$WHlH@+hTnt(T}ET<=j2B69Gf{F_08?i zb!OsI=W5svPk!+)cL3#Vh6^hJDhS8YWNMLNPOzCFpO&7<@-p?=f<|+P=Ly(UeVm>fAA`zKKtrtEU{rr_PO|JNtGFPwvF;bxpWj+Yr(t1pxLalZAFE=qgMn)a^7r#%`&n49)4#NcP>#m?BurRrU++=|@v z^Py%go&u9IBrD@n=Vq897@sihVcaZ%CS1=0$MPn}C3&bfImuISKH{8Q>A=CXsC$kqHb z9Tjtz@VYNk%Q<-I6DZEar_L?lVH2M^C(AKwCY~%uR*8de-*pbME$jhPcv`fap1z2d z<*vFC{;bS>SOU4l2~SJRv}NFv+)~PU%l^}8P`-9DeN^SXzLh?RA?L1TzR1UN<6{oz z+Bb}kyRr4_{&HHq@?O_YCMqWt?4<@4EMsr&~L<$pI({)18ZX|{juIVczHf2a|c1*ShA zPW0z`Q~sJ-82!MM|0LxNiSi#Y<)6W^_@OEP688V2ru9Bh4M%q^8 z{wv!Xer0~WU~FYh{gQQvX6=iVvzaHb3Uj~W*c-$-_iG-olk!< z?_P<@=8E_o^BKfB_bQK@_|&=A=p%2o)8Dh7ie}DirCgJdzImNC^%?1#KTw`z*}ps= zPT%N_vQXxq-izDt7gMIplWm+Qo~g^5rc9al|D7oFtwfn`n=)mb-Z5p$IK3N{x##I9 z?XQbj=A_*FjE-!4|IL&sefS||FC+8e@AQ|=$b9$*WWshQRcg5>olecG-m+`4{y`(Hp&&4%HuD4ia zHBZ60f|8poY->EDRNVJv9P6Wg&$?9Q`YGPN7=IO!KVG`$_7KIyr_Lo2=iC6vV&YTh zD%C3FFT(lbXm?*K{dmpak5?`I=)1H#w?LNo)VaOY{*d>`=LV@)A?Tx6-jJwpnd-^- z)VX1b=l3jvPk#k=)#^LU?B(`V9v-}y%)?D)6Q4RaLcMAH@2?JnNw0HeO|fzZDA}TV zO+9Bet}0}dJULT{|KW@hDho~_5K@suCpDf&polTGF5 zQy?Fuc+#kRg!0jf8-C^Ul#fx|d@Juc6>`1eMp)UEYkr*K=27_?*W$5?3%_z-u95MI z3$pS%w3(o|P%7VN-Y?1&A8_X6CafUE4XyG7?nTEbZdjEAIDt-($ydo=FUy^%US!_& zoJ1$7LFnhoRjkj+YBl8<9HIsp*2)q_{Gss_W2G z6^G&;Ja?M3=J#WBr%Nwd60$gVhT^@XL7a0l#A>zNiRI1|WsUso zc~aN1k_JwxH~=uPCnGjQWQC z4pbMya4(5Lx7QIkPpZ9sfX=Y@`W+hOSQXFWOcxGQ^w#q_bVpSIIZ<;!}qsMKSTI!~NACxUwZaby%a`fnwrQhX*JNu1$REaFi5p;!}sCWvm8R zy|2P%wnBvmsqYVf^VhKlhP8@MIV_1!9e!Rti3IdDmRER;+5#o3T`J>6$?JdDN0weub;t038$+)pj7J#xBv*x5v4|7MrDqe;d!D9P#pN`d3U@sxE`ls8gpxStd%8?uRuJUaPK0${c+Y+p}C; zH|s1NT&EsFN{b%IJl|43g|b9*?S(7V@1ZQ$_tW(%)p<{@46elR+md49Q-?Q+vPRd^ z>{hiW%+_iy#_%>Z7Rm9C}@JTgjFT|A5 z+@-?j)yYt{YHmm2Z`7?&wrTE1;h)s=P~I!_pzHV42T&|k`1NFHAByIw!b&>#>|RwE zA=QW5WSFt5q2*O!4AXqkimLE=_I@|}Fr-zf!ZX+-!XEYs(5h8I9@_P^o1oRG!tc5E zD(st~)v5yHQ-^!mk3p+bg>K!T4Ypr_R<8;z?Dk={y*GwR6+$*=xIGG5gDNbbcBnlU zTB9nQL2bOf9$J$syvUk0*lf`pyjf$5ris?93fx-58TOm7Y*B@m9cXjx%s_cVc01Nj zIM=R*wp>|#IZ69*my^>bb{$UVzMQT7xI>kWZsJkSRCAQuT0edu!)r|9ye%D2ko$7( zmTh6yjYm0EOD?M*SK$KEs^dX^z>3Q@?H#}*ZiAe&{b*xc$?{5j<4eh$#pPsVJg1bx zSzIdU%F;njVB;uxZ@UUd`*J#$li=wQ9_73?M;}KWaFnQR6=hCxlkzR>8vS@Vh~z&p z6DPJwdIAUg@w8t`*GTHixt>U8)_!6cIT=hNt^v7nF-g4kGdJX79$Ai~<=P!tN<#S~ z&M1{B)u=}5{Z%^R;xa`_mD?}!F`QcjOf^XVBVL@ zddGQosq9nfhdV-BKjjjW<8>D-Wgc>swavm?CsgfMbjiKLtRg<%Fr&+}9?}83Sbe#h znEYI7I9kMkDxR0Pmq-c@j-)BK6Jz=~l5uow$&_1*xW>WBJV=9Y#O5O1NL6O{KXC$s z;oB&-VZdHlosG6^rlDT&!oJ$EZ zwDQq0j>GY zIMUPJ*~k$*jU)UYALV0ciBBEQb6!D0=bz!D=Si^5hRsd}*L>!h?IL+m6J4`iED0Wp zrgg=oDOBu5hi_rgSY%0iaurC#Iqd0Yb@D0#+6mvNn> zy}g;|HxR!9VebPW%(JbE4|sB$?JmHvo|KE>x$Z_N`nE1eIM4kxWJ~i&XE;Y*teE)J z;rT8XLe^Yxe#LzSW_hbGt>rY$sY7{90Lpqulcf$D4s&~HJx1*{n9!GubZ9xOC|>ZPYSupJ z5!c%LO7x{cr|ZykqSMoUV%*P;+`sh~cr(F@Z4<26c2nQN#f@zKTeHZbdFAZOmd zfN%ERdECbA=`{hBe3y;1bayO7?{D`*^z?pM*xrYfv-)gQ$oo6xy#5*-c>kbW)F+`O z-ajc<`S+q8o~5W%`(M{klqfa+M)Zkii&E<^L7AQ_N}c~a&VODfQR@BIk<;re$|V0P z*2Gi)gmr_z+W;tCR3~&+qyHG|r4=jO(Okyvo4f62Ia^CS~*#?h-(D`B?8@8v@oqBw@j{R%2WMOooj zQ5h!6YJV7&YEjnsBdP4GI6Q0pVWXhzCw1H4KhBDdP!%ZWF)LWs8QM29MGQmUTfs)^ zBiKnRDO!3w-K=7BJw3S*pSPTe!&!95GweO@2n<12P9;`5dk6#`(Jrp%UEXjLoll877@u}rx%Jy7Q0I?R;248D1Rv| zb5?o*Gd#zn-aOKk!+$RXsg(k)jq)=$uB6pZF$kFzbkN<$TF9*AtnO~p;1)3;W}UfP z42W50?xBHWcUI|f(6a7){BbTpJ^j58 zKree9Uo-a*sy7(t90z4LP`$wy9MgLasa}8JbR6%*M9-Uv&)p5x8!WUL6wTj^;)5&f z`>`Y~pHwdtZaA)gH9mtY?eB05{pZl4;40gJgRD=gH&`r6&L`Cye9dM7d7o5oaJ9|V zTJ&E)mj}y48Q@=peh99SJXJoa-r#zhy)xJzgq*>*?B}rrs(n(u!3{PiTb=LYP;jFt z^**WIV1+oCQoX@< zMOo{U>J1(gWrI(uH&`pmMxRt~@I6sB`J{S-?~Ah8C)FD~B+3?_RBy0O%Gv6Z>J1*2 zlx_a`%(Grn-t$TI20swx!#t_pV1xYwSX(MjsyBEW8$Qs@?2(!Va#4BsywOQ;K!m>srGX{fPvRo`hO+_B|uoi7HR@DtJ~(Xi#}l zy}>3)YgBo@mkxd^+6DZ+8mW9)f>Dd+FX?<)f@cA z-h!Gnt30XRV2k}Ov=)^o)f@cQ9*Uk=qVlAAgO{a6%T=CKZ}5uLY=z2`>J5Hp_uHFP zZ=O_d@T#P(QF&6m!E2JXR^^{#+V3T8gUa`=gtpbbADy*P+Rl^e4gN0sN;!rxEz2>Csny0YjH#7l7|X6> z=SlSjd1nxO*4uefy+I$*CfRvXy+P682GJ9c4t;Noh>J9c2ZIPWP)f)^K zZHb*H)f&5{K;y@t-yASR{R#_eT#w%2f`(nOXGt3MW|XybYzw|1*bdiFm8_S8)9;bbqdv@06JHFs$ z>43cdOf8gGL@D~DdV}9d$yNS-ocpiJ5LElp+}EVJHU2>Ex7(c8vAxv#qJ9$ptVIhOd{Vu^-<@W(y3r@q8z@(v>-y)jI1^ zGNP>Z$$SM`lr=u7-oO`St-mK1Paw($KZ;?@^|;ZGVi;3-+E2zX_Q$gia#HKfzQtzu z5C>cQ*;IBDWvk_o>h*`dhhttlF^tV%?&l^J%6{%_G|@HPAH^^>Yh$F#dSrc4y+Mu3 zy(MSX(*Z8mQ{E@l8;o}EM@rG>xATGnMXBn3LCK!ih|eBE^#)U2u0+$((~=!ME!okHu36Tzi|{$!fumkxN?s2=W#YSxnIO`sPYMt1!ub~x!Q6__4?I+hLUE%p7%aJJ5lc;RBv#l z%agmQ(Bfo;7AGsTB&yJK?qf?`iDB#~V;K8U4CB9G+bqQ}=9H-NU*;HG>uyBnR{NxS zgKxV08cdB(syDb!lvQ%RAKupHy#f ziztmgsovmLQJQ>Gy}@cx=9um5c9+{(v+uF5?s9*^vGz&z25a0ik+Q^e+`aA#NLlU^ zJ`C;?WrgLC>h<>-hK};Q5%}yORB!N*EI8A54=4NX;bh;fkNS>OZ}0=jlJ!aT1{+-7 z4CZ`Ny}={yaZvI;5xn4sGXIK}GadEt_v1=)c)R5f=k<$o1akW$7}Lrj)$7-YNUGOA zKx|3%`lCc7)$5NI15&;Ifg-Nsyf{ciQoa7cZ=w&Jv$3!Ghlyyxxj$Askm~i16p>V~ zf0Se+)$1Q4BB@?~oEQve&5jq*#4r|-PpV7|W5>iWmZPM4{nO+QlvJ;Oh8!i;>(3C8 zRIh)g6v3D8{%ju2OhGo!|LXIowtVfvpUeH((#`nrFW~m|rij^B=U+S@CYn^Qf61ZZliR#MpS!##-`(&prJR+oZuko* z=jEFl{$-Sl^2H6mg>sdAZ^K_mxmrTe`2-3a_D*TFW8T>)*f%rdb8g zV=m8Q*La?}d43&B)*;o~Rk*;+Vx%=@EAp82npAJLKSfL5fQDxWP|j;oz1d32)%p$; zksZ<(!(OLJ^=5~XSE|>fdb7iZLY|~a^=7MS(_nS&jz2V!#|}2?ai`RbsSc^$aQHz; z%?Q;Sj^sI3lj;rkzZ2Dn@Xs1)hv7mGpqw?h{3x2|&9h&Ti<(q#SUVp!Rr*=9Av}00 zT2(E)Rd^_G&uav?3dc~c*Q9#G!|1b7lj;o*r`&{k4o7-dDz8C^YM=Q&^n5tBKXPgL zPUKNs^p=)y=^ahk)f3pNV_1DppMs?l)>F<}&O`VUj-xw=RBw3f0dSKMsyCc)I$e_L z4JUpDpV71KNyZH~DU{Sv_wkJ{?lM;WYjkvd3^~THu03uY`!Qki{>qQB*$pB z?0C8cV;O##@-n>zpW%7jvX@&u)@e-UprDxDT&?v{uOZ3uN%aPOMe%%6y+ObK!`_>R zNl~4B|8=TrpoXsMK1G2x(hNNaabz78bVQBgnlWy;4=Ty1sHhl6C6UB!#3e&S7DdHq z6kHH@T#}HuVNl~PNlc>A7>q_qj1l7+#rXSv@4LFY2Jm_E{GRuZ_j)h9E{k)Y2#jV;9`8{e4YhWz46&{7NKGI91c|wKE$3n z_c~-jPw}4y$rA)D*vc z4{5=bESLINpgyQ>jIUB3RQ1L`6d&5Ms~Ijk9#y^ZHD86F!PDdGJUqtg*)7VP-Sz5^ zs^0hpE&&_%#(isiBg0L)G;U(JHSFLVT}mRe?;r2S~UKJ)=#x)yh7`zay5Qb>!)%xek`q@ zs^0kV*{t8jPmW>z1L)&vt-qDi^cikhf#Xrt8$X*z9^_qlL^bcPGkJfL=B27PeqlWG zHpRccjd^8$y`*{h7!?13ZAfSB%M9C)s^0h&j(st_om=4_8IO5FRd4+2arD;_zcv`R zPde{jPwVE2c!T8vt>-U{x1r3tH|Zn&4dq+xr?SqO)eN_U(l>u)o(ZA!&EFVqcRZ?k zy&eNSL>8{vWD{{(6+p%b;`W|XQs~gGj)ETb;>w>sCCLXeU#Sul@n3t z$HP(A;P&`muUF6WGp$qVU(0Y1%6#~o{%k1o;ol6W>){KATdUyWYvE`}TkM(fxXFxU zgzJpBl!wr`mtp=OqN+FUEk-%wB`^*Qd$lDx7RR_=K1RSTRlRW^^Df=mI5H>FEuWp^ zg6xU7MdL+Hzon$A-Z(aUBAcjs<9V-~Fwx~_0 z>V-~F=Du98pc7onlT%f1yra2`h0F0*&G12$#{cZg2B0!=j$s$M9k!s@`~C!;6NfdgJ{J zH+)g`LZ-;gS5&?61jCI`RK4*5hMR||dgFr(7rv-^p=9KO6jg70h~YvJRd0N#OmR{5 z#_fh1ny7jqcI1X7s^0hrnS7$^jgK_n!PtqaH=bl3XIPYl-!!}7WJT2*cgV1csu$p5 zj*_T)bnf=&b&t>W!xuJ|)T%V|=3Yq9dPs;*-o` z%!!5aBo@wW^gWtT-%^~R@|#aLv^9@Mii2%;*l(olw==_jKOUBnUZu<%Y;}``{L4=S}c2s=ugu^8@9fE~2V8 zKSPvw1^sCx6m%u{T$sCx6~ z`2U0)Ngy^rTTJoE?nn#kg*!- zY^ti>{LbbO+*?Le_2yd*-*Pz7qGMou-5iSq^fitB&6zN4L{)G8U@?jjRlWI%<_e^g zBdU7y$C#U8G(}YP=1(vW!f1}D>dl`nMoUChZ+^OD!}~-`q5L`KIb>^#uoRs91(Gr$ zqN+DP)BF`F?GaVI`8g&S%J+#>_2%c9Auy&yRQ2ZPi_sZT)tkT4Y=@NT5mmkU1>(9Z zqN+E4wK)_i-O+HC`H`6lV{XK?mtSONz*rDb)tkTGEP}BxqN+E4gQP5pD6r4pD8|x= zs^0v~<`HCD7E#rkzr|1)x;)}elwWG>Fs=-$dhwVHHi0s@{AgR@oH4&ff3qu0mRqDN@y&@9%yDtJxIgrQHBGw*@Z0 zrbtz9zR_)k)mjA?Q_9$8id6OHN4n?0nqZ1l_2$R8KZ4bc?{hqzvF>kSb(kVmz4_hT zO`9-Srbtz9{$O_^tWHy;syE-^@{FeA%NozPnVZ5WHJS<}9uyqhKBp zQjoK_Qc+VSk`q`R@lK?2W3Urq2XQ*rlHln`#&TY3?Cod+VoyUv6T_V3n$m*NY*?$w z-7Lh3tx3GdH}JAwNxzxtqJoybm2?(usD@C-FPVvJKpLn?y!X=wa><@n_jl$z=*x0S zLhVp3D2=JKs5e>{1e?IeATBPgQkuNsD!<8`TzMPJS*uM#8?JIDU2wgsi&{OkP|t#B z;4>`4W&P!4{-e4bxERD8VO>A98nffohMY2;xXRWo!bc}G?F_o)-l40AuQzn`dDTPu z)lBDZqUE{N5PLr&n(jrAdx@kV@>k5t?L@PtbMDPbR&Fig8d=vek{QM_l7R*Zji)KI zn=dMn@ik1(AeaLq2x274>2M>`LfM6|CzI)lJCi2!jeA4tiHc|`&8;evoHp}i5f@8-`-1x8f$ z=4XibB8aH!&7UuZji~C)Um!-R>djy1{tDh8n3JKVvmjtw3Y-!A3N7#F>YRe-JC1xa z-W4sWL#_}d3dbV{|4(z_vovBw)tf)pI|m7MC*#mxRK58wZ!rwLXFE^6)u#7sGbAB^ zQ|1;`Z~kR(>rhS>F^ET{6>#xN#K`MI-e^k2O~^5<%zPK&<|hdLDP{290b#C_AfS#~ zByRnOL)FVusHl4L)BHxn^%qrd{@eaBFe0jY^Jn-JIXe+mz4_@rr)m&&c`&}~^ZH`- zhVy&=ImlLY1~Io>#u}I^gNK^w4^OXhd3FKg;LWtRP_1>wbYl5U^MfP-I>A8ytp@8I3!W602QT&uXq2aa0`>`CvjIUDK5oj28S-WbV`413H$T`HPA3)N6G`-fCC%bR!p z_vCvh(bk5;i+FYKc;B(SIEML zxOllu39J7Rt8j9Zu=<~^K(ImN7QPI||2eYoRq$3N3lo^TVX`oLuu2xDQ6&r0sFHMmAcH`IqpKy@5Boy2a3Es2J6wik+b@W2I=|{B0}YOr$YKYlD7v1XUuRn-gYBQ?j20*~*!F-1+c(_3 za5J~W2sGICfCk$)C2g5PgKZCJuwCu0z<@oW&|v#lu~sTH*uL%Zvi71vgYDnNTBXon z`;J(v6&h^+A=VmGf-lFuE7m6p4Yq5+X+pZ&qlq?Eww8J)pt12Q=9BfCk$h&|q8i4uQ`J3Jtb{#A*lsOW z3Jtc~h?PQv?O3tqDm2)R6Dx%V+ik^KpwM8uoyU2WLW6CKSSd8vj`uhxmMS#Z?&KYT zo>->PVEa|?Bv>gl*zV#*!;m$F1{X$r%3F%S!NZqAgY6;StuXW|bC{R8%4DIzcB1zb z-f1W_*dFeki5e6dY>$*iD>T?Y&)gB3Jtc?mzO8 ztJwx-p}}^QcQOWAp~3bw?=Tpu)v#G;u+2h)?Hf|FLWAvJWC#=*Y~PgARcNqX<0beO z*DBCp`@WpJLWAvx-fZk!3JtcOc+~zVG}wOT{TXMd&|v$y$3MfZ&|qu))kslju=RZ| z4uuBWI)4|mTA{(Vm%k4Tg$CO!G}vaL!8QvGwpnPf%|e5LI~m2PF3@0nC843vV4H;o z+k(&asL)_*#ZYLljeX7%g$CPx(rSeU+blHL_J9T#Mi0Tj;m=m#m_mc?Rz4+yI+)w| znT3*t2HSDI&NfQ#?Y7bmg$CO!G}vzMb3G|E*zVwSH7hjO?j(jngKew-5V9#W*zV$Q zGJ;dj!Ip2c&|tf#KLjZX4Yqqp8HEPhuS*$)2HPw&*zV`QyCp}K@?v{{oSQ;}?LmGM zyeTx;9wM$48f*{qMZQC?h_##n_07tVCBc?dMPa2EGxC)b5T;cRZtDKxln z&dV@7X6zpn=8wU@0u3%)!A(=3!G#43ItmRg%(#<2DK9RZ&&!rVg9{gMUsh;v;X;NL z8eF)DVTA@4zR$2ig9{mGa3KQ?F8qMaS7>nIVup9z)S|*xg$5U{Wdl7np#lwN*VG64 z@SI>g1R5OwaCcOt(BQs}{N1uZgZpmIpd--WzC#&SXmHI#XzH zl7R*%+iTBpVI||4U7^7mR%mdNfd(hL%*F^PG&tFfkLL;vPIhNlp~1->^r_I`WKV_( z4gLmv3pChr=F59lr_axkSWNcjPizGmob0QwPAM-=GSJ{;e>PvC!N~-MQ)qB<0Nn{R zI63GT1Qi;b976f4K!cORZbZ-#XmHZ5ZYVEKCh|4|C|o8F=6sVsu7{SgXnoL*qd=D@;m5*^5W!5mP>ssP#=_8CRgdyr_3|SK!cO38DCataB|HQ`kS6yHwp?c zJ-bDjv%6mXQC^(fz$Kv2;N(Vz6_T3V#Bi%XgOkPdt%Zd;)-Qc>SEf&vX6nB?Q~y1g`tQxu&v%QJ`hT3M|0fJ9v^e=G!+;i3UYsmzLA^Nt z`$s_~r~Ub0ravFj`j@sM>%&_AQw%T9)c=UqPuXgcfd(flw0=rxlSj3FN@$bE()uYc zP99&z`fc*$MAlF7Y4Wtz-^wZb47aQl8k{`af;`B(^1^D~UuW|ECe2HEaq_}s=50!T z|6AszG&Xrj^HN@%WT3&x%WRKAgOgV{_6iM7ve4k<)w%T7k-WASq)*yUucvim1}AT@ zoI-<>zc5~*!O5HStOLCK!cONF@FjTPTpRnb-wc;>y&eNSL>8{ zvWD|Sp~1;}TBpqW3^X`-KU3!iTBnTDhpcBpj`HHWsJypKC>py$tg! zG&q4m1cpL`lfbZ76&jrMfCeXh%m8>BVw1?sr(4R4lY;Dt3Jp$rK!cOmbRwI`i<5p* zWJo#L)bPGn%MM7(QeK>7p~1;O$)(WXq-5kF3)c@`QAA#x3^KQ~EltT_!^ao(*O>a_ zt$Q*=421?KS!i%FREj7xI2mS+NBJ_GKY;UYpfQ;D{DXPdGMK(AyYs#Xi@Z45(yV3W z#bkuJ6_LXIO-7}a%T&)ogOf3a*Y{LjoHU#7qRfyW+1fl?Ej(5WtGqZFXMVyg#bi6v zrvcv@C@)U7H?lMak9JF1hsFzFxk_bgKy#@FHYLbMYy1dyg1p*JkKyieaYTt0A{Jki<5l} zFE%1CPWCmtXo$Qx+0Ssp7kP2Azv1R9^5SHI;YKL(;^Y9s%|qnH$w7t-U*yHf!G;S` zR?{A}>xR8g5u3FHVk-$tUvS1<n> z7SwGU#87Ck9V{;;6dG*H@;*SJ!M0If4hS^Z4)Obp;EwV<8fQ26`BIew`T%a1~A+a+%s9O+Pdo;+z+ zp}}^z*$o;0YiO_?W9Utx!FH@!M%R=V+i_+E&O@QWc3UwN8f>>ScX4G4G}yKn{%%mA z!FGG|7z~95+woF8US8mF%dP_rwmX}1hr&7K#kSS(Er%n}VEc752MG!dw)>l#U??=$ z9xR4JgY87K94QJ7w#S%X!BA+hJ;A&ML!rU;bTJefY^O^$yifGu^BnUDvMDs!ULYw7 z4Yo5)G7MKnfd<<-W@{J<4Yu>lJ}?v-Z0C!i&|rI|ISMHX4Ymu!wL*jK)usz63JtbD zGFQM*Xs}&mZik`JV0*oJ8iqoH?G2Km&|rI`7)u2jY;QJiBb!2l?JdTBg)4(QkzH!W z!%%3j{i!)0hC+kw3Udbxg$CQF%o-R94Yt2CL!qQnXt4d0nF>Rp!S)?N*L*bZ>V z!D>-xux)ftgw?9hV7rAo6IPo-gY8In39JbU4Yp(4U&3lvXs{jYegUgPp}}@Hcb6?O zSPBic2fOFP>Qrd3?QnTU(-j(Qj}xm)p}}^l`xE5sR%o!D?o!e<*OVwPwr9B?!h*cG zfs=IbfHDreY+~2pbZ+2m9n2l75_>sgIa4*3+uC3rP~bKuao$!U9%lsSZY?je{hqO$ zsuh>-Al!5yT=3J#VEH~$A8^?MdC4ShgPgNU1(wFh@~RI8AXbt&i)+a!*iuR1EUr{^ zU?q|hSlg6+wmlxP4V=!kBzU@rv7FZ$`vBUBSh9$l80I9`l%ugxR1m!Pun;Gu^5CbnYfvo=Xj- z{(^`mUYEF+ND3l9V^(e_nl+ts77>z_TZ_0x)~_&<8NN}?E}_XZWpZN>2KB+u z1)smU6gdYV%y&8;evoHp~$N;3DZjB}|$hE@(ehY3x4 zwo;C}T&A4luFb?+x!O=M1P^s6D5u4paa|9xvev12Jr7^2c;Jq?u7_I9*{LzJQiR*8 z7D;!f2P!H2vQtYLOcSjgSLX3|FccbWyWE}dJx|}wpXdG&$xcXlv7Mn30D%VE^TkkT zu)RQxR9I1eV&l$n5(DHr`&|p3j@tbjKgHnwW`FV)p|J7K& zh8B6TJ=a@;gkD$TpwM93<*kIF_iX3Mhnn=BZH6Qe8qC}xFSajx{0fVcMN9@7YzO$C zB1T>x@GEMkp~3dMJ{N*QgYEbHCCCP7FmuagY%o)0@K7`T;ptV5tIOz+ zI}uc9u)WZK0fs<>?M$DmA7@W_vHgMnGo*;T*v|6#HLE^g(|i3L2mE1rIj&;F=Qt=d z*#6kx9FOG!4Yoh^DRYC1GcaN8GCx4d@RLUK28OL$S0HSzc?KCq@OIjicx|TI_$hRz zY2a1_CGseOfL}qTdawCk;@TPQuH-GN}{mRNPp*PCkM`;}00)+fYXuH0>RlKYps=cUD{$cOgL_ z;J`Fgy$d)n4OQ>vv+CV^R=u0gs&@egW*JrQ0uD?=)w_TL(@^zpKC9l%XVtra12dbd zck@~GZa%Bt1ss^!RK1(es(15Q^=|%dVaBR@7jR&fQS~n1z%*373pg+hRqp~0%-&fV zrf^^y%fb{6Ok;VN!hvZ#5q=YU1mM6lR)#4Yn8u4?3J0dKDoo+PtVh+mfCDpSP552h z+5-;E6jkp64ou^J4F{%^8XTAkiEkIIS6Tr1#T{P0qTVgW zxL?ax)Vsw=A*_mex7Y|#O}?Vu{ZHV)+|xR$a9~fL+_2M)7v;P8Fi z)mPxaVJn{F00-te=yKc|9JqdcIIuQ7g#&BTv+CUn99aI~VFeBx3gkG21BY2Su&8${ zaNsb71M_m*94_R}n!@II!qJ00(9V zD6R@{U>fBxg#&Y0s(Kf2U=D$*ck?M6I2_LXHiZL=dba`x4zqCJFbf9`vvA-r3kMFf zaNzK}t#F;ffrUL;fdhwKXjBCb9Hww!wz^xek$?l!m@C{!z=3Hj5O5^mz%&*LITCPS z8cPHn2{y{UR=u0gs(16T&tHkFdba`x4xI=M&8l|+2d1~x zj$4BR<7FRrHr+1%DJ3kMFfaNsZt2M$v>Fw1m=Svat$cPnsU`GcAj zIB=MS1Bd@RI51DC1_x#<%J@CO6m0kk2d-Zq4y+xW!hyA;v+CV^3I`6Sl`)bj95@_L zBZULYpBDujnAbK{?^fWz@};f{2XfH()INXj;G$|Zd)Vmcpu#h~fa9~mIMm6fNZO-i>PN-KeJCRXDIq z^{&E!UA|q5YURp8cy9x)EmMI*VugqMkccYqmH>#<36%Onw9GJyay{m9w zQSYX3;9M6*u>uEnYj9xiKY#Yj9wXaNwTmU43{a99Y!5g^YSv;lQHaP2s?z-c8}axeOdQcN;G7 zHlyB6;lQ~J95|>;bI-LRkHUfFZ`7x7;GDvNIWnr=jjQTi!huD-OPX)mdR6Z#99Y!5 z@xEO2PLB8UaYnrxXVklKR=ulmU{UWX99Y%63I`VTZVCq$^=_O|?`{ANoPL@i9JpTK zz@pw&IIz5aCr?+JUV{URdN;1AcjJtDH(n18oXfz0bNoR-oKf$_8TD?QQSZj7dbbB0 zSnt-d>RrHrse4iNF5tlO5EZ6yV0LQ}XVnjnKce342?rMSZk$o?#u@c)PdISeNt8H? zdbcMWSoE!NM!g#=95|fN4jVC|E;GJT?OV69)_z*@h;fwg{x18e;m^=_O|@5UMR zZk$!`_Jjj#e<~bU`%~e-xuva`$_fY0J;kuXfwg{x1Lp)f`wPy1c2R}K8TD@bsBRew z2WA-R;q~FbqTWs6z&WaSD{x?UeK@e@RXDKbP2s@q`fy-97li|hwmiHJm4^-i;Lwtn)sj-i;LwtaU0JSjQ=&-i@>B-JWn@Jx_%LYyAob*7=}tV4V*N z2iAK2D>$&?pHn!nsCO%HV1*{9aNsb719K&+dKYkDc1`Ps;lT3j3^=fCUSSFc=AQT; zz=5@FR=o>2FzW(48XpfUaNw{99Jv0=;lS!ItKJ11m+)@cQbHc z-he3_INhDU6b>u`S-^p{BZPyURqy`Sa9}OmL%sWDaA4V@DsW(qlB#zB2WHQG2^?7c zXVtrLR=pc%)w^*I^=`bo*$4;zKLQ7qC&mgKIJ^vlRe=M`lUM}~tba`+g#+s!MNZ+s zVHOT7Z@?;W;BXbaW!1ZY1M@k9>Rn2TMZMd%rrzx=tG=KsIpz@pv-9GIu3>RrHrX{dU)0tXiLZUqh;X5qjx*!dI=Eb3jr zf!U;W;lQHa1squ3;EQ^<0tXJ8kHHzFaNy9Vk-~w)8OOp%;lN=Q4jg9Tz+n~+9Dbkg z6H_>_sCO%H;1J^YDjYbR%z9Edu&8${aNsZt2M)7v;4ljZ4zqCJFbf9`hqKiw95~Fv zfx|2uILyLK2sObQ1MTiLc04jg9Tz@px*z=6Xo95~Fvfx|2uILyLA2 zgaZ?G+5JC*1B21FIS8d^;-H9AewM&q^rdj%`gP&Jkhs#rI&k3nb>YDE!3ty(_3rDe zj0e;+yUe4&fw>J5Xf1!BTpJ_Ht6t&2OdirB83hFn%#<1&81M(=5_R99%+8k-icd{% z40b~7x^Q67KNvfsS|H6UaA1ZPRZ|2G%quvmUk47%i+lqw`weOO&2(CW14}xS8mb{U z`6V+69GDeplF&^BvnttBcQrWhhH@S>45e#_YC&mCrA4aVt-*oCku-V3Ro39Z8_HR$ zO+p*4vIYkh7qxn7p`HcNC(qzq6b}5QW&WeOYjEIo{M2g9j+elJIh`)2;&`1R0tc@D zayYQOwyDp+fu+2_fkD54Ly_bP9GEFM%NiV5vZk>D2VQ{;H8^m+!hxAVkie2%LhHbR z>je&6FL2;`g#+_+zDb#|6p}fWRwQs>h6N5R$u&4|eIRgPmKHd0EjcYMa9}3?wx@Hc zL55ZiJ%y*n*4S@r*h`@oR(sXwcI51NL4qQ!9I56bY_)QjGQKF(AaA18mpTdDfy$d)nzX}AR z-US?(hN^b~2d2>z4qPvA;QD}Y;8eZK89`07yq`Q}QgY4+#gBimBcmR%X))2d)=5a8LCv z;lQHa6*%xt82WQvr}0yC#D?I&qTa2*fx{FI%)wCgF5ti%B319^Hvk7_s>}qmg>Yaz zy~>er;9l#&fknOh-@<|G1rFScaNv4@1J?^2xR=0zmr*r4Q0C1#dRBAIm=P6O?m!ax zDXXOrms;R@ul#8pPRnsd9faRvn!)U5a)Cuk%N)|Z1;?Wk=VBau=UzO-q$1iUQW5PV zzkx&i7vgfo|1SLqZZ?W&<#A!7h<2lh_WylY@J10W{>-naCO3*`H;QO+`P(R>-6*0x z6tiHXh<2lhHiN+3D5BjcqQxJR6V2a75iS0ZqEAt}B5?Cr1nx!=ZGN)+&C87QdVt=J|uifEm9qlgwimrw+5yir6uq$wV329@yTjyT?Uqlgxru%o#P9WtaGf7J{h zgr3xG4$~#!lMsKDxq)Gi z%KM|uDu$`si;t1sbp(MMPd3}4s|A4@A8)2MK;N(%eo*;hJjGD+vQb3KpO|hG(Gr2X zQAE2@MC(%c)?|v$VUNi7cZuh0HpR1!fi=LT(habhw}>KXbX#Gyn&R2LU~S=2yVhok zeJLaw=~AjT!4$i(HRZ>+RHn59a)Zq*Kh~uXt-};AqP3e#dD#?GJc-u9E~R3frugz? zSRF3qebY_x@uOfJCsvm!{tH`NeyY1sM2jz>8%4CLr0uC3+$f^O)MyZqWKV5!57F~R z5iPc{266+ij5dmBH;QQUUEX47SvQJk@rdwR4ocMgh5oJ3#Qq-;(Y{3yZ5>6l>*`s} zHQ$BwRoS+6sfc#OT4wLX>|ooDAdcJgo{pA)dpm-XVG}%nRqM`cRidN1HVrq{Vh^Y-Dn4C7_W zb?XknJ(70?^ERD~7~iiu;ak(3I)=SNbBNw@2WAZFj)UR3xHET0?#jfs>2cNt4DO4~ z%e{obUP15M@lO!^3`f*=5$daF*js=qyv=Z9<#_v{e!n<@!FD+HH~TJwK3w|)FJ|!9 z&`;MLC9bJ1?)4%otY3=*+Hn-yaT^Z3FPC=gxebC>Y9o7JhDHU!4#2_mZpNWc5G-fW z9TCpG?c+%^`0$r5X3=JcAm2wkNheHtBmCLD2nOLccxx1_W!Q$NqCvsu3>U*o;UM@o z!{u-i&LsGP;il+rv?Fi~jppcb++YSqjF#vL^hw}~(HhM~oq;b#Tl72p06(Y`V?y*- zlni=_(H_laO9Jx+a(6_V4299h)SQR= zG)9ZDFlwSPMvNuV7#huDERD9Mv9;mwEQ?6d4YrZCEssdi4aS*9)bps5pO0x8{4iI> zFcgD@Jjw8D?4(5uI^n@|b3IQt2#=bA6#>R_G$nSc zN^Ii9^{A=uPON-q!}z{yc=oLtzLn*6k#N$64zK?{>rD7pA?v#(NF06rObK3y1=?pb zHl(mC>Ys<4{ha!XSl|UF4P;D#!+$pd(kkO54(iWcw}`oZg+a(Iq=O{FTF5QptWI2J zSS%TS!wh#yh5|F(#SGr}(BSZ`#}KT0A8x!~p`qdGmzlH#Q!f15n+UqT{3TpB@Mq>> zS^on3w%Q8*T&jO@ew52g2`Vd`|UnrHfN6*0xn6~tGWV8}90ZdyO9npOBESR=5 zCPxnDKA5&NrbJJ()i;STHToML9T$ty8Qn_bW-+Ekhq0a|VoZ+?z@z}vmJZH}KEM%7 zTN+(aBg@<-#s$%*Xgip;OzDnZ#o&W!OJi2l+5zKEG3G}6gN$I>GG%_$$ZSj9Jd6d= ziOn!lrfqa8z1<_(Zj6rQ`P?hUlIZXV#(iSk9?j+X{McphER9m8tuE4(X-i{ylrn8; zJQ1Z#TN*2)lxa)j#VBRk(pVLxOj|Z|b#xmRF_^YYSres9Tc&&xtzaKKEY0~}Gi~Xy z#Ps0af<`$k#m^jtTAnw*69|n zjgU6VyB5PS%`M)G4#|)4-i9^ZEjDw(H+vlEt}4@(R*h*(tJ^L99o>`PMsn7ewoIGr z7GJ?-CqGWoYD`-QtV%(jwLpx409oKKbz;XTegp`1aATcJg-Q z>~V|2i2bU!53JShfKxh8ck;V<|JWQ^J;$ptZG-ihwtAI0%*$M54)gRXBMi=o-fd`a z5D5$RaPLUeV55|2%SIQYv)SfJ(wn6yW!my)p{)Dp2_DC;DUt@C;vEeK%~8sIq_r<*cuqm*g;0j_AR z(RsXWcwf%FEy^-&qm*g;GOlRtQOdMkA>)xUZ7;?>!jvdw+CGVt&gi>rPRg{6KH#|5 z`J3WOb5_)aMpc-$QOdOCDRf77)*~hGY0QnD;q|j#j0MrmZ@}my#=__@ddrEiBzlQA z2cZ~CqYGIk5@T8P6)v8<7|WwqkAzY1ISrqPjynQ|72}zx-$WQG(>6MoebCS6l72CA zxW4;~gH_Qq8k>l*+VN^k+h7AsTOG`8{LDhx#^?O-wfj@1t*(u2r5!f9o^z_j=ibsU zN}0A?PsJ!@+TM$la`a1Xjyw4@nxan5-B$lPWNVI6rtQb*{+7t$nC~IYZH-c?WhO)^)0So0qm*gO?(c|FrtM0+U7r#yVz(S1yxz`8-s~Ub*TdWNC}rBxbyt)! zZ3{5Eix;xPCi+b!tShhh6}IOH|9hAL)+=7mJI6`>DX{u^#l5*(b;v2zn6_+189P#q zX&bE1wAGG2F5A(^Wji`$+D6mLs3B$AM&oIm==02qQS(?BQ+>HcMd$KPsM9|R%b_VE zD6-15^=eGpdcJZ9glSv19@ADEG$-4jIoSrKOxq~Sw2e}x?cJ!o7(Me%RC}5KDL%B8 zH#uQ4_79m7O;O6UJI-!j&<}) zZktQ}laVr4JMM1(4WulHio99AM~sDzS7X`+>oaY&?;gzd-GkY_OPRJ&mT4PhnYPhV zUIZWUCrU>WKnbQT=U>_JPCz@NZMghB{t1z2EYIBg8rF|8ZN0rh1Y2&wpnJ-+mAuNd zm0*o&>(!XH-UFyonYNxXZQny5c&B21M|()nfyZcX@h}Z*AlgTQr=W4lwDoFCTThv` zl3^@ccDMxZU=>G5@ELlTB#l(2t;8zRR-QnWX)CeAc|ubqxCU!onYP}hV-P%DVij-8 zVD1?B4Wct&L&ph!JjL6FT{xKv-qusR?E}bD&y1S|cuIrgf!si&EBM)9;LDQ`T)?1{ zD`O(IMKk6gOL#Cg_7ra`6!Yi;PRT$Z=Fx==TVa?-7cpEEgn9IRhRZ@QkGdId5`cL$ zli_Belt({c^IJl0=+VUt?^t>dZEJNr#oMxh^=v{Auv8GRmx4f_qlvfmhVO(|0|Lm* z%|Y4}+qgLvK=@5~v70mKgx8{X>`;b_q2g^BZVnZ1+koL|3s1pY0Xv#6rY3}nx7{A$ z_E7P*%+ui%68ys~@&>|1JANuHW2(0`9K>V4j?~-^SWVO8ZF#v34@aBg?QTaioKPsE zEpi?LNR78=*eb1TJhK-SVw?%?hWPY4xnOP^E1 z@1t+xJsIvqJ9kEUfyR3Xq^-~W9Ck6@do;#5e4g3&<@$F*#oIFMhllX2_Gj~h@M!EM z@dSqP*TC+_Kk)%{=iQ1!e9-Q2lbeDOEXId?n=bhdH$Ln_9Q9AO#qH`w0KOA>$6=j8 zOjZPtbWsqnXb^0VqqhTwFh1@Y1Pd$S58`{RMim7%JYDSBEYkX2+*7dv~}k$7q^teqjs7GX4(3^TSm*#%Jt+;{vCOw`Fm? zaT{dbM3NJ6Sb?{t5k%uQhcQ^*+1V(?+tOPRXT>IaI~)(E(|Iz3i}9J)@hp7Im-uWs zi_kE94u>iTAHvoXpL;8^pr`mxgX9SUmh$qaAhqi>1gXsBEnwKn(Yo+X6n4V?s3E?H z(I7!`bY(eOop`bw*(46WcgN{So_W7|n)Ow%E5bwBC9}6hXE-7M@O?Zd<7qCNmwKAV zaMAJJ;b~o3hq8m4;>%~#hqT~ImP>ssP#=o7RUd*G{h|2KmR-$o+3_wq75=W-i~gp^ z*X8k7TJ-D|WzOz;^{04SE&&@V-j?AeT^ctr+!}UpjxMI}_OK2uif?APBRm=#eY}L> zDdE95##m6&)Kj->lcLU zqgub>ZPWS{Z+jK%xABwXS^ogesHe65R!-ArxMc;7r+C`|$b-BqkE`bWbtdm`(!7ed z-Gh0X;@|&-d1ZdRqrNt6GG{mzcJkI zc#5}uMC*L#TGlD&@UGS=^JER@NuX=sJ*`vb{Xa8xzMrY{1FciW=|ink#_6N9&aa$^ z6Zv=?>Kfc0|LY&s^ZZQfl={~)9E36-KBqq$%6#}Y!|8hXf?;rIm$2U)a|;{|X^TCx z2kti`8R0r3F6DU?cw6QVqOam+FzzizIZE-i?A4a&SR8@3WixAd+fV7%#*z6p-HIBv zAbTS2)_76V&nh*%?E%Qv80C@DPl`YaQ{N$GdpoZMmxk(PkJh;B9w+VIyABfwyImVwB=- z+hCO8oY@D_XdscVfp;wp%vjl-M_U)BQg^4tA6*+nKi!*yQxnj&b7cjcidBysgZAxnKcr zyOt;CH16Gr!aJIuvoMvp;~*E+#T>`7Us?1#ltD~yS9nyrssw#8&_vbsS%3Pk&^r|wKR##P- zdnIyqSMj!Y!WFtDl^cUL#17(gt|h_K@r>n!)mYwL zAogrTG%?Ict|@}IU8~8Lu@EP=Ch?-(z{`F^ntl({IoC7kOlpvvX{KK@6W4$=P?LD? zrw`1Ar5ty;OgYJ2n~Ak@wV`4N9^R~Y;Lf&-+$-E%HW}X`U?V95d=?S`0C~$SXJi!7)Hc*o9p3i ze~6UE6EG6_S^mGUF9ZRbUiT~xLBL``@CYMb#bJ2s7~Gj`#%kA&@#rcSN_Cy!rR$eR7VlaZiBH zdT;64I^+Qx_jJdUS-Cgw_H##_>X=48MSJs>ojYopV}|f)$D6nG+)<}FW^+y>Z{B_9 zjylFML-~Op`rpyhU*g?4P8Fxi|DGA)IAfk-wZnOhapz5NMql&?&BL#o{BKP)&q&QP z#Tk9Y%RT+{Lo?OSXwB2%jK1-eo_SiTd73p(yVHEnA2m;(v@Z3rwWhaWCM`z;*atHE ztW(cEcK(cm?~Gf3p)nVuXTk$8Dz9MuJ^HG;`y840-%RxM|AhYQ9f(4{YLH!OkiB8M zGe$MYE;Yzr(+tGasRp?h!!9+*-j-5SHOMYC$ll1Em`Dw>H|r_}sX_KGVX!x*6#l6X zp2HFKQG@I;+(#6^{)dO-JaSY3`ya6iCr1Ua|Jf4=204C3@z*kJb5sEPpEF#{Q334# zo8fYf3Sj>WhMPhvfPKf%s3L%Aw1iXu`>v$4hExFiz8GyG6~KO-7!$(3BD3F1jP{TU zU_UUIB6mkf1+d@8EPyd3qypFv4IA7UQUUB+F{Xu70Q-H-ZAh6OQUUC5V)lm76;c80 zZ))%u?#u|O0QQT9pPbGQ8;^uhGIzr04yge4hnb%s+uV=}V1JZh4=xBBX^a+QVMqnA zKSqotAr-)WvlvT5DuDg1%_x*v7E%H1ZzFA69#R49k2Ck7o=2T%elJ)*>{G_#Df$a} zk~u1X{Y4BqIVynt>v_6CjtXFZ@eD*`UIs@Yu0Hq!tUL#j%@&C~V>y}<`)DOLaV!qbC1Stltn<+txfqQK> zgRP+GYYe(N!8cv})0bl4UIQ7E=iu*;fHcrJeH}EV_jNln7sbH67t%prih+AC;&kmx zF>vq2l7V92-gim{ih+CIB~zQy`Czj%kk_SjzP@-YgOtwKZ#J7jO6ThbE@Y6>`94QU zF=~i&Z_PuAUQgiQQbU|ueKUj95a<4O4}!jGh<()%`zo*ZRZ8qqWglKHD~&4q@JcSj zenE82E*QbwRv7Q-T1Gj!K@49ftJLWqL|1hn!zQa4d9?)lF}RQekLfKceYm-)yXxlV zjGHA@H`m`xHz%>|jpAk~gSQ+{H+{Z9xH-CVEsRas9%oa!3vz#Ap4%90bI&q-JHy4? zO$^^b-{sr`4ByG{(3~BO@LkN)))K;ds~>Be1=UizppgsT9nQ2C$api zfcgE`q8oJv?pY~0#&KU`i3#+DzY~IEZ~zWPm+I`omQy79TR0Awfr!8!;B~{;45|!! zpvMl!AW@xN7`_0?Q8& zeUUwU0aMJ*b|rU6b+(-&M!%5iY+sm``Hi!m*vI@>N0V|qw+w!KA+vqGw~ z?X6;Tg^et8n-~{_RA<}U#pn*H&bD`mF)M8CfN`f7b3>}L?OkHb4;z_nsrx#*Z$U_P zw!PbZ7ETw2RA<|JB-@Q4)!Fu5F_wf>XWRS4xILsg+x}R(YH3Jyw*841%R;KN?N7y6 z9#Wlcmx=L2NOiXTnHVcWsvX6_^WJ({wv;Czsq}i0H&bCj8)nZC9XVjCDv(=Pv>*3g^#All+ zQJrm{c70T|k16$IJD-u96HJNfZ2PQqd^=jr0eViXBTZ=%&*6Egp~IB!Va}D3HpP@E zDzLv2YpN+dPV3iVb(+#&S>Nx(nr2E5vM*l}Yq}|2g*9hi73(ZhTFSBclUqQ`x=iVp zoNTMyVX(SQ>D{rgUUMg5UClKmK113+%NZ>&rO~YKb!pi`Q#$%sSZ}!d4W~N0M0K|P zi=-_zrNenfZ%W!SQ=&TCz9nhPO^L5Z>}r>nn-HDWn#=`@b?d(!iPTjG~9`@Z`K z3%I2<9L*0TXVER~$3FW|tg>5risk+#yG4^*qJ+bKs(Xi9I-F~At$Q%ez1b~Moozpt zv=+B?D$m_`Gf=~hZYk!udt$Y^rAeHFy~WzyEj4n)A`hD})Y&Dfvu)8k96l$wC0?lQ zAhFur64lwZEH|PZZs{u+9NQ??WVh7D(HtVy6t_fmwjCzcRCwWtHHp>fmZ;9QqdYG6 zX>N(?Y&*u=45vBWEm56qn?3HdU2f?+?3b;?y1*^n#oD(PtJ^J6oo%-fYnEGD%QG4) z)?Bwlb+#QR)_k|LIs0W>u@<-`sx)U`c#cbTc45R9C|)1bp(z8p5z%cA@$Q15SDC}S zKbMhNuQG>uGZFSfs!8oc?|E!LK}dDBJ>2U;4K}1Y+a4*6E{0TR+ey-YrI6}ud$in< zmvtXKLB_5rlm?&TT?7Zsp|rPC+S?IQoo%PdMRIybb+$cA%5*s{)!BuSKSw+3gXL^G z*W3hqkv9Ruq)qS6HoZG-`Yi6bKk#@N4dm}F+Ka`%)$^DoeOe5u&bG6?2xm|Zsm``@ zyv<=Wg;ZzTx#F!kq&nNq^Om6LEdp7x^F1z(Hpe{`{}e_Yf!5$g7RLddh*)D^@k*Fg z+Q3({4SY3i;AO~WS9x4_LCDXr_BHQFP7Kkb*gs1Ls7S!RE=D<|I@`V>H8+J+XWPHX z5HyE!x^K$qwuDq?+cjRXh*$IBd3|8KFX!GCQk`u-^e$r`hE!+UPrNU1ZtWq}+4eK< zEu3LTNOiXT+`9{FbV^8dwl)4cNa+l}+X}39el3la`;Pb0y~M(6jGgSTm2Qt)*MouZFlhpj^NaDIOcmub6Z2Iv+bV#7D#Ce zsm`{0Ntp>D)!FvzQl>qmI@|6mWjaEtv+aKV2U~Jv7cu1kIk!&vd!F_ne-yk;5BdJW z9wM&0LaMXvVg5Eq=`LN!4x8xjGYHexD}9CSIl{k%W8#&r=e(QbUkj_BSE4%GcE~9e zz0${Q-%-+vGIk`YvkPP9p;zmJD{&lfJR(}`WS=WhJNme6M<18%=qYJOPbL=f8Eqh^?8LXhg4_VPX9u@s%a9PqdnDU&CQNWb#|e75bBgI0E`m^d(j@i zw^~c=CH_Gutqq!!ZP1)-gXX3UqOQZv^SP_qkm_uEssCH_Mp4jL_A$=t`-tBy9Z7Yz{e{fGvg1;nUD$@p-=#XcFqUWT zQk`8G_aa*2Qk`9Bks#ICh3zFT)!Bvd5~Mo2u!CfvI=irw1RvnM*ja*9XBT#PkbbGo zF6<#esg>Y4QjF^C!u}GZI=gUyWEjhq9WFttvkON^@ELlTBzdXM zE*vYdRA(2CmuGu^+$fwRu~cUlrb>|N?83=XjFRlaG{*K3Np|7PI*fOYKc6X_#r@gI zb>UDro7=PRxRdGooK-M9X6zpn=8wg{n{d}GT)|Cq6H2lR3mA0zh$Oo(<6iotB)f1v zFI!HIKf)?igS07q8^4BfCnyh?D<0)8yRUw1>aOG$Pz_FG8pBa-Z7TV7*x zlw>E{ah*9iDr1uto=1?Q_AuF=VVmQ^O2#vLF-O6&hRZohvXfT6_)%DLvdd+NY0f>@ zium35c-|s^Mn2h{;R!iPvXedNb4req>|{@dJJG^pkdmj-pEDmlhHFZnpW~lmviE_A z%JJzb*>?tFoE-1xll>U>bChH!`?L8$j*{$T0>jpE@5evM0d(h5lARnh1;IWd$xaTr ziY_V1P7b>TK_|x#6-m3gku#jg+YIY8FnKWN+hFOU`hZ32gGo5Llw>EzEk`iVtKLck zr0E5x-@@dC-LSI@EIBzzic*rDOw}s**&;camv39{JjO{*c@s@6<|xTdI(bRx%5h6c zPGk1&+_oH}X*}V%IZCpV?=U<+w+hGPj0168;0$;GYp`rfhQ;-6ZII#HHdWf&1~Gz= zl59IzUZUENl5E>3?@fz1D>m7sBs-bTlj&DX&RoH>pd>pvTh1a!Np^A$hbqWXlAWCU z9I~LN_)n#iSjwd&JL$Rt!G7iBeBSp7EIGMw1qwSk3Zj#X7#--uljUf2;>mJklQ{S; zCE3Z$KdGl#N7EB!rOE8WF#1l8a?fN=#?xFjFZDE!;iBVGlAT<-CCc_|N-n>LKBNU# zvRvw8f%>2{HMvTCP#T-a4=Re#*GrmLw#7fN4e6|XnPHowDl~b8V_(cs6q@{z@t7x+WGAm)PJbQAYx@nX z^waBU-JCOTuw0<^{Dtv0C-d%2`Y7sl`WE}CtaD~H!!0@Ko4+#8gq-xv-xzLpTuQQ& zxBsGbzVi##Dd+I6)+zI34d+RqWA>ibDf9lHnL6Lk)cJwdDdY5^)+yukQCcS@*~!Nf zQCGkAkU8wG0P2nGc`SpTd%pe>0q}hc6gzRY`W@m}lW|NL%8W6W~!q z_eq@*m-54(q?cj-K&0wPZ!yXtCD}<}*sCq!u{b96@}UTBkK;Db$27p(5Sv8iD!S#1 zrKBKxq7zb*o#=P2RFa*<=G(|7lI*0P6d6)ZHZ{EO)v^Q9vXo>en@L?z?BgCI87R4I zNJ(~5GV+jxZH-qHkz^->%-w8DQ!?1_@kRYLrv7;Ao(vHq2r0=QnfulMcBX)LGn-M8oosJp zi`s1R>CDoZ8V$&Th#7A_}WH4{--8`moN)5h(RHcl4bu99Nq2NB6` zl9EcYlikfgIM{q)vZpy8->O8CowS*YaX}GDcCwdwfnf?Elf6v?W~oTBlYI;?HX_MR z_BFg{h$K7N&v3&RNp`Zo;pQun>|}!BMktc( z3q>T^$)PgEMUtJg8*XSK$xbF3ZdfA8PL7btCz9;sNOKd*KJ*>5d6M~%Vah_2Z<^E4 zZ6e7|I%HTylARnSqa>2-<2Zy7#AFAFKjPEIku#R^?6Z@`jH z!<*$N!c~~H$!RjZSB8{iC#M_UOuv8`JqHcxOG$RW(|Jq72Med4+z`2xWZRwhK;s68 zB-;*@hq{oGY&%HacVM=lZrdP+A5xNS2g^%|K%dFW@;<I4>;rB4T5?9S$bp{R+HY};!1mct1t$+llN^O1nQrm?@d6$aj-(KuL)Vn|80ooF6I zN;#w?+a6lB5BxOQ4 znf1&x#bLNIhLmL6Ic7T;9U&#zcAhx^#*~nfY&&0!&XAI9d!;!JDbqtrvh4zK-4#-j zZLc;LBBeW|B-{STTn%Gx$hBt|nY&>u2r0?7*PE3v7KW5$+Z!ZhNk~byy-|#%VJoxU zY}O##vXGK&dyDChX}3J&PGpywonbr?Qj%?dYPw;p3@ORBE6hDGUJNP8wojQ4VXO*w zpz%Aiuu_i1W2jd;H5XL8^{&f9`c@&0YN|a>VwPFRPL`k*{+&7SB z%^*s$ZO;7^R?(DpXIdmy*_0^Bwtd|~69&nY2urs8-C?krP3bJwFu)xTtHqQEOSX;f zDX>~i>Fi#xws2>|YBMFmlI=+M4pJHF5HbjG^=mKZBjx`@_p?w+uw znE#KxH-WRGDA)gcOVTH&t8y9==s;$2CJPK%2M7>?$grsK$`X_%Y%)NA1cC(2g{YVe z5HNuN8Ho}VF+rAqAOpBW*@C#Ri4hf1`C&xmf{3ClHzMNydEU3XPtW8eOaglUpL@@I zKIzokRrOYNZM}WZ)5A`ub(psZ)*N@(uUNAVk4H2QZ`OE3r-;?*4*MJ1VYYV{QZ90b zJ>$cg=lu-UQg;}U?8#%@iH*=5<=PD^*mFpLIIa6}x)lFF|O+xjHTum38 zuj-;!PA$|kBO3Pa%)@ED@jQJhdm>ykb4BRwr&eOIzs^NU8Hb!@y|eJv301p+F1dE- zEaKx0?cECyjrfNd&(%cpbE;wQAF!kKK?J#$NDOv@i*cH{oM_T?%niW~Ny?=~TqEgN z?qq_K^5hblMN>xiLpb3hVFmw{!h2=g&P<$ET!^%c;zamQM$;!(CXMD3_u;9hWm#@6 zRfXuZns;W=T)PU+r3C3(+4SrtRPFIBA6L0TK1p30iM4#Su3`ut1|p*z7FWjJ9%N?q zDtQABmEztQ4{?mLr01 zq2>8pKgY5NzB5kkQn(j#*w11Q|K)r67+R3*$^!pZMD)KJo1ubaS33Ql!O&~A^W{ZN zdd+r$M1&}ssRhZd{L1HBSR5?<Rvj79!b|p}{|~M;;&YLQ@KEMT)~(nC|Pi!YqX? zh$w{zNRvG%+n1T=F$51l)J}UNkRjQXxxqB-8!AY41j()}3HUY($Adln|AI{^WVTZHd+zYY!QD9+m2H);2dyxo zVH4osn*lL5xHuP4mDPbk%vNVk#N*=VDC{0{5rS@io`rh@!|v4&Bkfqe3U&MQO(-Rl ze~!Lz2XHcbkWk+KU9d{*6NoL3<2ATDkgmM0=_087OE@U`FQvrxgk64(0foPlWeX&8 zj^l3oYozhII?ydY!*1q~t^}&d;_(I#Tj$5v1kSwA<62lL=g*EEE78`mEwU$(cGYBTp|s?8F<87`pO%y21O3&Vd7!Bn-G#iy#x5>(Y@ z394!{>tz3gRAYR+L2~@z_%Bs$<{rzA{0{`Ag1Kt*6sA(uW;#e!n>k!l)n-Ycs?Cx> zRhwmKt7`MvNSmrQcQ7~|KYJ)`x0pdyZT_&Z{`{~idF7~K^FFzHsmwMmA@55Ck62?M=Rg2hO=6wy5UA2hq<=#(l7!}bXwpWOuTEzC# z9t%({Vq4TAwnZ&s`&r4OTEzBhkBzTd#P*BcQ-e9HsYPsyTEw=fMQm4y1Jxq7E5%SP zVtcI^szq$SEQV?k+v~(oEn<7U7^+2VZxBPZi0zGHs1~tZC5CDd+ndBtEn<7K7^+2V zzaoZe5!+kDP%UD6s~D<9Y`-doY7yJp#853_+wDD#7F8``d%MT)%&Qi$y+e|z7O}lk z4AmmGUlT*Mh;31e*cP>jZBdKZ7PW|NQH$6XwTNv|i`d>R<){|1Eou?ldnHD-i0yr1 zypd3g*sk&J!y!0sLM>u@zgVH0P>a}pN34pQP>a|;;603#_)rzKi0ya9$uKve7P0-F zv`W=Ys6}kQFIKCYyavxU(;`l&MQk%I;)Ghn_902x?k1#k?Ze`8s+&-Y*goR@4CNi@ zCe$Lfk4j2>#EM$P_J`8&_=r^#N8e*&9qT64BDR?paY8L(yH?_6x(U^^?2pBojgMH- zdR#1g;EGzr_6f1(x(T(2?JvZ_=dP$lY@ZhEJU5{hvHi8T4mIm^lOMRS)_JeNTI43w zBDTNrCSYFS<5$!ow$I2BEq4=Y5!+0QIH4A?{hc>@Cu$KV)FQT-7I8u?V*9Mbt#%V? z5!+0QIH4A?ea?FTjrE|LP>a|;FVvNTPmzPkB z*!p6%dkM9O?EtY3@Dgef+f0i%p%$@C{GH)*nwPxH@zNyLbT6S6vCXuI6KWCLOp7?7 z7O~B=h!biN+f0i%p%$^tw1|^yIF`oyobGeIgj&RQqW=P{d0s*-V%z3(rS0?*Y7yI= z#aiek)FQUKh_%Q|s6}jd6>Eu?P>a}35^JfKP>a~^Ce|`98Oe6pU99C^LM>vuhtF}g z!b_+{Y$uDg%1fw4Y^V4Spv}6y`72Og)grb>`$wV#)grdX zN~Kkc*cP>j?TP+FaG+Ymwx~sH&-9Oj1Jxq7bELjX>Dsw+l2k2X+e3@kjJuTQ_^=Dx zA)-ZWFZTHbD6RUUV$~O=Rp(D4?Ik`>qpC%0FBSi)MQoQyo2nMEz1-(V=TwW>Ug5t2 zL$!$QQt_r*#J0;{fU2t&v0dhKa->?sX8btRrWEqSL__9a|4a5M|3!==t>Dwe3O=1y z@Jb}J>-_!kJ6Y8tw!iVm^GCBH7G#TB#I~qKY=0*us}`~Sy>x+U5!+|wa8--gzU2QI zQ%tpp?aOlPszq#H^=DvEs}`|c?>~WayJ`{Jzx(&%2vv*N{=>f*tBz_BTQ~SFVpNOR z1_39BY7yIh!M3QiY7yK1!3Y?tMQn>&#I~qKY>QgNP<0%Srcf?e0T8!1<(F#CETMvstx>?cQQ2rEA-R zWk{x4#CG4{uQK!;u6IQ(V*B3UO~j}cv35?Un54fi0vI>tWYgtv-3nW zR4LpI+aaPwZ10i@r)_snvF+|Dw%xsH+fj?y-X~d9i`cFSc+aVnuDw4vN*a>Fq4qm6 z{;H})Y83Y7v`5CBY=j0&}zk zsYPs#ks!5*&2f^JTEymL*-I^AbE@32lF~J&%U)^`o7obi7O^=)vQaY3%;jFyA~v6R z0@W5RVsjqXXVoG$pXBnKY7v|H^8Ga?+%7cB`oY`w)FL*Y<)XPgwTR7f22(9!bHPF+ z5iMeKAx~SXMQjRM#HOG{YzkV$rl3V^3R=XbphavhVf9su*j&o+yM{fBQrcCE*j&R3 z`m92xMa-rtg?jV+Jf>_ZENE}ak4`Nl3INqIS zT2Um9_uy<(MdG-iNE{awiQ_3uu8PDORz>2teHpT8eN^AHc9G z62}Ea;y70%o`QH$B>p1;Y3)^!IR3y+IPHrfaeNS`zA6&O2Q#dS#PK1lzA6&O(-=+_ ziQ_}*P85mb5A6dtsz@9kK8G%;NE{#8iLELU$J5mf6^Y}cc(GA&ni#F08kHt0h0IzC zCt)j!#PKOtA!x5c-`|LURDF;$d__EKJIrKJB#uv)tW+e9XKN8uB#zJENn8|(<1_C; zKdB;dJclO+RV0qjW^z>|j_1m{CyK=JISi{Jahxg=4-rLTJIrNvy=H52`6NjdiEXnO zsz_{yyL7CI#J0tyH_HFA%A!ad&*Q-iPvTE}o<~7N;`ozt6rxBR7Zi!(1=k}9T8jTQ zOPW&1T%t%Ecb&iR0gMzbX>P1x4cczt~QyNE|=MuqqPA1x4bxs7M^YaJQEE;^$bV9K)ZrOc^IH zah#|kar_r8Q^tKkkvM+2Q06OIru0)mkvJ|Y6374DhO&lFkN@_3ew=^TGNt@C7*=*W z{s;Z3B60jC!|8nZC&TTkNE|!vb#OFdYV5o1xYQIy;<%qHE=7?z?(Z_aDiX&7#85@z zICR;nsz@C7P$Z5Ay06l0B{uFHy5-}gc#y1#sz@AHWKB#JiR0Kk5XnT5I36rnMpWZ% zU0(fa-l1t;DiX&B_Ahr7IqQGYF|KVHDc zBg9Ze;<%_t9FLMLsz@AZlWRIhHvJzOEZ0EtMg6>iz0EnllvqKPvWue z#n>sLzw!9Aa2e`FMdEm(%kz7xNF2Ahha=C3Fy6(zDbGAfGpizTyqo)JCQ0Hw+-DKk zhKj`To~|rW+fb1>o+7=Zio|gbMdJ8f?$?>Q8o%3ZYsxCuF6s3I7Z(+Y zip22&?qA_)ayI*0LI-v0f+*cW<@@IUY%ae^L62}L* zJZXp`aeT1L1z!}2<3n67zM@DRPjk5tiXw4*sLRDe6p7;xxt#c-NE{#LazctCaeTPT zi6V-`@ewk_MUgn3?s7pBMdJ7Yk0p8X}6s@l2QZ zilRsypC+xSip25hF7GH+kvN_$sZ^0TK0^#uB#uAg@?uyOiQ_Zf8*sg&ip238mzT_{ zNE{axiQ|vDyriZg@hK=<6p6R`C@*P5k$5Y)AQDAlyU#GZk{Tk4#CChRwG%~R+aymt zFj{a)XPd=PMPfT#9!;nsv8~Ee0aYZnE&7N+6p8JK;8{G*P(@-pGT_aPDiYgK0T&)s zB(^&Sf0U;lR3x@zC7CJ`+wpR1m?{$6*}T#cMPfVJUCTO)BC(wxtcM}$cD7TVIjFjw zJzws(b46l1#vNXLD@9^E(WSQ`qDX8fxyz746p8I_shoG)Bvf;EF;tP*?%`g4(BP(@-pMT%ENVw))vZ>x&Lb|3ecktm6Z#J1h#vkp}xw*TRtjtEsG zwuiXq!%#(HdzcuiNNkUCuSSe265Es9TVbdov7O~U07DgtEk*?lRV23aB$+A_+xhNK z5u=L4cA>iS0Mt<6)>Gv325+rVmrzE6|4?7p(3%}-)m|`XSvDgv<~x*hc(Ads7P!(JRZ?J zykFxHog!AJn^2M1&h|cyl#ATt86Va>?-p1~-Gqw7_B`*$u%JlX#6jB3RZdQuSamp@ zn>bpVxk6=o&*EN=RNc#Et(hBS_!Of!ZnGWNatDWNEiIDW$-Nw^nahU|7DZydAZeDj zB&Cqk7GK0>6qiAc+2#+T7}}$3u0_GqiQLO^t$XiA9k6#EcC<3gL9Q{A(VNY@-9q%`%*27MQTJkJGf(?j{H=_q zR&ybqS(_zg>g0z^#5o`p)F@v2=?%FgPg7FUx#_5lrId);CeJ99$!auN>OBlTnmD<% zNU3uDB2Q*Y&b+!QYo$r3evwzu1?Q`}sFhO-^~{KdeVlnXtv8;hPi6OqizcoJz5Ucm zEcVyINGZdKv#fU(-a4UbpQKB!9XgBnctd-aXFbHPWIR_B&CjWZz4v2B>jMaKEs+@P z{5g|yInku)nEPXvluL`aMp6%98HiK3lPQ}e)J{`IHy>9b;cqZJ@o@urBDO6vaawU9 z(oDsPa3G`UiYt>w^SOI->gn_>HFTsRi{bm7 zT1+!d)HcRd3La6y7$SfWO*k0uQ z9NtQyD_u=zrI2;891(mMEzjqmNX&a8zBx|qQWznJJp+6AFW<|D(V|Ff7x)Vi(f^~^ z3=u_Q+v(o|L$BG+m)AAvHQNOeK}BMw7DZzFE1&OTaj@8fiGsryMPfTNcmjLm@gXlX zrC=%&kF7G@5D23le_w~O7 z!6BkZY%dDBVTdBJT`X_$aFkRewwDB-L5wI8+a&?tX_Z1&z5j#QK*(RVcXP)tuo?66 zL|mCPvDn^Jt9$j>6Z$cdue7Kw%m=A%GglgD3y(byH&gC#_O0J__kywf`iWcRt%l## zy+C>0mRrPJwP4&ISjtGQ`j8$T_YAF3YIQiy&Exo9;#b$;vQ@={o7$AKJ>vHmPWbyF zmIMBs;Ws#2w3OUSG&oyc$4{Jsp|h(zKWcEc4bB!%y=KjD?3Qr0cVdD4pXF?MVb|bn zc@uvYTB5<(I@JbeyIGv=a9pXa+6ZUMxYhrQIa@Nu?=)vicDKga()z#3*^)S}akl%y zs&Tfz9f=c1Upd>tS!Pq5?V%{)ZE?1|-O4#z*7xmmwuP$yOF7$*p|)GZ*%m7J@8fKr z#}l$XaJFl4M$0+d)zTlQ@h12mKAD%Cvn7Gs;B3Lp2Zhf3_i?s-uY}J;@)<@i&X$h_ z>T|ZtQ=hZ_9-fZW=WI#s=A12E=bSAG+?=y*8iqUKe>G=YXy`t3wmh=>ob3^q4sVmQ zEmUaBINLwtQDGtUTHqO^4gTPBewwIpo$ zJP}_z4Dc9n7d)DbC+&mSjIiZ7b~6ZDCeH|4hTn>?o^X>9wx`jh61E>lupVK{%M5U%jP60$GHWTEfNe_Hb|EN_$X6mD zRae4xFjn?H6Sm((KV^h1PYG{>ux0iwBy3sbl(6N&kg#3Gqu4ZI`z0hnOYy(#K|FJ% zgzc#alCb4+}J__Coql!j}0m!d883 z24VYd_#t8I1G1_^*s4DzY&iuo!j|ETuw{6Q3ERuH{97+%`5O|ph4ODNlz&H|{5uQf zZ$4pbQ7#Ev9}t+f=RJk?yjRQbZpX@VpO*hH!)prV->>CwAz^zt%LidQk>x94tK}eN|vdFt(LhVVXI|sNZ1z2d_~KA3&M5`$|7O=+x$5H zu4O7=%Wy{6(qBf{GMo{%47cZm?KN;DgzXeuWM+h|xXcJ!rq2mm8hs&b|4g?eY)_-x zw32{gJTc<>O`&w#Ct=%(otsP89)dhV*nT{{<%BKo5;MY_)#^i)8vBjLQ4Z-8(gzX3zIblm9Cv5kCkrTFbofEc4 zASNelXT!(|+Y4aigzZWgIbq9~oUo;l6Snum$O+qLVdR8uWpshCCEt`2wim+43ES_( z$O+rmVLTVzb~1+2uigE?q~(O|Suk?K_A@Y?oUo-8=7jCnVbuuRM_?s6VN0u;6SnJM zwdREFYp`mBZ5dS4*cp!t@#PO6BLr?~_3F9_#IM<@!i8O8#2dwVB8?Au7 z1aSpIKbO`O&n&(o)}3HCN|MdvOB-1M+hx4j;7T!9cYUFjBxOV6)+JLYnoZH0p zL-TX0As3NcA#AypNDOw8!)@YnqDj*+Cp`ZH$i<~a1`d*v!^gWC6+6>xl|RR(`pjSm1wSA1?N(NbggW9b`y?-ysqTq zDp$xSscR##mao=T48a4DUh%+{vA2iX^x1?5!oA{wD`syGwS2{)L7G>xa9PzX>FPvE zS7P}7qZZRl6SXblDg}=yVdRAE*0_wy2wQzNznO$Be=d;`wse*fwj2?Bt1HjvQo@$+ zj8i)$Y){1={>%3k3ETOI=uiGOCv0zkQJ1h~1PNQF?n&4Z%I!(muEidCe8>w;DVT)q z=ql6kSN^4tVm77l0BJG~ez2{~^ALhVaB4qL%0uwEzw#KwoJVt zVM~AbeW+~jMfde5kDC*=H^Zn;*j|B{o`fx{-v2w;ltN}Jh2P)~N~w&w5HzdX#M#oD zXLYY$4)k>_1%%wD?+qk6``rAo+aC_InQ~Bm*Sh&*PsQs%sYBe{NuoAUW@Mt(iaWjFZoB+|exB?^?QG+$_i4;e(R2bHVsM zSgq7txL`b=1i3qM;`v?67mOE8T^a0t*DLeKpTxvF@%6OdwPL~eGg*l-nt0WM@t+z5 zbF7-(3&vlH_0t_kq}cCTKY#o<)W#jJ<{R_J&!ejent1hs@rTizsIg06mSDC~VZ`rR zv|z&CIQUg)qmtk0qm}SCQN(;B_legKg}=71KCgk9Vmi(VNIq`H$>%uk`}s>EuWOpq zb{M5f@%KCI;NOKzbE4yZjZFiz+1VMjNOL`=x!N%%*J3A2mvKDE@!4BW=UCFplUDKy z^U{78Ay*>rQz351|62?BITG=&8a8NUv{Zvu4t8-l+#9qqm-~h)u#1)B?WzJ7UiAMD zsRHZcqJ}DPgH~>+0&kHjaD!HUXH|h4v~s2j+@O^g`Gpf?gI3N}f%)hKZx?WyQ@vT*X3!|+;D`Rl|%T$324c(xX(a_ff4O%%>1?G$CYJ*m8(8>*3 z*@^e(?RkS%220cjJ>Ukd?9|r-ZqUjYKpWQsZqUj?AU9~`NAdQjmmY9~R>rgbjpzY4 zXyplKV!-U=HfUwG!~5L^tvujVG{g+IK`RG*N0?-KzztfthaPZ)R(7BV+@O_HJ>Ukd z+@O_50c#V%HfZH$4iU6OgI4w$v@-Z^4298E z4v7DwwDN7_affjk?ai}74_HX$VM;15U!bINlak6y7bvMLzg8f-JN7Q3yu%gr?OZVS zt2C=>uAe{lNND=HEo#1$a?B%?V+I2)9P>!!nAgo8cRwq&1Hb=A{Md1>76y0PmvaX9 zsg%Key%&S~-=zkF+hA}Fi0uZ0yZsmt`L@B};ujtlG#FeAg=;;kw|7L#Dlon*#*E0p zZ#nFBV$6&l!B=tZ^>!lBm4{##hBy8XW{;$=)W$vZ#g0y5%DT z%cIk<7i+nfOu{N-_wZliV&f%Ga*3NP)+#UA z8$YDCQ~U?e*40M&z8Jt>}hg zu)QN_CZX z2|h{>$4T0&0Qi`bW$#GtJ(a&#_xO0qoGyFE@Mi+ECHNBeo*~)z>0C3Hdk3C`Y@zuC z6&T8|peE+LZ4q?Jo!FR9jzBnYyczU8|8^KY6K)rpW&Pl7d#;-1vs^T{|J88_E@#jg zSjDgKr`l`*(YYJ$P#3jrt%ODq^%L2?Dy^}3v~d=sF&uGbaz z&>7D7+*D_{4xfA6IKI!AeSOa7nw?c6_s8q;iUWTa@dXC{9xFHa+yiop>m@fqlwS z`|pGGoHz8$IUjZGzCJ&$=J<}cm{`!*wWw|>d;;4ci?CynJ>2J~vlKo%(l4B4j`SBI zV-StwkUYv?fw2)rKjaY|?H`E}D$z&qjUaoh99|NAlF5#f_8S&md?<_){fFS7s=srX z<#W8ZMlYO*m^1z3;Giv%`p%L1c0@0;tLFOr`S-l2oxi6zPx5p+-iHxo#$AefmclM< zha86;)9l4QZz?iAw@~$esYdPsRDH613Dhq0IXR{}-dXt1j30;El)_!G9fB8L&P(DwewtYHn+bfaGuJZ{xg%Q7$Vt?cF=BJ|Hh<-*IAd!!$+TV&%jfQdf{Z2}5 zjdtbO|GjiUeLk0;%j|zs`#~c|83C0$`mH!7V#Lu-8Qq$t@d-u{7eHnk|X3JR-Yg2a2&G;!T?^ zi?J%=J)4ch=#DO89wWx;Xa_D-R*W?f!7e)}AkFn)M6%0P#Q2x;xrNd8@6*WT$A%_H z4*UE)a`5fZ)m+@)D@5(o=yU?w?+=!tb*4o>!9=nD5irm6=!;yX4w5__(MnF@g9CnA zZ)UWTF^2~H9@w1dypv#jCy(9nI>$mNkGQQIWcYjz;NA&C}nG|vh6RoT{PDo5E_!HGELHpe>+hi2NyC_; zIdr2KbD~f1*Kn)En5WCx%>kFQ&M0JC-5T(7Pm7`-aJlIY_}iPM+HkiAzeddRDB+dM z9b&A&2jwxr&CV0ivZZi0Y=;=^Xtj6AGNDW0Jwc)E?g{ktB0nm)H{cVXFuI!ub)RIZ zM0;>FuL%xDZ3ai(9Dnx*N5M# znU$7ijQ#TQ7(SJe3_9g&FlQ>G7*5KUW38-=X1J~V70j~A_zFhi)bg3Q&Ztb7fc?|T zdt)Y5CQd?ldifp<%}N{7bnxWoI7}kn)$%a>@4)(73K{F|0tfM=_aJuQUYJPp;@x?s zE%Q5P@gAIQPWe34H=aBm!LTgY%$^Ka%A8*D6edr~T(C4;Eq@!{JU~xET4#FB%a1_#qsXKfAOJo=iQ7={Gol|X5dV; zL=qo9hb~`dZI0~3)+v98;pyr|j_@d6Y*d^kM(bxprHM)*vzEe1*m`^6VB=G+LQp=; zcOwGn2hE1Bh-YnwMk`-%5|Z>mDfb?u$bc@zPX#h;W0D7y^LXIF*gdpQ;sP-GA-#sB2njc~+V zo;?$>oo668yc%D~tG{wPd+VZmk=ZE^K?(82+#Tw`6KKAo15coNR*9YO-TF}^UHlF8 zv}8|wUcGz-o8)qSUeYPwfhiDQQSh{s)k{5fF`PKwi#)7PJIFh{HU7+E`j8r2#eAuc zX0hO3Tu@mYwt`T+Wy7k{aQn^`@&m4&0bR{bfZ%PCMP z55U!Hd>zBB<#*%U6<^PAd%1&S^alE#Uhan)#WynCQ9hC7Z(J#NnU;U+VwNv$a$BKI zx(nssUMT;LLiu+V%KuuS{I3_v{|3V|%ZFhbf0N-kj#uLGuO5tYar}3c@acJN&wC2( zd9Rk=-HxR9Y55N`yrxk8{aXI%91Y*m@-JljKcMAbi%}haSIZYQ*zcv~Pn?H{?=NHd zmH46ESpHD@ctp!@=P-SgOIGN3*L(!V4+kR+(yl!rPy2Ww?N8FQhs;9SpSCk?Yy9)u znO4TvFEp(zi@#(Y(oy>>hAZWVFbm_S*!M~K7A}SVkNYuBb~y#c)3fQXBmT{3TtsO* z{WdL|Gvas57iu}b=l)7r#@(~@k?3;zUu>tUj+y5eo?Mo;d7f#emFpH^;pWjz&z4eYYK#nvwKyKUZAJ zt!UieW%@9ZximnGYSfBdapmLD&1CMk)U3w09> z`J8t%??#*HJ6oN1LbxSW%6*cBC-GSKV(g3#VtM1!!eyvWNDqcj1mcM<&+njUJ3bDp z%{?4>MuhP$?oD~-Ntzi+PDhg6+)pz}67S(Yi@-J?J^Lgl-qV#OYMU7!or^08>7|WO zx?gAJYW!}uttqQqyQFuN>fJZ3oJ_v`B&HI{?Au>r5~pRWb5V^0+`q!p$QAK>-J_cw zXVlsM`4K0c>Yj+vIC?vtrQYv;h2g_aLihvjs|?>Y1K|T*o@_?%bt1wCxjbo%zMtWP zT`u^epJ4b9my7S{+fGJ!n#+Z7^dOGiLtQQ&qo4g4!XI)u@kj5%IdYiG2|4;}Omn!) zi8A_c%zK0k@lj`-Fb7v6E*G@X$8aq=%H@JJdK3rHF*5i@4`qKJ>z;?c8-4FN2p{J@ z%J8+U&++d2aj>Ijvx_>UTSw1kUz{MlG}`BadZKj4=-;#4lcaT>mQ*SCU1;i-{c#Hv zpX#2C#u~!#lkrTK_lnV@$0Oo2X+?aW72_;E-Ms;0Vujp~#j_>VD!Ctv&k&gdcpk>^bJ2F!ys(TBN6&vE&j?ZIdry`3$?du{a69xy)Y@+WUU#fUxyWp6bC zS43NVl$SL8`Jc0uTo8F4s%Q5ZhF4NUUchZ$huvOo?V=<4V}Fx8eZgqKg^Fz!BZ!{F z>R^Y_s2nE`a0e^hh8jZ!=v!enoJZ<`%V#nZ* zNH#Tk0hP03CD}B+ksU9OCa^vp&-%>fl~&8ytj%b5E$hs1#m*1b!_aR;cLr=JM{jV? zm;3F|*&(NNhgThE$8UK^vE%!Y&fW1oG={h1?@$@vY55V(batXkZ$rL-=4iE(+-1lS zZOh_!lTXGvdeFOz5k{-f6?PBzBF^jxk8~iw;4XzRIC_&Yd%8W8a#?&eS^(E}FX^jM z&bF5u3;@^e;~p~-B|VQd(6+nsY42#|NihDyJslBfYZ`~R=fkK(0gc1NNTLfc5baU! z)rhG^tB;3ql6xzR*61;u)9ozx0T^x3;kX#G7!@!kN1viGPm=Q2WyXPV^N^BtZ8nth|NCHqhRE8H;H*TlRSQ^zQau} zrnSFEh-{{toKEX7k6)CZ<0iji%{n|D(L6W#K9A@Wu{zy^ORJsj@eA{d+~gS_);y1& zl3(g3H)H^+NnIZ|~mC#!t_98sTQ z6vu70<67?EaIK|9vOBq#Lp3YtA%t5$2=9DB(kyRDN+G9hDf}g)xD0a4HZzU7lIc|n zU(KR9ifhrxI2b!5BS&#o(1dI!2e3Ngl}L7Bus`-TaX8na;ORu}<+#?pccTv2I}bZr z8Rj6@n4(hd<;=u^tx@-~;ymqVBC)qJo@2cb&nwAhNy!k(_#qQ<4oC$xir0R6LoUhF zlz(N)qc)aOB5IpFqf{oV(PSxd7<@Ewa%quL<@!aQ%#@sYbyL<#lTiI4ub>OgS9MV< zrxxm&5pD7~^Ke>kJWrp>?hhADToHQvsg+pluY-|Nh7)I5?<~A^Le)M=ms~q^7V$a0 z_AbwQh+oNgt|pqFQw@9X$Bxzq5ae1SG1&QYCgpOXNz*a+$1EwA7IBTF9>OvZr*J1z zHcP0Tri^aN2_WHbu-KHsD`1quZJCMFiVKluDo%t08BJGQnKYWZ7|p4t)3e-MstVC* zH807cxpoztO9|4ovgz4PsMJkaKCW_we3H605^MQtUBwU&G4sHcv9|}AS-nc$z{5yn zl!oDo+1o>{f1+9@b;KPz+q?(}TUp`#HRoLRY$)&PpNcVmTuCE?SZxmiTLI?wM$`y9QF+C;lF$@#Uffh%*&t!{z63b|0p&?j>C?M?euSfq1SBZ%j=r- zn(YFK2vIasw_G#;*?;BpT`Ue3doWRO_$^Pd#G%0x*dvb*d7&u_BmFbq?;-?g@ zmplhZlX0Gu!iSmXAq2M{<2XC*jlcrWX?Y#TWakDuV&4#ktM)&GSumoT1|s6zU_Qc5 z#3!A0p1fWOqfTb|Sip%;(F@Lx2MdubahjM~PGilCmCi%S^j8X5L@E3(y08Bw2oCuT zdLpzJ1>G>Bn`Xf0VtI>)qkM*)bV=|T#I#Ui#x4o?POB8M>ir+YrW7(8?pnEn3M*sQ zw~?M@vAwBQ_v#OfbDXg!^kV{^k3|pn>&WB|=j;*|_Yb(Ga;xMe{H{0VkA18iW($X| z-vt(z4+`87YJ#`rBWZUer-9$KZvI$4m3Bwb1gSf2&fK#dcQj|U-}U7DvFFk84jejO zS7j{kWZWHjBjb0iojnLFS(2eL&_fj--^ue7KFg~K6I{I*gRQT&21i;u0KZ!J+H((-~(F+EA5zXg?1D5kY zxBmjf`3JJOMoWu&{SL>N_dmQ%3&U?=i}Ef;c_oJZvKFxnMe7rw!8B{~Zg z3je`y5`7vD!Z#VNM#teu!hbT{YHmk8LdT`iWK740PGYGtGBdugGPE=a>Uov5FXT&9MVv zY~_9yG4o7_#NjsXBp98h|JE?Jb>9!;0`n{jP24gPTxeR3g)z(}`nAY>f=xEsU5R8% z&2|RHc$a;++_cb`AjS&QN@JoJtIR|iZDMqr-D&LNvU^sWiBn+gDs@|9e!zycXn2GHTXJB^)4e}UUFMJ=mU=W8A zt6kX-0q$jQ%HEx`y<0nR2_G#^eCuvR-|$jB^P3bfo{Pc*b0xO+GVg2bL-SJ{k-gmW;hCupnp6Oo#ypb>0Mj_nqyoS+I!z0&CB7nsE;OkCFk=>(Q~;R964Ty+ zWM7qJOHC>O%$Q}Sg)Q3c@gi!uDGC6avv}BdNS^D=$rvg2PBB)QqYaF&iE)cr%3k=o zv`)AA61{yxjMe5)Od9)5G1iz89jq4PL32Et>RV#0HNRv3d|Qks&1YEXU1F>=SFx?{ zmU5mmU%>#d_eji3=3~rruf(i353mjH6XT8K7c6v*cOOzaZqkH-Yws5;bQ6n}(|$*+ zikqBG>jCd!q{Ksx{W&ncD^7;F38xtVW421wO@?EH+V6|i>L#zjv;Bcoq|HqxGVVdK z@W>_&y!&N#+!haSn4Lc7qZXD5iNI< z2`uloQnM9qa^lIbekTy|svI!pr0L!WV9dBRIbeJztOs+znAX}HFy@K%$s91IwJryY zX+4(%#{sI)dYZh0>+G+ z>?LQhH(j6BySyZ33;JTUd&zMeg9F4mz)M=#W5$x6Ctr}oV zs|Fa;ssYBd`UV)wDw6}ov}%Actr}oVs|Fa;TAB+0)2ayo(^}pq0bq`T?py%44}h^> z1B}BB0b@POq=2!WWsdacqKv>40b^4Hj7Wh@$)v7N_t1j@`C8CHM8opSumkPjK z(c@SmqRNTcYdm5um)q5<;d>-|h5rgBaI5(f2jNoj)@D|4W$p3>Fg8NpFY`G$raJyv z_)h`ja6`aYE0_YtTEVB&3SNnP8DMPqIxz!`4PP|cXQTlV^H@8K--=N+DPYW!TTKcW zvkTgc9BwKAY{qcCeaYvujdpWBm*SV@*r%E!*$}V#GmvMRi4BbPKA%=iH!pGR`@1iI zu_*$^rU)3DkFh!_U~GzjvFSvmGQilRfHCLnB9j8fG?tnaFs8BGq<}Gv6($9YX{<6S zU`(Uiq<}Gv)g}duX{<3RV9Yjn(4>GdjYmyU0N4}-fQ`e$9um;OIy0BXHex*I__w3a z&4l&X7lv)E!|R21c_Y_mo@B@DE3oin-8Y7@HzsY~CJV%;QV^OMGz=3SiuC0|8*I&=tiBT~Vyi(zHV46Kq$&CovT>gdO+kfUkoS!xzQ&%79Ni zGQgNaqSX`uV^ai-O%X6QMZnmkfH8Y?nn?lUH!*;wn>8HH*9Sa{cbF6~rZLl`fH93Z zCIyUX%+uxU=75Vzrzrx)CIyVoK+IBYxD+rpJpg0B1{jAM0>;{QDPXL#=AL5PrGT+1 z0>-8Y7@HzsY?NMpTFlclXyt}`!LqbZhSfIzn?RDvIIxr^4jbvY4^pX zG*cwFGd=7j3A(v=ZwcOwiyO0#1TRDXnSK9)Ht^5Fx@z7dL9jTE`G9y(0bmK%1c3dT z0I;tDz>+`(fF-B`z!H2Evswj!eH8$fy($1Kcc6UEZcdlIV|YNbC0G*x_Ei9wd&>m@ zVEF||DgYdH;s`PUV812+T#fY60?}$Hu;^1xrEi9EI$)5mol6Q0Q)ro;L<|0L>Mwx7_wp?y<gU{g?vAQ2{WP$L{fTb+Zw`Sehslz&I4Z z*slS`gVv%nGPzRqK~4r3M;DxgTAVIftLL8T#Iv;sK7^0Y;2XF~bq<)TGX*e?F2vkU z0b}{)LVPxpFN$_&AI+6@FH*pm;bqY}Y~ypeaQ6a?^_s28eHKxW+(tP7jA?`>1&ryq zVp71E-V*cz0b_qdz&KLCSdJo6z?fYXMjHagk|q?u*xwK^j@ofTiZA*qGCNTLFct(l zmPx6sQ~->l0${9DLjmKc1LHovfxf3l3K%oo5h-BI z@XY8iY~!04o&)Le(_!3P1dO#!ZY#8j0>)at0>)at0>)at0>)bY*9zr-y-@x)3gv$@ zEq_D6Sld$pV{K0bjHB*$R8;}v=wXHxFxK)FFpd;3*76lF*76lF*76lFPRrjAFjo9j z0pq9$82cLn#+p_EV@;a^#{PzYu^x*8#ySRm$vS`_#k`7t#c(B3z?glXDB}44xF6$W zL%>+uNdaRWGrwcLP|H!kI8wlvKJ-VOu>!{0W(pWb3K%oZv`7JChNnCJFYyv3exV2$ zt8WF2b)3A!aT01>6fo9t|JOp93K(md3K(lYy{cs@V4Rk@Az-Y&6)@KF6)@KE@DH96 zDmoq%FxGN51sI#5SPkM7FqT`<3@|o)Zy2Y5u}J}Aw(4Yq2VQs!Ag?6aot9Hhg*DLa z0T|0ACjetvyi5uhb4~06V61tErg>Aqm`xIzB4BKK0LJ0Q0b}*olKM*lV^%CQDPYXy zzG8Sv&j4f7127IlCNBWSymnE*I9;7L3K*LdFxG}h0b@NFJ`vb7V62%ZY0Wnc7|Rls z0md@+<%AXQCB0OW0qy}9tN(VF=^ahKucQx63K%n{Vp71E)ouXB?=WC2_l+4~EH8aB zz*z3bGQe2w$1=d!@YQ<;7@HzsEYHC*z}T!qhXF9=@Lp?Dz?hfRKgCr50pqO|Fy>Xv z*0_}gV9Y7%Zv-$lDPYXOkI{nLBLK!U0#gKx_0GI14>~F)1&r@Rmc)F02jm$M2w-e} zgk2e6Y>I%fKBq_lV^ai-^+q-WjC}=+S>=ra#?n$Y1&s9uw*VNkVITuMyt*ZTu}J}A z9vXhaJPEFLmlw^UDFVi(2pF3pV61QWrbzKslLE%9Qg6W6q<}G>bvR}vu75MY*tF3| z0b^4Hj79$t2u^B%F$>ZtAtVLWl;o+q8em*6Wetp!P@YjLlhtSduZP1LU@VTL z%Jqw^0mk)G_NmAkU@R_b<xv520OO73=~LM?z_^#6T8YK}62O=vM*!nqSp+Z+ zHx3x{tcR+v#2HlqW63Xoaj1YX&r1RrGX_a(fUzV^_X=Rlq&2`eRKS=C1S^*0^#J2g z0OL>q<4^%(9*zLUl1au?T95$73=3c^(KWz03og+ z5WtvO1TdB?>FOkaF=HNS#q`Z%6fh12FvcTF#PtA-^$C3n7@HI@=7aRmq<}Gvib(-u z8a)AHU~_no7KQ|j!;p0ulmW)_e6F7}h*yFlO|>7|tshbmFdmcv#%U_NoW|ZFVBBv5 zz*w)@QovZR*)qU5+yF4f!Ah0nDzE?;%i}{{XiCAYsLt3bt4_c;6u>x?kIdoJA>B;C zSn)U9_3p$&*c4!Fih!|60b_PXXgbk10F2p16|H<7z?iYpd8i8k<4^$OPypk88vw?p zPk?bKfN?(p#-RYlp#aAH1TcQr&Y*&Xb?Z&F76IdaJptqXoLvGKug8FL2lNDtLk`^< zU|doYfblq%HIUOl0OPZ1mTBgIaYT5u1{fO-og6T>JptoEJptpGO-Gzp0pqRe0LD(a zKMKnL;{!>?31ECA@lE`FL$(FLc$n5T1B{3D1dPQL!1%s_>YyiJJcgGDM4mB#)*wj0 zSO(n?rzC*!Gh=a!=8KjM<2k{3LJX`j{R0b_x%(&?$5AC;Mm{kgW^_zV~@bGKaQn& z1djbB%NQhZ>|e&<01P7hR|+4(7UdB*7G=4Cg8K%q9*!4VW#YcUYb?Sk6ZZ}N{s4ku znYeH82E&yyao^w{3@2sczQLOeSIfkGgMTvI8WHym9G6C0MBF!U#h4rs_YFKT+9Tq= zK_JG|h`4XiPmF2N^T-+W7h`%v+&2i_%aFPwBJLXubgzUlGa~LAMDm08IT3N+K!5!{ zHzMvEY~|hnKl37vmtY(B128%x;=aMQ?oluo56`{qP1$>2ws&i%Lfkhd?i=jma(_(RH)z)|Mzs^{E8$YeS2jKw)U8PV z_WKlz--_gKzeN%x`P*-?1WEq(-;Q;HIwpPrfu`Qt@rUETtw{bh6pYcY@1%n9onZd| zXBCWjMcYs?=HyQS#&l8xjH!=T%>iRt ztvO)KinQf`F|EltV9b>5IbckmQ#S$_Gv%~C0mdw$`_P8(M+ zX58xk#R|q$Z*F^s6^scjPss$je`M^CO`yf3Vpg7I%hVi5LK!MJdi*_49u zp(x>PDH!uUGgmNXec!%-q}D%u`>%_fp`rV%V9X<{uV8!xro-D*FfLSR%M^_NTmX!D zE1xSE^T|)HV9bX|xq>l`_DsQe&Gzi;f4ze7582ms6^!{rDpxS3v7(O(#)Y=J_W3L7ndkPp!S_O<1s zumBjB`3sN~FfMmuxMhH`R|AawJ^;o83xILC02r6~I})>ySGr-DKO-?0FYOlT_8E+#`e@M-KE;a zd%{hoU3?l{Dq8$;1nX%R^D+a9yo~OlUCgYda00fec5xSiB0jPb0jav8#e=c3_gTC6 zo9L%ZyO^hhx1n9k>_R}=&DJhvl~e6v9t^dMm+>eztzG;jBtc8@zf9tUxl--oQxT+g zF|YgTXcu$$rnHOM`Kfksw|ZK#D zH~dh$*oS;&9qnTEr)V*!K&D;HaHd_%aQl{O7hkUB-+Cd-7u>psc5$Kn+Y9C2Q7He; zLiwAoU2IVK5nLV zF|*{_#e2);XR2LHL*$)QyO;^H)p;bsnRfBxES%cKo!Gg#+Qo+;k7yTvInUf%yLbtc zP`h{?0-LK{d>b=UyLe1fR=F+IF8(7tiFWZ}xYx&HnRYQ3{7k!;i*Ke~%!M%1F6QEqX%}7jr?&w2Qf5 zW!l9Ye3^FfISi-T#njOrooW~FkAuy$i`lK2b}@S?(=KLrWZK1S-CVnPcNm#=@oY3! zu3gN##7w)Gtyo{Xn5lB@VjAy=c5w$BZBn~<8@!ClwTpRE_l{{7ufx-Z`r5@@YjW*k zf+6*_i#hY^Y8OAuI%nF&e`TEsVP|M@rd`ZC?V@(^&}tvhVtUK9i!VoxcTBsO#cx!* z`0$Y^sh)Q6$%x3ci$4J)*Dj`!YZreOMy_3aBaB?T_#PO!b}@}yyO_!FJP|K9p_T)TJ#j9j~zMy_4F2aH_1n67i};v*1~YZuRkk!u%U03+8f zUI`=DE@n)wT}-1pO3~u`VdUDy&%(&Hiz@(Pa_wT`Fu8W|g)nmM;_t)AwToYek!u(4 zw?jd@_$(N?cJXImIJtH)tuWUv{yMChcJU*yl3cr(RyEfyUIz>B*;4J|*I?m2TdG}L z-VxoDYZp^Wv_01@J{}g{v!&X_^I_r5TB=?AMOf2w?c$%o>d3W=x8)m!69oNH0oOANV* z16KE@X(@3m@~#}@8dKCRUc^is*cx>&{P)lZYufCZV3CWpc^X zNN(bMRTs5#YN4JPQBA5PH*s2TJWrp>retyxSA^bvY9$s0YN?hiBZu>{zsmxt2%_c2eQEiOY#5O~)MJV@b-TMFtL%QsGzz z;w0{5%4P`(Uo4}W4=Rzcs9j7pSq_H_k!C7R1PZN-Pp(WFT|r*^7cd@AS`A= zg4)GQ-BY`ma>qTji`Qb0JU--wrW8!=;?Y&6a zsa?#f_x}zyrI6W5;WxO0AF14G2y5U$SFarl8DoklxlI(l zB#}Fof-r8gV#U`j7`q2!hZ7R?yH+e1OHmlN%8yR^T~{v{`*Q=cg{uSc-*F;0Jc`fn z{jRSq7QKMomLTxP+n5kP&u z@9oP7Z;JBW3ng^?pOF#n1LfN{8R5cNW>Yf4LixTO8DXJ(GZ|st4|cq58R0_J|D`g* zLiws($>zxj7b^JglM&`)hCav$^TAOrBP^6}CL=7AZzdxwly5^uIHP?3-7>;L`PP*Y z7RtA-jIdC?b!CKw@~tZ)ER=61BP^6}CL_Fsl<%Ebjki!nxG-z_EF&zGZ(SK-p?vkX z+h+w7Fm7`;S4Ox{p)HdU=Hu47GQvXnW-`Kj-8eau5vI|e$p{PO`)`mD7RtA-jIdC? znT)VfzI~GsF0|b{AS28dx>ctkBW%tPt>cD_Fy?a|0bwG%serIhzNvt)P`;^vuu#5r z1cZh1O$CI7^4(BCm`OwvfC9p#e0vB8lk&|3gn5qL3;|&#Pf`J4hTlp+n78MXRTc68 zhBqZ3Ov+aTgo)**wa)~E33_g+fH2*q0>Y$xGXY_ux~YJ$P`-5pgg2mk@wAm~$*fRf z!ZsBUCgm#%AOvHj>NU!@&jP|i`KAKGLiujFfH1Rfp@1+c-&8=jNcp~nfN+uWO&f`n zZz>>Mq ze6>B5@>RiDrF>HXVV0Q+#wz8j$FG#{mI??LDc@8;So^a``KAKGManl75H6%mDc@8; zxJdcxvHU{QD&@*9kMaoy(>9=Xw{Yd#z+bI(e=Ke|@0b#aZRom=2h9|$3fN+uW zO$CItOr?CO0hI{|Yne*<^4L<*;+G3$D&_lD0>VYgHx&@pG7FS%Dj-}K4{tJ@&WC?8 z++LsZ%>;zSWhNlZ^kF6-OrzQd0pT8$uZs3=Qb2g4l&|L9lz^~MzMB#d?m_vgzdj2H z^NKUc1cdj7QN_uLi*OSSrF?z7Ol)StOa*GAl<(#W2=}0T>kA0irF=Q`oIVH$_n>^= zmVj`B@{Lb$-#+D=2?z`2TUS7s_mZnJ0bv^5?}UIbDc?;B2ya07zGDKyLiyGe5awFb zmI(+8Oh8yD-%LPQDBny#SSa62K$tY-@=QRO0OX2HK$tPB zG67*4-I;(eVaU~)fUr=$nSiiRzL|iqP`;Ufuu#64fUr=$nSiiRzL|iqP`;UfaD(!F z23(At=k$RVc3iE4a0hrZ`hmi4MU-PIlpU^FC=)YnD|QhzKm*f z%r-NPVzH@2r%=9(=D@8*Bco8hjHyw+Vc3dPt=!p*@=b5s>QTO7Z^}1KE0iz89OPOj zp?u%KE)>5Adkd7Wh?f>sM|)Ae63?tX1cYmpFALHrUi&HKE6LO1YLsuilr=h0LV3nI z0>U-QR~$)|>laz0eCws`Q;{{wS6tM}sfBvh6%AXXd^eh>Pi5CA-(G%dB^LWjC|`~o zp?rH~;nN^gZKISg->xFQM)^v9p?pK7eEB?HC||}PX^rxgr0HIve3`UH`QqzcqOo<=az0n3S&w2n*%QLnsIcZ$SBG0>VQ1zO{hx29z&5FuQdm<%`eX$d;6E zzYQqg`U1keDc>+;)%%h14TbUzh4Sr3%6H7~iO6oxVtezf?$w_F`Z`ts-0e9dy{@U_ zK-bEd&+D2lEbgU9Qt~@(%HlqZ6NcNuCil9Iow$5ExW%94Ehzpb2qxT0OxWw{nAn|0 z-=MM1IN@=}Dvvu8I|r@7h9yW>ZRM0$A!k=?0_R(pVJVQiJ_T}b-Cm3PM_SwtLmNPD z1IP`xaVKHaG=SU^CQJj!Z2-AuEk=U9*7F12m@k9ov;DFdGmL}f!d@rFO!J6^alII` z%^&b<4SRzabIi>&ZWLp#IdTAuRbtFDhhpiqH;Hkcc?AX7o5kofEzI*3F&3KFaSdy4 z5o3{g8s`pss~Ag6dk2iKim}ujgtLjgO^jvwJ^F4zPnVn1+7NTQ$6uH$I z)-o>{i3>@)yI9M;WD-^xyNCZ87aK2ml1toVu~vD>-ep)*eBLK_d&vtY!rI&48Mh2? zA-mfEa(UjlRDKmxF+XIRE|E4(%wFSRT<-H1{Z%uRC!s6+S75Z7Ke5Y}`g76PZDs}T z*KC*H0CGRpj)n*Vo(tz0syf|QD9UIfF}lq~%wxn@ZFb<~v0|(-)N8bZGH3v~oQVw}m*^W#L*^J>5%@LPU0-E) zWv|Na@?n{;vb(ZZWp^c5lil?jKrYtj29OKltO4ZWO77fKop|;wIPrucO%b2L(|4sh z=OiaSvjOBfaRbP`ftRM!qkeek6aRngeG8mbRoVW&oSE5Y&fc4IaDaV)8O|u^z;GEA z3^hN;)Wp1mrirFGA|fj4sQ5{yfQkl*$|NP3DNrez8fX^g4a4%9;U&YgvNA7erm1D7 z_J5vtUCutk0S2u8U*9+Ue(ZVQwchog0d+%pI=Q_$A;bhQk6qZw-79N6Q;d;uQ zjz9M#C^z&1a)nA)Xm@)7xqp!H1>_PRIMf+%DIQ8K zapT?HUO=vU{?J}P?*9(j-CjU0A5-I*b2r*u-oYZZ7m!Q-TcO=$i!_x1lY+Y}V;4o@ z?z0U46$x$F3&=$y2<X1?2u81>`pD00`|sPK54wR`;rA zKwlLgcc22~P8&%=-0hJJ5N;m@!d0+YrU`7e;AC0MX&p(}?9W!<@}+oe_eCJ`*xt~H zSok+b7oRYw7dDG$)4e>lV>u|ITD;s32Dtk|IX`*_Fb%HEmGG7>36}f=!#~^yFoj?#MJGIjZkE-+HVBUah&;HK zPV(r^N0A={`{4Ff;QXw%Oc18tk331;-lT4Y$7YDscQ*#_h0XqxV6*&;!TJBnWBVHb zSK4}cYtn%@1ywQX~x(Rm`@oxojz1U4gV@;2L=kfM!iJMHuyM*|8 zZ^UqXTJ0taX!(1|EA!YgZ8@Hx>- z-ozCsZuF^5@{$rk^(CK=7(4#Y@YsHoqsL3;XL0WTcx<1+wcYaAu95yY5!l!Geg9&Bg{K)F+Xs=;*~?=K9@|uB?wFZ@8J3Co ziDo=5!*4VLH8*w=_-;O0Mkx094A-QFXe5{Toim(INt8Vp%B~qc#wtbkb8*~Fl$NNI z-r6(Qz^pA=mxHoL=C3mJ9IkhJ3(B`Wx{75!p7BTE=jaTNEz3-do?w|zNSR4hd2H9V zuxFPN!+4NDf;$yU%%?J0c$;Z>Z0UNA;j!%lWnOY2?iAv~GcAL0rhc+5>+_k+g%~e+ zKUs$R;`pe{+0YvNWItA-Lr!T6VY8`*?lF%o&n&6RV|xsyL(7Nbu}xK|$2_(x(He=o z1O;sNU9bdI<+0^g#chVi_RCN#k1Z9;WBc$R_Vrh=?TD|DQ7|zY$u3xy`2d4qQnZ@A z^Q}w_gO2E7D%XlKEjkplJibnp&gkh#sg|=FGQ91a69uSkd{br}`?@NR?eoZ4 zY8gmZ9+&gBOV8ONW3@e|Fu6H1nb0|R{Ah(%-&zdMM&!WR>R zo;eEQVtKWbE8&_jAv*sun1qM0-!IrxeDXtyXf8LsLCEhUq6;bKLw+U^T|_wv`IST@ zkiAmKk0c`D&}<3$jYKq`Hf@ld%=yNv^|q9-^HPD*o8Q%pK~ir;=&Us(%!?lfJQYu`)EnpQ&TPAOe(pI>GZ#n}#HUAFf zX#r_ut5DjeJZ+fLwgzQ~v=qKft9)z*rR~1-H?wdJsa>m3+A4pF(w0*o zuPJRQ8%kTsTTE&DRTcjxQoB~6v`xidnTmf)D*mmh_}}FcrV+fiQPv3F?@`tW-tSWe zf;W2_`eaoRadG~4kSMOnMt>cJ-;nZEQ`+j-HSkwKY0LC# zl(uXqLupHSla#jis+fPgnlXh^_D?G2`joaR=K7SjshDr6n9@&g^ZX}<(ocV(49doB zPsEA*bsS<1nN;}OYxX?Gu7RsQX4KZ4ZK3bA-KWp+SmlT`CN4xdm2bH%4ho ztGuDKmHRDRKe&TwzU9B+uhG2(23xljhPd2GDt|quv}F-XX*&T*3C?+?YNV2)w56e0 zokv4%)(F~PGI&yGbuUKZrV+HfzUQ6hjD3Ze%OMy!uX zPCmL3yU4ieb0inm-|qNCi$C@*7uj&={k$qt*&F6xkO9X6eP zag6lRFrN$RWa*A!zh~TIrFEU=I%3PGxOB*=EHqX>%IgZ#T;4Dm1T9<9F_gBv ztz05^vxVtmWhrf0q&lVTO6+%5N1w&p$U>*f&GG}$b8uNW-Q5*`)<&;?1j-pMH`7mI zM4tpl8>O^u#(SxLuVAy^5f764yG>Kt^7%xdZqG~dXdoY5H37Gr&FVQp#9!c!x6ZsW zoGZ#tQLA{H41cM^B51kRSW4SBU^byDr7dS(RZ82RvCgN%V?Lghc^^tuN?YD^rzvfR zmK!E+o=0VTr}?L+pq^t~dh7Q!G)GH3*8M6x6=z5!*mp|l+|j5C8vPW*j$3Y4{m()Lm)>kOssV^E$m zl(sb(w=Wq=+XJAyZYXWff$~n$?^t+y$6W%&ag$#j1MNN00ykMY1zO;(Mqb`6a+!>C z-c!&LH`$AMk!U41`2_Z2abNEh5^Nx@bN84le{Vk0#4f?_%*ZM^s)S5T$DL$n>1eehc$C)>HY+s-U!eoJO1jQbCo)z2EwjwtuDNXE$Ui8Rdh-sXX9>>aZ!n z#tc3hIk{9w!Npb&c_J-2^Qu~wqlr`*%^+wO(FNzLa#4;`mMROPf&PU{Vq=~3() zTr_e;=>bxQ@Z_RV+3^!0-Np zU@!}DT5%y#G13#^AZ9ZtS0Q>Q7-r7D%Jt9hBp=Gv8VE)k?_FXwkuR_W>O;k}^{tf{iQ9|j**94__j@N{3l%cd$uQ)c7()L++GnBS;rYUVXBKU$= zp3iAYTX}u_G1SCT+MW&z{?}HD1-bdN+y>3|=Od$LCJz0MLP9>C$op-8>e7;`(59i-FhEYSz`UJ<+EE?Q60jm z>31!e-8zqIiJO1FYw>J>-wuaQz;E-luyLGG4>ut){xzVw{I{SL%(oA**mk@|cwN(+ zQNJEiX|uxG3|BTC&Zsv_%BHXO^Q5(DRW_5HQ8imv+Pq_JMk$+#&X@sYGsC}7`%!fn zqw*(UB&@7BNy|1NLpg$v7w+!gIx z*M>uV5UfVSWVwpvUct(=E_j;&({SLSXwARK)hyf!(>nOO%*T+gT!Qx~=fl&okl&}A zgkOS#-~-B~@F>pZe^73TR^}i(E|s?E%OMn3l=0C67}J3#N_(^rF@uaK6QZYx+^rF1 zV)Qaf2DPG0iY{eM0+;aFj;KF2LP5Pt{Oq*oKGrJ~6mDmE(BO)l@ z73IcgVGQLqg$s?Y!6h;No+zuLgRsEF-&d&6C`$*cM0p@O8cR!jyC`d;=dsYmKM-YI z^koLULzL&DE4UN7Q{uc7eH$xXe3#_B9(|5w?v|W)qBXdX$M=ZxUh*`9uJ-PMwc{p@ zSg7NBMGM>{#>yH0P_(?8oK9_x_Yf@cv|`V#pxq}<2D`~htZ=<>;Vps-lWBJO2H?WX zTlK#fF5HUq`)9+2$t_!k3sd{Q3K#weM~{UI?*pw27v?XJIX#67r>-*H;KEC=3Rt-C zVTkad;KE12frSgRz8@YgoT~c26fQg)wcR4PaH@j;KDaO`Y( z^wh$I`2#S$aA5~<;Z$dC8C;n2sRwZ3MKH5);XljJb9f!^1{eMSITkL=G8Qh(GS%V2 z599exb+~W_j}9zcn652cxE?tcF1*cP?o$n1m;m82T$pdS|0!_cR73X|F3dBl4i`QW z)8RwHg;N#kF_> zv2bB3OL_gkM10w;POb4VJd-TpTup z5e@>rMXZ7pZo(B#BZVm%q%dWJ6sBAaDLfkK1}QuiauuX7P2`y&k;1n~{qQW%@pr(j zd|~X~$Tdh|UTHT2DNJ*N6sBAaDa^;U1}V&&bb}P8yfLKkF39i0*A}lr(6u*6;o-RK zZz)ok?le+(cepV~;ZC|#NZ~mUt09HC*#Q2P*%e4(77c>2IBKNu6%gf7|8)?g>Ix}5 z5Hq>QNa4HCPX;N>3&V#%3bXhYB86FHjTGj|5Gi~m&mw~rqj2txXed0craX&X6@+(V z)hW#W7EI7m{9|4yu#`p$pA3;mVebB_AcdK{F{Cg%-&aWC?8 z9h4EN76y%Ex9Ph4-RAAceC4i&a4iD}M?p%qd`y!juhCnDQ1Qg%_## zH_c~!L4baTFY)yGtVqRQnTmf)D*mmh_?wRuZa`cjg|h&&X{2zfJ?~cWSG1#@?@{p| zqP#j4|6Ub;3z5Q$7#~RCag1MNe?F|@E2J=&DT@?tfDMtt$5`9PQ?^fNTZI&Er!A1e zD`_hz*Qb^379xeG(;tw+!vGA_NMRkDt8xWWnCaD!!fYpl6sEjMr0|_8<{!VxmenL!G(h#-Zz``t8Bm{t}k zEVo*?eh@TZkizrmk4Rx|A~zE$%pw*kyeE_roO7XWq>@4k)6lHW!yy}_@Hz%3Qurby zZW<}f>w6g~d??BYQuumXxI0q#OEe);_yq`?ixj?%g^3hy`>;siKf{wCg^$1;k3kAg z#%MH1;crvcNa43BYostQHU=roi-ti8bHO)AVJ^M~Da?h?AceVj7^E;KzCj9eLK>tn zCyGG|bBG(HFc&m~6y}0ukir~%1}S_FWsMYmgtA5o?~9W)NMUxXK?<{%3{sfgVUWUX zU5ga{XbLHOIvUF&g?X=Nkiu+5ixlP^r9}$U$|8lSR7VP5i~Y`KAcd#G(MFNNg9(i_ zNMYXEZ5k=eM-x3j3crA74%LyuTx%>+_*IxyM+$T1RYeM~Wt|OD_#M`{=CgR5GAF~^ z21k8Jd|rn4+i9fmkWvqj!t`d5!i!L1(@0_Y#M&T*2^+RZVZw$jQkda4h!p+|p6^yg z3ZH}wixfT&ibV=ju}I;opjf2vO;9XScnuVb6sBU4!Zfo;;U}S3q%d+8m1%=FhOtvq)k7 z-ugm|6z1=x6DiC=+QcQx;A%OX8#zD~-ccikPh%=as!B~Gg}=Zo4m*PsUd99t*Rn0H z&$lv_{Jjam<#Xzy>M&w#nweEoY-(0}`YlN3jVs z+9YxSt2(6tl6xYxk;A#11y7Ti$^olV;jxvJeNh%DNeYtpX{0 z6Z1LNQ~B@FNGvHK@=s{QIiOI6lEuB>`bgnF)AH~QSxQFvAaN=W2u9=;Af)io$jPNb z3L>(4$m3|qnOD`a98ILkXa*^K8C`I`Di`HAWvQ|tD)>0da9VG;OpjvM!bKxjgzkRI z5z}zc17Rt{iSw#^5#BnXYUk1=*A6v{)NDdNzPE#%? z%2bcJSB)u`78y7&^&rbY+=Yp>Y!a!Rs*G-egJDSafgn&{^$%bnPAe`%s!)0&?9Xhv z;>x751u3jOon%ULsY+$*YJSmVbL~nwmk83ea;WSkRPB0Gj;ma%oLHAfV!2$ot0?gl zjR&rb-9412&qh3KgM!j9Trsp;Sc*GlNKBT2~^4Z(&XaQuryP$m2t9G$k;R!mTCREx-efAb3a0 zq>;i8vCQqL`5R$B(0I;pc)X;#} zW3OvM>q?Yy>o~c*uJ+b8YI1)qDB_3Op=LR7{jL?WTUSu6S1M4%|3%}RQh_2q2RCDG z$k!oWm(zOc&d?&>sSs1#%FVeO-ze(B*&~lVd5YuaxrTXNlSc8IN4G%WoY&Pc@&w22 zyDJ*o>zX*4Ou)ZGrL(@%w-#xRGxE68Pj}p{d468kAqwbA3+em@C(BcGo)}4>?}4|W zWfU*)QZx#IzC%Z#9RAf}Z1CUl%>epNm;hhvc7y73oMeK!#khy4$<&;1$_%G=FD7N` zzK*0!P%{b=4j9SaVlcmSRW`qdWwI5Jch~3(MP*#ZDTF0fL5WBUmCxrTeyR{in6k@lwMihnEt*sSBA$Ds6 z_W{&UA$Du)U9#IM#BOcqvcd|nTbma}A$Du~x;G(5A$Du~yVId4g5TN!?io-N!EbHi zJ_&D%;J0?L`#cmy@LM~~T?I2m@LM~|WveTK-`dflD1zVGF`_7f-`X}&6v1!p4laj{ zBKWP{QR=1$erw0Me?S~f@LQ`1eruQTB!%F&b}2DeahzDZu*3B33!UHit2C`0lhNHl7_}}38N3iUZ%o@a$J{$=bLXZl&&Q=a) zZ1$QnY4sQ;es&2RY!zW3XP0t_Z{^Y8S}}Nn1~-dA9~yi|ro(|KTc4Z_TW=CZef_{I zC}uEq>IW^O_&8S4+%aN%HqJAA@y|$=#m{>TCl$W*I6QdEQ8xU;FCk_!nE^79GxK9; z`OIAW@h(8~Dxhz6gFs)^=w2D$4Op_o<{e?i$ob3orgAyzanK?qT8{$#aDT3 z!I4obO2%LJ)H9aZ*z?C%dmN(^q6nMK_!?0rMsK5u;w9o>QuHXxEEVO*Xf2vJUM5Nh z7^k3oLzF3zgXW9BDay3yVb=OvqD+tefbkMvD@tc{1C{GUnGqevILk$u86AYij;|Nx zoaikEy+M>YQ8UYYTa>xcyR7JqqRfka#iqJRlm$_H2b7ydSr{F_llzV+i=t+lt?&pN zSR9?$24$tkpAg?%pf8(hg#r4ixvhb|R8||HFBJ>)rDB1;R4mY!N_C(wbN)vFeOVDa zdKgoWCVM~z2Od2XIHDeu*2JTS#W0B`4|_jDV!4IHA6h$S9GycI$F zi8MSOL^QI49u@6KH#v%<=cf_@k0oxQzPm6{}7GK5ej(;WEIc~CogZ0H4f@k!CR|)iG-fGJW%$4u~H`$l7^<|IRS~n>k1ML-$EABe98Rz(`qCMv(Ghq_{ zQM8xfg?X=u_PUG5fd-E-^ zOuXbkw%OaFmAvF3miwEm7A;;f`_s_gRcnWr9KkvHo>vL<-3va)d&y}$ch{%(Q7{W zXw$(i%n{NeTBny>&9OAf=X9UpCAab%#`v#5o9QKO^wQ>YYdXhE{+sQxy=Zg2(qS6!G|zv%OOnLwye;)~^Lo4n#TULb9nM7ttPe5uc; zhoy+jFY#smTToh}*VttX#ammng!8YqNrq@w5IP zF^*IPf0eG_uXF`3hgtlbPgcbs8gwX>-}s}T1BGOvMg$zf@ z+UO9Bg1A9wO4mgW`X%ls4xWohmcb;yuef}FYtzezN27fd4YQf^ktcjXek$z12cT`^KSzB z@|4QFz^p~dcf4un>}bqn^l~7RG^krNA^LT-|s90X$ z3ZO5q(F@gk(3P1gKwq!S3!L3BFL3Jea#yYW;oIDjy?4No&x&5 zgpuSaps$E!ps!a3`g&!cuU7{8dgo!)RzP2`4D|KNKwqy+2JBsdr8_!~`wMRytnbnB z@_35RyQ7mNbp)5F=_0<))RT!N_WGh#q8Uuh9gAW?^m#rq-5?pTr^tZGE#}jZWWdDH zZh{P$DBCJzz#NB$44ASZ1Ey@qfGHa?V9JIJnDU0nfECc!Q)IxbpwB8~1D47LY?`c) z0cV8_I4eM3Zv<|z`&@Y(OmdgOVp_g=Gl9M@qUeP1RLtf4=nVmVY185Kc@}>J=qnG= zP#Xe$vjKCxjRJkwz`_a7!9r6Q&$9_aLA32mIUgptau;^t>zrypUp}O034e-yF6_}0 zpf7z+3%|fvAE(@j8Vb;tiU568?W+KNIrW{8yZXX`lr!O>XqLh!S^XfKjQvt!BIUf} z-GM)agXqq?0f)k;dI0oQZYE#^7Y<(!=qpW>4G8qj3eeZv6+^W!Re-+t`;H?(UsioR zpsy4yoproZn65%xKLsUE=9{p5sS_-Tr)~)7OY?c*PVios!4qB>j>qa#_;1RK!sl=- zoW+HEvD5EP%*0YW*i8d{`D6(6+lUi4xm1E^+%`~#$Wy+2G#pDo-0ad@g0mvf*W2}Y zIGtGq=(`412I$MKss{8Gn`}U!uNR*RM{}wGeSaj51ZH~?H-!f1OQ(4?@a9owl?e3p zHVE{sM`LIZ<7FvN3sauDlqc_xJgqNn2GCdexETvz;Y#tLYW6kCCC9tyG-O;=1?ZbP zyJf0QJqP;Ix2EL1jg!ng90s5xeWV3<3sra|0;(sp{|NA<= zq6GdY1ASF|MG36pD@tG$Ur_?<_=*y^M?hci>Qm5GKj{(B*Xt3`mvu0dz?Ac$u#!H@ zzE46SCH*DSF-~@virBx}1kjh|0tLqXJ;#gz`qD?D7}j4D3~R_&Q-zl(8=x<3CWg{B zf1o_c@qY0+7`?IypfATspg_lOsF(`qn~M2nDrN=HSH)D6z&hr(CnDxw|3?9R*{b8C z<8Ulw#^SK)qWP=qrm?G!B={f_f1XIL!}DhgNVm3G`KEpRR-TK#3JZ=iqL# zFvzU}^v!M>=&Ss317Fx$7V97yhz=`k<8ryrNBnlDFjTO?-GIJXdA(K@=&M)f;n=Kh z6zHph%MM_)J{dj{D2#D?0Q8l@j-dpu3iOpFs{fQTW?)z0%Gj5O424}K7=Xn4bt2kF z-Ks!e+B?dA4_!G;3CtWz2`t0dQUdn^eL)HQ9|82`@a{$loDEVyU+!YI!tI6AS2jeR z#~aq&KP=GqAsITF5|~P2D1rY;Kws}@-a=02POF*V-gub1nLuAQOgzFJQu;7JU!EGC zFpPz(o#g#=5G}`g7k|Xv5}+@uG}IYzX~b6x@$POK=*wpvj-dqZ1^Rx&?FIV&X90b) zK{cRnHrOE0Hyd;V`euV=+W@cF4d|Qg4)o0i55la4lZhB#1@z4d&^Ox+=t~=gjFst? z4Jv`YHXAoiRe-+PU_(IPHSmiv)quX)?m%B{D?ne$-GIKl?!r|!pf4}YO}y+k>HKa$ zU&&`yla=744D@9nmBp>9!f%SXc2@@aR9z*wE9C{~n^izxUY7*u%N&@Nfxcp@Qw8Wt(=yOEtAM^V5O}YcR|EQH1?Za#) z1o}!Lf#K>v0`#RUKwrr&1AVhWCD6B=txHz|eI;9*%R#zU4wc=6ldc5%mdlBCc_fz0 zmAi@(JX8XGyLphA)h+UR9x8#p-8_^dr-w!*&{vA+)u|HbYjYIPH!DD2Jfg(ApMNgU zHygNkGM5bq^vwpWOCJmL<@32T&{yf%AVi5i7U*kIy90e|HwWmOT@UDsla(sTF7R1I zjEflo`ttFi0DT#_1(j|svFZf+W(DY*4UUrz=HSl;0`y%AQGmWIlcEIf8PJ!V5kzwk zH~w6PPpOf!GBQk-$SpoWH1?XG5g+SkIz^d00=$jRwZ&rZ5wF30LX9u8W zON`hZ)#_e#???mmE%CLM-?eU5D=CHDX3j3ZYwfI7{>qQLHSrRD*CVr9`C!`JhBvN$ z*XmiVkG4Y{%8?8p@GJ%O9j4S3vs(Gm*WH$HIzcBqtCg>P-R+dRWR?N~x5&$muDk*Q z57$88Sqca|LIZ(kwf;Op(N?}G@w?{D8hHesO}nGn(0z!GGGJXs#mi3<-fcp>p8&j-@#r>c9FVqD8Ms?0~+_Sj~kUqKX)=?^%V~hW;L0K`` zQ<*H8J#sP|drv+XB651;Dq+1dW+G0MS7>W?$>lS5(tNmcvzW?_!~9Bx@9!BTGJ z`0P+;0NiJs>%WgNsbI}d;IxFlcz5OKe6%M2eQn!b{$h;DAn4^U=Ih~FOs`;oyDvtD zLPH0Mo5P4vXy{EFnp=prM1M6!ESSqc~Vr1KU8*(80BIl1Fz6 zLOR$78)WqX2TTO_&#opLwWMxuQqySYV0V}4f`$&-m3#xd278Ds5a{d|7*in7*?A)J zO?Gy^h=f4b4`fC9d<^lsV2Q5{E@A;fpz8-QrO&O%UkO2Kr6JI{YnIZA5a`?zIuHnS zZs}Z#gh1!66$3(`b2p0tA<((+(7;!)W^PJqWF1tn=4Y6tV9hT;^c1Yw3k25eDOj^# zhBf~)`HNG(vV5^O1HnHOta(>Bu&`z-)nUzS6$@)tKQg6Z%^YkN)=Z@r*32)Zd-;pw z`-Mok&BB^FIL7}=Va<%t@jnvQ%$hB+u;vliUN7GOe=+k`{V(P(Zo~PtdC9R@lH>P1 zt}A6&Gqp0TnOeJrHB&3Yn%SOZSo2QsIT3(lT!G?7pV}lZDd8noT=Kc5by!$4wKA-k zS{c?%tqg0X*7+X*YvvWN3~T-m%znJy?@chN8s{c#*i}^|I7V#IS zD){f?FXoRd^#In)pUtxT#cQNLG=K5MxXZWv#SbEY9`hFy$!uZGd{Aa#%^ZIv=Rc0W__ZDI>iN@rPUn{q&VCu; z?3WSFei`BHml4i>8R6`g5zc-Y;q0%Ea3+t)1`*D_LO9aHeb!&Xf(pnX*ARQ{FJb`3=;q-B1~`f>}k3!cu`CoC85P2ZC^}LSnoP zE_0g1n4%#urff)zDOV#g<_~Kb662jAS0OQ`i9CTIiShSQy&y=D7=Hq}hQyec+sz;` zrn$j3Q?5p0Ou~3WV$3^rLt;#MVZ|M#CQZ~ax{stj*W-Cl_bVYH`r#LtHCz2oeYUFWrJ;|jlnik z24SYcHs7ma{_$$YR3yeK=K3VYD(3nm#;KTZshHAFZ>yLJ+pJ?MZ1Xt8B8l;9_B{Wt zVk#12%7(<4{tSsRWkX_286ad$VthRu35oIE*l!vVV{vInjA?I4jH&d5#Q0shC5bU# zg!X{M_#l`W5@Qw-5@T+GH%($pD@$T5_gh%j_#)Pj7+*qvBr)brax+PcS;S(SCqOB| z`HdI_jZ{)3#xyjm^JvHh+x$xgCyDXJNZd4uF|Y4s65}IKMo5frwuQTs7+*mXk{G`N zVRK20f55^dF&@`wD%bAbjI*=Y=Jy~6k;XPN$6}kAlUQu?U*SnejE}}`k0CKW9;4Ba z7_XqLNsQm6tVxV{u`wjZyl5B_V=nlH#F&e(Au;AcXh@8?co-66PJBaR%n4~oj5$#Z zi7|(`Au;BHW=M>=U>Oo)4n9L-d>&;@V*D6oO=5f?PS%hZvs(>`F?-377_&PJi7{K( zk{Is+rFqYKtV+kbXQ8nyi7{^&4T&*Z(UKVRw$hRq)5?+jj3yb}AJ%^)#8363^O zV%$vD-dD1?V#I^wsmP{DjQM<`2PDS)73%6F#$0PGiSZjSt4?CfnOBv>_-CxMAu)a* zN>vhL-gKu)jE9zbfNiEXOJe+0l-M+hF?oyghQye>#g@caeGPp%dOY66Wq3TtKugEF zy1ODRjcxAO29aLoqwjWCcnl4;xf2zRTKLEv&7*nw% z#x%1e#!o}BB*x6KB*t$+u_VS@0dQeSj7LGSB*s)MiSfsvSQ2Bpwj{>KAjgszp9RH| z7+(U#k{I6z#gZ5^$C4ORu_VTiK(QpoZ$Pmm#)F2XNQ|dIu_VTqLa`*qk3q2{#x+0? zz7&1uIP}7=-2+AEy!LfFeMZEbjf* zCo%pjEkC;=K}iL~U*t7=(}CQ@ZILt?y$E;wJ6 zi*lT@R9O(!{5i{TT5q^ak7DQGqLC{?cR%HbX{Opw!BU13=T-M2ymdm=&ZkSR9cmV> zM`C;}^SOSg@|<5*cemyu?M+oi z_qTARhvBQZs09I^T7s*Zg*dIa5UCjHiEt3J8I&uN$`)+1_S9)gbE!&Y>uO$RvblDp zoJ$1hS~*m96DoDHDaTbVRZgtSBe7hr+*Op|;RWM?D`R&L<>|8#4=pGt4Z{_)yN7bQ z^w2ob6ydU}if9t!ubUjc3MuC_QAKV0W0!(Qlu#_Txe41S#oTh9rykR9CW-O0@McJi z=}eOtb42h3uRNdA*k*Zs{4vzTk{F*33;x$uiuc-(7|%yW%}g9DiSbG(RY{DQK@wwH zSCSZipE(sI#?K%{9v^a}DS=61+*YDp7anK?!TVBXlr-6`a{MXF+zzpX&0%*E?S zB*v#eF(k$pK<)vF@qCzp#F*CWlNi%q5HLg#Jc#bAxeTHuF($~kI*IX>$f+bTX4Pwc zf8k0iGb-#~a>(?(Bg z$0~t;{qX{i|E_F>$wPF~z$*qgi=EN4_E0t>wawkuroXb8-xk^Ltg=jIcI?l})=d+WmN?%_pr*6K%-u?2K;Or_yMKH7Y5i z6)@U$LZ#7Ht287jF!OY%ugtzLHhiEXN|U1MvG@VV;1hGjQVLK z)zB@VyL1iYmVoGX{hL;*Qa`3L_0dd3r_JtVnsCL_v(@F_e>&D4l8F?<;%Gqs{j z3NK|%0{0SFcZB`11Izq=ol zIU#|{nE~$MP|gouV9><97%fm5L+C*6q?nFh?Hiu(&fwH62ZFP7*D>}~o4&vPBM2oN8suwvwxn%75^34sXVBsYabUIk>;{3U>`h6%$E0rM z+Mxo!y^^d)U`-BJ$M zzQiooT`LB}EZ5yE2E;7aeTN1fxyXZor&A<@b2jOqNCxNZ!1)x(;G7-wHHu_#u0KYK z5$c?KaRExyuE9YVoO3TNqe!T8?hiLX?8e}%sn`|bxnU1X`rJg9ldqva<%6VK8&0Ks zu%rupdRV4U53f*DRdc2vgsu)gjzd4q3VjshY;Y`&{m;jY3gUzObD<14VTuzU?5jT2 ztk9Pry_T8T;Bp+31T7Ny@qF)P4ES&zDj#3${T%1(gwv1$WiI4QcsY*oCEhxWtRU2^ z&`-cRA8J-;Dh;7#g=T;x)U42~dntSx?G09FDnmof3e7Stp=O0<2aOChD>Rvv+rrtn zi88FvVVV^>)U42SFe%im&{U2L*P`3N3QeUW)U41{ri7Xmn##0LvqDpu9%@!-DxINb zg{Cqi)U41{W`>#-n#wt$W`(9QCv5JEpx>6Db3@Gv&766mW`(A*AZ+h|+0A0MFx0Hj z%vlsRW4MA9`d3gEhnf}ohiL01;b~}z_!cSi&G0ykzW7#AmWLWXO$RrI3uENmCZxqH z!fWX5d!nog)2z^8mJU`)&I94mY^vKuSsOmj{`r9@>%uQH=pCXw7hZuW9N#HHUkbmC zO2v0c&gNW_li$rD#xq6-@)2(i;Y+d<9kI5++vLNJpQ3*e0oD| zjrSTX6SuhMR?zMfCxhK0zfy>QB&||%i$gdbe=J&yTl@<=13o3eAR}gj#d-Ju2FfZn1(Dx~N&9 zX*ta;YF21!)7_$Gg{Ic&7Bwq0wHa$mbXFOTx?ifLqk8&}-0iYu%z|h30%-hc;ucyy{VV&MnSl>;6%+ zm*9nYuZi}$i}wN0{v_HvZc(#Bvz+4i&xaF(6V-TMa>GmFV3&cD{A;O^TvBc%?eHJqh3+7LQ`w^ikcOg+Fo9MbX)U42JQ0o<5@s-Iax0`<`M~_#W zpM~~c{&CP=@&=yTd4?13;nxj?DL7aaEA(5Ku-V{U9Qzwq=x^d0sjf1I`KhbSVg6hc z%!Ha1`aY}zLHHBSfFt}f5g{LH_%th>gc?50)*KvObP&uY`wv1XsdZGdLWfe}Q~l4w zL0c&G?UedY^?B*4&(l@c ztkArS1|dHJ1uHZ!gL!ox3w*X|67Grx3#`x{3`(J9g-)Qfgqjta4bc{AR_L#z>f=M1 z9E*HT4$BHX5w*z%pT@C&C(_9ZUBD$kRq$8o3jRu0P_E$5`NyLgK{)78RO2`PK~VBS zh8JsAXkjQbtk9umg=XZIa7T{)-^;jZ3*~fQ@Oir9L(K{u;dZkY=Z`=^}5jEX=_&Ka0Zobtk8qeaoJ#N923h5 z&D#gnnLB1u6J^JYnkdrz<1%Wr$=ui}!+PYyW!wmi&m4@_X;AZN=M3jl5^DJL8swD1 z`(YgKmZ8!Tc5=Vao>>L6ws0LgW{*sM1bfzDpYJX0+8$oTG9S+jM$UxrB$nA%%1jKO zV3|)ynMvW-dGZHHnT}AiLcfgXUDLv)%sD8-bL$MxITp&NGF!vj%#c4G6CWzB=Y%;b zhht@Im!&IoS-L_Cb%iu5G*{Jp zs9B*OLTe=9BS$0b<(aLplP}4Az#&ktf>e+APwzDC+|VmOjzmSrBrV3-uHX779}!>f2l_%M}gMVS^J%2o9` zQ98rVb5Jf9Wu{urZpd&^nG*)6ZG2Pa4fb`Y;nTbhFH{Y;GV?xi77J}{e2XYcKu3xR z9&P_AG!#gBaqJ% zY_zwCiWOQsC{}0@6)Ut9Q>@S;DpqJQP?%{E6=qsQg_#yxg_)LAg_)MST7{XGRE3!q zQDLT~n8HjmwO+GAzl+w&y@e)-&f)s(Kmj(Y3Gt0raG>-#$pn z@$RW`04KeZQ+&{rGdaZv&FTj^#RpB<@>(U)L!^m7bcKX$a4?RV5BfHU@fB#rA3>0+_i;)~3MY)m%APy_ zSol3jiZ%-$vx&&w%3Xj$=ciVvFd zqTF*h7S1{t$Hh*6!w1dcYPZ(t@;Q^D`34^}l_1o7&~%&+H6Jv+k$jm|)_l-BnG!J5 zt9TX)Gc9M4b1`-bv)ENZ?rzMS!t6CLK}+$EX(X1?e9#v|ECDmkeP6B}gSc?v-6-tj z6dyE`12ynuI_A~DvwYC(e9Z^_r1G@jFnSW)=cW6jJDr^1F)vGbQhdZweU^KEoy3fi*kFe1INO(^gSt8gA*+%KIq(JtnLNH2c0_vxrItT=v!3$o33Mg zg_%yZ$%<6`m8tl*q~hP2ivQhI{M#sZsQ8KxTE(yAgWdsgasGE~hue77o_D3%^KKP? zMLX(!kBa{g<<+V9_p0~`Gp*vM_@HwsKImK(KImH*ADHP+F}}h~tN864rjKx$3LMP` zy#s7uyLPsCe&@nCYL=R>s%U%2r{fSx-G`pP`)332gIO_I;A8!Uz3D z`U7TqPi&u5JN-__=8Slr!_Zd^p;ZG{2!c23V1gb7?sF*1}XchC#RLr+jOof?NF{|)FABb2bV5aM^B~-ru zu3}33_b3NB84vH%Up^<};RDKgKKz4nyVIaC(?5hm!AyS^9u+FS#ub-}CcD<9eV}-< z>qIGqEl4T^E?aeccpQ$F54u6~L2m_bf|Y3}%`a4TG8Hf^RU>Tzm~?nhT-9Omp!tm}yRYgPG=pG?-~l6oZ-O5I2}< zE@%cb%>~O~raAZwX8KCX8Z-R|${I6$Jetj5rrE6qGtFKym}z#0!A!Gtorb#O(Na^~ zBcL>B%=AJuR)5Ot3e#NPCCVLR;Y63M=*a7y!bvXgC6~yZSYf(YEmuDvapTav(_ljJ1|bZdp+unW z$xHG)ARlTz=r!=0gtu)Av#m23+*+2xpCT#VCd1pBmJl!Aop@-53s0MRLa|+@9%d85 zS5UdQRm>);Ti8+ZPy!tNiVvDqZqR(tud&VsGu^+0I=NGCuqQm6>9O=ZP3X@htbxFq;r!Dmd|6$(a~VITp%%HyX+( z5$8{Va+y04N=NuCbGqD*Lzx!Vu?CAo=?sUk6|QhUgPfV+H~FM-vACWS=IP*T?%Bwh z7mi?=ue%GOEDSmK;-&7jP!@-`()BX;M^Ki8)3I2_-;kW;;q;TBd{dMaVLQ#PbAJ!B zRpIaH?RvL<7-t4oqIiWn7Rm$Ry>$J3_gpAzLw?H-Y6C+o6-(;-gq2;(p#hXl-s$9@-VXG0?`lMU9!B0m2SF|^{5-ZE$t-Qqkp`xx(DXp`Jxfu}Rp`x~?lw|Eh?J-uC9&{=Nr zBx;9v=R)gri_frT9UjkUCf=;^jHZe<$1VQtIB3(o6|kJ=7JutQo9R6SZJ}Gd0rMw5 z$NLjBV5XZmNQZEhlglPn9S-Lvj@BVup-k#!Oyx*bshq4sWX5KLZ!wGG)+DTE0>^II z7JeUNDu=3Z`6A>NPA0w@86xjTKpk4*jpjSd;xfoFJA^jMl}xW}KqR$fa}<}eQE&&7 z!%=Jk?Qaq}fR&^4z3nkbZQ^h)XTj4PrgB`X)H_iJq<#enEtEOPRnC#<%^}TY8Rdg5 zD3via8ZY(Ug^oD6R7k0E^^h0Pk~6QWWjUHi)kEGy7o4xkMLAAcsw{|xeVt`Etv6hz zN3n;(MH5$q?taP<)BQCamNL*d%eoigtrM#DHM->5p=J>uZ>ZkoRS)_1FrTZ5D$l8g z)L$c^Wer5GC6a^0w`t1dM49R_SC0fS<aRM)%#gj=_*W;07{w zIgVo?PAe`%Dn@!D5J@dQxiYD2J{20GJrPMQrMXn4vUN3yq?T;1T`A`hLAq8BmEDA@ z-EYcql}nWq>+(n}mn(M_C3yIU@xYa_y9b$B-6F5&VGk6Px^uFbC^=$q;4KUJ}X+A;^!kq%F*cS+9 zBOjiFjZZvR6m0bAA->Ri8{V?PFsww`fX=c3>k@NB@GZ1FpQ~|V-V^bivDULe8%o3% zBZdEMDj!2Pd=?`np6xF~Mr{`k{f|OIKAz)01V!!H&XX54de3&gWCRFJ>xK*KQ2ZI6 zZ((t=NWs%#oPLAmgMJGs^7xP&O$kgs=zUAHy8)Y@Y|tMW*M#?2WYkluPlMnJ+*IudheOS(&RKD|U!@W`;vG2;{eOd=2;vLnS42ZT+l=SS`#YTFZ`ny- z%-jmI2EM$C7i9Q0D;u!twJ(UHN9FhnCj1qL5kq#w&cx?z>5h4IuWIE>O;=ti1JT^d zSJZBXZ>YVlj@FL-q17~EBzRp%wnlVQTM%th>(12bYD7Cku{8&r&R*991G;_t4xEPK zBfcEg&tkCE9)Od_za!-6IG-8S3%bRt8o71o1>JJn-V3_z1>I(XUeN6|f)Sk93%YfB zLASWf_kwO0_kwQYcRg-(NA`kl;~fPO*$cYm4QER)=vF?P=>^?7aaI6>i+e%0;4EH) zxzP){?FHTPRaYuwE@--z|uA7_8|?r?a-iGpr7Sm>lh2VDiFz<$(G){SLkcO42lN; zv4qBix5fVtY)$u2UK~zVzQ-sr(QAHegk4qub6Bp=j#=dE#;(M zG1*cs)hi}j$}Ks~WJ{$jrYQe>y$o^gbHYW~%Vw_3mH^}ilPyoOUNPBHbm|q8El)S7KV}+Cucebbdh(-4s|#*} z7O_)V?U)0Asq9Tjy~d<&<@6zwZC*3kGCi-EY(>5S<-lZ1xh_}%C3pa0K{44fd_ghU zil~@uMN~|-tW)$Ttm=Jy$m#_DU;)Ks%an*6IRb)I&;_jzs#15&K%^8DlPw(-6q7B7 zYe6yDih*LX6$8a&D??i`*-nP7=B)iZMH1E4zc?L!{Y+*6Cqj^!kB`YQO^(4d@f8~_ zCfw!7s|)UcVeJYWwkq&r+}s&wu||-b+zbY6_&yhr!RI5j`y9q#xU>~b=Dc2>@0qa* zWPhff@5q&Zoo#l$^V|4}FWfyz5^P|J6tkvJe zrM3sG)eP{VSgW6HAin4SHf#0OXzTw_*6KvFR^NkDaNI<*R#OYyM6*^?%e#qYt$qj^ zesipXwK}O_txhUftCPRLvjxy66|B`s0UxDV*6L(2Ee&gRqFJkdhIsqCNd;?lqFJli z@c2D4&00ZF3TIuT;tH@!Pi zAnzp=tkp>cYjsk=TAfs|RwtUZx)tZw<|W5s<&WPNaQ%2MsbH;6Dp;$N3fAhRg0(u) ztkv7Y=R_}g6MImwR#ThgB^9jI$+o!tv8>fe1#5Lu!CIYEuvRBmM<_SSml5J6nzi~B zju0=YV69FnSgVr?*6O5!wK{nZx2W-0fzvPak_y)9WE-}NVXaOyYchbRvsUi|?Io|MS*u^(1|4WwtJmU^k`121v7ceB=1=OWtIT13 z>MGNXwffqvc$Lwt)rTR%hhnWh3Jxr5HS23xt9hBK&RTsj>WNR2aqMSUtNH6gs_OI7 zRqw`H&C94|t)_p=TFo}KtkwJxLCaeG7L@9&)w5CC>a5ixQ5*1A;MlJdZBEweKVlrI z3jQix!EONhJ#ou#S*u4u$$uEuYM!oTt^O0>R$!Sy5?HHw?v}NB3KYv){S>b4mbLnB z=?~3XeG#5SSk~(MkYib^Wx+J8)dNs#%UZoPl>b4j)f^?3wVJi=0c-U;=(ud~0geMK zYc+pMPj%*wnbbt-##*gL+a_77IiGsKTD=HnmbLoNGV~m-cimX4KR}LUt!5d^TFo-m zS*sszVb5yTYMz^Atl;hAj)W7ztMX01M(SgVt&tkshdIU7vFv7ceB=1f!#JvH6X-B_ztL(eFoE+=F-(JX5<Y^^uqk zmbIFZEo=2_P<#f?27kk`mU=(KTFr~Qs?cTW3UyfU22IqzgSGkz_H|X(>R%(rvQ|@BVpyxkprNwCI2`*K z)@n{T)pmEK+pZgHwQ4)fTFoLBK+k7TmbLnDX-Lgl&GA>-IBWIw@T6I*Mcf!`wHPSY zY7rG{wTPR~S}nyCYqf|yWUUt4&1bEaV(YV3KZVxPtkqnf4Qn-*XUkg69}f3vI1sGW zWSSYISgW~c4pOYu6fJA@T$pIqYF@Vf<*e0%UqF<0!&=P>`mBOsttKBzHXzdn$kK5| z%LXrF;#T3VZo(B#b5~O|+|`r~cQs|hU7hEpQgc_2M!Mmy9t+uUSJS4$!A~|g4o!pu zh1}J*Nd1_rxvTd^uHmlcm3A|@t7&eyt0`CGuAYJxGTha?NjKcplsCp*y$kY%yZTiK zy7q>@}*ADd7gR~`pIxt^TM$C+|~UIcQuP| zA$K*athuXsGDE;!eI?IghCE}3w8#3ndYu;!NAtMyqBdsZJ4{-A@doytLM{);;v>n?PIa>u^HUed(j`btFyS7 ztpcN0{uFmLr-0$Erfj&YDQ_`%^&%DjrumGoxT{lbvLY3KWh(wHsra|1;%`28bpzt! z{O`zuURAZ{U8(lGTg6||jyD|lsQ3?2UY&}6uZq8g+|`R1AKcaB7+-N$tN87BD^qxc z%arA=Zh#GJ*B)bSA5Ymnp=}j+bvtdrUA>aFio06bZXtK|bov8#^)S%Zs&@LFj*X`R zmE6@#uf|=?b~4=6lsCy;eW!}~$FDM`;;vRP6?ZkqiQ%qRG1up=PQ`pn#oPpUbsJ(0 z0eAJw_B{WtVk+)x%7(j|{tS0DWy4)fx!q~j+|}QNBjK)Y$5zvDSBp!-T}^w-T}@?U z+||ufk4JmgyKmENz7V;cbh}~h>SoPdy+6#FHFq_O2zNDiznkW+rj_NcmRl`cKe&%+ z*4)+e=#Sjh+(d3BcQuPx?&>|El;E6;a3hr_?);m$FKMEoS)GSNZr0q@>lmEe)fXXg z)7;g(zUQ50&0T#c$_RJ$^|r9#u5Q-c)nB3sxvO7*&|h;`%M#Up!`#))n!EZo7AALf zTcfGmW^z|IYwqel!;^4VAAvg_!(BZYqtS3zf19%Au6~;`IbsX@yS&&K?rL5%40kmb ze8XMM#n*6Gb0IX`)m%IbcQq%z;jZR{G~CskD2BV5L)>szb3rrQ)m*R)cQpr};jTW1 zvgWRSgtF$Y-WMlpxU1Q%hP#@*WVox@9frG_t?M*v?&^<1Y1Z7;r=zj@8SZM{D;n-< zwxZ>(<{jk{!(B})%Uw;y!svNJYq_g$#D2$eS97zxaqjA=aI{hG>cMy=)z5HO^MS~w zxvTkTq6gg7FW{Mj<*w$~h+aOXk?&_0}VPW*=L9yJ`R4jM(RZuK<^-WMLcl8=5mb;pY<*ud~o+@Gp zfxG%iD3-gLIhMQnPf#p(bv%?$c{O)+3lz&;O~rCo?*_$kSJSoSu09eumb?0ND3-f= z9u&)6eH|3bUCkT|qo-oItM7+mxvO7=V!5mP4@+@Z9}UHFSI>uHxvL+6V!5lGZSlz6 za92-&V!5l&g7QwHxvNPu>$r*LuBL`}Z<@RM4rqD&Vyxn>eheDky=m@hY9%-M1mB_c z^ zo9W#M4e!Y`clBe?z+K(QLE6M6OD>zZj&e9Ra)35*g)*t9F_j}#rE;=1$&3ZFC$l*0 zOu{lIaJZIj;rCXiay%KA4?-61YQ7+8lD8z;fYUY`{DN70rob`VbTIl%xgsMgvfnn@ z9Ju9dOB6A41^%R#Pk z#$%yq8l|!?Wg!l1m322#n|Rqb`8P42V?CA6qD^9{o&1DGoC8upmBqcEx*->HZTV+f z9=;(<$tWLeL8*+X(Rewn!SK<@$)!R{m8*w5j+UHxRV~ZWM5-S0GP>Y=RW8bL%2H)P zwEN>M!)d+YGChi23m1)C5xV;+M@;wEfv}X}#Cg@d2ydNGwR7o`YloUee7vE0_grKn ze<}01eyH-CYDm2o2|`HCwM24|_;Z?aIZ>v1%)M$%xwMFDn0k<9Anw9MS~iK)PE|(t z5?twF_!|t*Y;YNrY%qX@IIXx4sY2_noCtGTUYaoCYx(l z%DF_4u9ZV&H=$D3n{r&`Qsu&Yz>v`A)1*KuQVs`gX zj+`DE$C)BrR#g$bIxRLid<#;}X`+hS7O+dfBT6X!40m-A+bH#f{yYsc>LGV^v*xb; zIlN^9SGtbc0MJp+e+hP(Pk zD2BT_wP!ouX9l^eY2B>3tNAV#CyNxtUEQp?tDi!OJU-+`Qvwr4-&&&G0zCY}&lgCU zy`;(3$dRPk!d*QGn?u80-TW@E5;HQ}A*~-}a#x=K#c)^8g4_e{>bWpWoJLy9Wvq$Q zL^=<3p}%av5ZT~9bYJZkA@(!e)hnPF?rP3{oW16*{xWi!HFq`NX=MXez4iedvH^?X zu9XQ-<1pgI9f^Qt*zTxS_o{PR9Y^t359WIsuWORxuWsbKYOiZzD}Tk(ZQ}a?uWSES zE)=f(dJ{ORTM1EcOYC8$MXd%5c*zs8x=NHJ$ID_ux{^{Zxod3A78j*Vta_|o)Bmdst!6I^bsp&Za ze^apg6W~q5?kNIx--SPQ!PShSVfT~`?0y7JRKxDk9*`C6elbJ?yQgem_mmCnp0a`6 zQ?{^sDi(H6#lr5XSlB%k3%jRcVfR!l?0zz8Xkqu$pjg;FD{Nu+R4nZN^H6#KyFUfq zEbM+36brk*2o5alo-Jr$_f#zGo{ELtQ>hNSXWcC9ohr251pGlGV1bd-kTJE;6azVE0TnuzQi|2e5m}b-}lw1b0EyuzQBruzL{| z>|R6#yPwQjtbrBr_JG~L#R3X;&y1+dSaaSUVUP&_+>t{aZV1a-6Qx-+{?QTM8Tt&+(G z!B;xKkz_c#lOLi6YIe`ovwOKN`o0T4_}4u4!_98*)2N|8`~bN6SA1W?6E_q68xYMz zr)(xVWi!z!n~6@@PIM}EqEoRGor<04RP02jVkbHkJJC1%eGMyIeWG)o_F$s_yS}es zMeRi2((h~NQK#`28qZ7yJar20_2)4)R}w(hunO+`;~Mgg`avS3LHFtI zUUec?h5^Lgx{Y_j9kv$(WbJ5rz@yfl{DFDRDz78?F14-C7t14y+-sZ-2U&mhAhp+U zq7?|kG+8)DH~|AVSEE42IcSYtf8K!~{;0;RVl}(0s3B|e~;6h&$(4pE`_-_xxS;@x2|I1ED zR_l%b_iG@k^~QgXvRZHa_bIFO#{Yn_T5tS+P*&@W@3>UddgHsIsP)G8L{aOFpAki^ zH-3#MYQ6DmMN#XGAGk-MhH7Ks*Sq{Zb+xhZLzfj+>y4imMQtqnzV7+RQR|K0-yH)* zeb46)a3@1i-}Cv2djhI3@7XC2z5}2utg+Iz=tEr8JKUx&EvGB);qBa(O zn<#2y;qTz`@%$?Jp3mP=>ZaBkf1EoTarDN**WdH`OL&s9vGA8tR2vI_nf!dbe9z}! z%d^d+_eLVWM)m*^JCfCo+38GWZ%Qf`HAzixEd1SFrpv~{Z&xxm7XBV0%Wfj`1;&)! zL}s3d+)ZTWi^$zX%|KQp+7pLA!LqMY`#cM9H&HW)DG|G$TLX!&KjN#sMn3i9M|`zQ z=s*^k+NB(qvYV*ARt&hCsJ&SXxX9FgN5(LB6Lo{mf^83W6E(pX5j>cUgW635XYjf& zyNO^1T|0ZUa)vhFXJ_cBacYKs>r=4Azd-$QZr{o75M)O3*1PwMJT$`iP=BGj21!QkfXOj3d6#r7|hhU+7+fQPL6WFLeJOdv5|~MRB!_cUSj754XGTz1?uR z7iQoNUUV1+7zA__mr>D-`?wI%U>p^71e8%w$A~a0n!yzUDk|z=To4xqjY{H%TS8n( zGzmsyFm4z(d|hH(zvnsCedj_*V)EvFzyI(5F~1*|bE;0AI#qS5s+W3BF96fxMhIO# zbZT|?!*JUvlgtb^Lg?NMrp@KJ*co$QFzs#+_=?@vJRHoqZiLXi3fj(dt0p3+!n_qs zhZ`YuS3qo@8zFT0&}WewA#}-fx)DN`%u+W(=#uGjOA)$TK6`^M*$1N__tPe)Kc?mu zM4rXv0lRGui@u4m^7vk?h{!t#HT<^_d3-TbMC8%l|2`tG#Pt7BMBbUO_TNI}l^FQH zhsb+7!wc?zipX1o9j%DSTPfoaA@X=SzKF;pR&NU;&+tdtC4>3zA@Vq%{#8UCPoUMi z2JTZfMda}X;^q-~EVFq;-V=BXuz5rtL3l+(9#t0+d3Fu%kzzz%Wd(12|A!HIB^~{( z5qWIc<`H?{#dP>@BJxTM`u7ld9}K{Qu+1Uz_^Pys$m3hrA|j7W5s|kF=Rp5A5P8pV ztT&6u<15@EB9Bbxw?yQX^xb~|kw^c_Z$ac4-bw%OBJ%j={-0p+ve?@qEFQ@ii$^-f z;*s7Q7OxuVF&2-YyUk$nC?Zcch{fZX=W?e6i?=IsV=Nx`*na_wNAVboNBW;(@pyY4 zWARA;BPWs!q3ZOZabK@mT!d#NyH9 z2#d$Y5R12vt@y`Syz3!?p5lM;ksX$buy`kcBo>d?eVf7JG5H^1@i_Pq7OzWdx_A^I z5u3r{m1z3=SiH}v16aKCs6%7%ST4rmX&wIp7H=2mAr{Zd#;sfO5Y$DwZ_xTQ7LQXP z#^RBVv3R8aZ7klUy8b)PWBq@N#Ve_QMM?d4mDGQCN&Wvi7SBh$#Nt_ii$qwwlKy;1 z*Wc9uw|-dH|0L;ECG|g|>;E^gc$cz%VDUzK@STP$8logeEu{|Oea26YjO_gb-?U+6kD7LRm{ z#iPC$i$^-f;*oABV)3qnBEjPAh>Oe^izg~$EFR^HSUfV{28;Ir)e?(0nQH$z7H=jo<^%0B5%g28ai^n2GEZ)v?`59sH$jA|V zjm4v2ygCyd6l3vz&B}?zYe(Y0ip4t+Wdw`&<6_}Wv3M6#gjl?FApTV>-f|Wu7O$o< zHtyfV;=Kz^g2g)&_c}2akErz+i$^4RjK$-5mb+ zFvj9>@rbc_ocJ*oj}tP+;&GzHSUkFTjK$-E7Gv?aV8vKGI$w;%JDqfd#UtW#aD>I% z1C5QbcpTOki^oxlv3MMg7>mc=En@Mu0~2HMrlPZoSUlb(##lV|;^whc|3#ZOhsEQab}1Gwm;V-6JZdXq@h(M){}>jJ)&E^A-grFO-7FUGIAj#DcxQnr zV)4inv3OU2DPr+%0aL`{JqV_V#UoS1;!zCG6M2Yfmia80A{LK1MJyfxPDL!9Q{eO6 z2#YreOc9GmrijJc9!wF7N7Y3v-gl8x#Ntf_Q^ew(3#N$0TLPwt#bZtpi$|u2#d`!y z5sUXGm?9Q0HMj(eM&D_e1uk2};*rZ2v3TpiRTr^%pMvYg;w5knzP^aXBQ&O=h{Zb!Tw@W7Hw#=h z7Vlbc6N*^8-+*f_V)6R&5n&OFcR09iEFRm?R>b0wYcFE)E{0@B5sP;-xNa;Sks-w5 z(Mbnz$%>I{bmvMss74eK*p986kiANJs!lD%;+?}Rx?POLBV@0V?%FL24Mgiz(x2jr zh}IJ<9$$?NkoP0y4ySFoL&%%U*-Th&bnU?o?C zP4#rwSPHlz`cK@6^J>!~ymf+UMD|s3?a;I6Z?JfT@l|sD(B(PRP>SF^!Qyc(ksKrv zu~*6EM2ki~C&=H&P>M^7I1WUK*b_$_%|uEL5J~`f;@yPXK(G{xM~sd%hYOJ|R5}p| z92T8inRK>b@ghwG@JVSdRVCSxnFR1jHrK8alQ$&Hsy7JOZm@EFP6bSUh?J-|EWqxd@BLcg7JPVew8t z3jY;TOR;#fkkNxUt|AuiW-yz@;xU6*JW6kj#Un~@V=Uepq{!n#UT8|i#NrLkQ;zY# z${mKVEO*99m(lrwf@7Iqg6xBf!%eYxRY)sh@s0%(WAWNRe+w)gL3qI8QTlJOc+`ix zSNVMi!`Fkzy&@KG8JNvu@h(Ho##lU>-s4gHlsha|?%c}+23@S_KL%GO{rMn$)AOw6 z;t@FdI`sQ^xMTJwKpt2;0nzP>z>l)wlnw#g;>~|Jl!4Y&k?5f7W8lA=(bBWP{vt zh_?7y3qBFpa)|an|5=L?Z`*Q+7MG#lv;T)aYf;kCTMp5pqp!2K9HNarYr*%W`7MWN zw;ZDV#~-xVa)=gzBz~C0bDzEV@V^z$dA1y)#Y49(hiLIUF(JnkJLni$IL4Mkw3sGK z*&8j1L-CuwRZkf;X9}2QTMp66!PqT_XrIR0pY)&U8#u7_`!m73v*i#idZXSwjkWL+V#^`gEr)0eIBg|g{Md4cR*pN$S;_A6hC=b`v-|TITMp5(JGLC6 zMJE*f1kXCQ9HLcQ4$255c;k59sQXkP?TOCT%fx zy&5u>f==1-U@V1$n5uSnX1+s>7xO%wwSOAqB_w+|J#WWo&qiM%&`007M z*s<3rkXLFWdoF-cjx!d=27A`wrqe&ZqcDI00H{7i=P z#oZtscNDtP`I2t3E`iuQkFjr^VJ63Lk;mA#&TwHmJ;uIuMhLUiW9(a}PM9u_v2UGiO%BgW zZ^VvZMu}~!JRaM1#+X&8=W)a5v0dltgdE#-I@w5<$9A11Bn_9xcAXp8T*u|HUFYVL zkeot?Jj>p5zJno1(v@g-YIhJ!fW=u zBhi*|jdGl6`0bm88II-8Rs0Z-w-(j=7byY}46g+#U}k`}y#@G<57BxrphfcPbV9NU?&f#}m^20>#;y_g+Mo`wN9Bc;}!W{41nPwf9rrv@KTbm0E8o zO8VETS1|?Zyyy@uovqRHkmO&l$TWGMpo{!YQ82-KmSvU*GtpZEPw;OLrrBGFp7nn$ z%p}i1_xU#p)8aivt8Wr!s`qF3fPb?vt==*+w+J)CJB;-#6{gKQ2%YWUD$GppV^+FM zn0Bv5gx>TA_{Kz=CM8ZNbhuc*HhcQ!mRWT;waoF%qp*( z9kNoG)!tF;s-Ft8#(SOP^D|-AdY7{a_Y1SmyOMqIfYh_zyA4M94@%Ak?@X3?NOC^& z9%COoEX?hehNSEe<6kdAv=P;x>`6OWYhGhUrM#Al*X(U=snl3YY z1Y7i`le2H^~qJ(Wwqhtl(o$}(Vx|B>QWlv3FZ9LumYDvR7C zm2Koq8zfwd%I3RhA!K^LMfK1J@mi_Wi9OY8lpC zEI{7SH89t4ev98e9Z2Z#$6JJa>s{tBt7Mls%$k9MwpUAI4!3TDy^i+`Z8^f4h#FGf z$-uVy6UFGPcQ(b2l>V#mE;tCxF%~Zt@_HRTQO2&?d*>MBOtX%Gf;vy^Z54Z)y^lCl zGX!bU<~4A!n<-`54eR^J@@g;QrJge%zkQBGLX&@i#Rn+b^o~-~J0jCB=9+t<#ckB_ zh^O-}68$ONj*F#Fv)@SiuV7SfKx?-BNCMZyOEW$Qiokv8y^QUhO!3|s&)f1TBcW!mxjj|cOr zwJn&G*GZfHBps0To^1g0nlO2-q=DsP-t@moU-fply#uRJt zN+Z#Fhf%>N)+G3Elb5EWe`dXe{+{4%pl^L)J%$!Gdr>6Xi_q#8Zy5dBw10`5R_{!j zW80h@ZQjQm_cFTzR?qa>*`6NuHelMl`^&-fv^i%xygSJ_!p!rY=IE9Sv&ftKeK5U* z>GTexwuCTCy%#wpU17Ss^I66dW~H|^Cyy`8D({tvV3IcH<7)5t?}14P^R(Cda4>0` zUb4nJloPeLSiROW*z7){V4XLEOh%aXhP49H-tfXnzKof1<{bOwHZ8A5u6FXAg4fLsco2yW7J)d^4IiIp#`N7B;Yu}BWyvGkJ`8x|! z?X}W(8|Ilo865LH#oPvOG0W^_TQ$5Ko5C`COPMC`d6wBn%1rRC zW#jjkGR@u+PT~XXHPsy1CCoWU+ScmLJQmC$b~&`Qc`1sG7uD@vg3Mud5;?_4wAB^p zqhci5+4Ng0djtLMNP7mj-d1*B8qq9G%39gK(7vO^iab`N77VsG;u!R*gXAH=seNpL`!))l9?(oO zjr6ipY}Q<7Sd$^()x8g{`^`1To%mVv!ESqs8TDnBF_a~0Ql{Rs~bsoQI=wEH~!=Cltg@~Nw zUn5L|cL^7u>+GlcbF8o6o^-wRWs}Fx4f!|NU&9$Dc&q5nH`%-kmsN;y@NzxG|f9g$U9ii_k?_!8jcj%D_O;HlDZXBPmtSC#%A!QNNNpR zHC4zBOqFo7R=|p9Fg3xiK{?)8FTr4!tF$+hi?rdkO+eh}6>k9(Kla;^8~aH)4(F7@8|q*E?e zdhY_#S(gjF_e0Wom+QROLAu)IGVjeLUFUKw_b#OQ^)8ok?;_GWRlI{*8Vsun)qB^` zz;c@4I4tEjlyMw(kR#`59p`Q6v}$(7qk*f3K_qb*@>)_=TfrRnDCkOUMbdDuL-SJw z(pmRX&e*}E>)hK=QEJ!#j7+0D4HG&woDZg&+?_FU6lkJ$R>H@9u(GnjS~G$(@Gwr1zxG z7FVCACEW@O87ntQ=0gyX^@*Q>&!+cf09cndQtACU{|%Ry_UQvi+wOR_>Oh+BxW{1D zq?<_Nnd$xbFMSZzS=+4T6+sPc1KbJ+R#)u9^F6SNv>;o-dEK&Vw_ z>lirFMUKOwjl-m7y$y(()Xtw%|&Zi*zi~I&@H4t>aECfa$A6hqmk*(s{$W;1pym z-kbUml$OC+b?cUtwC)D2PY0#t6iB%}aS5Bgk#x158aI({aGU9)H&gcnw+t4gZz0|6 z9>e;Vl5TMi#c%pn(yfN2&(mI|>%W7+S#_T*FX@x6lKNMa)PGk={dbqt|C5sX?2TS_%Azgo014JLz^*>2^RZ0Di==vqt>7%;-^ElTZ z)Aio~uTDR%>z6a5Pek>PXhX)&uVVcOO3NU!I%Mfny8Z^b>C;@Y9K*WqWH8U09ily!M&(r0ZSj zo3|;`?fIzQHR$~b+Z z>y&Z&G^%s!$!N%5#-J_+rG3BH&M$PGIw&n^#}$A0iuzKn_`}zvqxtX+X&iiA%6>D< zTcJpT((Z}tO)rPlAYEpPO1U3R_b@5%c(Tp(6ejO6E_>QB*{k*5arnh~TAJB?p7wL9 zWl-AFsFt_U>7=ZQhBt<1smPI5eEiZv}*<4iiMb7SiQkUb+ z#Fa+6ze%Z-w=XuHbcHGRTUge39TNwoy@dK0l$KYLT3=P9k5}>OLBcp*KMYuUD|0Lw zlJdBvrwdXf>z(9-8EiJ9d>+bgheRbA9h8>WB?G8CUY&=7j)T(vft52T?L|oR_Gf+i zy^r2Q(!-<1@UcL8gvtFqWmGl)09>7U1j@()r?`wC9kH zg3|tqbQF~K05moZO3Pu5gVJ)8;-It~jyNbSd$$;rb~i9_P}(!lS;e5VykV4^$Mj^A zy=cgrpY#-yx0Ri8QV->~U90e3su+}( zPer`%_CR{2JoV>l;;zUzCF*&qk)vSxDh#3`ZfD+3yU& zr1G9ah5lAH?}@6tp_qGq!REqKryo{qV}A&-Mz1s|t-hNbW^?9YO+JeDOyzZ36$8=w zgU#R2<~S(rSG2h_D6PKBJx6Z3B`ED!nowgF^4}sTEwvSc(q4rUUSC!}MqV)EF~evu z+X>@%OEDDw_U470*&egE-++6u%WvmKD-%C9> zfa&jQHsjjTdmC$@-(d2Ahv6+b7R)|oD>Bg6WDYbh0F&};GKUJ2_0Gj2?H_I~K~COV zc@&sq&AY%=mEm&xhY4rxO7p^pS2h--=$o4D})$Lwt z5}0euqmk3$)v(O9<{4n-d7OLx67v!;i@dv8=?&)XU^>02SSgTWSzHF`vjZrjRmt>noX_KII&OD1 z3g(a?1?k0cMWfUoXjL(E%bEDfu;pI0wW)oyBWEeM2tdk>p<}`Tf5q zDH+{A#e!l?Y*9~GhYK_4sO03*Rf@@*uW~XaIrBCv*d%o-eK$z1C6a^0mnq8SM2ki~_hBr`r9~VEqCG*2Bko!h7iv$k;@vl4 zAA{hVa8Jis2*zdv?vSGkgMBHitY-Q~K6iWHj|Yv9VbsRo%@o7DU_8mduHI)*FerW(3y zE_IE`aS<-7x=6G-T^r}{B~N$G0J5-_UlPD0N-)Ksv;%M%r61Fu6P-1Rg3|J7y5nu< zV8uR9js~Q>nYaq{=LmxfeG2&JtCyh-XXj+7smyU`OOhVJ7t->4uFOdCo=9FF?+#1K zAeBamAgr@5*t$k}|`j%Q#OQ=Q)=7Dabq?t`1=n zW-FuWbGWlV!>&bIF(~cHV7yy-iF}5A9%#cmgcnq8HeJ>6+F9gGn-d|WjsJl?7h(uX zOKBaHmbo%`sG0g4hgCSvFEM;&j5b>gN_!6&kIy#!x$-Ixt^X4T=|cNz)rfErQZsF9N)6u;wqFJ(R}*%x#!s&F`E& zlrKHaYL2iqfAQ?03n)B>V_YuWv(yNGBz|0n||6!hB=4(zM9`;jBEx`D0`uJbbeSL8df|sY?U4ww)7!k#Y4hYEww2g61Fa$L6V1rtxHIjIXxER zzn;#m_=Qp)5*E5#j_BH-j6rAh;t^e2AJOf_Bf9n%KZdx|i?2ECFG;6*@rbVd73pj* z9?`YGCY|raBf9oCq^lD=qKnA7Wa<)8WL+}#iPf;kR+7_@;1ONh7N#-5Bf55(FinZK zA#C>$W*qyRG4$YbR>90*B)%nhuFLXkLcRNO!nZS zL=~Cg!gMBhMAsf6%+dsp=-PF{bR~F1*WT6~hB7M?JfdqyM|2ZWWZlb9&*O%>5Ke4g zU7m;YAhIqS*-J;(C2921k#*Tzrx%at+R+i+p3Y84>*?GBA&-Mfvwb=MQ#qQFdVQQ4 z8c80}P4S4Xy{pOeR1{fP=w&E}$hxF^I$dC#)gaS4vM#Gn>&Uu7>d3l6>d3k$p@7FT z!@bbe0gh_3fR3!o6pw>n140Zm@vIT1^t`?wQqnxF+fz>Krg>Vo=MuVWnx}Pp-Yfz< zt=m&h>!x{Hx94&SD4uP11OGJx|Tkui)kWf*rW5hjvQL= z>D&*&9$oke6_4;HhWr9_Pv;r@_Ld{O?m^aDVEUXm$#4(0bnmA5Y{Z*>2GY}@@a~nZ z&kWoS3ryv?NZoY0n~NJTxP3nuO@Rj>pysz^xr{X-x!mfEmNCO)w=+$8sUJ>HgEmHu z!@wAyKZWM%RpmEWpt!1xISH!p_v=07KjUo#_EtQ*Z?DB$P{X3<*?MUyv&&9Ad4|yg zstk)icC@-+zC%WE2KbL%Wb0MP)~k@Mmmfv=LfPPHl#W)Sxp-()w$15CkwvMjnrao% z3uQy7RaT_3T3RA2QrX2vljMq2b_vOzj(@~n-dg?o*2MV1g5F_E6A3{sY#6!@;Ak`DTQtq%F z9v_#w1tA|Jxvkjt5lN}odza)`rYd4SyzCs37h$x#+!Z7V|M2?VPLdPH>wh0eUv>fi zlQ&Q#$z8zzlq&F&8*8us1?zK?+y(qENvD$B1^ll_XOnEG|264+lDmNa4e9EDyMS+) zWac(qbaEe#;KvvySNMB86wkV7r-+_p}7nAy9w=a=}-QU zb$VR-lN~~G=}*oTl1qQ8-#8Qz4_^++K8AH83vlUA^=FDK$?3NO_(+e3$rDzQUVk7A zR)kfg|9k{UTd&r(Uaf7tOv{=a3@O9J!$&pnn~^m+JjfahrZ3jy@L-FR8mb7Z2x@l3 zZx3dQM7h%dGOMu_;aqhEstbs%2rp8dd6Wu>tpKwxXggSd-|!N}XR}W50>&e}R6Pfa zQvtCR;bp?~4v4J?f23GTHXyblT%a&vjC}ASdMR8eOd&W24i;V^WvT;WE5gNU9K>n^ zVk^RH)kBc33ua@whS#ZK$Y~6Stq89drYRt{BJ30e6M|=1W{EHpgEjD+@CIR;17a(} z9}6=nAhsgBQJ9v1*oyEbVWtLuMytY`g=r0zk-0^f83C~s;ZkAR0%9w|TZNe!5L*#0 z6Q(^Nwj#Vum^lHl72)l|bOgj!gm(yYanR5V=1yVe1;kc_%Y|7OR8g!;{T@me1;kc_ zD-@3mb_SLkMvGgKx{>LuP`eEVk^S?gjp33 zTM@1lW_3VpMfg);)&#^>gg+BzZ9r^Ac)u{~0%9w|2c(|$0kIX~gOal$IFn@_lAO;1 zVk^Rjh50h~A}d{``1IZ|bCvA*M}%|CoR7sWd{j6-+9mgxl1KYyZVx)f`Xn?EX^J!>~}zo4_N zQ%{5IFmt>-3SU*9!$0PkIX(dj|0FG1Wafyi2wxM+I?Wuh72)g3ts%A|cQGa3ki0H4 zM{Gs-rsSo^b1-g?aA_x532ALU11l_nDa^wj$gh9F`Q1I zAB$vG@Cjtq7}xo1}8YR)m9uYf(93E5gCTO@$VYShaAiDo1QZILzX7pP_QZR)iz0N5HkI z9I+K)oyC>5UFC?a2uBJxN9BmE2)7lkL*6elvot2*+Co!7jba9AoNl+X1l^ z;o;UyEI&@bhbiF^7SB_p0zOI!CyLS8fY^%gNa??dfY^%g7`Zsk>vi-*8N2F044!6< zgMzw1>}?f$n*(Ai!Wps;wgnAb>}E=tc0&C17a(}%d98CR0r=ve>hLH)diiL zfAg*5VS0TalVhR9$nA+FTzH zU=ePxeub;@hJXNz@FVGf#()5e@Dr;JJ=+uzU=e<1twaMS1O!-wUsyMxna#muxM28| z)q;uI5)fb!n)YqTX${V#IkudkZwq*IH!QQ?gY?Xxo$cvie+H&K;Gx^Fr;Uc-;*_Uu zLr0i-0gv5=<-#lqc$|Wp(o7BfB=io7iLw!L%Ctn z=A>L5@KkP?66WcEr-Z|_O;=eH5MUAZ7OU3=xU(|CKB8bTJ+=hSvi*PTScU_GE z0T$ujQl=>&z#`m7%1j6dun6~;GR*-27U2Q*T|+ps1XzRzN!wZjzMu^cvEM*FZ2nAiyHLMwo_x0E_TCduav7ngEONdg;rifB=i|2Kz~* zO$b(TbZ)YF@!A{^U=iLdOiMt3MR?kAw7Qt8%AiyHnS;zLuNMb93BSn_jir_d&CAK0s zLGDF~tq7(_DzO#8R3VA22u_k>#8w0|nCc6*A~@@IWG1;l2Q#@o8_9P31ZQ)3whcvW zMKJ4!kg+J(-w77Jg#RnJY6e$u(X1f0B3MMy@bmD##^Br|;6q7bD}wX5Z5c@}^TC{9 zAe|&v`QUugsU#Qq-~!UwB-i-hhotjKF7bhcMXpYAg%9R3y)MaRJh+hN*C)A(2N#jv zsqz8T(qO16R3BVN11*|R?ywZ*DrCwXb`WCCVCI!OU%^_Wpufu3otni%i4Okf}}*XOY=$N2JsxpKSoW2k+YJ zle}Qdj3eEYB+eqUCv~^}}EMv|BL znFC1MN#ZOr2hw~eNt{KdiFC?P_v63JK~$%Rv&bA$1vS3lEHdK{rb^;0GKU=l(n#{{ zV`hR@BP~3f7al2N0J8_u0|!bMl{+k2?qu+*h_lEXeDN?iwIE&0wUB#`FkbDw1^i);ru|{Uv^)NA;B+eq!%1xp@$wek}D#bgJ#93r! zu)*_^#93rcC%rJa4!@Z*#^ZO9k$C_{=EDk;#r5@DrOD?-n0@HGaDXsQK%7N5P#(FZ z0^%&fDwEn6B%CHI;w&<4Y)n3zIcp}gC5f}hoGqE**i+Fp@mOow=+;(>(3A;==PuXASipoAskVQAc&=@+s6I7F@}4k&Z=L z2hW>luF^Vq-aR8IsjRl_8m8wBMVv)u@z&6jZ_8Z&4)savmXx&a2Ca`ci_DKX1yV`k zEHXEeuGUlICejVbX8P#O)IA|doJHmq(#^?ZSig>2o;(yOnOm9OYDnDj%(ByU{dY{k z-L>wMVHJn&-444M|J%?zn^(b*H0`#=5bv=u>_eXqWX!m$o%|t z)SFLbe%TinD#;vmJf-VzpqoC;CCf1saTb|pKGm{o_9)8!xo45m(#b{PkHS#>q*xqrElJ*OjA<&=Fg;~xaFC5c$^z` zzIPVuls0^z>y){(fqvrXG5b*0DSrQ7C3SvOQs>9IP8p|9be%FzpGI{OXOa0!fV%P% zGJhqwKOj*%wLB9k@=O-Os(UwTWCLHSHylUKsJY%VHGoJFRe$u4mM;w&=#MJg2# zXOXEe<+ckeEq9dkU8f_h(wq!6gQ_zFP2SLGeN~Y@Ud(3(3F8FBS!A{{$3uB4AkHFF zkRsWDIE&0+b1=&1p?obQ29O!ZyMTebMj1%m@#>raoo3wf%n%J_$YzF`El3QAv&iVz zHhK@q438SarvsT0CinN0ktWU}Q)h0EGJ~AVw&q2}!lSk25SapzG3E@4WHZ~Ft3mXg zekwM*9ZXrG`Vwc6*-=Kxkqs*|Rw{#j;w&;dnOCxKKC_D%;CYWWu0iA@<93UTlgYQc z0KkuxaFBi<^XhcdJo(Y zW==2YHS}ONunUjR+3Wy%aoNV%9 zcx6DGMP{1Wff>3=9*kvLOA?o*a5*7;Xrv-;pkiS zygVjI1q54!Rr;AhaL?9Y2H89hmJgmoh2d5$q3emhF_c%}i>;aC~4f|1o=i*Q##CjXf6X&7Z7X_ zP8X&=AlM>o6EQqyw86|W?}u0;HzFgPBRNgMB-S(6{4H`O1m{iybD8-GnC5_Bi*UY~ z9L$GN1Y3j)g=q~4wg|5@3&?2;2(}0piR$(sMFrQGdmyJHAlM?j);t`{ynquhTw+cG zvnU|gBD_Hm5uE|S7U7R2XK6sNMR=nyT>-%s;VtH!D6=vk*dn~ud>+iIfXh+XWqt)_ zbwIF1c%Qi!9CA&-#VLHuYz4D6AlM>&(!3kYx`1Gd@VDk$VAcl&TZDf!M{I+q!9ntP zFz=b)2lH934^@9=o(sk>bALD*+?T>RW^M_UJL(4HrObY(o^~=GiK><0vSw}^^E~15 zW^Nz$exRN~UbUHfmXolLdJSBinUiOEIrSO1dNcPH{kTdEtH$1L=FaW`ZX2~9xJEM< zU_OPlY6`d}Ge@vRI6_?rZUWxju{opFqu`p&+y&(JQ1%cEmYJJE?ohQCxK=aw5-n?1 zY*8EDy0Jya3)gPu{>pxss?LODhnf461+Gmk05{Lf5o{68R4c&&Y%zdNI*==k?44M8 zc1OYhdh0+gQ*r79rqWY&D%ZAw{6T?}nMJ>i6Xr63zS}Jev8$O%SB+J6fv)EK=4+FI z^6sSE;j}Gx9$^;OLi+4L%4k(Gy~>@J<7|3ycQy)s5$Dj0E7b)2Q&)=-1WOV-&3yLwZMfGC01swx8xwIlN zdGl57M@i1S%}RFHCe-GuJe?{yU$u(vdb+8N3!-Cx$}*hRf4|JPs{1|a;fk=Sp6(h; z$7>8E#Sb~lHZ8*YCzv(~Sp&Fs=vl;P9C~!eAshJ}%;##N%X6wBm4JonKZ4|1A~{HW zh@xChv}ojW&&Q%%T0}KO-)15Os<2XMaiO*$E8cxNHhc&&@CeQTfhl*sW+6^1E=0Oe z=|mWXRME+mNoVsx{J==l!OUi9E>$Jjk(sB&*<8CyluHdVwDPm@Fu|yKaXGGXCFMlA z+Y`IXbq^IOXjmO<;L5nE2ANr#)ciLZdZC~+jVtD+8oFyPbq#_TqzIQ)T_jqaX2&^v z;nSTnkSwhI3=UB4Tm>dWs6;+&R|c-O^dtLoRA(Mi0l^mGx%zlOK(Ix4o-nC^V2f~$ zFu2;MhVXp#5VVy$zr(&#?oe4dqWt0^9X*0?sO2GDnUUa)5$_u#zTEi}N+b?N3jY;T z`E)u>uthlAnu3fTN8l%OBob0#yR`s}zGgc|-rq#mZ0AabgQ_W=KEEf5zhv>{EEl`h7C1SA6_xb?`?T>#T1a4R};9f3(vRbg9-R(Gn^|g^w2)SEW!)z(~*M7LgMX77!)F+u5M5!;wsjGHGYVRm@U7T9A6H@y`sjtVWtF}XG-zar` zocaVcWTVt~9J+wkZ~7JV&QK*nA~CSE)nIpK1)9z;4|}3jTs8%<=b*{8Dv(c&vIGsXS6WBvRe1 zwG9>Haaf!+)y37;TrmNfM@4y4jp6-p_o+UyFO6yeCx7PRvslaNc#MKvt`*Q!JA@wh ziM%646KZ)It3H+cGN5iooHYFevRb&w7``3H@IAX%qQQO}Y2{(vEXF+GV)f!ne?ATKbb+5cgR`Z*O@l20;(B^e1I!Bw!0%Gm} z!=gdM51_d>+l9#7X~j->1i9LRn>Xr&Um?BRYTBq1m?m0thQ)Hj*K#6UZf||7?u4(4 zS_jv~S}%{sMH(^&>A*adHstE4A(7>jkU9q8{440;M{}yov8$JETF2aC9dkCS<0`4c zD6M1e#&u9a>gbQ~vaI6^wqv?I{uW)w^Tl?&SFB_DMs;WxSy!iBLpd4AN|m(ilpz-!jPT8 zPg%yuNt~j;lv1OY=vFxsxqkx1cSxYsgNCS~{-wAiC^Si;~v-m9uO2Cx4RFik4gRyJkz%=OMG)YLAcO5N_nF+&AJ{H@z|rv26Hlf9Ni=iB$$%Du<<*&*Kd$!iaZ+42Yvt^k zuc>aI*sx*KPMcwoRcYVz|jF37sVkg1KF>sxsz1fYs$Z52e$R7Bg{F#f7 zr#+L7!{)V%MQIM_smGy;1}VVCj=Y?{pbwp1b-8ZPbH#<3K*n0WNr40}m96<5vugP^ zM|~dg?Xzlm)v3OS_|ML+<%@CkrNZh7`3+~+^5uAuUw?MZ`E--7RWDSG{8=?CIn>`o z{JhyUJFribrSrRH*X+f0*b*M_%$gfnyobywYyP5H?4+L3D*&R-s=0)@z6>pJs65x;I$&Hl`Q<4yq*yeY%b)-EIaP{cOtVSHH5M02H)QCt#59*J1J(sV*+xz!v^H$5MiCRX(}EY>;lEe_67vFi1Uv{iG8?z1(VQ(LukW20gtC4o;vm$=!?AmuYHZ$cMH__3+&QLA>n5Izf9B#F zQMbNuS#FZ1tI3YvW$GFmYI&+rt&sOl0*)U30^Deh(1~7W9}|SRPb|)He8Zt%r9C{4*Et z2G^?1LktqScPG@oj`1k6O*IGd`tCxLXE$M9&1~jg6tQ|Jv=g^S)^4|56(p9KjnOC= zBhRM(qonJqXJ`w)D(=;9(*haaqoOXDpoiwDXlR=B&@{`?sQLTpo^FnMxDD7~zmw>fs9;WZrc15IU)#@xUG3#q;D%d zh#1BDsIPSfylPu{Q%;{4c`sX&5WZhj;@V<~et5uBE7y&Qs3RxnET}oT zKUDTFR;a{ns0#So!X*oHg)XQbkSe2nlGnmJG!OUMADK?>76_2!4LC^ z!X=UPw4(0)cY)OliUPO+u0Niu@5671WfOKe9C@~Sqz-Zwms0LADyldeH(Tm)mNs^n zHWfEWPk5l&uroRRB5snAU{yyVOQxu0?7W;h?%d2VmipKmNa@rUXC>nFe#>Omv z5OUg>6;z~+xvRvOyRR-aCgLU;yG~`l-SqXzy3cU=D1YYS@9&BpJ{8-_P1rrqiir&` z?SbVbGO%AHr}by8)VT&!{*v}DiA=sWZ8jEZ3R<)Dy2n#Wvp)yY{5rr`1M6 z=W4StyI1Xo(Kvp_50I%1-*2x+(60@f8gbSJ7{1%HG9(kF0kKHYkXAh;O`96hYDGiR zY#86+U>*@k#3j}2y*QR9Y*J9o9tz{4vJ(uk>j#_Kb$$nBv|ZX&Vv$(871?#+ChC#i z?J8o|!cFa(+d#XPY*J9ojmk}ehds&(Jds`ZdK9stvDmje><*v6GpJ_Wvs3p<(%T}j zqPHDW1u^Y$QC89NCQEl%a#O=vj9o{t;_oy?MHUt-oezukfPSZRKqp6nMX%cb5mXy> z+ytpU7AaOAb=(i4qD9XtcHBfmkIE&RDnD=ltca91i}F}xQ{~tGUFGOq8I={0L|jrG zBHr@QCI!_YhqF#S`CD|+CpRrRUIz4cn-(44?FfzQu(O%hV4#X2IIu6tpShSbqn?)& z<~7kyZS38GZOS^?vbc!tGaR!F3_IS~`*w_w)nZxnA)V6_e{w8e5Cb2-G51USfBCCMDXVi|_xSf*dAl6`Zh`NWFTc5+sl0YHWHv2MDM=!b# zWE_x$wE8YDm4+Nla%cm{p{qbfQAwh}MqEXsz(&lB0vnM;7?Jh(uiW_rzi}85(!JiX zagfCR)p&$Cr{*<*D2_4wz1CUNa2R0&|O6b5wq_YWzw6MP>oloe%Mx?9VD6kQk zIyVYzM5f+djT=b>HX_sDMuCmUG`dk>BQj0y+xSIbBQg`*OX08xZ1huD*z87ujUEQm z;zoguXmG0=1vVlx!;JzP{TfW08wECE=eD~HX@S5-9GG+6D6r8J&~~011vdH(m<~4z zZ1e|+&2#&CC^O7t4=!@6$P5>z)2${mLYSp)6xfJjUG8?wi9%YqQD7t5w#tnH8!_(I z@)(A!-N{BKbYLTrcqK_SH?X-*f*~#Jo2i5$Ejo}^j*q$m+Q5ON*-5>P zmOC6xNqr|y4UH5-TBM`EModp{z>S*SU<$nqDiGL+G~UpGv3g?y1Uj%0s}FQwBO!HQ zBO!HQBiiIM3=z*4IC-!b^ESwmS-q^b3-VE7R-fRV35Un|N&{3af3|}{s`asq-YmUZrz?g4a=SwSxmR+bD2Dvm04ax;z!@p@6$?QD6_=w= zNmIU$ru#ldlV_P9LagsAG<2RxvAz|g=bJ3v_b4{L%iI&`z4t}ggQBu2%B(PHZ{O!h z-(~VBv*nRu1g8Qj=!x2XU&(oe`53=5`{le#}y|JzrwGrV$lk z+c;h2rjLqg%NSs*M3q{Nq668eDn-MTF_5i_7-jUc?L>d|K62QsN}=qEQQ#|RYMwPK zL)!HtwC;UP>)zM2?)|B1FBFyDpQ>nB)cX;md;fSf6+&!Q zi%@pO3P@Ja)I4iO@3UPqLB_rR9@{lTl-p?6Qgtgb*{-EZo>kNLHL2)7x2mU@&)&Z^ z5@7FZS|paK_b9>MUlvKQ_cg7}yio<^+RU4UvMc7INCj)Ipn80=6IKp_Ox*ila)K@w z&34vF+@p>|Cat`u+e%F;`o1P@`o1Q67$|kGx}K$I`@JQ$YZ^Tc-`BJ)zOU&X#sJ4o z+@~H#QR)5r6b-Yr??;S{Pjfkm73ve@u=np4%BdIuzJjLaS+iYr`e-BZpyDc}n^=ac z@OU5sPJ@S~9tR!$k=hoSY~n|1r+6A@Qo8wLMMS?e2H$-Z0S<(wMdB012puvI_!g{4 za3D0T2jT;D4Mb@1heF{)k>D#>a|P8KKFi$(DjtXqu9}~VW)6g5y^l;KJIFxLZlYe}fjF8?>?QR$Xkv$TKQh_G4vX8W>;{^&=~Z*BKQNzO zH8&DqV>B%i7h2y?f-~a6NP+{QX>IWwYXoi~Y4HU@IThESNCj)Ip!zC2LQGs@aqlvG zTCpp{<1u-k245zXIN4OwQ->Sq_BwM&Zs8q#%kgTAodDiSm z6R)<0K}zPywbrm=Ynd1gkIz0J06A{`0M481#e@`w<9TZ`GTHj)t;6Es(xeQ=3)aQV z=Wx9c32?YHEfT-6?xX~V>o<`EhfC9XxSq3Kfe0J(E1{fd}2LE0v;c%_9M*7;|b=?MQQie;DGF+OJ;d<4Y!cuI;t0m3Qv>q-^>*3P0 z9#fkXEA}p^%Fan)UE%C&1+DZU7D1^xW^vLe75tRNPxqoX_2_sW-u%n zu6rX14wt6&aCO<2K!lCCODLz}udt|sHCIr*4A)QXbEE;Z;#wre!}U{cJ@-o`9Ii*~ zb;zWDJ<@HkCS|xZDZ`~n8Lmg|ez-W7W;|Nb3{C6d(zG5fP3z%$%-$76Ww;)*X;?H| z5u=CeLHjHSv4sx{m8u}Fpn|66S+gFlpW7FKmf`xP&FgGNyfzFb#>2%vxF+T?Tu-p| zPl*XB3G(L+xf|Iy0*>E766DW6$a)@3?!-xkzfq7sujVzR_h2SYNaJ^_EVMh8KUeMA z7k3J4aXaE)q_(YvAui+lfw>8^?egZyzeJ510CAV`{rpQ6r%}pfd_Vs(VS2la@8|zW zv4X6-9`}d-0>xX1y!#^V!Tg276kNvl^RJLH)h^@v`HR(c5UX{ELZ^SN8ehd{1dQ+J zNAdk!#`p81_Q;l`a#e-DP|~|2ARfxQy@T z-!4pt%lLl&9l~7fHZ+5|Q!2&+67H8 z%t9r5{t@9Ev%vU%{-eUB%)+VU9#eZmGHVtX-_L(slvJ3774)Vjq*wB0VIckS=fYK+ zg-@Z`kK_9lMldgq?^j@aKmV5^*Qz?^nW2H4BXI=l@!`Rd1is} z{ro>kix!y$#`p8%_*snIQU%qw=nB>&!wMd-pxz)|-X?%zIzB4Q2sZ zc*rB%XJ&!%{rnBW;S4e3`}rSA&pWC>ytV(4T8{!LRbYHS|6`HNs=@*6vrmM}s{-Tu z`G1wwqFNOg_{0BPuN|sz1n1PED^P8E)&Eni7qy(*l-wwu;Lraf${zP9WDAqmntw^p1-rzg`QZc3XGTM?_#Y2w_XkASzv!R>zF!- zM)Cc;q5EJ>}Nn5B0a#`p6Nw+809%P_v5e}uILHKbhm zKKw*6I_omNpMRwEUxmx~e*Q7mC#X5E*U>1xpDPAWvwjN&b*|XkD)u(JjPK{qkWI49 zWqdz>qxgPaZCRG4C-6H+;`{j*Sl58j8)QeR=^c^jJh|XsXmJ~LT*mkFFB1JJ-HwZ; zPqXgWVaU1EIGiHmj)fK{M-<=B8+IG4 z5t9J^pp~u2+~B`#O~nf+ZRIPaR=yHhxd3ARI_pu4w&V6659U?tb}%WI@Av&EzMp)@ z(~si&xs31Uzb@5RyNvJWzaisL=SrL3ls4D9jPK`fuv)e<@C={v{rr!l0~%e%_wzro zUcrA&F5~<8pIHZ1@frRGI@lN1_S^9pKI8lOUs>NEr^RJ_Ki{->Moz1HW&;@8<_u|* zPjmZa_7z}ey6tRF4|@ricKN8bAI0~RuWI{Id_VcDwjag!lkaN#QG7r7u(lt?_meMc z`%!#9m+}4lD88S|_FKeORcr~+0W0m)?%$yt5sXI{@-`)J^M`3sI;G7pa1jxn&;uL*4}Hc zecy9i?^@F87d(gEHvav*d1Tu8_w$FHiHXa*=i+yO_V0%`F3;oBn4ZiLxzuhMk<+_H zhJRE}FFDyDqoo~1kN*9F#vBi(9-eHfF}Z%#yeG>eE!Zu`EnV%=zhAJsF!f$57jRQ9 zf>?t`|9-((z`u7Wt%l!#lk8Cad`voo1r8ryr0o&K!zn?$+*BI5j`+NNM z7yo|2v>dmi9_ShAfu4~b=$U4q=V5??SvhXBqBoYz$e0Vnuuhoy`b@huw+-uXp-2CI!R@(&(1OJt{rd&$bJrqgxgNL;xyi^`CBfZ; zJB3-J{rmYle;)${w`%z9FaG_4`((rE$=sA4yG`k_d%%p{{p{3(Ql#kZ%GI(t_cT|M zNB@4oL%A2g#1i5>__3_NYVF_8AHnS}{{8%s?78^&^GDS~Ui|y{jY87DpFc)q>EF*E zE9B0-K<*|2^zY~IE+qZ?`FjdU|9<{nMe3!0KYw2#>EF*kP&ClLpMQ{$^zY{%EXA%z z0sl}T>EF+vC<65F=N~O3{rmaH2>BE>94E5$@8?gKRQmVxPm+&S*Wk?aPmxsm_w#29 zN&kNS94SWse*QeBmWzKs|Lh~M>JjR9L;NN;b^oe+?D&xDbS=j)ZY9Gyxxb}n_q$6{KBymj|)7iG+kZz z5#~B<+Ki(01$y%f_xdenprPTjNVwFm3KIv^n?N}yhH8HuRy>;xF1Kl6)n80 z8oPDyKhR z$yOt_28-*vwMxZr#*W8n4XT83y-@?e^b^yAqDOCjL5-p|dh=tG#hYI^pPd;Thi69+ zFVLG`c&_xKKyQAb_U2chH@~p03L+RO{+A3AONlqXaN%oIR2`nr`@RDG-Gvwa0o6GL z`hE*9VzR3jp7!Ra7oPRz$H^COe&Leg5QV0tcTyAW1H#K`g@RXv*aG3QlqT)XPisnh z^9!#yi#qDVZ)R$f7F@-0M#n0xL!!rPZ+-lRU; z^lojcch_ru^yU}dz%5WL(3@X)Bk6j*HNH!_sX%Xj;Z4*%xj=7zq4wripf|rzd-E$y zLT-4A-ZJ9NFTB-9y{P~8OdaZ%F}Wi(ChJr6Z%EaDXR7|YQuS+Ze!Bj9SWkaqi;+VNGG$n_u|y z@2IaO{PnfeC*$;6Q+F50zRGg0uIG15FY0yo8g<9|IQ>1xsamg@ZKN9uGB&SMW`Z7@ zv^T%-jZvrzb-vksr=$;W={jYdyv=pu>bAV2>y&k`z4_@n-%Zu|o~~2o=`XrYnWwZj zzwm=wSm)&MuTxp4^z$QKr;Oppq}_t7hfk=lSdjJbchY7*d`h~>sh~H%&{3686gP)i z^+OPz%y1VaD&-+GEK`(sJ-N(u6{gywH^0zT9Mwi|I)1~%n_mUJ`Gw``RH`k8zM>lt zx!DZ6$(iVQ^yU{9E<@6}~{o3bxchT7$rwkZ+i0!$I2|Za={7{Z+;aAwxaMjHH?L;!#&h3R)3Soo5mS$ex7W; zy(OpU$?i+M`Bij36Pm`WQ=nkzns7h$^FjEWLJw78vw8`areQm99QIeWSee5noe26s zbt>umrhz_4Laz^_i>&Zq#f!!;desUKQ9SU6(T`SmsN&%}jGnW?35o~dux?zt6BQ4S zVXvJ9`f$aKKWvwiK~GZLki+h#%n^zkWf;9pg-6N~ubFc~EAB+Zx!15`W+8ow;(;}+ z7PA;0Ba3es-wTDus=u)816)MMseLgO!>(t0j#u;_GmP%T!WNmi9 zTq93n;cSswCr@JG9AVabUAPZUReXlt=n-rk&Q*2T2b<+JSlFs~v;3I%3RZ1+x-9Rl z-rIPf3(ruznWi^C`ZDQGM}FPU;4KXwEZ{l(TKtM5zhKXAqH+D-$m5CyVy9YBC^v@kX8k@@dDXIzv zI6V+-YnxM=Dq-ZyOx_XnUSKX}iwwuJU9a3{tBg*dRup4PU`^QZ+n?!N@ecicV#n z3Oe#btTbxtFOK|zk;=zu?Nx9%Mu};xqdPrX7}ujCzhGCD!z>m(I`Rt|RW~p_JUa3V z#;6^@#QFo@Sg9T_FEG-@w`dxeW$I!uE#9w~vqG%}Gt;9Z zzhI>>tsWiu1y`vbAZNZu%dKFQs9xw5so>k{7sy%c4Pu$^s5ihY_qg|hwMy;GHxYMH z_4TS6%o>k7F}Oi;)_L5D!HvSK_nIhnvuc9aMvt2@xJ69|v)SWG6s%Xvz&z&h$O-OM zFM!$V@mvbFsLU?-*5dI13Z78(H}HzbQ!02~odsr_$KxpYAN3HJw>_Rm!JF!BFdx?T zr0Ne@RIR+U>z%m-T%)R`Bfp>~^B}k;RZB;H!A_Z%!8NN|I`RvKWIh2m zLDkZcUobq=cO<4t)zXn)Ffuami7ji%ut)Rp zd5t}qA>2Y$%cC`zohgjMgsECO@(bo?>cA~mwfx{7oRc{K+$!Y^caXX>X)bb|b1zQELA*6Yc2}eh_;K)0l9P0S-kht59A_lBs;5M?FNlFXkY%{7KfBC!)!jxtJP|tTDb<*s zub)6t)**LU$0B@mLet)-N}e5h7xDFmo?Tw`kiP@62J$q~<+;_6Iu;2#zXg(KiR2)0 z0!4Y8Xi>A~rYE92T0}KO&t@V8?y}-S{gAAz?%vf>Q46kPc{cz9pLs0AZN-B~*OA@` zk1(4$c{1s2zHuL9G`*UX=24Z(HqFc;Rh0AWN-38bWNPK7{WPIb{gZM$Pt1-QN;RjK#>+_&9;>>DIh{fz$UyMRPAO*) zS+w>NEC9Ts1k+y}`2`CzSAfy)=Fc-$M)&d3vPjzjcy#0!oG(n#qa(lI0%2mOf*OJg zGZUa7?=Hkigc!j>vn>Hv1V2T~`?)UK!jB)04WD-}MTy`wr0}0j7G~>k&!_L%&XW%{=ALblWYCcxr7P&jFL)`-udwJWisW7HhYC9K3wq}c zMT)#W#*K)rBkkWD)8^m0h zJk(5mc~{&sxVxi`Wm(*)_ZN45!G*bjU_8Ft43^0EJM@z7{DMnz0dgw%@hVuF_;WI}c!XDy(X$+N zu2`YbQ3T9j)uK#KRNDt$?$iY$RqO-u!i>Cx?=u4d2QoCjD>}P=%~2O;wxI{bQKOom zbcvLNI~}L-J8aaH%vC?vUHTmw$3M0DQg%t2Fhw+GRYSmvcs#~1K4>+`SnPZ;q*lPOc z(XFmC17})Y*S^&WYg%1bYV{_nep9`%RjY2bbG&M7qWVoWU*`1wHr=o{<<$b+K8(DQ zWA~=KqoG@fQN1|^e^ci}9%C}(tHzS|aqw9!`e2bRvEl>eC&%o{WW^SEZ)R}~o;g4Sd z`KMO5pa*)!Zc$vWTnw_4ZZV_!;Q~FXx0q3VZ-E}w`(&WFv-GguZ}=PA^tf&^{JJ(h zun!piwze_XnO5Kwl)s~PbDYtwj=Ej3HJnK9w(rYhWOl{UHfPL|pXzoWXi8jz61vYn zHm#Noy2TV(-lj+35k+(oSAsL~20U(q6j3Tl_s~Bk(WwTLH zjn?W`JfBwaOQT|yQSqW8N=HuLtJ{qHJb4`?`Wv`CPgTU*?Puh7wDNZt`BSa@9#>NSL>b^Gjc>rS zt^8k&{E112N1yJf=^~8Nm`ikqOcl;zXO9M+G)K!!A7(V+1)uz>)%&2^?Ag&q(*$Zd zR)paXl$wq+np&JaW^s%r%CdX-NjL}ZEfxMA`>?aDfJ;naoR6mF%T3{((}hRa=^eLk z6ABNK<#41ajC)d3_(@ZEP`dCCTX>J3vgUp=-H$x3o4vvo=E7v3`Lt@X+Gtv4H63p>^-61s(`%!*orgVsih)zUO!v)?={A)#-C{KPX-(bIYol0d zQxzvAC(~VJG%c}hde>;mr8SkM*G5;{rajMK@1Fntqq;e}+6(snd*Q{-Pgu?KwCTY9 zY#c#y{pN!H1(#M7d1E8*=r#)&*m-WjQh1_ng;Sut^S0XX)3AC z<_j43^=dkaH*e?gZXSE0sTTLL>RdkP@z`vd)Btt?525UeyW5&JP<0zE1bLshH+J87 z`hc3W_Z℘-e27cI`udS^nO*1I?}(jjIU$#!h}jH{}{(;T;4Qtsm=3TW|~eF_KxL z8@l3DJ6X1KuOpjK+jw^5)Vp=*4{_tqKehTh5N^6>5Cv|ErPiirn!xSL2P)jKH1X?| z+D~)M(xTg?MXjbqdrzB%CLeqlv|)V5hWF|k$}-fC_MvZ6bmmOcK9-mE!Oe4QFmRQ!L z-D-UI7rM!}RqLX!PxTnWspu>WgLOYGOjXMg=1W0Yyp|L${?M%${?M%${?M%${=lBWstG1GRRn0 z8Dy-h3^LYL1{v!rgN${RaT!`@U1eMe#=6R2gRQF!GS*ebO<+2Al`#c5)>X#U&}Lm_ z+ychB%Gdxg>nejIXkBHHv92=6SXUWjI(L=9wpmvhcc7ksaFxMM8dn)46IU7RZsIC~ zO6V%%Sfu6MUw{iZk!-eXDW-BZC6(?hB(;O945lZpGK9VrD&Q)Ebl%+xCQJO2ah1X9 zjjIeHwW|yvwW|!a3BUw1SQZS54}bjTV{7%e2V`->$cb9wZn&$mfx_ZL#^8#t*8zh8=q%f#Eo z&u|JBwp|b2__4Sh+Ue5F5Bs4<3ApV7&=%ZwH#WNkxAAe?g4@Vga2qSw4saXGSa2H$ z--6qI)q}hG3&CwvV8LxG0k@HxtzrXiBiE{818yTXPsIk@MsB`}4Y-ZmIVv{bwpYL{RIvfK zy$^1&iVe7J81~h26&r9Hd$dZ$?Z9pERDSJyHB&c`;I_COxGgr|Hs)r<#RlBQ!}guJ&T??V+E za2xZSOniETymw^e-Av5)FaWpls#eUz2HZx;I1?Li8@cLCY`|?iE$TC|0k_H7k%5t|;I=m~IrB5I0k?6c7iMAuZXfD zE)yGY+ZK$=`b_-BROIcR-Ic2+6B}^bSHNw{^futO*9Sn5J1%Uu>E#NTW-#yo_3K8}(ao8%NlJ+g|R*)*5izdtfZM zjRRr9Z43it!EM|e7Th)jt;xH);J0Th(ur$(6R$~h17A)z@MY7$DTpLweTw6JE7RLtHHlQz- zZ8kQzwr_CVW@CeEn+vW-Ha566b}7!ro#NW2qUO9i6TdwZTpM?y9_ShAfu4~b=$U4q z=T)QqvvS;M7T3m}SzMc3qY_-(vDgk4*T$MHuI*1?vaC4o{uRGvh%#``CWYa?TEZDcI2jf};$@ldh2Hrk|GTpO>$7S~3*M~iDCvnIi{4aY#?n<;*K zCb%|kI6Zco(qp$NJ$4V6u`{?f7O}WCK66@J+Y}i{f>3~KaL{{V4Bvs?u!046cpmbAoH*@oaHz8^B~KxC3x)U2t;r(YQ7qnte2`jikl3T>ue-YvX0>%f_{_ z`3bI#^tisSp_Zlu*Tx2B*@Ohw#-Yi(ys!ZSCA*ho=r&$y4Z4lH z?TgTD6i?7?q!V=8N)%1dZPPG93A&9B=?S`x^yi`5#v)(PZGQw|TA!fX2I8`B&~4oM z3A&ARf^K8;6LcGCi*BPjgKpasY7%r?D^+TA+d_~Dx=pLm=r-PL6rD%<$q?mT z7R|dO@oUg+SAh(!!rb2sLYm&q=?8S%4mb-8x=o5!3%X5Lq0w!;&=sp&iEi73c}mc2 zyf7r_Hi{?cHaYhUx{Y*ubX(8+(MY1(SUf?u@lBFNw~?{vHY&F0Hfkg0f=xE)Hg={T z&~4YS7aH9rz32qp_Fafzr1)PlNGxU0ZF4~O1GFuhDI(FdULA&hjjh_BD!rQ>j%1R6zkXMHeG)cE^^^h zJfP+idCsx~*=9WSo9$ z>gK8ZD$6D4Hl}xmZsRy5=r+<{h;F-I*ZJnvtW)~%mabEy+qg~=bepd8AJJ{8I^WZE zegV3z0d@5Qy6tt_&yRGS8r?=ZLAOy~f^H+7pxa0{IW-2|b|Vz^C%UZ(x0(sMO;jf6 zHp*Lc8ySmkt&}}>uU8lyN+YW+QjX}4uNPnW+ zc=wy2+jQB^&~22m=r(!O!b!_3N{vCcEv7!A+jtY1pxd-QgKi_!Il7HSEV^wUFx5~_ z@k%mPyz{T(y-O7Zlhb)1=o*7=dx4b`-F6WY6Lj1CP@u0N9no#Pz89SugKj$lW%?7{ zc8e`MQja1;PQjRtQddxf=(g8D^fKr+Iih+QbepXG_UN`6gKoQrg^6x!s7xByq$qD1 z*8$zeoDS%=8iQ{8Gc*k#y6xyH#N##Swy9W+bq3w`J<E&~04ewFceB1Fg=W z+jwBr8FU*LU!6g>okQB7+nyqA&~5vpvvmgD#%Zl1qz33V&QhI0w{be^47!b@>(m%@ z+c+>a2Hkc#2CHX+ZsW6Jf^Op|T67zqC@s2;QWo7t#-iJJGi=dqH{pKAqT6`O+&Q|9 zx70+p&4408gyG5=&W;w{)~^~)J_Oyilc3vn5_H>68r@c7&~4P#GeNhlM2Q65#;#d(8ySmk zyO29OLANajW6^Ej24m4}tiA)ft-_+)ju`;u2HnPY9Tweo3NkFZ?L06R-A2Zu+g5|I z=(gLzSajPKFc#fL#-iIOX3=fWfwAZ|=2&#wTVO1@EvV)D2!n2`2V>D~WGuREcQ6*+ zM%5PGb}Vu%y6to@7TvZOj77KI4923{m}AjxWGuSvCtxhP?T=tAx~*4T3f*=*7>jOO z0>+}-o&sahZ4T`GEV`{3j77Jd3FgDtpxeF;#!<0Bw~=#IY|w4@fh(%s2Ho~EaIuQV zPs1MaGvumOY|w45fvZ=sLAQMju0h2H-4^bIX;QI4x9tb6NyP@;HXU5EiVeE$0&o*l zY|w2tfSashgKm2XT#Jegx~-Bg3RQf{RJ3JM=6G2NI+pS8-C&uq2TSSSw0D+wOzZN-pP87Bo#|Di^Fyy&r8r>U<>B zljb7VIgL0ds)p+9%UOsETW39h)GA)~ll5rsR~*l9Y_nk1Z&TNm|s1+VgH-=&0o8(p5^6JFjv&CAsrDl`PdJROeMLqYCa< zt)f&{56(m-dzSJ?^du7w-pZ}T`0W~4q`S{@nq83eD7XmG@X)^=24Z( zHqE>w$>!OWQZ6;f)XGo$X+ooJNy_n*OO+Gp(n>6qD@_$Cb}`Ywld+=)*;yTG{s#>M zP*4VjCuT z%*deI_#)j+&~0Qax{XZi)EIQzFQE;=n`Ek~48A^aBNA{$@Y}Aup98v$cY^$KZ1}wE zp+qnTDg0+s`7*l3pxZ7$M%fwo>6xJ0ZUd8`+fw&zi?Ylhx{cB`2HnPQvFI#Puu;(c z8iQ_o9x3wrkT;rAG0|;FTe8chf-#|4A~a>eS&2k2iXTVhXZ#9(Ux&){)RpQ z-L@0bdXgr(Z5EgW-L?Ri8IvUGdz4A$-VGJ94vSS3I_0@YkqqCu+;C z*t%c{pE^~&&fUCV@FM08)VcRB82kj;L0snmi!2ztp6p=Fu3IozjF5+DcFlspd>5jI zatmcv#DnSUM-9_l^?p@34FR5$bu^$*esFM(tgHfuZUUEs*^+CeS1k z6KM9lqX{(e7AX^G_CQuHQ(M5uIsHVE+Q9^x>4^!n(6^#8m_U;@CeSn~6BFpNi&?#x zK$k5RlAoi?mIz4`=&n2Px&qJcz~W(3AX*^pp2Y;ZYagbVD1N6y>R&Ke-WM9m&Y>D@ zuzU>3bvSwpe_%Ml|AoOa4+{4s{3Hg;e+G@~9)7LCa(A3&of$0C&bf@4d6)MjC4=P! z<+v8T02K(p(Z3p9?$ls;4({r#!SYf_TZ3gX)?k?xY=^-z%XDtA%R^bQ8gyo_3dW$L#E%N$c{uzVFBeyqXr z?O-}LSl))#c5blzJ89q>Y+z!re3PGy?aS!~b}(4}7|pN-%ddg?XAPD)1=e7h-L(eG zpW;;`J}n>#2FvWbHCV0$V-1#H!?oQSEc4OW2I3%e(i$ueMUFLCmIE^}Sl)_OTZ847 z!2H_{mN^F2V3~|HSmr9R2Fq;qb{H&gz{KUV=(-k2jVyhIo4pAWvsz6%XDtAJQ54p8Z5JK)?j%K%2+dhNkKByVS1o*WE%iM{2pl759x`V;89_V?P z2pBALqgjJx_RJbA%QY%7Se}jTU=5a8vo%=08BCTH;{_Lf%g9@U<^P5yj&9Jhbb~q= zEb9gtgJqto)?k@o0Ib1s7rb-o)L?nn!JKOoh~uvqX=|`d#u_Zs<67qi%NJv{S%YO> z#jU|I8Eddi#u_Y>>D*v>9GcU)!E!TltidvwHHpFUHVjnW{S$tz!7?|T9=lEHvFl*4 ztjEq6EVGC;SnkS|lmz17$2J>?gX^z4F<9nKGX~4-k}+7G3QfjfSx9ZLEV9~QSx9ZL zECSkKSx9ZLETlGAK8<>{!LpFrU|BS1gJmJL!Lk(72FpTfgJluW2FpTfgJmJL!LrC| zgJnt82FvoqstuMURU0e|sSTE;m^N5uYGSaw45MWXmU%uW2FpC2t-&&JDOn2AVEF<3 zZw!`sXc~iMlGb2(cN{LpV40V#FWX?5%})%LNssG$9op2C7%a1aSvH~j`!Hnbwu`(i z*n(!vM4EZ~*4@E-wBmJ>DMeItaSf#e6Z)? z%d2MIy&mU**g=QajE4>#<)eEIEtSO%y88f<+77yVEop5B-Mx;qwuA0Ilnz6*9d!3$ zdmvrgL3bZM9<;WD?%qI|7DQCUe-x2k(GL2@Xet~JAX%b(L?anjN83S1qj>=rJLqUv z`GO;E>!VbZk0=%8BN|I_Z3nGsZ3i7Stwa~J9dxu;CB|CYK}Y-W**g<~`!n9u7K}QFU!QF(|K}QF3%(WeKbO>o}2OS+MZ}lb0M>K)7v4f5# zQk~dAM~5E@HQEk3I)c{CVh0@^wH&0jgN`O^HME0{rtlsH*1gQG;$lv|1h8n{9gknJ zgN|m?$ekjZ3i8- z@_M7~prg|%uI-?sdF-%`@)3QVw6=qe&O8kCD#zMEvv^_$%@1M7qo+p&Xrz>9dxu{DD=7#t$c~dEt z!qJ5fps=<=jxJ)dwu6o?rqZIs(ui!74^F<=K}Sm-)tZ*>k6kP_ztQEtX8**-Gg_9? zl(K`4(osI5D~c%FuRi+b)zm>d=;$hzGdfmj9kd6HQc*slRFsb>9pxihJ&F3}N7wa+ zDV*-z+EnkZ*ZOD&9o@h!pzWZe8%b-s&*;0Pnp@*VKQu*~Q}sWj>*qJ6C>7-+N=5mIQc*slbd-26dQr>L z4mwIj`G`_cKB8Z7?zJ6sl#cQdy?hS!wM4%jg->95oPKNS#swrw*+ECYW4gA3j#5!R zqTh3zv>kM`jkLCdj#5!RqCarWz~=71&Vtf6p3-%`c?;{5KD?#tly&kp*NL`+j^5FA z%DPWQ`H0?4)%l*TQ|2iZ`h@zl9dz_} z(q=z=O1eqgK}U|d8;bfjM_Dxicd%jy9d%KnQtY6kGDUf92OV`4M%zJ0u2{@!JLo8h z@*#H6QMu{@ZT*XpuNG1*?VzKy9duMwJYkI;bQG#%AtrXvQ4cB7zdEW=ys6e@dm9^S z+CfL@C?8QDkM`LA{ zT)ALHNt6$B zjw^Q1QL{Q7t5NKrqy5!=q$iz-;XY7x!J#U4(9uDP7aOsIjt*A5Xowwjbco`CFLuz; zp^AsE*g;1V6c0kNgN`OD9v)%`9UZQ?@x=~0nxwcP#SS_;LUE&r9dvZ0EOD`ejwUM} zXkrH)O;J3s#11+-Mi!sgK}W}`rIkLbM;L7f9i6K9oUHAjqq*vS-0x^R=%`ikW?9=oN9iaZ(HV+2)3k&BIvP?w z5}&@~9>d3>9R&u=?6Hfyf-W$0LywWoNOlV^LZ-*)uYxW**#iFCk?A`@#a{Tok{MNO zNENp?QV&6b7+Ci_gSSUwVBJ&hw2XoEg%Gd?*8Qt71V`d(fbb#QRo1|oj5V<4tCa09 zus#&e=hncQ4`bHAnkSkyus#A}Hhc)htbsMRbf*T^W6(@%V0{AHY{G}I=hncQ?+09` z-WpghhTuRkupTG|)&s@BdSH74YihFw)}Mg+Vgu_vcw{67*87982G*0nSOaTT|Ct8X z4-Y_1#=u%8JqaH|Yf@`q{Rc4Cz?zITu z*1-BBNG`Sp);;kOak(|H9t(~J)?)I^<5RB3ICZ$3E4e_cc=RQyG;^BDF75#qOCGekAOI1d08@4?0!yRVVLq+rm>kvv|I8&1ws3 zX^d>Iy!+K8n+vy;je_SUIb6j_+pkIzxqx+>(htqIA+?grxs(M>PcoGYR;S*NCL{IN zNEk$#i(KctgJUl-SN?#7xUh8=@3^aYKau3qY`T(bJ(bVf;&uksqbbBaAh$$1i#L_} zNngbIgVr2F$sd1~q-2zSY(Z&E(xOIDbRiXSbLlFj$(>jE3?;eqI+ZNdCRFEDen=JE zuUbW^o)Xo*AbPqR#4EY2KfBC!)jgMbcp`MvQ>rmNU-v>%)*<&*$0B?tL(^y>UCFbf zN_G+7o9Nj!SMhRWRr37MW7&%2MH zL_lL_{1QyC)z6uQFxGw#e@YmU$c`jiCqc4kBe>O+=nGuznUyVqpDy z(48Atb0b&->o*|=18Yj_dvNB;ukB)&??Rqsop^PH|pJCPl74&|@zUrl+}WDWf*6W6`-SF{&@^cl-PwfZW&!x$z; z)a$rp;Mn@?VMCCs*0I0Y6*rzeeBK$3x|ORMKC#apa%ed+f5fvgyJGX%Lx!_+_fZSX z#m^oRk-dM56n*UMAwOiTo3y68&(>>X9b{#Ve5#y=EfiRNo@rJq+k`*0`Z)*CksS`p?)is701oMQahbE?bW?@Xu$KJ9 zDIaJxM=7mGtzJkqbD4R#Rx^1>ANFPvdjm7>A^p(jglishO^R#TrGn*J7n`;@Bc3Ba zHnFyLZ6$o|r-yqiha2v~^=Oaf7-d#W8gkVz6dcEOh(3>`;rSaV0$1WqWxAna@Xa(? zIo+MCyh+^Dh2Pl@d69R`;^_K3H2qgD4w;X2KSx3n|8AaU4ZeAUk#)PS;${&*r**M-t20B(cj8ICgTmF0)RZhXP$@6wtU#9&$sdBJ&1im(C)oy;qa$;+Czz ze_bUsQC5{*1WiKc8R_YT++PNvLFGFf0mbi6g`iU&bp`#=Dv)mZ2wcE(ACoSYpN5)p zpOB8rufRa&{!Y5O{5Z5U_bKW6!Ui-6R@`J73g7g=C}A24kKr86WrS%eEJvNWoG{IW z=WzkebrEJl;dPYEl?gMsa5-Dzs;Q7}De(3=SFUD)nOS(4?eY{G+*&w@4J!&WuW&53 zMXtL#8#(g}F2+39OYH|{VWA8c_*{jW1ZGj;HC7s{Q=#qrLd~&Y`l7)~6J|}Jp3HDz))j`6X%J?8VKkXt)KHY!SQtJQ%m``Q=E6_d z&`}6BL@RD@B^FZdntU~;AxOfSC8-ZyEQdSJTE^serA!MK}1hRqoJ zE*G=KzpyIfHy^ms>RyAY%9i0L3K?cLf5r){8amq&xfXI%d-Ew|tR69|GvvNQF?@6ZDkEv$R-$CZHMRV@=>W?+1 z25*qW*d$gwMadS9_YD-sAC4>eQ>z)FC_ZI6B5{ZSPN?2@TX7tNJ4~PEsHv=whjPV! z%$qzFg`174W2~wzR@G$IWmSnd4m(kGv{f~YswPsEGOE5}RRx$S-KvT0REc<^>Ts)S zEma*rRgZq6JGh%wb)Z#sfKeswI4CLHXFdxLC7T?cz3lnj`*?IuXCiupZqZP!rb*Nc z*J@gN$&58{#xPEOroYG8Xtl#sYuHSl|yC3;cN*j0OJChpGksP|N~<$XMVH znSTfHhxK$0{9%p-{*bZ2pZ`QENPs__%o6a296kwLjr+jhWr>AQ0{*;$JbWPdUq&kE zHxNtYGk`zL+xRa4f3{`Pz#nq2Sl|!2Z5H@L?rjVFA@`vL{*ZGl@P{k@vw%O8j4kko zT=jMVe;A43%Le{1`auc!LvHey4E&K5Vu3&Z|BY0@C=Dgx&tBk4z@Og^z}#Bk&lf~0 zNL^(*0Dsy=Dwv2GEbxb?%RdYJp~DIb{9$`7@P{4%ItTuIL8O9I(>nlvcp0_8AL_Tj zpMND%!C7c+=fIyYh*XejUwpBt%QE3WMp__I;w!$c~eajXUY zFouBz{>Xuu0Dt~pi&T)B%nraGz1sdyA{EdxU+2IdI##j3pLUT7Sf+E}&m;I2V}U$XMVHz0p|U4;c&m z+1!V7{Vkkh!F4&lVwm9Zt3Ysl?#qo-@HFSz`w89)2J3Tt2W}%3yoekN{2{X@0saif zjnd~tDoBl82jGt$yZhOx2Xj1GEb!;EBNcG{RVTn7UWNV>slcDdaY%qa{|`ng=p;r# zjBA^TQ9v?@Q9wG0Q9!!07zOo6Phu1hztc&K0*c7z9%6Io>nQIQv5Ezo6OP;yxk-!y zUSt1>7zGqhVib`6q8J5yJWpa2kp8?F1&p^K*c@78nARsT3I^bU|F6aY>Jmv)viF$zus$ruH^ z@9QK+0h2#3Mgb?^#3)#=H7y+h6X{N36r?nzusLBGn-gB~H|ju)g7c|E$0%Sqja>*= zX&vILJ%!B)Q`nsF+q}S4JDH14!zY^6dq59k6lCM1cPVU6c)ixAV-#=;Brys|Yb-+e zUD8d0%?VT3oG^vW2~*gdFpbR#Z@paCfBX5YpO}mA4!Y_w{aK%?e?zMNJ5%-Fm8w65 z%?VT3oG^vW3Dek|aASaa8KWQz^Km_%n^NQXfUbXi6WaBluKx+rn^W~ar0W;o_bF^n zn8N0SDQr%d#^!{NUe5XvqhL7eCpIU1QrF*vyM*v59#b|(K>!)XC^*K-KAV#Lxsg3| z779K$ma>RZ@IA`jiLY27NdaUl*A|?{e>|KexU1o^Gen!eRxaPDeL5Iu9GB2fv!{5eF~cs zzMHD^Jzb~FQwp0Crm;EU2ZK--V-)<>_VXiMr_}#3=_E!0^(8S1NSpoeDd{E~qu^R7 zk{AVJag&+EC=iuNi~`Er7zJduCq}_rRLd9zCsXYg$0*njVo8hw7LgbQyzkXz)7YFa zjm-)BC`x^9jDkhf#~1~?eboBW*qktp%?Z=koUm4k*cb)7%k8JZ=8zHB#5zU+btk7Y zy{0EI3Z7-t6BG}^Bt`)bk0eF`H+~YMfEzN2QNWFo#3^w#RrEH7>GG9uJf)*(H+!zJD@G;6lX!uZonSw2M)|o!2RZ<_WeriBa%pw%I^vQvPnw%kfD&9iyOk^>)N4 zpf(Gkxf~_F7(%n1F$!4yXF_O>7=W5Oi%~Eg85TlwHW&+`A!8vl-vVPHG&h5>5SmS3 zEQE%Ph0st8?-Ti)zCb+##zJVAV<9wj{B9vMZY|&MnivKB!B_|l84ID=6^w<@P_>27 z9EltYp_vWFLTDC&u@IWIU@U}&ITk`g#zJTw0%IXGuYs`;8p0DSgoeIxErjNLFcw1d zC>RT&`5PDuq1k)K6olq9Fcw1dO)!py(2#R2gytS_B?!%v;9?7*Ay;i7G_=95w-B25 z!8KS2O<^ZYlZDXGD89);XpRThY#}rYz)i3an(u&{Y#}ti0M}w6G!=YBXdyIHz_nTk z4f`v=ACvhA*}dnw!8aw-6e7%qE0}i?oVIR^rj0%ej&ZRC`+I3p70XF_b|i zSE^1;$0#_DSzLBWi~@!-sN`}j$wI^JOyzn?D%t{CVifSxNR@ma$-CUPd6&2z@fprF zTM9x@B_GK0E<lZqJ0KoYrtb(_R-`u*q`$`IC5$>m(iLMr1K zRC2-UR3m#j62y%=7rD+!$0%S-gGw%Jo%I0pw~JA5GxNFDQ~7+dY6qeD355ir!%B4) z@BRKUM!_E`c{oku3yyh776X&g)dN zRGUz?U^~ziJhwdP-FLf~b~J5h}T@KfBC!)$KqQVuBN@m-9LYpkC_)KVM^<+yF$x&uK)S<&NEb?P1O`+QojjRzb~;7@ zu{~0nM^!4@H1mQan`c)_xzr$2D?jb02|XdsL)7w=OO+Gp(n>6qD@~P|B?d(h4Llh; zYA9`r&(Xl}2%>=}W=9RBa_ObPcnDI2$Eq%3PAA4WkQ{#hDCJabHM!^DPl+D49 zh0xptCV|kT?%5V)nL!8*r4tB^j#0qq1??d;TahBK4|$_06%#^JS4}y_NzJ?oB$9SO8;Yw0_uZPJo$Y9(^qx@ zNDHC46-)x5;qFK84TNSHauNuQj#0p-m;D$&d6&iV?hly2VAO-M`{2%`A4jyKJ*!$h zcslHyYnVriVyC4GEn)Bf74KdI%5W*l(h|1fQ}hXcN8s6vf9}jL$r84UhBjo5#N9x4 zAaNr(gL2T&h6|8lpbaF;+^+5|6w;`MENR!E8b;wGSze4W#SK4XS}mL%BgUkZCM8s0K1k~)o>}8`Q--Ha3Gk4s)5Y<@-(WU+@KoR zw$0@R)vyfpJnR%!mZ8>b-0B<#G`EJG%xhEwNhhyS4eYL)*Qf?6q5b?gq;+-g0q1cd z*=&Dz5KQH4O6qk_ zP9&ZDh%-RfpANc5o}t0rfr~)*bv!z{XR>rOr+H=xuN;rcuSobkoy??tPqaGy*zjiFUEg|L-{c;A<)_v&12Bb(+0#!2 z$2&l@g|*+w3o7dCd9tNvqSiXp8t=hcZrI(_a{I$WZ;k<9CbB^O=7^2o+mhJF2^9dVrg5?sg_yZ))V1IOwP)-o&Z=F zW>s6y>6TgDwnLL@9Sz-mAbuJrtj}ymoqiNjoXn|g)){?3_i7|LkAm41YueC9*4dXo zGO9ReKjLn2#=eYc)o1Mgpa{>{*%fFj{+`auf6jXdLX&B3hW-~gl%EF|%NJVC6yKx;JP|#Ej)gCz!F}+#33HJqgJPX6!p46U-Rt1T#iD!Hkhk zFk_@GW{ix*jFGXJF)|i2M#f^s$XLu68H*WXcngaeq`72n6VkG8)rN)V^nQ0V zO}5uR^AL&@v!rW<4*7Z1uNCPnBzKWUzDsgfA^%8nHVB+jtN7YpD0-aEUEEgjLuxvXv&T48JrCFyY>Q@ZYwJB{E-r$G zUEkJw+6jd9>D;^9dj63uHta?S&ngMu-=@1Qxx9R@Wj%%)-}4r7Cnm!sgEvic6P#X; zFtg?x=1S76`-p+Kmz`_-Iy1HGU;ZA4Brkts zYIJJ{n;AJ~HZFe}RhQAIx{Sd_?}lnJ8g`e_*St(7jg!vkCx|Y@NoR(91=3xf$EwP# zJdtFE+VE4Bwg*kLzy0I zX}#OX^qy=&1KPa`X?cFeFP6EdvRU=R(4BHN)^XoLdRbv1NvE9Grv;EGa2RlVslm7` z<7qH1tMW7$mo<4Bj4J~AU=;ypMYTnS6y}%0dAL9pmRUq8oL%FWsly>Yl0_0U@ zlM~VHy1QZMx;Ega+;umz=s3^?dG2@r@{deLnZQjv~|G^SR$u!ZiAP?sqf7H2Hk)cXPrt`+V+q zy9hJEe;p;=GGQkBeC~H$#b?PDzgI07_%X#`&Gh-)?|O<2ZuR-x?-qrb=kvMW?XJFs zocTVV``un@B$$OhpZnbkwLh3eKA-#DSQQ{}zR%}=x3B60X0gxbez#7oh1hbR&;9OD z#W`H%^SR$0Cd?Y2&;9OjVb=M4?spr6S?}|?-`z!VdN%re?srE>+cx`r?srG28r1W! z6Y#m;y{5o>kJw$qPI`Rqch{11JU;ik*R#8>$LD_cra4IFohcu)UH2=Pf^J+&Y<6*P z5KQH4O6tx@YUG4`?(fd$es@pB^zMA_cbhcL3zNH-&=DW@^B1$uh!6Yu#X|CSG`~bh z-j0^3zby;$OuvxL@ko=6?^HIM^gPZ~JGl%mb41p}&P=@SrgNYrH8}Rw>XN zN2S^Znj?qr;O}Em1V536;5+zmuGPnc!*}qIE9!9>%_bXYjymxj{6G%WlbK(jAEiKZ z)QPX+?{P$*mVw7taT92cfP_+@Ij+SP72iq8ts;qU15pypiFB^#vPfj@&C2Z%S^P#ha3Q+u}{heQ5EfMsx97>r$v1xZaWf^f7542CO#U}E4QjIF zre)%0?zH~G&CJBT^Wf@)o1KZ@<$9?Xt~C>1%e6E#D=XwP@TQ#Ug*MO}xl*7xa*H$Z zA2B?^h%C8MpgD5OGx4u@+>VmGQlL5Jt+IjUh=yEa1I>|Jmx*`B)h8I6<(gQZiQkwC zZujhH^nIfZG`AnP65e#%0ElLt>=GP6{$S!wUH5tX+CXzO?9f-4qq3>1%u(5SD46qy za9XBhzl-*|{?qKy(b*$WL(vyZ-LcYz*guzI$I1Bh^)H$TW@?r<3)T7@oh5Tu?@NQ{ zW{-t}27gH&Vy#)Wx5a;#Q#CKk%hY_oiHF@eQf8r({VKBjA;g=y?h5?cKy&=0ted_# z-Sow#>3qi?T#^+mlh1(G!KI?VsQa-rD|l0Xx1q?nJj<_Y)qZcTv}M`%z|{MH;v!rw z+8X>d+seU1S0>+Dc4MSl(3^cxv~*ng%8%x{IM_WQCQuS(7J{s>-3ekW7V z;7fO3lkPV9gLvM)o&6KGSd*W|oBBsl!C$h|FwhhHkPH38>@P6hll`~3wm!;klKC)r z(+kn-nf_4jIF;LioL2uVHYX>ju=)ObocAs{!JGOE*`Kmpe{^A?e_tL<*BtlkV*mSO zTw#{`PjPnh!mRR_d=*T&Fl&5`jdEo^^jJ-;5+PgPf_rSKaWf=VYWHh4UqPSeTX#I z{X2e3f#S5M}MTxz3?$jw6+b9(qk<@9PZcvCj2=wHt@)tKWc)I;y5F*)w1*v}t^ zoZWJFA*b4>SF2!mVe0)>uHB~G)evj&U*N>-m3s%n-{?D>^L?ecP5x?@*)R7Aa+>{9 zSZ04IGr|8k%N!tOCi~xE=MR=LE&f_=;zM#z)N^LnGG}5=@TUGbCxSUVmmS1CSftny zqI#iUAahhMj~t6P?cWz;WbvkLT({Zydak?Ua`V8I0?o01aW?*d?K?qQQH>L6CMMe- zPSmIC&cv?`G{>E&2YN<&pl759dZroZdDYNq@TUG)GAB#VVxJD|gV{N`M)_=A(3(32 z+o9g4SFqr;oW`4GPe#}LhCiXsJS%oxexdI|-UgbZ^^0!MvUG!%r5m)|G$@TX^?Py> zuE>24wa5Nb$Ah^t_g)nyTb=_}%95z}f5SPrDo224gKq-O(PW^}zXbNt!MAf{n*7Un z09~7Vqz~uX;7z%^Cin(#O7~Zj{mop?-_7wV-r_$=<|big`ix8!+$>D1e>NB8I$`GP zl}x})%G z1I_Uos>g0qdh9l($L;|$c4@q+zbjYE=G@_2N&b4SzlU;Pm4W<#qx$0<*I%`horQMz zBe>JDeBAO!vgg^aptL`VrpVd-xuY9}tYs_5h^!7YC!`KECj#p+D*o<5-p_Thr;s|( z+&dV9tPV6MBtAPk{(+)l9`>YvkdQjioD|c6=1Asg9GKP7=0s{Fn|HL3I@+9&I@+9+ z)zRi8bpVy0B#)sw+MJ~7Xmdj9Xme6bN1J16;Y1X3{j*;}&EgfnKZl33<1NIGe=g7H zoRghK-3xvICQm^|n=8kWW1`LR=^V;QjJnQ`nxENiJ zN47vJz-oJ=+?D3%YFD6~>@tpS;ldku8%==47H+VeD{Y%*VMvq6ie<|s4ecwPW zO-{B3)%(}7fq6E;by>=FIW(@TpP*ldw(OwY@lrrwYlUUVn^~->L_<7=(I^fe>3EE7 zU#uk^dzWK973)Yhc;7=6#i3Q0`(|%0?mLRZh9Z4}w>vgcaX4R3P4+fn@`??VX>qzm z_>Us;?jeJAY><{QH@gcIgd@izx3C+oy7R-)ywG|_qfOzi+-y2>SlB525ZGckhIG;6 z?h40JJob2AX}a3`5wwR*7eJ=o`ziVm?llX&YS3_?efW&t=4=0HtKM zwsDmq!dnp}xb6u2W_Lqp!x?;C+HEV8+yp|Ju0f~0F=*bR6CrYn6lFlTaJH_3zKz2< zyv|8LxNt55q{QC&RM^Vv!a|SdN_aZO7ki^QNBEF{W6x{ET!vpKz0!LHzu}p?;Wrf! zj>YvYTcvspS&n}W>ItfZas5#P!1R;1bwz(5Z@+5f1zn6@vB_C}QVi#_GX$Mp&0c8G zsr15AC=xEV zJJ~nctt&pI4uDP5goc^-zHt{WHsn?ALt?I)QzD- zdbc*!yX&<+4Lao(D0*FS^BUerx?XRM?~-ovTDV4UqVCCF7qlq6nRJUcmG!S9JyX6H zhqsV!b+Q+nf{a_Q)b-!KnDxt;+>siS^{M(dr0TyjRsUV7`tMHFe^09ZAEfHP*VLas z4fSt~P%lBJZtr9~H>Jk&0bT$4CWt<$>wkju=2ZO;>H0P3RM&q#SHl)v|Mec|hjsl= zaV#D&^$(v9=FuxzKR~A=S-%FI>iV0wOrPSBU=j<=X<(N4La3z$~?Vq>fG^U z)cL`1)J4$gHrvmSbe$S>O4{{gJ$yoaMNihl-$|SO@G0pg3p%|4iUf4JC+;+Tnc*%< zRLYZRSf(iN`f{1+DonLczYC$OII4~Qbo_>S`62d>0A#w0iFJml@oM&Ark$AS)cymqpu<1Fw+^n5D14WUf)eX zxUfM@LKy*_uCs+l>Xth-Ej*t_sTC9<==66W640p}Q4$a?94oWr$^|RjO)7)_16xse zoVuHZ2|68KnKZ6Rn1)c5&CIOwEhQYF+Q$8xnV`F%-;wU=u%_lrX@qu!UJdTC46{Xh6<5)OHnDsuP zl;Nq0&$Ao-xnsc0Ro}xV+bpla!dAtb<;VP2pfWsNmiJcw?Onl~p?EX>99HynXpQKP zyZafurHMc}-Q|WTn<>XF%$|MlMaqIs`98!qaof1~v08AW0?;X$oc{t&hoGOlnQ--k zd9}RfDEh0Lc{?Ob?BBB^nEtun4aBDMe+n(ZfE*ud>U~<71hqLHJPrCa#ZI|5AlB@^ zf%XQ2MQnn8jvXpbhB%YyH$0fl`?eYaO@li1Q?@w)oqoVJr$MLs`Sv_{+!oO3ZfwFJ zRaLzmpi^qIpwlZ+!mnWUqvX>vUNDRVGg=teUx%p(c2$>fXZ!FVhPOlNn_znQe`n4Z zwFXSAKk)s3?7a(|6vfpx-qq7U&rZ#B4+A4x`>zczVW&$=z5E*AR=O1 zl*Bcntbn?Bg@{VL0x^oWxM*TXykYS59)rdhjK*M03`UI_H5#LN|Ic%(yQg*R89opMGiw$-b1GgU71e1Zk_G*5;RF(E(I$7j*>Imf7H=Q`yGP zE}IO)ebjVBpsuMLsM?|US%=C*QHt5Qn51)utE&-H$_D4Q-3FyL`xMrHxf9jTq10t3 zVF8dkO_ciV`Bd5z&9JG6mc>V>zk<@3#Za(v^ChM!J7qGI1?sPeY0l1_0_9Sb9m?&9 z7a6li4TCZ*+lM7sEJ|y35NqK|wIgEMvNvE(&n*$x?OC4=u2x4PrXxFoX|7c>p)AaD z?B$lJ^Pw!s-bL5T6_3nXnhnlvW6X-|3`~o;8^o+L+d#9M)We8bmHiXF-K<`RvN}7F zF`cS^4M)a=%dr0K`VcBx)xe7`skEeDf;M?_`=%gqtkyvtBXE5 z^@rj18+~-TC$xs>qthwS8l#U+=Rs?VJ~~|vtvUMW^taGjqK{4o)}pbZk50bU(=+t#v z8Ook&LOHFOZ%keHJVvoYh5^eMz`k3y#cXybL)lfsj2?wt%kjD|}kJ_f^AaUXMC5@5RSW=zCk#fiuyiVuW+8BJH5nM|~N zbQ*Y?9;W706^jmvxgd<@+!b>!IY`sWPuFHbp;mZ z?CwEER=1ot@-P?)rD8Z^cK1-uIo>sg1Sq56qf@~FWVds1Bbz6{4L>^@tH9iRQOf7GJqK^-6VlXl=DIA4#~#5~ zyRtvm%ksDqlo!Xlp(MRvrIEs$0So>QtX!Ad(BZjl^AOSNRQyCAo!$z?tl7?y7q*sJ zv&~I0!i7^>$3Hs7#WKc~Rp5*8og3i%8X>ZM$c3h4Tnmd4CE8tx#gFTLAZbQRm2sT7 z?qf{zQ;1t&b9Fc`VUBH8e}wLwo8@eUu;`=HX;88^bBTPqa~5PPdk7a)Z4SGtn{8*3 zGaL>C-xU5k&ODgmqf=U&k4_mYjfb4+&vluF>#jlb^;!Ti`snlyC|T}p;@mcleq4R< z(dlBu#6LQ9T^7C9Bly8*Ch~g^1J>cE&>uszFpT%Q5r^%GMan=HwmZI6U9)H;4kY7y zW;KxSIq|vJNIs>iO5TTneILoa8YR;^KP(uzXb99nZ21&EEEt7P%c_|NK4BQuYN^3& z6nx}1n)vl)aGg%A*bC0_Z)*ir?L;PKe1|ql;O;Ff6Tf@)Rzsk@w4JunES9wsejMxF zKj88VO!s_T2w}Qcj7I+WNALpw-7_7u73FkL*7B{1Ctru*-N=?ZJZ|52E(@Ny+E zU2Fm*FkM-wl~i#M?$x=6MZ?a)-!bhaFx^ju>2^I9hO70?2&UWhSQy5w{(lOlTZ2_@ zon4&FHR>lemuFucraKZon*gxI8ZTFwqV~TPru#Kqdl{xnLY^{A_Z6&^txbjL#_lrR zV7hWFObF9G2swNun64ZP6T);^-mebRjTQYr3e%NiVa)r0F9y?%6|fsjSB{1G&%kua zakU9BT{4YDFx^$s9sx|3eC-iT_kP4AFkL|JomgXjIhZcT(Gh3OHd`!A%4V7hc2!E_xw(nl~|GO(6mx}S9{40k%dBuqC} z(VGm@m1ALggz3t$FlKY)%ffVH1=?ho?v2=Oh+w+p=j#!sE62iwFkSM~Mlf9}5lnaW zmTc?)JWN-Ph3OHdE62iwFkN#j%%;I~V|BO5FkLwoCWPr8E)^NTblLv^%K5(mru%;e zp-Y;*&qL_0giV@;MCkUyZ1Xt?-T5#H5W2i={pS(7-05!!5xOj3nnm~m2wk4}5+HOb zh6r8CAwrjOPY7K(7A8RG%CRsTBXnsZyM;vP@>m$xjUjY-EKG>dm$zV&_RJQuOk%Fq@3fm1AK7gsvP5^W_n` zO#USix;z#pK54BFEi3u6$%UmT$uKNiN+r#TkJAVSTtFb0unj)gIZOmi%Z$=@6cqrW6V zH-0QkfY3GVX%M=crXqxH{8$)cYmSA{VjCO_lQIb1_^~hnLf82Hk_g@Su`mHbHz;Q> zOgvo>x(x3Lq04>}B6KN#EL%R-LN|Ua zjJZy8ER4zD919a5bm==p=u!?5x|ACtgl?B(VM2tiTt9!p?fBj z2%$?QLg-!rB|_-l1SLY~@@S0+p-Uw~=+Z1g=spD{Lg+FkLg>B*B|_-BL%F{jAan;q zi4eL}B82YtP$GmbT}KGrLlF}pbZ0<`5V~`rLdm1AK-gl=*y%pX#CGt*{o(y=hE%kJF$ zSQz)ykA-pFbC`hLE=1_|bS#Y9{a6@aosdKjx_mWaj)igMSQytF3qy<)`)nD4TNPm7 zocvl-bFRt2jcp z1EH~FVKzeO-o$wJb(1v0<#s{nK0+fo7KRy_D6aiBM(DmlOF6ZoC(H7279xF2XI+0# zVFsaFJ{CqC2@T+XC9v91GLU zPdUdp3{#GUVRyP5=?vJiTu44lWXFn7UVedrmc`;ZGw z$(RV;niB1JEQ~A1!niKbrjXhBU6;qg2trqmh2bT{5V{*33lk!Aqt*vj(D@F{jN?_A_Libv~h7%$U<=D3>zkv>D2^ zIyh~HN}Uc)o1s##A4Q2ATTyAy!D%y88g+2m43#GR8h&xw43%aboHlbYO4y>wI_p%Z z%b-lt!D%xraI4;r1@je^Svojv=0+%Onyj4zidM#)Hp9zxGi050Zln_*EqN5e((bRJ z$ze|{wj2<}P`0LoJ`jc$a8M9($_J;-FgzceHY4&a@PpH4D5u@EP*S8q?Q2e(VfKB^ zX)_|4^AJQd=OM66xxd1SCxaBM)FAxnYtBPpNNx?{_kkb4zx0~-E`2` z&~~%C_BFKKVqj>y#ef8~eeRI1O}b`xi&J4MN7a$ z&icxOA!2ls**WbJO7D{Px}RO44|<#eN*sbFiWY&n>66Mji0nQfblw&n+D^>!)xz;JKx8lwOM_0naU! z79H^1QkkLyo?9x@bii{wxE$O1lnt zZmG=I0naU!4ju5^Qn^Ssw7~Tb#q~lR@Z2(Hv94x~cG@pNS)$`Sw>kjO((DEu@Z3^a zp#z>-5zj5P^%2i4wG9!^Ew#5Jo?B`kMm)FFtcd59 zHSgL*q4Ip!=3UK?cy4J~w2KE|`pms=Q!7P0x123%Bc5BCJ0hN2!duG#TE>+DwA9Kx zx6~RUo?B{Vo?F&SW5jby*k~C*ORWr`<($?M@!V1?^W0LK7V+FtEA!k^YuzNCTeft2 z#B)on%yUbvBjUNGR_3{-wlLzkrB>#-rM6^~cy8CAoShNR?e^?F5zp=3(8>T>?qymj z%P#ZWrZ?ufHFufAQn9VW6=F(@T7 zkDe%t;adIrap*Xwq>hGzIvw!bvb-%i&U339IN8mXH0_rC4c;b&=Qh1D&#ftXN4)4A zLD7ZyHQ>3`ah_Xq9Rbg+j`Q5=IM1yPcy3t{b$Tgh)V=T`W=z;nwEZ%CKGb4#V91D;#vT&uUm00hr1 zo1jk1WC0{|_bDyQjy=a!F> zt@?}xxDI%31)ml2-0F4|D&)Bp?nCh0veX?yeh8jhDhq}E5Inb3mI(bJcy6gI75+o; z+)`N~1c>0drP8Sbo?9xbbii{ z6VayGZ8_p?!*k2)v&%fUcA4ka9-4;jc(FA+w<4B#ZtXJ9t$i7ZYdWthUk`Z zUFKF?r$5?vaJ3mjbW7-LQ^pY85_;O4F+{htX(7owKFde2>~YvA!JSe*#@gFpGRTkJ z6R{Nm(Jk+^nIll@{PrAeRz~v=V9M(|?eDf<~ibW8JM#t_|7E@ceS?PA!}W(?7- zz-Tj1HNfZYd`Pd)7@}LsO&LRUOP|v+hUk`ZE6TY8;&W8qfDn|v;%Cr7bUPfikTFEJ z9Qszq5ZzLCGKT1u#dkA?=$5i?+4ta2{vf)u4bkl`a8nTw-L}%DA-W}8*2)+dt#MlhBsE00CX0=T zZtp`o6*KcN0P?N8F|=n4(Jjq8GTX6@X34ylF+{hN7iZSvH-9=O?ikT6lbbbLrQ%MK z;V%Z!EfrS>M7MP8>*0Lfua^DFBCd)>whhrOFNQ?7SMe$g(XCuX#t_}Isoabqy8Qu6 zP*eOi{1j1L2&H9ic{ zt@tn{yP9&zvJKJg9`pyI+cdZi&DAZ7UEOly&%kIo1pJI4x}{uehQ<#lH)IUaEqym< z4ACv+mW&~~r93S&5x@DHDYsg-A-Y{`^1pon^OrigBUUG!vHVxY^1m~d|6Q^Ce-z9A z$FcnHj^+Q8Ab&%2+YkAY=r#>5MpK{n#p?5ZlYeIe%Kd=J|8dHzWBEU5@;5MAlfNOl zHTfH&Ta&*bx()I-M7N8XKZtI}G5-PREBPl({tf8a`6oF|xt48+Zu`N8M7PIAw$H?D ze-+po7;OV>L3F#4wub1|*cuou%MkS17byD~Lv+iwFJ=tUEyK}I4AJcj`UBBz4R|e0 zJ-rg-%@OfOrgKd`e`2_wF+{iYQ8d%(pIJ{O(`PnNuFn{vTiP^b4ACv+X3I82xA&Sn z-~1l)G(@*1PXnW6KXFZ2-Z6O^7;P-icVl_JXY!PGdf((}V6;J=TTRD>d{BqHNOb#J zbe;b+c^aZy%5Fyb!zc9TXQV&;i*hg?{+n_`1f#tHj)ds80ZUB-e(t5jrQ!eWt!VEW zNOm7lN;)9AWv$lh;1ESma>6S#dt#lg@-OA*p0~jr5*fP=WelQD(Zka@g zZn^q3X$Q!w7)u94x6BLQ27ChebI>wxIiz-ZH5V6+|dN1|IUB8|W5z#kXzAi8D2 zTpbYIa=Q0*Ky=F_MI8{`?hd7dIg{p>d zW@Vz=A2Tswv~`tX;Tja}gTn0^6fPjTWsGlVrgxW^GSThd;7N#XkEkjW-5!VD7!uvy zN}2SM`F+*x-y8$oo-TD0V)4~T9BMr#g0n4xI3!kmn7vM8N8PIRjS zqFaH{%05^~bgS3HC5Ud>z1Ql1=$1?BXVIgl!fRhcbjwu?A1^?3%OPqTqT7LZB^43f zazjK1M7Qkx=q-5g0MRWKN3X+#0is)h(V8dol5BMNIv~1TgCs@$ye*dac)q<@K^ zkmyziM7NxH>dZdHFoz!pH|jWy);!3LmPbR($%g2bMGlE>*RsqZ(d~yUbDZebJm8+= z@OfKcw5%8q-3}^k0*sd4BBI;HNTE096YMy7n{Ank-cA%(2Sm35qtyY?t-xq?Ky)iG zTJwf)2g$yq=iu2DM7Jzboapw*A;>8py5(MnrI$^H;XdjlM7X++%7N+}D86>6OcbT4 z1EO1^4of;9y1gArtqzE8*FdS$0nsg$dL0nm(hR$bxS4_I_E{*68bg6Zw~T4hQzk=M zpolkY)&bEiA%-nFfYB0KI86scw^UknKy*t~VVe$!Zt1#R2Sm3=A*Mr*z^w&Dw*(X} z)B({g;e$(bKy*v2;8GnB-7;o{4v224bm|6{?IuOE;3^#u-4YPES_ee81ob_t1EO2v z_SWiv=#~h)bvhurCA4n64v21vkK3RFqFbWh-qzk!;Z4uEe5p8u5(Ji5B^%2o6k!cMP(Jg^!jSTC7`P#BDy7VYhgrmOXL-aZrMqz zIDZ+?Y1(CXu4HdDP)}~7VJ@1ft%5=vph64gt& z2f{}s2bXaqMeaGv<7vr}*P~@QH<5bI@>06scr`A{`IM!u2~n}nFb#+Gr>EJZynDk% zC1-^0e#$w<+v@;WN_XP8>Yjv;PAJ-0bji8HjG{8pE$@1WU&eUOA0|DA8bTjLKfzhVj&6$Y9 ziW8AZ6dwrtF`BM8Gnwczj5h6_6sG1>6^jmvc|jP>xhv*ea*(E#pRUb>qTL*(<180T zC)VYjSWZ`NDoVV>&;w`2?jB@hb<24p4?~bpDuy#=cMs*9<6YzUFbStslO&j(mV_~U z3sR1$qKeYyu}Z-fC6ow8I{?clvqOJQ05b|;wA@H{bwG4W#n%DREtN9S?Qh^MEkw6z zm(J2I%aUV{;JaPfpX+7ixDw=><3LXf(QS^fSN@Me<1pI!i0FM9ej*qxF|lUN7QkrD znr&`Mz_Q4i)^QjuE*2peD7gIUfavx)gvjom^h3!?Xu{-$@ z3?K!{h@1B$jF#DUN42VJ?j8v!?XkUR!22=TZTVcRD%qm;qCH0P!C1-TuCUwk(O3=Q z!*FU*=bVw;=T$>^@u@{C=8T+;r!O_sByAYUXA@P!N0Zc|rE^AdHLkWI5k+dz5+TNw zdo+k~M;}42!&yR5<8Dp#?!zcoI`wvAZ@30fZSu)eEVVOdIKX>xt1*HUoPN)SeLy`w*`Y#`2tKvsCfE zKG2>Q4Z9NG!(t`(l4!G4v6Jsze{Zix?%1Pv1Oqv@-sVa7*rRxBEVP&Ht+58c9t9se za(|GXyd=T$=038yb{>^rd2`ze(lWvF@(DJ<@|v%45-hKkV0roOD#7xOIS#kx1j~!9 zB`3l1CRkpqA`>icg5?F^D#7xWce4{LFCaH(a|NAXdGpsKSYBW^6D)6n<+T$mFW<&t z|1Q5pfG|z4y!mk|!SbGgE^&xTu)GAltxB-G36_`W$OOxqV0jx8EN=i0PO!X!Gvq^M zg5}ky1VLO1^i%32`oZpWg5^aoNwB;EtBv|ah(}Mbya|@~|9&j*`@pmsEN^#IYmZpo zZ{gc5RY_D1vApDzP*wcqRIt4KI#mra;9X#O`6;$4aom)#ywzQ>yo0-9d56TYyfyrm zCc^S=wK0}=={|8R@9sTgdGi65_Zk3t9qXLeA}nv8y$qK3)(Hm7yTbgjzJEr7 zS@f1|WNRtG@~*)+On7+{EH7}52`{geyIXLl2`_JP3Fd{|V%g!*C$0paKg)Ll!TYp$|tCcM1h&>e~wyZIx9M>^r<#l~a8%R6jutRK~| z2T&RIus?ztF=bW%@|)Op8KV+j-h`JI9pU#X;pIIZ^)SOuczH2nrrm^>w+2XAK4o?L zLER+0yxr)IyYMI{yu8@HtqK@^6JFkgmp9ig^t%Z!@4ugyw+5J2gXQgxYE{=<003+^ zUS5IgRuZmjFKRGUyH$kerWV~h$8hZqGWc9zd4+4YWbnBwgll(j7kuuJI6n6(TZ!;Cj zOEU(bo?7xRlX5fDABQ*QUm>5Cm1)2dE&oTRb2E2h?Uw%&!xMaNg3m>lkOh2#&rR^T z2|gEi+`)K&?R(noc$e1~Qi9J-@VQp5P2E1kvKsU`KtJTpR?k9d)ZkvT5_~S|Ai?Jr z|8YF(A;IScq`nD0w`L<~Zpc%b;BymvZdI_!v)?f6g;SmnK?19|@1EduQ3t~Eo8WU3 zeC|Vd`_%h_5&wRC?$o_Pd~SDCtGedtkzMe)!l&Czdm-CRE&9=%k)KS4DuY(|bT`Ke zKn)s<1!8K^(ynZ~OXe6judwZ=76HF|%6J$Dbh`@!IB!6=+aYk?fNr;O^bsKPQvu!X zep~N^EuWWBv~+6q0ocXCKLh8TVBow>qfWEb9(?@=rruFgEVbtvnAnS2a3VJZrWBZO zKP$xxw0?~r$9n(G2H-d=r>&0`tudACIXa?e2o# z1m?Rof%)p|aU)7-coUefDqe(Vms|q#t+$Ia32|0JwY#HT%(GrnqBYpXqrtG6z!8%3F>CxJF7catcs`b>7p6{581Pewtx#o?sVuHC*+Zg+l#&7=c@Zl>Kl3={e^IW@?2NTs{=X?V zSP9G*-710kTGrrFK7sirFy92`i|rXJH$n|6S=O+hV&BC|V7>{=_c-*4$JLVnFRs@U zs63~vtt@MUz60Qv1m>#}n6I_dP+;>Cn6H5+3PzDD_JoEP(?sRz_%SF}uFMmdZvyij z0$P$j_+;k1Tv#OH*A)_aO`5uk;Wqtzl{g1(X&)f?L+JWqZ-BGRTnl%8xekKhs zzqeLZ2GzT2&PaZ8tp;(%7Z~qSNUCJi6EC{gP5qj+QG*rGJ(g=l!k5v5T-hfQmzmncp8 zHKcTUi_)ww0WGrQs*7RWqBp}*$*EA6L7Ao>!akIv72h_t>izHr(eXu@rH`tB(pTMx zm^SUAU7XF-zEIkAZ_EtN<_hont-1PDW?EF=gSUCQ`Y0#^)y+^k^qF{j@6@Q3Fk7g% z$U+&d*gcl$YARccvQ*bn86(OHJ%&o1D4lvcD%+^hNV7_h*#XM7QnuCl5f*fux&!$< zWMvnlH#%2Ul+cBW&Qe}vW^Y!~GKyAaBHb+K<+_<;r$N4vSL@@o=}`zvyT68(dkjA; zwscp9PJ$w#4}_rw%TFVx{7%e#7sc@W+r0V)Bi{lS&aNV--L+6sM7;NHMBVo~pV{}_ z4a^6Ao>&}&@%EE+j?iA{Kmum8%I_Lqv%PoIjQ+;{E6+0ir`H+PxM4U3X~9xa<&EXq)Q z4#r3B3Q1F|e~Q^Hca6>F9i>MiW$s%0ISjfwJ%_LJuM>vRMx8}a?s`$0^!w;CxuxQu zSwF=z%S1U!C&1p$1lY?S^}n0HchGRK0e%XwmvR3u^Y@aUxXvz4=3MlN%qw3V*gFzF zo9yDdoYE>&)SB&LiL+2C#R;y(E^Y-#Xs%kcDR!|D_r2U;(WcqO0cmJ8qRoI8-1l>} zqP5z^>u~qYjZP5-ILj{H#mQ_;>UC&scCn6L>Vz}3-7bC`3y$2^M4N9H?_loRh}K~j z-yrkuwxV5R7eB^&C^uHLg?8~pPPgMkTWlAHuwJ$kZHZkRi;QyHr~ZyVOE-zX_iMQJ zRd#U!uW0AguFy8v15Rl@4QRmBD??BfDa&4f8$@>GS`;_!K8N4_9SG>iO-gb9$sn%| zOU3Roho$Btp<{rEho=ZcboDQIMMtC#LJqzb@ZwQY=%PN0WjzUISF?hjG{Mg3F*lvhM4eL-OFYq(v5X@i~gUAgu~eHbg^{nQjxbd%1b zfVmG-Yf<0L`fc=t+&@#RaD^>;I?9pzB-M_qo~B2$Un}Q+#I))&SR6+Xdu{qXwtFvU zb6C&T?YQh*Z)Y%+c70D8N*@8BcIY2caYb3EpJeN%MOmU3d;>~_C`;U^q zg|+Bq9K;7W|5eMDUB;M$1hm|$XHSN5h`@x~v`@214qdnF43)ziX9VVq;<@ZJhdY%6 z(QQ-3tvLT4>3oO%HdS2C`~1<)DbV_*iuclE~s$*s(8P?MREaw z^7qCH^yT<_-vZ{lNB-VNQMq+`KCAO8hu>(|>kEL@$XzW;gT9y(&~?sgP~|l0D=>U> z*GpYC=}}Cx+<6Ljk!HP`t@CdH_Wpux-6OE~dBjA(UMiak?2XmkzX90G{#UZ>6VayG zZTaA1^E=AySVEfZy?MJEcQbmF{dLU9*?JL&rXh|O+g&lyXLk_sYxFQd3_2OQlZf}C z%V&2H@nZDm?5?ZmcP9FGc25y;6t$JzS3Jx@17!CT@f39V?EaE$Ig4|ki05F^%^oBM zV_C8zM7*6@94X?H^l-G;Udb$um(U>$J%QV8HjkOho+P0octJBne4C*sOEMB{WoI$8 zVltAs*)yL*u``^Yv$HurTbXwJWY6OC>{#{``aXLlloSoOaI=eh!P}Pn93p!Kcb2z& z;b@3UC|VUI^uEUI-1#ucOk_3A<88~zaGKA~9}UsXaF)-WOWDtGlFyz;xtQS`pFN*) zDZ?o~+d;WD!x=uifO1`i(|Gm*7Qg;00DJ8bJ7GKIsy*Pc;!^mU=2sU{kjybCt3QOI zmAMWV?hmD0%v^#>^J^&AWp2e4`lEd`T4UxEtVaB;YZ2a**(nQo%+`>bGxwqK{5smS z@V1AGK(K7T*qFt5hFIEVti25!RexHno{w;u`Y1PQg{xWu9t)ygMJy>kUq8 z56VrMQ*b@`J?V2==6vS07v)xza4h0;R9=M;l)mC;(2Mzfhagqvmo(p>kN;L?&Y_SG zpzLHO@v07F@!iaE7%KTD%D!dagFpF$=+3?cKlww(!%f9B)I>2qX*ykg#L^u09sF9E zLnt>JH*$rCbD7~=m5lBJ>19$JQHo!C0xmW`brHnem8ivK5TxjyHE3!6#D1u>%-qQ^ zIZ0}_bovQaeul~7<|zn1ncwC3rPj$-{*<4fCX1PQblA#ULVM-|^n?7VH1Ei4$2OY9 z3tpJ1#|X`ToATn!di>^3KN!DDtp4|6P?T~56_cCQTBRb*ou%2VxhhdyJ#Gk;L9#XI z>)~8^Rm*N*5m&_`+Y?TJ(>7krpkn^a#k>j!W}gn}y&fj0DgFsxi7}O(I|ZrQPk=b6 zl%L0SpW!Jz_kI*A;4eLo!LI3eG8}!=@nkr%NNjxj_S4{LL8tL_(YBi-^`WeiOGqGN z8OGB~W1be8ZYy1Q5#^#~zsbw`?nm@do4eF%f6*kShwbHpd3ILe?Yk*)51P_ zBYih#dZ9%5n<%$rj${5SC{N2w#Bcs)%B_|?|0F24Tw?OSeIE0dI=LfOC!MkUSH|+c zGnW5dvHX7&%m2r*{O^wC|C1p9^i1TxDi=U{Qv<^Kyf0Rt_nZ7X8({i?$^UW6t7G{; zX!1V^?VSI)$$uW}e~rn1IeK;eA(Q`;tc(8&@*mR%<>5=1zn_0>4D%mAA5WP48`x!^ z2f1%uL*}pvl zPG7&<?kR{vPCM zAiX1y7a_f`MA!LGlc$07QVt=#^cO;UDTk0=%4JCJb#OGeF`rU9V3Db%g?lM+DG#Cf z-ir3F7C3MpQA(P(z`UzC66^Kx_|2!~^#|Ne1S#_58mwu82K-0|?*S#j~fFSMj9Nk+;Uap>vHAa3*vGVo4sO|hf zC0|csTH^|)`p$17tWwQ|o58jDL5j;q*@!TCr*aEuOfV*<{sXn_fx#t)DSF}-(T^j zQS%_>0~9Cxn&&7Vs5tr75JQ)5Qk)2Dys3~6Qk*<$UgcOkL~-EPY%?A5M8yGF^CQ|! zQXD8Xq?gPeDqVc&$;Y;0C89W?)f~yW=y1get7a%W(2>&lYPcnoKT3TYZC7(YJJHeV zVam%{o@3PRxY(K*Y@!xv)|we?i({pgYEqm~kCW!8`4jVdotWm#?^5#a0S(Dk38!ej> zn3IoTd1i3kR(&c(x(`dRSd>;hh_!H~ z+8!}&`UYOl5=GbT+NXo7)uD*#&?A`US~Ua8Ld~(4Tc+khS)%Wv>*Z=0l%<*@G539m zS)n-;b2o_6sT*i^lX?(lt275=?q>BWl+~IuQLa<@H5?h7a&kXW#8R!*oR@NI)I2Eb zG$$ZHdLM=oL3%%e5-%Z|r^#H#egaxi7589VRZojJd zXZH4Ly8&8*DxTFF+A#YVXpO4a7hNki%03%flPY$w+Q-<}LTgsVJg;r6{cC6~s(2o? z-R;e5(O9Z@619o;;m}%D@dcKw#pV^Ysp7-DqN$>_N08o&VA-LHe@G#&&At)ZLRGv4 zGg5B0&BL{pD65j4w2HG_2!LgGu4Hem;tUmrp2Sf0R1?Z+t%^UGrp{p$yImM?4FlMB z%eF8h*>olQQ|NLHJF#aaSv#-bExst3BOG?Q35gKs}7;K?LaqZU)dkV`pXnDw|SxQ9tNAl_V z0~hs@X{Mcm#nt;@R};;&{rXA_g2 zLk*$#AfR>)M9w7=gTSY0%IU)#-ZYoOf@IvT;Gh=rT<>B)g9x9Pg%FY?HyN7bRc-J^4Ou}i^ zBnf6G!h*#a-|UoQs;Hv08LU#UMG2*U&cU5O*KQZEj504;&autj{5`z2%oYAMS8wM+ znJ0>`XJ??y7X=G_ddQt?KMikbS4mUTS=wb;a_kYiLY4iwURI8~x_oCG=xJ9YMeYQI z@P8D_ZRqN6a2Yfwbv7b;pNyaWA*A<4C}z!ej=ZZ4)@*ZAjBt@Pt*g)NgXAxy_!bry zi;%P{tH2kT;{azZLS*}p3r)$m78W%n+MS2RPueAWPTJi=s*K|#?LNdbk3sA|!m@_% z1YwSCReywQ%FS}B5!Rn_DfcaBG8BC?tMGKE4YH-V)0t}%#uQh#Gszham)X8qaDK-* z8)ikTlGbt?t75D)9&)BXJiW^A{b;`4^C9+s87<-F&UJ2qqPe%3TOjZ6aP@y+BVFKJ zikNC1KAF47;c1jD0o5d&9S7jXwGKlPxuj z!!p9!(n4Bc3oFG8tn={WSV#N~SEw%R#RlKoU~P|>1jqQdKXT*0<>w|?Thx?1RU}wj zuILl2t!3?l(IMkWS$!=GyFS8avaN%kG=Gi3gJ5mNN#RAN7k zDLJ!YImH!7oB0dhlQ@pEIi?ZEbrxWI#c_Kbizvr;=0me@g{&{f~P5~0%ppQq-%H1W( z3VlQt%8x|3O)o^Z$^BT6$O+aq&vo1XZmjJHtl3t43f7i!t5oq>?v(sly3A@-+!7CZ zxefLjjQmGc@#|c+y(ZdPRUE)Qj@NB2ZP%${Kg?daH$+>nifu@cdsDOx@WQyiiuSg` zJ~gzrMEg(`Pvd&*ZP6^dcq(Sx+&gBWZ5M@D?_HZuG`?MYy8?0ViDl6)9>5jt`=XWX z;^R#B4?)n@+C{#b&wXTY`gZXM%!#>=?K)gv-M<-YyB&NsMOa&E&HqcWwmY)-L|EJX zpp~(>@L#{YkMo&IKtWUfHnWjnq3hUL}J`U6LjI~{heUhHBwrO~au(os^VQoDq z5!SYLAf|->G}bm&(VL95<(2h}wLKQY;VWWoV+HzhSlf@V>DeRJmOCF2*7kBJ5!RMU zgtdJF?|J_xu(s>i);(fv|A?3fYfEM6CX(pJ>h9lwwPpV+C0N^yv9|U**fYzvaT6?r z-E!yq^I*64!X`yS!ft&`BA)}h(egZ z)1}?lxEr`J>~;ula{=s@VhFpX9KvoX_XNA$7U3c6c0A-BV7D}pjSj+Y3FqtryWIz| zA?%j7+b;mSrFjUurTlrYTRy3Wuv^NX1-m60SYWsBKnO}7!fpw1{j#uIx(i^pd%#Ty zyZshj8rbc*5PO2%a>W5KDWkisVYdU2FRuR{LRw9I z-WRLS`%V6x4Zu)6VDf*Q^6FUr51RbHB<%Kk%pb5@!ej&3t;yfOZaGawu-gH!A?%hA zSY!K4%=TA-t%2R{Nn5~f30)0fx5oBM!ft2MA7HnHrJ8zrCCD3l%3Wc%4DShc%X$i7 zx0F8@cKe{o^GzaG1K6#}b7R=8$#Y}aZ7k3COrDJ8-V8?qyWJD(%@B4gE<@NY?IYMNl}&-&enhu~-F}O1KOc5`5X?f@ zEt3fBmP_EzhuzXDg5ApV7VaN>)fd8UFQz}jZn={Dg0NdAiD0*lvJwqow^RgeV_>&5 z3}@%9A&0Qr-!XH-ZZAaO7lqv(fiwcU{b7{2JM8vKnhzywEyFCexJ`;9ZjrUR!?3T}WpAWm`{=_E0ZePdFMbEHX&NUJ2_8pk@47=sX>k)SQ zYnC~L-G0I{le#O{4R*_i?l|mrXlWB*xAYdlZoh{V|2Ehyv;S1sZ3{MedxYJ#A|is_ zo(Cm@-BO8Qx67bJu-iMKM6laOp+vA-DiQ3KW)bZ6c_|v|L%w=1AE zN3h%V&{`tc?O^T}MzGr{&{`wdEw7<1g56SUk6^b~!m=ZR-L8bTFoNAa2aT{>cG4flZm>Xv0Q? zRklrdUM{N|J}No5OqK#K>^aNnwB*R^ z(XyPINL_OaVYiFvg5%Y=DCbj_x+X+1f5S8!)}Nkclk(2MMI~p1?taQS#<7)$z*4#s z$5r-EuCG7zDmZQ%)zwH0X2hgr=NY zq~pM}4`k_xyF}(9?MYR7_YZKVhv6I8w@bUk;HKSyOvGWuiOA#;9|#9AnwfHDGSLFN z4Lr4msX0}}qJv^y8b)*OiaD1Yq-o`+YcruxKMd1xmW!nm>vB&lrz^uU?1 zyNB}d`3w)WNGKJ<8MC{Ga=Liem>wqKv}%$Bv(vR<3}1zmW2&g4wER{ITa-{D*liV- zQ6cQsY}0>1*zJq(7Q$}nEP&mzNALx&?9TKNo}XkwR|(+_F2L|s`nfiIQ!vxQ#Hn{*CbqxvtWCK3>yze&J{?Osyz#0FQ?au z-<)OjrtBP=LGbVfh_>5nDip^~BV-iH1Aekbi06v}T`0#fIT_|n%Qc8I|* zX?uZ$4&N8zg%bKYikG@*F_Z0&DoI@|;&UitYB9w=uA2sQ$Jw2!mrLZY=<_BKi62U> zqL_BKhZ!#PJk|tdhX6`P0F({^l)Z|Rn9GH@;$8zzphzrbFTqke#8UPWETuy%WpBY! zI*rJ*&pMVf1;8^aKyZ=-NV=Cx@d=Q0uWW!t1wY*Par1;>rgm$TsN!D;wlZ%aJe}DJ z!Pq7=C`!%p{T2VSbkYZf{|BQPl1sZg<4@`%{8YS-wq959{<$c9W($MV7wTuF_Q0P4se$#D4AE@w0!xZQaamTs8 zoC47{xMSPkj%@=tc8DYPhJS!mxD(;G|6ByP{z0kD;eN9dr&#{Msl8DLa5VrS-iTrN z?aj!vI~u>67xCk!`~~(r(DoQ_(oW1^cZDvsPxX$n|vm21Koj|4w^l3_WH|2Im%m$p5QMRrNvu} zn)Sag$`sE+-}i42Wt#T{OZ@{;W_W+WRrxoH((2tph|=y=GtI4{%=bQGL2nbK!+VKUb-O4Rc?~U4ekjU9Z+~9g9ilAus%h3~KMAKx zyytyaq)R(y4pSqVU{XZvgRKY%~i!5W={X-qWP+LDz!Cs2Q0CTu{%4) zL*ithDz0R2`Y)-Kk}3{je|%W9T2=fzJo}GGLF!a-4C5XZ4VxNy_Nd3ivOyJ>(DHHd z*{F*9vZ9`_FGGI&0Tat|J}H(>s`wsj^cPa`*y5;U13e|$QL1<}ui=-HLyIcjNz1ho zH%%4EI^{nt+6-)QPXtPzZlWp^R`#O}Y zT@@c;XIpRo2wI0KzBLxw%k~@S9}88HPdok}hLMBBqIRSkzdhO^hNOL3-cH=Mox*F+0Ad;M)h>oA5z0 z@uCMfV(%i(xfi5(8+AQ0miQNnf8SikMN+3lZ^CHAT#~v51|^RKE&ipcJE7Eig zzCNWe!@3@Mdiy{8(Wydp};8_4VUqvTxcZOggxPtpW+=5k+^ z%dPiDaK3#zbrT-v8a#6L`tQoMH+tmk_1{koW*vIu?DaoPU4mha zN9=!++8OiHG>@FUzH&Mc)9RhU;y4ZmN1I1VNWYi!Ybdk5cGQF4+gT5#-6NT!-^bxf zp~EAaqwk8c&?B9rpB80_M?OctLX@Q*2_5~6C@VZNI^wkl9dvr>Lh(g;(j)t%pLf_x)_N0J2mPef>pY8>-CrE6_hwPqOq30lvl75qytjk&EevxG}E10}!_o9Z9unBFF1V>>B_?=5Gas&^WY zq@Nj2;~kEtqL)4xF%uk)=92daZ>>9tQtP#{?>0CG!>rC*$A;O};di$6p2arbQ;OT* zUBfhcIs6*8(L0H0zAkB+JmQG`eI!k@N6ubZ zKm0=+lE=1r90By#q8V@jyiw7V644QH=E#o$zz~g=G=}a za={V%i=|7{dVgRWTo0fiMOd@9n%(&a4%e+M-V;=A6lIz>iDT#{QCht-Sz{|iX*1K=Ee@x%cF$#9 z-R@k85_EWvpdS2Ahxh1(rs7sQ-$%?6kJO_6ouVwYoE!$Y_q8`sQEB&G{Pxcxpw_=f z2Arw8`{H#M;D}A#-5=B)FTj65lK9^C?9Hnk&7S0SqGbMq&gN2)B-r$SF8!}$IVYkV z-nJb64mo?hvAlAJoW0(-6L2XGZya8|h~(_`#)~aEd%Yb*BxkQTK@2(>x|4|H?DcjL zk(|BWuHQj`GZXWwx2K5Y?Dh5)59I9i_7jnuz25$kjGVpRfg+N#*E>iI#oh-@7+3U?>r~waqXD&vh zfQP-=oSzM6uXh%wXUB5L+3THs3T#p|+`{!1ufbmd4|`W|(iF~KZwbYKv)7xu8~Tud zhrM~cZ5hs9Z$2k!!`bVdOWAPtdgoC#oW0)plnrOE*Fo8E_Ie8_8_r(u0v6wJ_Iejm z-f`d!$fdz@2p;yXV*yhv0yZ9yD($jr(yn=Uz6o{g5Ik&HKMiMZ-)fS533#~g5Q+vo z+;=Et10L>MBYa@O+1q#YP4H_td;4xp4q5{q?mOlU$Ob&zw~jU~mc9mmXd>GV1P@P# zl6D#EY=dc_F!mD!81QgmJKkdjJY3kG z0S^~WlBDG9EzB@kkZGcDGH>6)*;_c}TPUId4;NZ_OEBQ!!l^VjoV|q@XKx|K*;_ch z0T&Q*_WA=Albh9ArQ)8D<&m@3uM)-e$l2=;l8rjwBWJH)=ImvW9dh;-+ITU8iiI-| zhBpBZ7tWHa$S5Q!oXw_kGxxKm<{S+Z)D-`OmBdsIIeQE3H4q1t3iG(`6L@XmT;943 zgtBlRgAI7NAj8oYX54}dM{@SE@g0JP3k$w!JYDn-JrMv|xa2+YL||g!(wL`(EMDMg z5#^%gyvfV@E?*`Lsx4f;YcVXrl}u;Am4zk72SJpD?-?KD>@8d+K1|83rd+a|^JXIA znvdzPt#JK=^w+=KVTey+F4QFrRCd!7h zx3Ge;;p{EkOu5x^$k|)CWk2ML{BPeC_%c%`cf{(XGnW6#SpIj$^1myV|Bqt%|2UTa z-Ld?C668&_R_{EdP zcI^NxVT|oFG234Swge9sp8b%vwT0iDNL%S&&l_7l1{HqGG6cQ$13x%@wA0^%JjvNx_+SI`Y%cs`8S|9u{HMuN>hNRA20UE&g#HY8xbQE^ z!Fc#@$_)lQT(Hzpa5T8FkWwE)@T7%%DRC(ep@rUx_O3_H-a;QyN*+0T3$9|V)_cce zco)+0G6HVN*;}Ykx6`d($O>Rr7Mlf6=0q&fa0@GR;p{Esm4hX&fQJkHB+1}XVROZG zuSq)~NK4M%!WNR3>yfj!u%%e}9yxmp1C=~vVOrxIMZm*_O4R^2gKGwGi^)Vqv7(xe}T0&-~2G9&-;VY#m$-_W}xI6z}f=XK$fSWszpETi8Y&8zmlV zN^UrN3**#2G$|IgSJy!h@Ni+gk||0!dkZ^AE4gyRDol{f0?yvTj_PD4E){lG8zTP= zVjmQ4*Pw9Z>@DmjF}^3GZ+D3aIC~3ws9WG*$kM`IsxO{(1Uy`5R9m1o3V68ibv2nX znKBFes@o}(8?&&V;>||D!-f47ZyEv~E*zjZ;R|@UaG>JkE8yWmlj1}u;NikSij#+c zhYN=&4txO*7bYqWNC6KQCMgaS0S^}rl`bye;XQj3;s78OoXe3o1)Phy1`Vzok^#0n>i(&-TrTll8p zGxREtoV|rp)D(=+)v^OtXjNP+KkBXLI6YOm_gasfy@k^h7t`eItwP>~!MKg~JB>@4 z0wk-SEQlPNkE**o%aRIbufL@{)OqCW^($q+1HAK)5k{!rz;M`4 zafh*n?T!1S8ukDx!yfiWTvW=c{w3~o{uo7X20ZMKRr^)L_2$feoV-=W-ojWY+lk_O zQ9ii8fsOO9N6Dcy@E^w z{$76n|3i)f>bbxl*Yb?m&^h*Iy#8+dZESu2v<) zba>?N^{-XiL0RZ=9Qw=Deo&TpOz>U z^2p!o->f>JtoAr7`JL*wP#*Qj-|PQG4MFEz>v4|q*C;{>*Lmdc^&eLYp{)1FGVVX8 z9)hyL<97}I@0A_~AhG97h4QAF0L1`_{SOt1D=h;g_CFTQH9%tDwdWu%022Ed`*LVS z10?pdqLmDg*zarKg1A}(B=-B;tD)5yAhAC{n0M<9kl3%bD{66zH$Y;4m^~a?qX828 zqwGd#O$JEpkFigH)@*>p{#g5ZXe|av?C);B3~ibL68jVFA;TjevEL$`z-`xV~ z-2jRG8TO&D>@YxLzs+uiw$K2H{n_^A& zp`7BX_=5!dGK&2+44BFQ_T92A%m~%3WLFJcUJSXm0WSEOq>4YVYQ_*wyVo&_QzH9p z6>W?w8D44kr(raEaXA_Z*M%|c#bHK&3j^7KjidN;ZXbkJvOAZf;Aty{vR|7}c_d7` zJ0hT#GCR46`8#IHDlQF?=wK#d$2L)1mQ`^H5XR4BJo|bqo=L03GH|kpMjQjOiZM}K z2bza-F%K;7re!61evg(CQT~Y%N@2nhEky2VcRd{mPM6nairjOS!;xDhN1n-2F0O1@ z&P}AAvm`pVlH=95D5owGmr-Vip)iFFjbh2(v15g4Eg0y+T9;YKy=H?;Y4Kehz|rGq2iM>lZoc; zdsX0R45OKvQ&lWFDCPlSH0Q3EbIC!PR(`rR6AE>5n2xhtES*@F`&T(#xv40@!w*6a zoEf`&kdf6b=Z!r4IrP98v%80K&hf4>9F|fWoK{VeV0I!@S7P{>(3L#_sHMt&le@&@Ac2MuZDxP`v7L5v`c4c zmu1oH5qu*pdv(1m{U92T?~emL?LLka`fCW`|0tAO(gGy*=cF1D(R+LR7$C9Vo@#|+ z)^F#?OPgT*Hdi7DB&M|hiTxK+d?SmCMMw-t><@4Vv6Zbvve-(-1QNeeqTL?Yki+{w zNrOEI$Q&o2c4r#m9e)pD_}+*K`Fs6Y&g%#>Kw|$}&ghXSBKdp$)1BQQTORp){Wf{Y z;(F~VC}%kA%D!2Ae#dEq8GyvJmfKhrW2NzsGySDqW|4Mhql~=|K!5=f`{z1@*?QdP z^cTpxJX}5bd;JTX!x1AuV*etCZ?^C>3?IEOLU7t;vb5Wd-`>~ar{bM`aC`2*^@*d< zX1g5;|8+N_{QB zIf`;~W}Uvd52F`hS@vp}?H<8&d3F`k zM{e^6mN!!FkD2bD3XOwvoc_w9fN99hVoaQX= zyWCUx{)EO~x7T!1&OIea-HyZM3_b*>m0ivy<(OJ>EUt+RdLLbmvk> zS(dMo-FZxxXZe`IZ6i-*_93?OY_1PHhFeTQ=UfY!Lmyz<-f%gUx15bHd?xVnfsb1G z*IIa#&&>-5U*US4Uz|5=Gm2273IDv#PtU784BhL#<#I84ohug9(v!n|TO1m_&Q%NQ zPH@~UF75X^*DR=;;kfU)Y^&GV(Oydz0QZBW?xF?t{3+=#p%f17E$C=`>Smtw6UY5; z@wxIkmo2C})p7snHlYLp77YL3JZp1+iu}~gnB}WAU%jAirsI}*O(^g>7cRiw zKzC;@dIycX+t-vC6Z%+iSp2zXLGab+Qb*JD5eG_Ar%o~vl z!TX^5MVoDkZ8YUeqkB3Yn z#gxkw%xNEW!kNnE82&R%Y*h^yMULq50m-VP24Iml5SKr49m!aXIUG0HQ}cW#kF7HaiLq2 z4rjYF{0e%j<8ySns~iutd$=!)+~$#!=GbEF&+<7D`E_%LJMP{t&mLaq{q1#gM|6>E z+nteLBz4YB>MUj*+1n;3qX4eqb5FC9&q}x~$CNG(G$!C)nhCgvW&%z@+0@uh8JNM2 z_c+p-fKR#_avYv5iktJbr&!sYiEOp<=mxAM>EJE$_zOSuKTLLHTLJsjFs^&l=V_R` z*qgkfyqA!zwS1TAzMqiOrN3uDX2xqx`rF#ZJh82p<56eBleFq#srTcPtySFl`B5v6 z8wIPxjEBW;TvU>2@Q7H6t3dlO(fk{cz-duCll$7^!jFkyyKP-kyt=*iGb(&CslLYU z*R!D~<29uV_OoWN{4QZx+g=AG&<#niOsajH;-62*=FwC3ISg2kYDUtdbyH6{9Y2en z6t~nm)b>(Bw%R_RHtB;h$72f{a{Ghnbg_8D3APWG+kfbTS1~{Bh4*x2FFK2orE!L` zOQR#X2+dVZ=rynN>jidcotgC6wgq-^v?cT!SvqGW+{@Z)-(!;&D7#NAZ?6q#^yLY? zqTTL+S0=UBw%5wx=*FacWqaL8Kbh*dH%mE}o}8m@mDlQ=D00NQBO#kdev!1WibIUg zC8N_l=p5nU*5Hh+IMH@M%5_hH>)ax)hJ=d`Gx>R`z;#|?9c7)c1J^$bTMs0o_L~*?=xhdaHH# zgoG!bNU|1P)+NOmxgudnJ7H5n+0r7D{*rL@PXv8i;9AxtY-D_rHEB8)IX^RDTOD`2 zpDVlLwTv8*u%tb(Ut`)&SIOgXJARXQ;F?w7S|Lx=E!UicD>drM0@u~@blq|--$B-| z3tZO}HSqo&xZW&qU0cNUV#0N}ZJ)ysM7u?-Ot@CH4}UjdN_*6Hddh$)yPlQ|nW2~+_i^e3AY>O$^+5*>IU0lNlC0yI< zySuCDPD3v)>tkh^?tQ#9|B_^!)ynh7rQ6K0$u_edhbLY{e=M&lyv}vW;p(_#N zyEV6_+sf?P8@O?vppp~fY~9hdoP*?J=OaLA(GKk9xHCC~SBu_?Plx=3 z`NV`-t-O$poSPSps_~;%ZY~J#(RC@@j3PHqgfDC#CikE_De~eTRqi==?h>8}aOiM`&g8_-2qya; zi5lGf$w#dmxCh!Gx2_p^1?zTBw^B1Al`uw)Z4|JLXQ{LK`}_DjRXDXQNByxX;{oh6qleNH;F!ynLO9 zzSobm7F;KGu%pbLsRggo0*u@EpJ2${x5y6r$Nv}2f18gV(718f-?yBH%9v8)S00T2 z33ZIq$QWyP1Fd_a#cJt|O8lsm2SCg2Xvqei=pkpUoYXe3k?f;A{u>iT4Z9Y+_a}9= z)MVXrSogT&+7brTcJ@3GhIx>h;ixz zI;@%ZCBrdw>Hk5DLQkou(3SrOF$(XH{|{mmX2SnB5TnW`VB^U)3q*2x1=EvdOD~_z z^c2be-=IoO#%>Ypi?5v%R4HB!0Ktdv3W)~SlRZ9H?0L#mM^Evv@#5n$8oYGyPm$l9 zg_+<=d{^3NaJ|_5AjF+GgHZHkZh0ORB1VIQSctT5QJ#P5zTN|>BSwSk%LUJ$VY$P8N_lS`bUfg*FTp!H4&r1brKC8F&bQ7=Z*pQ z|KCxioGz_olrF@_cOum18uxenY5pRgTf%`b{?zBVs`1 zp23ktZ+5FeEmAo~gX_24DOerLRF2W$`fX{^3YB9txPC`0TcvU*oCIo%+cAjI;JGE_ zd{@fWs2rog^?OpbR^_%smC9|0DwW#~RVtS|9`#aGsoZv`Qn@zvZi*_E+YVJKhoUZ2 zsoZv`Qn~F=rE=S$O69h3G*eWm+`;U#6jds>9ja80(IW*^sa%38burpr@8(YA>`9}+ zbEmQGX*76_(cn6b2G21XT&L0CIYxu)Qu%?PNp6nO;JVUV0-4Qj?i0?JK0>v)xjf7A z9yhpFH@6!$Slw5sscvo(N3)+$)7@My18RU!Ga-c|RwL9LH+Mbf(lC#+ZmyfVpBrGE zSB`OSb942SQt!QlrgXTudF+?rLM?Q2_fq=^p%%HhzjMBf6l#f^`sEHU2 zu9Il+h|%D>)B6jo{eD!b!@(^kWrCxTteAt2-=<&lDj?EY`9`soJ3vdFhS3fpz6R27 zc}IgNvj_!mOD|N)PkHNigvdv|*_bWfZ=j(j;`==Pu1uc#h!ODmJ&$c}j2IHGw|V>b z#B1Y-A>sNH>3~Vm5ft#5w+Ox69QELA|H2!N1{R>Da)UW23<=lYcnlIMKucAlqyR0& z719R8D7Ghn*kZ^5$F3R;0L&9~L5YI-unbbXePL++( zVeEr)pH^>*7!s~`76F?hhJ@=1A+|a$L&8gIx$9(tf%vYpA>n$s-vN8iRIxTAM~ma-i^7XzVB@ww2-qH!cn_1UuThn9K>mz@H%6gAhw zZ2}uYX?;)Vlzku*^h2^c>55ZOv|9gEe%{R*w6xfu9iXMGL5wTWoj$kLvS??v{|f(Q z^hTxpI=H^lZ^mQqyxa^dmnl&bF(h1He8p=91`Ojksv_uRE*SGp_fM|^v60UC(VtT}oaDBTFb0UU>>(xTE$sNFS#Y-R9xd*>9iXLb-!UXy zKQ2|uqA{E;>-=*$lOl$M>nHq6q$3#;uAh|omv>x-gqM!w@^={$UfRHxy9^009rYqC zaTyX`+9*kegqMyLUWSC1j*}!q!b`^s14F_~_mCt*!b|s(BtybWC#fpOubM@f=#;iX4Q@>xnaR(Kf~ zUV4(`GA_LI&eETA=j{FJu}H39GKmW>z2H8|JdAyLA+KFFF1&Q% zFeGhUc z?3#&Sw4vlZ`ksZ=BBA6xc4g9rlK1G(v<)TiF@R}{L)Bwg1IF2glJ}@xjC>nP-lJ|Q z(l(U5M?HC39X$yD$RaF~OBim&tdEBrH88(zW9?fgBgp$W&xIS$tdECf0 z*--L$EYmiWJRV1O8%l1|Hk3Rr3MG#xT!CJ(q2%%2ytlWZi>zI8^bW z*C1&_$>YQSK#|0uijQ~|-!_yyZn0t*N**7{<4GAp@bIsiQ?y#TC=;-1CRmDZ!J&$$ z{ST7zL$WRwyO?gA{HpkrGeJryc|1d^_C+XpJkzS6H%UB;m;JK7b53;P*_CL&4JD7~ z@KRz!$>Y<>ZbQlAqEPav+Z~()(Np}Fb`om|4prQ-o`Ul6g*-1xuyuUVV=&kTn#LD1 z+Xm&vvVv^@cq}WJq2wHV_ntGLXK@d(Lei4ul*Dk@_;T^Lk}%nLX^|xQoM770y(;cx zy3%n!JQ>6lM^i>k{Ie~T!BE%uD%P{1w($xpgCVu?)mFwYuv^C0hzu)_-iS`#aT!V; zue^cs+Tt6gRzgM-SJ;h3t-Hy}^RactH**QtQ1bW|rfukKd@IvU5=tK5M%gx$JieW2 z8%iFpX4;05$9FJ|w=xVRkMI1*>c3|T^-G`JThu3OiuC`oNdJ9B`tL8&UxY&y|Efs; zgGKruO7t_7JYG8+deQzzrs5fZ?axPx`tvcXe@zouAGi89Fukrw{}Wa}LzLquDZ3@h z5aswOtDm9d@zYj6L&@XcCi)pl9{=tm>Mx6bzl{2Gl<^0vzX@A!{4BSu1cxeqZZ>$p zyYV*#-WQ8_UrKlxN*=#_EqQC=KShY*wDarFmRIJ~UuZ)zYhPvBhLXpxaqMj4Jn<+a9dbx@wnyLS@ZoHJWk&xVr6?=s(plE?2+h7Bc;-)BGBQ1W;y(>9bm z{(w9-lsx_`)4)7oD0%$1p3nuIAAVYB!$(%9%#&@LCpMHk{@Ci2dH+8}IzK7W`Ki?@ z9bm{)X~wD0%!X)5&`Hj_D?+ zd^P*cQQtveze%yDu7yOwp^CdH5h*vXad$<2i$fKc2w`!k;y|%i8>5r(9cL8Hgjj}> z$DwM5w0>oAsVZPe#YV{n7mdR8KTbo*%Qwsf)kED5{_;{B;o@?s(k~zPR6H-s0XNPi zbs0(??;^T_h@s?hFX6H{RB>-5H)q(^ctt6{Zyp%>sCQ^fO`a)aX%q~ zXcrvk;$7v%L0QC5^0>cLu{c!m0Chd=$wN5XQAMJf_X^cKuT(SaJ>8ubB3)iP8v+KY zkx2KejEAVVkPsZIcvzxbrh0AC7(PRY>lCl=WlnkP*`Vsx8deVC5z6nAR!(uK%3HZV zjZz<4`C}CEje4GOIxco&m26Qxr^5Fk9w(y|$OS7NFUlbQfH|nVyDCE+^z@$UvV#0# z9IALiVjM%s;~$8mvgmFcJmbBkq|zym=YyK44uXJPSH=6PUsb^i{`50{;>45GqqsCl zD0%!t)g3caLdoL;R5R0$@DhEX;>AWn$>W0*FB%d`9v`f@;Y%oae2C)aE1~3Zv*Jc5 zq2%#o#mz%P$>T#67rum&$A>8{NC_p64_90$5=tKbNT#@ilE*EI8=8cY$44q|SQ1Je zA1#wlLdoM})Sp=QF;1dm)j;&~0EV!}$EjPGX3%QfD#I$FB_Dq2#)k+zkHjaj5t(<3GTmnlKoPDtZA5^{zhe zglZzjQ0V?XH=Y6x)qY?r;82mR+1~mNlbgxyaHz^pN3kBDhU8&0(`9;re<+9)hl)Mr zNQdh4<#xRohw5xF{@YOUa)y%YIz?#}jPS0}4eBF`?uq?ZkCHd-PQ*}hJz9t$Vko&D zqwdEjmPL37ga=CMF%abuL&^16^?MMN_Ql{hMfE%2P*q-DiV2|fUh2VJp^4$+x=Hb+ zpc65CT<@=bhk^nQ)oUOMI8-DGI8^@tk&hTYu1{1!fA;nB=wyA0ssd5Kp(0Vhp&}ce zL;7G_AYtkS94eL+aH#eNQNW=(1w;Xd>Ov3&94Zn894bcm7I3H-TwK7RdI&@Thw3>H z1stk(KooGO+yPt}T#5Q-#gYOJ6^S(w!^idQsvp=2I8^(ADBw^r$hLq(^)QG64%HSA z1stk@yCHl$V)(dzMI8-dYsBzz{ieDZL;;8DMG#-)cBaxV)aM`^m3#eoP+tobsN9WH zK?QEbK#Y*uh1;agx*EcV2f;10~RWlFG%HT6(DaCd!&sZV@M6oy$?vMR;o?^n5woLqU|%h{z(Qs1xguN1-cYDIME=}K*1 z5gj`W>{VRW|Gv(Dr2BZv;f}DQoGy*U<8=o(Wgc>s?O27kPB3i~MRM=3tB8*`?C73{ zVw8W)a_%O!K9?GDEhH3@+)JbcnIn*{;&x(LlR38^G6b@UTZ@PW>#@uv0|V17yQCO_ zZYRn|xQ>C*;2&nQe4oxrTviNGx0Q+)!tE@kOzup!m_RJmi6n_wXKij(Ma7Ak@3Jl!MiAYu1Z0(Zt8CCJL!LG!;zSddEK zj=7_RF3rVLV_jN>+p4XS>`tGgC46tvRZ>k7*3QHPz#~c!6&hPZUU#^2LD*;d=O^At z3?J7Qi04KSF??KKC`4Jr@NvCR2pse&L0{yKfPhRe7&{Tdk|j-BG-m`~3Cr`j1c!<* zoD&-RENbZW$l+fhmrt(Ce~d5P?!AeE?$6_^;#g#q=?v^7a*^K>AXJEe;P!JL-l8*{{tMVH^ElvRFPXQW7V9=GI+3s@&Gs| z-_?0^$h$~ZyoHen^hJJl2(?Xx8H;_cezcz9{w zb2zWzF>Gm?*)7p?R6$Rlq0n=DH!|=hntFah zvyPJ&0i9Ea@a|riFF?($?N? z+ez)7N^N0dOZp`CmW~7!^bo3L$XHSttMM?~me6XP;>+Ug-r4?>i(3;?m@}j_!k7f#&?2ImSNf>Mwzz@j`)s8j55EHQ^+GQnZL_f zOcI#P?{yiI1Sactyoe^)Qup_Ni`;Hsvxs0z-M7BVB*B)t|Mfj2w+CDDCZW?xHqppT zJvNmD%q+oR@+_vAG{It;+4hxOiS`7+JX9!|fv?abSW{+@_~Zt_p2K?|d!@hAqS&^!o*Q1~KFtG?rh6`kxk&r^N_>Yu zb-&T5sp*~@UhYN^P^Rgg8!i>1T+=-_yuzh|O3e#kc%@6*^ZL)|+ivy6Rat`-em_((tM*B-XKJ?CRkIrN(8j%=UL}QA&$`m zYYJ}?qE!>DDZE*TsoFv3g|`SXUH^er-zvmR{Z}m4@HQdl=sQW=F2r1Y1of;IqD@bR z9pN29oU1>j(mRFd(0y6wE+H0bf;EMA3$aKOtSP)lh$XtI6~r%uSf&Zq6y7Vua!s(N zaE;p&8dhk6HHE))--n5-G{Ks}`-JTlO|Yi$ej!%tqe?+MAjI8z8Qb$K>76y2U`^qJ zLafyUYYHC{Vx1;fQ@B=$^_pN!;je|*sJC!@9u{Jg=3CM55g|6~tJnv>5j|Tq!J5KH zrDU5vi*+88k}vdA?1RUJ_}b7tH(ciiycR1%_uTLap#o*-o*OMWzGIY-k|0vWK)3^JvZF!?geU*GIY-k-*V?8bD1)9&kf&}7OhZ*?z!PRV%aKXPB;nF7Po#7-E$4y zbHjI~Y>hH>&kf&`vbD<4JvV$`%GN1E_uO!+dp|mBy)tyq4L=ZSqcU{Q4gcnTg%RF_ zKI5qTU8v2sDLK(W}hTDX4TtoNV@MG!uz?EOW3_o$X zFPFK7?z!Qo!ddAWy61+U36*yZ-E+f#%5G8P8oK9(U)sIHHFVDnzjjBX?e(rXk+ysz zWsR<(dv2&a?lQZ(hVHqcCsdPb=$;#v2sP0)bd(EAJ=t|okJ>A}-66BtHFVDn`v}$I znmo($9yhpF*U&vT>?_n%*U&vT>?hQ8*U&vT93a$8Na2Xp2sOtw*K;ln^SIpSx`yt# zVV&0imNwVWJvXfPxYKsHhVHrHaG@5uhVHrH2%#3ahVHrHNTHUvhVHpxgHX#{L-*Wp zlu*lEvn%^$v`{NtL-*WpjK_Jl$~AP)4I718?Hao0hT}ZWi8ZeI+Xt`bxaWq4d%p)^uQEq?MOT?4yt%08 zYkCrfM|yX`-azxP9UkSK01aiD-iP5aVsxb@SW|ec^j~jHu%_??j~DQ~-A7N6v8&Ny z@N9271k`J~6Nhue-d0Vprf{xYB-=FIbHj6`PKV>sJvTG-WikCPG+o?t!;8IBA;6lx zsMz#HiRnBBhL?K0j0T$Sx#49Zzs$B{iS%iurh9I9xi=7Fp4W8Gg>TLtAZj$-bHimK ztzOeTH|+E-h3Sp@o1q|wkz6r!TLcpnm?bsrkYcxG`!*^u}>a{fY zJ!x*Ere|)r&HEBdtVz=|H~d7}K1tIvH~h?_Ls+w>XKwg~_ZIrQMH8$k{L1@1TG*;j z<+bA*uM@4Fu7`16EB^(Q%+Y7j9N*{SXw&q}4ZHcRu=-rx!S;0bXMpI?^vn%Qe6HC= znx45~AjC3F&)hI0#0pK%+%Obkm8NHIm=$8Rre|'&}gGdC<1Vy&iUZm5M=r|Fp+ z8lUTNy{2bwSSG}?n(k#`51+GSqo!wWST0s?(hi%wvk2I%>6sf=2(i`i=$V_Toq(7cG>L{#-QMx7|O=x3f*w#%QslOw%(rZ1lM&mD}|+*5`Vv z)C6k^$NTrAB(I<0Ca{MPHJV^eVUy3FIjq+NYYHd$1fg!!4##{SF}Fz*tSQ{r&kW{q zj9^XS52a4CCRkIrztm~b*Rk;jNu5@GBNy?({wp;cS$gJ%lcjBQG#}Q7hx!K6+H@J& z4j0iKnm;!f9^vndl11hscG!`AE$$Kn&(JeBJlcPX^VT!;%ngtA*Mchd3_WwhR%ueD zXZ}I^ju$KP*pcX&o2k1Cy&44U^{ja2hEsj6MBCBRiaUB*aYs*2I(lv%GEecj(8}~U z5~oVbDm7nhg)@C#A@jPOvw4nxGL}P)=IhDuG@qL59gm*5nffu%nW5q!_yNAVk|ec0)9S1r@@%nh&bKSFO*YJxR|2*AThJ}>tH%VkQ` zXnN*`SNVL(QjdpNAg=MR1<|Mp))ZbVM3W|1Q+U1q$6g$3dgg{VNMAPNtqF*m{1UXJ zMX!V9;jKQ;t*x4#x#4X>OxN_x4R04>j;3dBxLSxdyPe(X-;H_Lq3M|$-s8W)vDVLU zw^-xPLdi1QaliEcijozYU`^qDLacH;jYXCj-UgvTumIm#@yrb$kp*Y_?$P4Dd$hRk z9!vU;p1I-UQl(6f;cQvwU&WcE*Kqzl;ol@3NzdHyNtu6n$D?O%W+a!tw-@SX8rX7= zp1GM({{u@rdgf*tB}vcR%vj;2XKrSkB6x3^OOo`=%}n?hec;hE zH?xl<>6Du}KqSy9H*=sQ>6Du}NUGgLa}JRtopLjig@I1FnWH4hJvei;B6Dw9A-QzQ&CHY}opLj?q#9p@XXY}u$BC#GWX`FE(wG}`=3MU2 zPTYa7%z50NeaE9yZf3!kATng!Dab5882`(;Yi54VO|zU%xtSGAIz953eUma5JV%*y z%FSHJ%a#*!o6judE+53)clgX=rt4#F zX9Ge#3NSd6QWsvfSTFvLbT}1X-S|~!NOKe5b$iMZUZr0 zKTW$LMT6()18G>95OXy_z_YRXIZE0zLBO*WssTiY?!FU*b}z;JUfbCECY!z! z^|BKr9R%w@WVrQL5D&cc5~{Bt9(d^@NfHmdbg?8aIvAV#F0`pqp=bBNUvDQf0{<#r zW>GKZRNjwrKI<0)l~dus6m!Et$EWAgRr&va!> z5Y`?8n68iSLNj{|I}*|-#j~NWN9|bTH^)5F_Ndzn>6Z9WSl6STJgrV8v9HJ?ZF6D4 zqcAuKSn63%@n)3v7>~|wi%0XU7#{_j;xU{~PR!pmiyNgiG0&~>SfsK zrsu%IeJGp6KafbQ@9{7MSH=f4uoj;J#0Skn4t$e;gs+2{_T$6RE%6~VKZsAjmLE4W zjh{Jtgq)Kp&LikpeCYn{%;}uk@!{uCr1ccP3`vwSqs5Am79PptGN80rT*ZB}iiNP0 zRfAwJe0$?Dgz>bSkgVK@vipz_(+N5jpE7`*cEO4GnjuvQIu_5gDy*kCkD&rO7SCRf zo~(>7q`)~m+H}OcG{&cseNjA`V>FiyUKTf^lj3OeSo~!#W_DmFo?KSS z?8KAH3QgkRd)8C@2`g#IL|ic9AF)d=KMiI$v3Q{_Et0g1<|UFknXYs^>nWZ^-D-G> z(*e+0a24w%GFDg_)>GWdu%6-~!&-JN(|O0Up5lj49z4a%;VfudcVkiOZnE;Mr#P2D zSzLlmEWU;58oM-ZWx6SDSqSH|M2`a1J5Ppqf-e9D8Tcs*_dY(Kq|=;n&p!g_(#^DgttVwrdE zQAVZRPTyxg!E2V==~kv2W9gd@$kQB4-~5&77RR%m;`C03&JS;)PFW)#S)DRZwsD>W z)|QX0PU|UNr1O&^ou68rGESdaoz_!4(P=%!$3s^&JjLk@Yh{0Bby`nxrh{1K!#9*y z7R!A2mg!_Ye8+T?1s#K@_`ML+kDlTO;ATn72zOH=QXZzjQ=I&PmVPM_BCiQL22XMJ zYNI|0-|!TtnGl z&DPB&brVl<>I!t?DNe33eE=>z@D!Ja1=!YjMG??3c#2<1dGr+LZMc=!H<6ckiqo(_ zC!XTtL6qslQ=C;QHScQSDSj}BJcN_I4~Z(?yH@e^T}8%pcP3bsfR4daT-?;@Dc*@p zeGq4(JzUyrNL(u&XP;@oQ=HfL1au6Z;;pD7p5hM_DmPfmoxX{u_;qBdjK`>tk%$sc zaoM7x#8X`6zFe^2DbAitK*!)IzK)gYDLx+V%htFi8P&wN35juur#K~*>BLiBImJ^?ac*d-r#LsP)Ki?3FZC3^ zgz3anT)f5;Pw~Uh*wj;;!Jakqz^%UpR z4teqcPjU7lejEs2@D%4WkyY|UA)cwowOXDiz*C&W8ck0Lc#88dyjCZk;=jasXPrFB zg{L@=%IQ*WQN4fOv3wn>_xW8n~CYqpPKhRMSX?8yWj3un^g zzVr?c2dGzRvv`V!3w$q+4rNb;9rD!7v5#oZmnTNzDb9`w2dlwg{I{Os0y-AfDN4(V zr+C<)ZlLI%R6k1YV)2tC4IoAf5omgfhhx<9T-lnQ;$frW?R>eWr+7G4Z2?hf-%*bf z^>_$}?JFEFW7Xd&wVvYPUTPZ7mYSa8VUyx5o1^I|9`3K^p#XhN;t;h2M49$U9417i zz5oj#JW}0`lDwv;czB{(3!+BTQ#?FHJrAN@6KE_vLx@IAps}z`*zjB&+iSQ$y$QBS z8q2~77fMO9o_ZpP#p+9xwCD?_f>?^5;Nk82Yb@zhBSB2p1R4vM3o%Dmvlp&XlTgy8 zZ((~@i0BSoMgiBVlTorr4`!X~)OjG5X|BESMs+2K6`DX};Z5p35UVsj#lxGWWVN0- z1H>&ttkF$myIpY4*6MdD?GE)1)LEyw6NPJ3-vL}1+;YN))TtmgYDTbxPpRb~HfaKl zg&WkLKy23Z6c1lfSkH=qVl!bmxGYqzpa9!=dg{P|eEFQ#`D5e*vlm@0r=02KPNst;*0- zJlxx@sli|=GlSG&?x~>WC__*2u+?RY+VF;$Et)1&hcet+!>^hvzeK=dIxI?A6=Q5Ww)#h?rtKt_bT)`sF+ce`I zW^nFy@q+C!=5ng0B40+jhU=TJ_^RYRUl4HF2En^5;x@=RTSXo#N|sj;ILMV^&f>0O zRIE-*IEzz7W7AAdU@NHj-u7VR_ThByDuSe0%;mhcxjbDU_d;aUFwIGBOU_4sR`I%v z;;UKd#X=D;%~ibYr{!x|&be-@N;#`m6;cqgiA-Dra&oanJp0)ja$!%@eM8Qv|Bh1% zy1oh(#hBEh@z9P(HjvSWi_5AMlfPf(9CC8yeJ^L1Hc5TI%4;Zs>(z?r($kgNz9I~K zoprdZ|9zeRNOup2=))ahM>$;@i^uCoaLPpED%-INZ=GP;B8ueRVOJ3!Z`jf0RS)HN zvz)t$t-fLZFYj$mGssi+RSbN+iuoYjdkADo)I#Te1k}-c=-A zG|14(*Y?8%(;i6cahEHqC)`~#v8!I!P%#Awe@`WFXWUVOtgIb0|BHlLR218}W9}%S zOLOtmn3GoFwrZ;+yVK2S3Exn5l~j?0wY@O`@Q4ybRy@VS4!1v!QT83v`H7<(J;lQd ztSgJAr+9dw5M`R4;^9Ie5}>j0BKK`b3xfTz69oZ<1p#fT0@hS)Up7kwWR zItUKuA*T&F{43=0b&3Fuh3$gs(`^AtvwV777It_Kfv{(`^Tp>NIkR0L1p!o(TY$#G zS3P_JU-+>Qxw;LV|1Q;SK;sz1Rier1NlvoAmBO)g8yQj zUn992iU$1vi4K3URFHC!!!N4AoLv}G|l%HBaNSH;(@A7UJwTGb_a2m&xKHC z51eQFOTh-9F>=dgtcs;Fc+gCFK|mEj@B)Uf+s}~9il=yZk^cw?&1ak8VtEgZR?<^E zywqQX5&;?um-s)x#WDzJdbgMG6$Gpn1kW&I3%&-wj$T)Nfx`4M);m5!UONyO{dn=q zc>UANc?ThL*M~3`8LuYE>~SnIE7gFbhu~gsM$XEgLBcTc@$TToonGe?DdS>V!QX?f{Vi*r@#ZDA=I}zzx>L#5*zZDH z@TmOquaunV}_ z@tKQ~;iow22QJ!ZG|LM@_U1Xc{6NvJX21j`BnPc_4)EOI>i79zNqZ z$l2e@!7rjaBeEA;U3;aHP=4Gj(X$-om4neitD%1+CHS3d+PgaqKc~oHWJ*1g4?vn9 zwQ|()D57629JztYlcrl8=kzQDIKRL&OWd1pKG?c9-7ae?uO!Le_H@@WSrTwhN<5|| z?YrRf-rPn(^l0|2N3+5c39p|!4%{U{cYJ3&=Jc1Gko$YL{>%=aVsgY0s2+a8IQ!Um=NK*$BihI&q=S3IIk?b+SrC48W2-Zb!N-`kd)KS0Y^*5d*NK=^UhcN8g|~N+w*4bUup7vo|g3 z9}xqvWFN|`iH;`E{`VnWA039lDLMErNH<2r04!;K7wM*m7=R^5x1j}-qPxj+4AU*q zflMFE^z?`rfF-BW? zZko{|VgLqt^pF!r>zUt|Rd;eqIef3AlD~7xhyhsE{uXis128Kuw=9U9~w=>@`T^aG^cII2A^ATTeXTD>)Cg#iSjH5`@$3Mfu z&L|-oW4_$ZU^bzoDdx-Vj4#Bbm@l_8-Gpe4KY#_9?n1Q0m(!9!-2n?*W4_$Zgz8=p z(__Be&P0j^&x!eRJ5wga+?X%7GqGYnwZ(k7ok?D9$9%b+NnUQpe7T*eRKJ3>3uC_A z&h%El1+ggR%k9hn^(X`^i}`XpGfZ*qtcdw?J5wvfs+cdgGj&3&j`?ysQ!m7tm@l_8 zBh;>F>Drhtw=*NfwskRIZf8cRKS0mZj(;PjYgqCg2aE5yGuLG0J$Ggm+Z*vccjiVW zorv$bGdHp2LB#jmncLX#GAy??+(H!)QdUcLME%o6~4q|HFHaX`S|WW6JI-rm-UB)VVojiFaXI~CVhrs=w2tG z-u6)yN%%y_$8hG1%ON2|$}w}PqGB^tsH3IhV|p~~=QwEIFh=0@SX(=V#5Jk5McJa$|eXk!J`^wY+fl{FKs;QIzZf$*qzIUU#sw zz1Cy!51-t$sSYqiXdNzaxsy&my^B0-7XrqpnKEl|ZO;)eQO#17Vq7b_NqU!KLZLFo zJ2}DCNLMsd^L`@tx}VvWo+%2Ez4BXd^c*%pIBZK>Y)ki(rqB?=uBn3)&C{KVEeCHrrA0`91%?BrXsU|xqATHz8C++Jq%~7xO-5O3IRfjLNN?%B9?<2F|Wm|hH7KDsHn5FRsfgI<@aI*i*fNb#JxY z)7b!aowSWArES^?==0obF3mcRt;!~?n#d;MqgMV747OG0CF4TP?MY`ky&hxTGgx=a zWwu8KN=?@NyioTHTX(wC>v5Vji~JiBe!Lcu4{$co46AEaf&XO6pF;lkF17Nv6!_^C zYx$=nwpI7q9qfmb-R*4cw=XOIh*VWR(ROK4yZROya#Yek)t8g=Xj^Y8y5uvK4j`Q# zM;i(QeF`=}t9Ag@5`fm~9Y}t!^OgmDum=qy8{ucGH&FIqjw1HB1ywy4+jiWK41W5| zaa1k64lySdRPCOWHm9WouT&#wr`NfDK_A&iMq6R)7F2CX*srj%oa&Iu#@{9E8y7IQ zB;fg^B;fhSsThsD-Z}YOTy<G^y(Pn#WXPfSQzlD-U<3YTfdDW~g?~e%Hu<0Z z1WnKK^yPm}HBOeNFaN7QA{k_P`trYKx-84nm;VjZm06y?{BN1gXL3}KbsM-_Bc8teP~8n; zdc@Ng-d)k)IT24^ewh$+Bc8teSUrG}wuq-Mze3Ff(Gl_V1(#sFdT=g?c>3}y6#B}Fc*qJLLp1%BAAy!2^eff1ltd4m4g1a)=)#9yu``8ndfJI2{_ZHx2`DOozto zZNfl@#_TVIfeww?d&%H2<~Dl&I!Nf=3+qN=ZlkUDgO-@v=&z3BcgIN) zqiW3!LNrH=sx_-bKuh#Iw3!=)I3{9Lt+`2v)`(HH=4K(LMvSU8w+JykVpOfURfw4p zqiW4g}h*7oXmx2moL2t}`!gfo)~pp`eRLeV>eoVSj2KmG z9u{I##Hd>Hh!C42M%9|%h@PzxqiW5gQnD>#RIPbTO1_8~Rcjs>;%foDG3x}t#j&6_ z<_Vz!3wmRo6spXE-k7Icf_&f?v=~)uo)#eq=#BZU^h(}>-k9GBRbxSK%rjyLeou>0 zwPw9g_&qH~)tcW6XOj}p8?!-VPO_jk<_`iUlYrisXN42LuJtK<^f~Ex{JIvSYR&UP zC7?Iv1<}xIL2t}PDVuIVZ_FQsNQonI~YCDVL@-qX158{A`5zB-g0@%yv%~$n75@xE0loVn0LgoRTlKdY;jK+#Hd;U zy)o}f*%}LaW8RapwHEZoyf087-$+>_z-u^~%HuAx zyDOkK#uKW^70??~BGg1zKyOT`C%cXXy)l*EnUL9RL2pbSp;|2Hjmdl5;94!{jp-{? z0(xWm2{qk<-k1SGC7?H^MyNR!^u`SHI42U&8&fC0Z{KD?Z%n<%owmb*-k9M+C7?HE zgiwnt=#3dER04Wq8iZPAL2t|`p%Ty=Gg_z>7WBr9@i@;`SHQUR!-C$Jqr7XO!GhkHW5npnh*7oXSn0ps5u<9&2_DZgdApCEB4bw* zF{;+g_HKj#3wmSbh`ko{#>|zAWSfBAm~*90hmES$L;p)mf0d?7RIRz#n}H{S*7QZi zrY}lNXPBwE)Z=B;g5H?RM7{;RF-xRRE$EH8+^fMpoEOj=v((!Ygay4Z%S2kefZmu+ z?(S!fm@*+O-i_(ubCy`V8&fV;ZxXy4v$F`WcsHg(h$O03*Zu$lmkB1} zJHfj#!~Gj^Sh0gS(l1&lBmF5DC12o%%qZW^Hb&K&(PD?iyD^PE_auvVW5)ViPZsaS zjQ6>k^U*WhsP_<}CSp{rY4YCyo5i~^6a3NWemJo4@UV}V+Y~XX*6i!^6mIcu%nzlG z#k(>4OC5`MV-Au!tr4SY&B4CGM7DT0X0o)+;@y}-{U1P&#k(B6{s%w(3VX~Pe-*Ac7VpNK z=2LSLRjcb8p;HuRg1wRKPTJz#n4kJT!RBTST3T$-(qe;_B?i%@*>w8cRW06)xx(KD z?H2FGT4Uxyj!ROTgmYm|K0GTU!P1#@r@^#k(=L3t{nY%xWPl-i^7_-++18A$T|D9=`|7 zv3NITjeh`2mdTI%nqT^TP-5|J%zZ+vvQf2q_*v+vOwf*Ri+5ulkp*Y_?$P4Dd$hRk z9!vU;QMKlAsbcYN%sPJsXHv9=bM^`UX6ZMb)zI1RjbDeFQaPpI7u?9R*x43M%C&)B*~~+y_Y1pLF);JVv$Kyt=>nH4utCi zL;|B~^?{P)^DTXlRAW@FK17m?s@0Q)p#dvfA01$y}*_%Bd5`sds<1?onxVA2UCs#ag{JY_PfR$s_oak7l6)eCu{vQRhrBBm|W zjlNheU|GR5yM*a{Rv^t5F>Rr4^kSwh)Q!HB=3A&6eHqic_o;`%CL2|&ucv`NO|YQj znSfoB3GB`DNc3?Mjd&77rnogHl*39JjmTt*Tf=mUTf=mUTf?7$NpWjVM}CT1(}r}4 zTSJ~!3@!eVMIKBLx8`%PjHO95;$oDhxHY`SCb%_RXDMz?1CoIS=@`p&id#eW%IqY} zv3MNQDQ*oPVAN!7G~zEX0x50{$0xw??X3+#0Kbej)KJ zUcM9D8in?!xHY^aq_{O?PjPG5;APoHtk8HK)627)@g2`U1K%lbjp?me-JaI^C_XiE zB1R*cDj|Z1(TJv6o+Xw=j7Btl<LoJFI>oJF zx)6=H$?Cu7IqJ93h(&#}rbz!Ui}c@Dr2qaR{SOrB|5cIx2aEJSl<2q7h%L}d+?s=M z8=v6T6!qt0R{xqN^x@-H{|2Vl73qJ%>bKE|R=bKE|R(}&_cKj^2sX{bj3wXf0@zw(Gi$%OICA>BoaUppTjrbXPC6eyXme)oj(uNeb zhUpZyhGU=N)-WIQ#6}~op*%z*o`U03f?Jd5#^Eg9!g?ug4f9jn8p=poIaPl{W^bRinifiCF$@OA2xHhg4t+Gs@1lN7hc>a@{_MLItz()p>?X`>OXP8*Gw z=(N#@bEy;2h{JlN?flB>6#ZW_o#NI|UW!}8bc$QUbW;JhW-A0rG~z-?OmS;OWQtou z{sL|diF}kqBeGWuxHU8rVv}gZv5+Rwh!0R~5{)PuT#8%69X4=M+?r)zOL1#h1u=3x z70`v7965BAd%wM*p85?UMnw} z?6UwwBl7y*g= z7jDfzS((v@b5YqE*QCgAFL1!Eu|1tcBeJA`Tf>qr+?vr4Akm1|<2E>rM!XFdlr$Rg zBc_vR#IcyAX*41)Hfb~>FB&Os4LAHW8j+iC8jZ+}FpWm!=8@voaN(!Xh+L3qG$I#D zid(}eo<<{bLrbF(xnZTzh@5;bk%?)8u6!0GX@H{H5}G78j+)vMk8`K z(r85XZUMKZ14N2j^B_8_fLp`6#1yxNy;#7l;k{%5w}xB=+!_)E+#23P7jSEMmr%g1 z;bFOeTf^yHz^&n7nz%K0LSKSg!y`?CTf-$P(THZRR%o)gHKv!`)Cq2l=_AiOFk5hF zHC00R5u*`JwLFxtxHTp(&jZRLMkAWO_TfOpXhhS`A3T^l$_r3scJ+B%V{vOtf1i7e z#jP;|{d%xj+!`}P*eq_1874P{Ni?FFNs|S)#tcy9dDtw`h-QJmI|%s=bkiX>{T8>z zoG*9U5{<}?F@x2KVEnhyh=N;V>J+6}+#1uMHc>RA5zQ!h@ojNy%xEDjZjBkEUf{|W z+#1uU{sO|{)|j#CT@V(x#*7p7czA(ZEHhrwr2bBVTVwW8H{fh3xHYCpDLHyF8qw^p z?nQyctucqF--58XHRdoOEN+cCQf)>_UT|y7iRxnz7PrQnqDuO+uNjSq-`yl(acfMQ zu;FU@+~ z+#0h&L|fb%bFEs15{p}7u2TE!i5QJ& zZdcg>Tp91;7SY_H>OriFxD%N*>L?Hvx5hlAZU$j-Ys^#XIS>}N#%xe!n5q`H#=N2? zg0Q$X=1sK(gvG5fAF4+{Slk-(g?bZ&V{vQD*Fpsrx5fnScPLA6YfRSNc_7B$;?|f_ zq4E~D#>DPml+{?=8nd(81ghTR)|i}oIH*R8TVwjVmx5}txHV>=dk3gV7PrO>b=QMx zwzxH>&V3(Li^Z)m4Q_P}#>(Q>n7!TOK~1-~HRdq)W>9l1ZjEVm*`hX!TVtjP)nRdK z%uJW@FN-X0jcIeYfm)_=j7Bu)x;qcTkO8-bleC&!mRvTm>u@?(akf@-he~sQ#azx* zo6BvjnqR2!2NrSOrWx-sgVVK(7i|AvE~jcLvJ~kWE+)Pjsh0O6Kmo~9BtdU#xD9g7 zR+GnylI4{NB)pKtoW)(msMvxGsmNKJDmp#Q_OmzS!k%!BCg*kkj#CP{z6uq^nAD=ZL}e=ladBCdV)FN^yn~!vdEd*~rA<=b zuks~|;Ci(py7Y9Vwy%gjsQ`Nwm-WA|^B?KnpK`b(>?o&8WAS)h0Zy4tTxB~};jI%) zdx9dlci2_L#~XHZdDTPtyDaB!V(W9MA-5YcYW|2M_Yx^VW-p|xxSd$mWX{#6tlU~e zG+6g#CK(nK*d?`)q|ELuJBgwp_?HR(EZ;}764wnkBCDf#AuMAtWpZb-#eCvkok+Sj zt<9~fs5mk6`LvjOSCMehAVVu(+Yb{=`!KD?U9PB}aCgnbu6kWV#S|nAKt*X5cg7th z$jaJ5^S?+qIhDX2b4LkXnv18#9cdMAtF}tAJ2B2%%;B4zu99k!u=a3F06d}ulf|tu z9qzFxvCrnuPaKRGjc6{gE&zgCV=ffJ;?|gjLL|5~<|4Nj1Z08(uoD5%NYb=Lb4Ktj zw3!Iaz^&mu5#JdnbSC%_YUp1ghku1!K86bZ%Zs`A!d>!1IS8GVcuWQu8`rn_qijQVGiBQ+LN?u%GSH7_W2ld>o2; zGG}*jGnsLhRq+-c4IObBD?O*!sbAk_1nh_;XcOUELrL)}5!)oW>ZpFV1jr>} z5*_hFk?3`9oL?6o4F3J)LB7|C5vp&e$^p{Kr+G%j=jVlK71X6F2c#-zTa~+|DrXN} zwI3u5Oj@~iwrzDyLa#wNTRkXg_3GJmcX4b6rzXy>TSg0prSyC|cC}&=*2ZiZ?MfJu z@#Z9>ZKoCUWWahkJ`JtEkMp;eR6IOs%4}&6vRBRlhaIq9I}BLw!hqGiaR^xZ6ijjI z$5CXdi2N_U`NXF~zA5slg2>VxMCvY)BiY)xNaS*6xFWJM5jn9Sa;JJ&*-E9>5gl`R z=nRrxGFw}RUQZi+89@XVIU^t7H2voR5OL7Ih&vAA8eV4ofBq2+kk;@a*}vo!4GXK` zS_=D$4@5-P6p|lP)|WTh)*f0g?K;Zp&)OfSvSd&DnA0D!oRJMI+{)T#C$&2YwZCF* z2@u+v)|Tk8t%)V082Gi7zBn*MhCDl*L*BgIkTXw)9DdxCIPx2+e48qdOjPzQsB8kW zRrz+J(ivSs{nCKsnH(<)Ey>5QPX5_11pCP5cncu+oZ|c`P zpmOhQ;IE6Thj||5*4eO#gZwb<;!Z2=`6pB$rYUL9VA-Lbv>dO^A6&)?PxTwZ3fS&t z-((GGZEwe?fup}5)9T5I_3e<(k6L-*2v~n5tzS*+Im}}G9m&vG+wY+5K;5#Y-$~Q4 znOe*5qUDHLYS@=5?xI=gI9v(g8PC~n9GEAT?dtecKKiQ3sCMmw>utZjgzEgLmAj6F zWyetFwY03OU$5Q1UsuvLuM_<$yU9w<3ihk*rt1scG>whCA?+sV*O5?>@irfCuTZw< zm?!q_;`lUS^kkZF?xK~}zRwHe-W#V^Yu~xnzO~7?pJ(mE$Zlps&O1ZwyE_^81$Nw5 z&2L!3hA*(@u1KaqyPXEhl4;Oxd(*LPIp4PB>G^{{;bOah7O-0eOVch)n>J?ZN%I`F zklPsd?ZGdQS-cRqZA-&Ppe8QOX~S`sjR(*&aabbFuXg-?NRNJtR-W3DG`}8g;YY1( zM`43p_D^N=3lnF?b`xhN8;^>h3I~a#rIR`d;MRN|bJ4loC$-xkSQ$f-vw#SdQ{Xf(8 z4LPK5V#lYtk-ZOvkbTK>P-4#tr1?=R*U+B1c`{fFS{i;_25LW!3l`59oZ==~Yi5f6 zb*O;rR5~Uy8q5=oJsqC{$DYN}*pt=Lu&pDg4j;ABYTGl_wwam2ien75HCb)b$DDEI ztO7ODaem)O|CbJ>(#Wve53`YB-RKjE&pqYx&x!HN0j>=R+XFcsF3z}oN=TS*Bfg3w z!%Biv$VB@Pihgl7q)(8vgoycm$#q6b7#rr=*s!0l+{T8vi^rhCz=_ClyTZiWA7zm{ z=uGn25H;6Ei_spJKkndN%tDtx*x)UBkVzXjCP@YadY8+Xo{u(q%a|JkneXtgB%pP! z4IyJbeweS@P)x7v@E<{236r?XprYN8EM>wt?o`O}0|FPg(~#^|^1q0C(GuCkHsfU?0 zpWwc_kpme%$B!ahyQceprZ0oe`9v;B22CF zU7msHWh!m??k~~c7Pu|ngYjo_M^N6AvX}dq@?NYhOXDAX)!}WQl(&7wn;MT#j0@!x z+HggzRZmJ8AE?GZMURyq#9Fesh_y`q46@B#gO-#}p&YTsBaWbxv5bF)G%xoN-TXpPV#5Q@$TZ!GD(y4rU+wHLQ5HwCx(E&SN|L)eP-4Mw#Ka zD>8FXgUpvaCRKR@iP&;~pv?}<&E)<;xskG$`zQNgfJQ1G%4nfnm3y8xr?X);?nz4y@HqKV4{Q;PB+*0(e_2H{l{P%Gr(-_IgD^+Z*F=Rg7?v)%04Xa%z~Kfzb{1WNdktwfGL9xM@rT1 zIPmKWQc3sHc;$w9xaJ7)wgislIGV7Vj9M=o-LL^iFC2(tmvA_aW#O(kmWTBmu5c|o zGkg+VR~f#5V=mkg=~dxFkgLNUkZZylVdIAvL$={-DBCqGASDRjg-sap%P`%-t59!k z_!4rvXS#nu)7()b5LWIKB;}5I8eNsiJ%&c-W{r^!_?DH;W*1jxx<3LRHaF)07TA>; zC$O*a8HO^H&N#Uf-$qW)84G4+a`P`^M$eNepF-K`dG!1RncS(Ay`D$UotMcipj_7T zq}h-cJ`6eA^Q4)OPor#er%pi)Kjnx;xmy_0>6Cl*{K?7l@!}w>D&+P@6LM#M0(o6t zLOqM4z0PE+*x5{%K`75uv6ZGg(Xok7V}sABLP~j-4eFIKxg~8-a~@im``IK6AP7ci zwT$H+$Zci}7tmy5rfMSog-KySe4Rfs%WRK3DSmfn5w^ zkXyy%Y_5{&mv9Q&TodI>3GHjlUrIl#e}o0oX!2*Vz-0__z2P|v77o4VE~i*^3Y@+H zK|%r9#Ruqt&DEbDRsjpbpsQ0b=xY54jliI*^&>O_gRa((&s} zMTJ3EU(e<$47xgjL1SQ%R-WZhRvigThAm^tRF0;k{P2+ zo65JrMfDyc7l?hX`5Egh5c^!SR77H*YnF*f?6WTyEVBRhM`zcA$rt|>h`M;8c>?snaM2&v%E;ASx(Ji42}qYH#bce|4Y(Xm)K-69!Zhv@9u z=v*W_Q_);>jpf5^fG3a zXP?D!2jw4*mI-t;CjW4Bp;KK%Sn(_b1O+!w885yR$LM0G25F6d==EQ%;Opb0AWnO$6m0LuaA9Fj6){C+*CQ>@ORg^PgBBi6-L|Giy zv&=60`Jj_woXftX0?=zdW)#zaa- z4~X(iOr&)5Yf(1EL`p}$5oL2sq;&M4C|hD8rK5+Wo~<#F($T|`vn?i4I(kHMzKn^K zjvf`|>mvDwqm4j_V(3hf{KL^>qGe5y{KL`XqFGZU|8Vq#(*VoJ6p56Mo)jm&Op%v{ z(QlF7o2_^F7R1N4$;hnph#hoj#~4eh2#{^4komFW zOoX=76v;mvz3nVTft9A%z(M$nv}lbfl7BdQM3B;WMU4{^96vqHQuo@()KJIJm$7Qo2Z_bo8NU zTTF2wd-o&Jw!#bZJ{E18DIzNq{X?`bP4Q&5Xq#vmr%0r9^ojI*)+zFZ*634*CsgYc z$v+%@CYF&?Jb-=nxo8Ea_#DfXkkUmWE20uox=7ea^tH1HZEtakGZ;$=DP1H|Iw~Qh zi{u}UN=WG<`G=ztQo2~r5v!I*72}-ZiL5Cw1Q!FsA;GTl0+nwSL z*kGf2(PlcuaU9LQqRn!OJ-F2Ri#7*dIAV>W&2x(6AC8(`F8BFP@gBBeu)8tQzZXzG(xo1PO%^R zWu$0poFe&$qn%vNvvp2!GrhEmw%#d{e>fWDa!zb;iUb}NJvnINHM<-Gr`iGtM$>Ky^(6up^XbTX1X;{^4kf%lGv3EOUsPI?E(T>6rY((KPqp zc;7S|zsOh)b$@{xY)mw0bhrc^#Y9R+)207<#ph3ga-@skaF&sMbheCLV=MvBb?<_M zmRRDQC-Jt&u;6&dxYK^%i>t=a&e5yI49!2y5{o{O?mbr92@2#VO#X3`y-Um0N+Xnm>{L~48Fy^ z8Uvk;^HbpeZTDwTY|Nj`qQ6K7MDa^)P~H)x5R-p6dRJ<0jLAP7{Z)pbMVtGcG`BS# z!2NccyBEf&EhhhP^r^IcTulDq=yUfGOr(i1`G=z~-2phaPmRex9DU^mLwFH?EP5^a z#{D1U%!SFugwaJgQP#(=b4gZ-vLRl=GS#9y5bwanQzOd8n8cNl z?{Pgo6O*|zvZA~YlSwn`>T#BAiYK!Vx=GZVW8C>T4w-Q41|5ij zE6+~FaR=oej)r(dA?aWa^HK{XK}u`)kMMN1$=VnxaoG5J&Z$;;#M4dJ)6O2(QxsPm zh~qB!m=vcTkjFhcw^E1t#O89zPE(i785BQjrDlJJuW8y zaI}wkcVY?`;AAEvEa+=1~N=DmtRw{G!z&b#T}v(UP^Me+|v?b4*k zEq=lHj*ut{*pX&oul3v>xph37hleE(zVi;6XSs_ zbG^q~nW^zcj?PUUuUp$=@()Khi!v)F|8R7RDDz_S4@c`oS*Y9DZ63F?#WDGZquafD zgtIgz|8SHbrM2Vk@|uyeCMLaPbhjw$GENN^c-@d?=&17S3LG1Re>i$j7M%9o!|A?D zkkZ8L?Wf@b`gUOOdTyEkAE>05(80pyc8p#p>94?y9@tt-RWen5~Ot9 z8Qh;0DP4Caw`VWo%%tzLaALfpAu3Y3ZV6>YO4prFITECF-Ong1Qo3#_WkpKYEu*YR>ADLTz9OaT zE~LEcj%}#0E#uUq`nqcvpvw@-<;(izS$0i%R&Sp7!jg5!+uGyG6X3GD@V54>KPitW ziIncyk0O30LBo4CP*$XL&;FDZDc!UAV2rXNrF#xK2kDBG?m2iVWJOB%Y@tm%eiguf zG?8Z%4&o?-Ql4e5L*CZ>@Sh{0yYRN=NAesiNa_4eTxW`u&bPAlij>apOj(iA`B5}i zq_oP4l+L%^2OC97=l6I7BcMp>{9e30SEO`)3}r=1=l7;hMM~$#Ql5thmm{nyDs3=K zqVN72=uv+BnMe|(bbkNwNKvG8{s78~l+I6J_==RyPo#_o=?~(+{3N<_$lIDf=u(K? zg|{_7+-Wtz|Jm6>HKk0wC93jGWj`L#jP_@@_3%UZO?f#GWoe((SAir z=jZX1ph)TbNiHJw7Dn&}?7ySz+ z=qdhJ>LiwO$lID<{20W_LjGJ{_X(Cbzrd!~C{tay~eN^jzj`GG-{f}w=MBwHh*ZPUT%|D^_6Dgg4QtKyDI{(|Gej=swPiA(^#rP*$XL{!Na(BBk?xVmju@(4$fKt&Q~8o`3r^`jdJ0PEt4L z%)2b7Na_4vnXX9b{Co7FNa_6h>?cJ^=eJT;q;&pov{9sV{_m8hW*qXi=0C8g3w3_< z5$lvT{6p)Md9sc3M3K_@Pqa>%_y3!!^V3wFpJ|;kPM>R?GEVLgM+|HXN%b87xy zqx+WH`IXiw^?yxSk<$5Z=ueT-`EMyF>)|`fZJB=S*>4&1B^(YImv_x-c#LI)yBKjP zH=+45L;Gw@1bMz(ltN6TbUtg?tF7@-=#zYf{G96@tbL@_y~^8N9ZZ_c>#?02x}Mx~b5{I$Glsip7I?tCWXeuKC>?`Q@>9uVc5%>O`$ z$=jOOpRDv8k{^^bhR*`>gALE`Hq)>Dr_fr=tt_0)4>jL(6dtaT!-V_O2t%wG{O@E& zHQ=`f^0wx8HnK(aCvR(hl#EhVPFVR}q%!y)KM#d>H5Dj>p5D!z2SI}a1QRV!g4-hr zj!5bJo|0o@erGqom*hm5e!&80W6W4MXj+#aYwqZew^>O@m>*~E!>OscC;MR^^Bra4 z6Z7NEKA5G=56*Z3n^T$drX5?W}9#lNI z`due?V);2@wO;PT^2dv^Atw7#{shB&=m%o*w&v#=EN%Rz$tPg>d4?Cu&%|3YP)?HR zy(uPdYyM=zi|JP}qkn@y3VosUI+>R=1^mnOk_#foL3q*b^AKEv@U}*Ixv7iE+Zx64 zyaTfZmvm8YQM{N)>8Ou9l*sBmc|o2B*qBJ^s9qlq#6(I*eZ5gbP+$BzR2cR1cw5sL zH(>@w4IVe17JWid^!R4)xR|`HQInWW)LYnQxhce+Oy1T=kkb8#j*j}9h9Y7Xq;zza zw;vSgsc5l0ZOG`Y?b&jtoy`o=_i>^D=42R#zjhE$_#>PrP53A}!wKI-quflt-{DLb z4L0=Fa24)}8l&N6D_z&I`VqqYkkLku6eSyzw>8?y{Fy5|CU0xhYW@zTTl_6^b~Xh3 zNBU%NlvIz07jPZzB4gE%*+F?*qutG&15gurTcb8}2qMhHL`p~dnn#g=zNRw4`~ixM zJt~t$iDL4$M$^nT

7^wnj6IX<}cKw>6q=>Y%j5L`p{|i_#jCw>4TQW_X^6)e)U# z8eujr#@xz8=Sj}QcqZ#vX7)nP)c71M>S%>I5=wjgCUaJqGoZ|h%NfCHQRc6kJo2Q_xjJfur>&%-_*2Ls(jjlID*{qAX5~CX= zXMN127~Lq!hL}j{=oXV7$d$px7~N{xplpn}6Ga=$u~43gx#dLnn|q*ain%XEPnbVJ z*&K5Nik>qCoP)Q-+@+$|%w#BAV{S*$pUqk*+hXoV(MRTaC|?$9>H14Ua;A(alD9Sb zTC}VwUPtFy#~*|dGL76Oqny(pT4ai2m{%=Y!4&sp?+4B(s8or%y|Oi>=% z^>B`Y)@q9HbGFwzS3+wuMe?>rMd#Ph#+f2{Tcd%_W@r;lk-V+ZVCM^HQ}O#8n={-Q zJQ!nTisWsL_Hs^yHp>)`qc+*O2iiPSe1nm-J8aQH{IbRt9WB~oQ{>hf&2ip?#B!9zCwLPn%?bcWL(+8UGT#z|VsT~1D$*mXFayK%PGa)&CV{+6knshY}dt(FHA zc!OD-x21%CGJ$iq(-vmsNa)6?T5`z`{SD@Moj;A#%I_oPSuWf1>>y@w8|0j=rH#6h zrcdrnn$0KfwTY)kOQpG0 zrLvPS-zsHu?@BqB8f0kYu>CM0v~Nn~xXY!=iFM~p>@0`=OooaQJd8j=X%=_J?|YDy z^}U+^!^47-2kw~P_t05$dMW?9RD|2A7D;xe4@)`xWv4TzmMWq>3KIa2D4{gecsTP% zi=E@4=(G8=lNXGLl#b4E_y|24leaZGR}>qcfu!g>QE;J85782*2@dck8+M}dES)I> zR~2Uje}$Ikb6xNPFv{{b<3tA;6G~J)h7|tmNabVbem~}A&?0v;GRmIDp+R_Cqs49p z*L-@-cDDRblU%c%BNVB(%U@y9SQIH2q;x;>wnja?0Z5U@hrG~~iW_0E zNH+g1SXbrQ{iMtZ(q+AAjgwzyHiVYZ5P+2K$Cs$1`Q9l=YY@iP=oIgMDDkcAyanD1 zkU{p$OR0tOlS(#5^qJ^1k4wPnrRGn)S6~LrIj!XcR?A!&Hbg;x4rI?gXP$yb0?CHmLH&92N6}X<7`EtC=$qsY!d>;{ zsly&;{u@a++fE&JD)nl4*T-G8c)`x=N#}w;{v^!5<#5z0DC2)8g+4nqGwP3zsMX$> z`TT1YI9n{QA-b!UF2KUV?RMrd6qXs&HRG}~WVJCgS#96p{eCXL_e)m0XLfm<&08e> zdF;Jf$1V+^(Gv`Plz^K@L7fJU4;>g}BDTiz4mDKiD zKvGx84KsjoJB_S|lbg-u$taHcGkG!bcfK5@6XpZvnA9>eX(1z#7KRy@;f>gh{W)2z zX5OtH$~qb_c_b!;M0;>4TCI11IV@>ys(md<``Dl#ryEpKk_MGjY0wd+293IrFE;&0 z1Lkx#VAnIIAXaPsxj_w2NQN9YIy>R=c{5jvKDz^QbJ1=mBMfWyg)NYqi$?P~A9S9k zNtf4p$_(P5SrTC3)}v+vg5S+>yJhE&VNLs*{gNKpeIrV>f^xA}(yVdAKI8f-ChC5} zUZFZLQ6~dK6D{nl+K;tdqqWQ&@-Ew)Xi1&xN_CcJpU7o0d!NLb&u3x@JNRlxi^g0w znVIYf4(bru9hR0lMko%KX`hW7@-7!lqO}dVkQFD|)FD^)>D1bX{1^_*1q_iBDU z5$WlNx$8g_eJwJ}v%h3z3X2rELXaBX=dD=Kl|*WI{~QYwKzuOWysvJCn5|U8I?A?E z3F|0Fl}cDgxlpNub(9-(L~3~0d7!l9h}7_mD6P3?Fy4~VJZ7UZHAkd|mo@VcVSA294G`9y3T0M~NDUCyjfL5~9FZEH6=i-dA*@@5oQ1iB zuR^-6g2!$xPMiGGtv@QNV(Uymf43 z<&W4&*HO$=PNtjd+1za95wjrQ%+^|rHP zIC%z{tb_l$zIp&I+wx4Qz8U$=5F|i@*H92j`L!{vh>a*;M+aSrjVQmanW963o5g_G zi1ItcfY^xgJ82-iblLn_5Vz-tOPJuwvsc1-8DFRf%d^AjvM(lO#mRG7C!|cpe7bb` z*tK$wh`UU~B|_8vFmU&#cnFJiYrr}#_ju;d9r{n?)n1IeOzj;=HW~La{Fgh%VDKtl zLwZ|o?g@}9TbX>~i!jerI+Rah4cSWmI+#0|er+XRBgoBXo1;p;nUp()a-lL$`%@`5 zR`UJE+ycril^MpgFofKiahs^jIt_JoKMVG)h$VLx?J6H)@x{bxWa=7dbvBb3Y~3|f z&!L*7`ZO&splYc~Jj>}nqADS+pjx0hn8qs!?P#RBsvp!W%3bm}a$BHc z2C=8`z5wE@rZ|9JIXQ8C)Z>2WG;yh{DxTMR-A2c!ncuvqj&TN+KeKit9sda}KrY*hqVj{FIlWX* zrnk@R38Me|1$D5o!@&^DlAgb!d$oXro-4J0M%JeOCMEtR_9-Mm%ujs8QvI}~dYo7a zdAe(sgtgCUg+K1-iY2UYA!|?zoNara&jN!I5AF55XuJ$&xad9x|M9Py3zuoK&Ojtg zH@#n>n_4ONjT!;55*t+{kzkQbsXg?Xj?-JkIE2iX3JJCrxu|3oGVfy|Jeb0VIBj48Hn{Tf7 zliBi{?R@_x@!cRj{-lm~d&38Gm1wgv{oi3LWM#emgnC}8|DjK6a4uKR3%2vTu;lsg zEPT3po+Sg(8%1#SzTH4DO$GvV)^xeUSQa~SELt!&sq?Ci)?CRtCE_F1?>OzLBel-9 zezO_>kyl|Ikhi?yV5&29@=a}co7ypI+TL&x>zSq6tW5DVW=^e1s%-73@>NzTb8M5;@!y=4A!{(;S(tm=){s;=ErdAWiBo6xcJ$4m|py>-K3p*ChlhV z_w>#G{Ywsdm6LqX;Rip{Fa{nzf?L1eyMa2{8H&4_@&Z8@JpqG;Fn4V!S4--u!G?DRwx|=zYMs8 z;Fn4V!SBsbItYHpL+K#+#T@D+_`MU}ItYFrh0;Ordl$?)2!7d_9R$BrItYHLbP)Vf z=^*&!$-RT%m$7Xu5&ZrZ_526HFB_Q<{8B6t{IaH{x zn99+V)IXF`zen)P^b*0Z$jS8*<%;YZaN>RoF(LS6^$EeRh_;mB?P}rDdG8vYAx?XwU%GOi7lvBpwuBIi0_K*1t^qVghRL5SNb5L8nXr2!VO%} z*SP^16u{>bUHb>5Bz(V8 zfSW+>IxdZb?^g_X(U!YI43zJe1_ENOirOji&F`w7ygnBYYgL|Gq4RiuoWy31rbs+% z&HKlrwz7k;i3pyxX6sOj>ruAm@1r69cRZ`VqTi7=3je*D9Ze6ZxltDf9*}8DD$tqSrlddwYP|(%)fTM zD9Ze6ZxuzEf9-9eEEeWp`wLN&`PcqZl%>M_Yi}1tnSbpaqA2sPy;Brr{iECZ3)jR%)jBi|JqkX!?(+cXSJ`1mN5U?*G0pZ%!y~UZ;6&L|Jpx0ZHR2KGXL5w4nJGF zRGEM6+s<;#4}900cvkxtY0(;G{YsT|JqS5=fnnO{tWQJH`36n9I_Nob(T5AJr4zy`PWW!Gu?2GuOgn+9_sc+4a)p$50{{o`PWXD z{!`{(d!)+?oq{m`+62!k%)fT7+W-g3{A=e)yvqD*=gUb_nSbqecvgSl2NL=x3|;W7 z_I&qjJlxY0Dt9bGSLR>4+U4R%@T`9GMTn*%dkK!p{A=HI_s3470lt+E@U0|3IfHL; z$!Do-KlW{*0k%TTV-q~9kS^H-&ss%1t9@5$R_0&(R~Z6j{O_A7S@TByvw_8WH#a+LYk8t+2nDD$uNJT8uf z!u)Hyc;qWq=3iUpodZRge{F(itsD&kpff@iHFo)w%W zye3!XUz^}rtB7Z{37%D$f35FvJu36BwW28VukGq_mMHVD?Iuwx^RG?ttX1=Q;@A$) z>JOTVfde-mjtTRx9pc@Ocyus_d8vgm%+rM;vu%W@vyHze*pU*4GXL6E??5y}nSbrh z9@mpH|Jq$Vu4ZNawWCE*=3m?9eTJ4Q^RL~*`?XBH4EMVP&nnEncC5#1Y-Rqn`$!pO z{ubWXk+&r-*B1{2*lqpr-q_G0fmOiK-DMLM7r>3~)y0r91HyUOFPs?5Lk5|2;)mHF3R>P^5c zk}&_;)iNcN`PW|It!Uy{6VGa|^d>=Rts$O?2+MfNfrmHF2`C<{*e?%{ObJ)G{lN0PoHp4C1oMU?s1 zZuIWpOcLf_`#DZrH>Pguvc-EjF zMa8oQ4U`qn8uX{Ec-El#NN%OMxmd-)pruGxJZms`Ib_AN1}(H{&(s`(|7aqQD9HR< z1*Ia(T*0%3!>>hxGXI7nd5#r4Yq%5Fnc`W)6rMFq;aS5`G*>*U%8F+V+g78f;#tEz z$hNL{)^IQ0o-3X;979?0tYHez8jj^?kok8t!m6P%7KTam%KRISCuOhTS;PIg=oQZz z9za>~tlnnKH@R0w3sCd?Js=DD1KjAc9 zW`NI@*?l#CS3GMtpAA+#Yj`SUW&RBp90<9@{A+s| z7T2q_*zlQgiVO@>c-An%vsPp| z_=0B*msyy?(?yrk)4`b1;qoOoDpoICk@A$nvxaFrYk0|_^wAhz_6~gzR~TNwa*2;M z>VvqxFokCgQ+U=ejb{zlUPFHi!)s?m@X>}P8eW%b-Sz5^c-HU+E&;`}hBs1H{9bqy zWyQ0GH**Cjo;6J2S;G{bHB94K!`nXA`fq=S^-G`Jnd*}bsrv6q)qi)Y{(DmOr|_)d zuNaHsX~PtrHB94K!v{`4y=eb~GkTQz^Wju~KBDz+XhZuS)%u^KyfIb(V_H9Pl3@zZ z8m929VG7S0rtz%dQy;T_8$P>&^%LzFKCkt+Ve1WF;Fgu(S;H4kfDLRnJ=kIUO3L>4 zi7oN0;j35DwlVx8KdvBH(C~G&<dDV{a_E7KLv8otLdP&{k+KKn`Wtl?J5if0W|c-AnDXAM8-j=E6iN1t}I z;U8M3%#&@LCyHkcKhZj6-ly=a;isuOKhrv8oIYn<6LZ9~hG{%&_ysQy3sb{??UgUJ z^DC{Bc-HW1%8F+Vzo9?HvxeVNPS(SBl-n}3#IuGO^DP|q9T&RhN_Z6J->{1jmvR#t zmKoYB^KV!#iZcI(S;Jmc=HIY{XRST@7`Ux86X30{4Xe#_bj$bLLSOboe3TX^^U%tk zn9bA@&l+|$H^Z!!c-F9+6zN+C>kO}ZwQP^1Eb**if@iHFo;A#il`{W^y?~n0ot9^m zTH;y5*!+dDG=_Z)Z(r13ed3Ro?qOe1vcmiu_A}h>mH9VpkRrI>qkI9|I5+Cv zRQm9mzYnik`p|c2cRm+#E%B`3j%Fz2zERj@`0lij>xRuq>HCjfMLeo@|8qH{9Rwq#?||;Q@vlzA*oW6AU-s z{sfSP6Ad>)Vg3y#8EziJ{2Lx*xbTJfH=Jy^AcgrioMO08g!wl-Sf;oz|AtcyH#A}X z4W}7ySi<}p9ww7dn192=&1)=6JZm`J?0|mmPfT6-6LSM)qUgeQ8CGHb4Udpf66W9V zNEr@c{tahH?`CR=XANhX%h6M{#IT0Pm>1Al%KRJ7GQ3L^=HKvG>BWrv+!G#WcrU5U zzu_FQQs&?Acu|!3H$1`c9$J}y!?~sm>p+=*!+C}m%g_^ z-H1TS7=Y0Kb~~BZHN3mXbd#&1!r`?$T#ek^%jk^SBE?LOuW8mc-VV82j$g#7-SJ__ zy)rfY&5X%%t>&rDEYXc#%e`3Ach5MJ_d?+T^N=FoS-bl?5S3=w<~=@l5t?B_G~pRj z6W5Qnw{LyoXYnVcXzwms6Ty zTlDUMnbHi~CNWc*VcRTspb2=^&N&m&)Cyo0Sa*b}G{g2R?@`7~n6X_fk2aLD&Ymr| z^+Gc&o6G?79t{60&2TNjvv#ndH>DZ2!_8s!a82;69bsO|;IE2b@vW3X0MU+fhhV2cKqcp?zMo~5h&9J@2EQguW z4BK1HJy4Wp*lsX?f}%9T_I|U&4tzw(P0&7JCPGn~Vf&o96pGRe+tH~;2_>U6!}e>@vPv^-v(7olOK65|&RGL3Qkr2~Em}cohHc>7 zj=V;t8Md{~6VO_eX4v*{HbZMwnqgb-R5#)pLTQF=(HRJBoYD;2fzEhn6P0Gz4t9=( zHdSec?QrLMXzfZfZ1-~Bg*HoRhV5i$haGXNsx-s4-C>IsD$TGxTC~MVGi>KL(_pz& zX@>1W=VWLrm1fwU;jDoM@N92R(mveLzlvB^pPK9DzaR*71`^U#XXmEwhwL8l`OA> z?3dY`#huwG_y!WBAZKx@qR&f-oWSZReIs3o)ZU!Vomud-BU3rAHT7x4fYjZQ&`6n+ zTyxf7qv*ryP-IVGAx><~dKQU&c#ToYpU-^G^;ABK_7Tg($!Z#L4ajAZX7NH(@BYO+ zvHUeH3z+#oXek+;hmL|0OevyPiTfQo;^Idkez?km>4NK3 zU3AvdDQ#a69s6^Z;j;ekW&Tffzeqpa5x(!Iv&Qsz)xuJy6Ia>yi|{@Tp^ZUSZ|)ts ziuf!=N0(DD~i$#+w(+;GPN}r4!gv;1`aB+M_?za$kJIwma)`u zM(`(Zxu68iFfR-F19hTTWapqn&2XgfUq>pRdDjv=YZtlWkx@1Z2c;Rdi`|o<=r!Bf z@~cpC&32AtkYvQ@Nx72=x^b{q`>;MrONX6<}$E7Fu^*q-7IXhLuV&)Nmv?vRyc*e;ac zZ8hIf3(QqlR%P)HxXl#b#}Qw*M|@6kAR=~)XTs1c?uKKR;u@rv7Y~F@d2vtV zRuq>Zy{z~Gn+ zhQBjYdR%xABFW8T@^S{1$?Z&eC1pH_$uYP+-Jd|~PPyIe<-QH2>Kt74o4s95-%Qo7 zQN--y@@~mi-M}K_<&B-js&5X3vcF5mEp>PlZzjka3$0b((Q2Y7ZNhM>GRg*&{IdL%up^kk!P+mh6Q7cg~lm-h|k0RDzz^7GHEeSZ)z zM)M1j%O9gbI(b&}d<+V#Icq>~d{*-onodddO=mS-$<8>W3vYkjRol)Q1kB{2U3k89 zS8YA3Y5%>D`;#v84DgBWB>bd<>?C1k@CO)3Gp0)}iRDd{pIQ4$l(Q{Arp-$fW?trxLy%c zv%o1vcHuAslrre}qd>9P+J!HB0Wu;XJR~7(+Aaj9NeJCCE-M*2jQtRc*So(%P5669 zj%>|=Eu}ExQW(vAM2-SlZxTjHO~U9?3ZqHj!G$E|_F;kzAKYa{(%w`@Z74-K@H-CN zU%fBB(O@3z80NJd!Ti-r`eYCoy#FD;qXVYf^d_6~wY;=~F31eOnS=Bv?|%|caYwTT z?#F(=)SLX3)^R>>s_|#mUOS}J;7g?rch#CjO$RZe-^lOq?y6rdlBn;5VTHRmiMr{W z987*Ekq}QjVo~DxDs`MW6Xt4kbz=0uqNdt*6uQeBgs#MZ4m#;5fOzjts#(8iHsC=s0L^Oh zxM7hKchLOfft@bt4=iX}L-T?1Y6xk?n}k@lS%`VbEZg+E3CQ0|29citXc|uY{p8`1 zaDdBcnl!$0ng*&2cWczc`9AYH0jzN8JBgme#X7`GueA*CQ(e*-bMHB0qY?%jt5ZxGnXyk zBpv!H+jp0|RCvSxYTS?Ex`Tga?OQ0J19F$k>v{r!nwK6ZCC-F}yXxLW+C%q<2TUXF z(0h{#rVctTV}9lGo&hUl5QgGDc`*)EH%(}OpZjI+1@Kzh__4IHWxF;qP1@Ki<1(Is z`>>~%yH|ap(Pldq=%nGL_AQra*{|&7m2%$T3Ox+hiyf+NQb(Xrg=@E~l4(+9KI78q z&SN+S=ZKpZ|Dn~A7?Xcy?XO`W^_4EZx_dyhc-zzd8_ifCT*FBn)qm%l`_?=P-`+TZ0(4F|U(dlRl- z2Hr!5-#X`gs1AdUX?Y*KG#jqjZyi4Cz_e^?-w$fWx; z;h|~#0VtAl`PveK$c%jRBdw@(I#GitF_?;o9%n>9GjIGs4R1yG`~#!!NJKQDQgWyC z;RIX1Xb^^QnLLz~>Bgt2=0bVM&FMHuK9+HjJlb|vwGEQ_eX*e2O{K1{ z$nvKNSwGB?fyO5_MnN7}!~Q(g3{C?3rN)K8u10RNRDG&c&AElR78*Sd>0G*9D%w!0 zNUC6(R8gC8>13eg^qp-UdR5zgaz_;(u?lJXYlO`}ab!t#R$=mR zDhy2Onlzkm|Oud5XmNb)#hc_vi7*}0hW@BXs!f?+c6k*uI)r+QLR)=8+ z@GdyxaME)&k4B2@!6mNf|DO^n$Si&b@Qo<5aE@25N zm#~DCOISk69aust9aust9aust9aust9aust9aust9azFOh_C}ocny^Q@34e7!dnNH z@LniCj3s0bc3=sqbYKaobYKao{2#D{zd=1uW}NG=!n&0I0cEQ7GYpjl%wK$lf$dFT zg%nG$Lbm+-u)<@IR*|KT8jdMjAR7%++o4G6bEVYp!3vpPf)$E<8(iQs43sOfo1nM^ zR|u@I>}RZAV1;E%MI@}SY?+9J6_)orlTrK&R>TtmRyY9v39PU@&y*UD=@bYOV1nq& zUb{D~_!)-mIywl5=*xB@`m#5R0TF%KPDEe!&egCLEMkRV5e3{=8MQN&;J(UEa9^c@ z`-n`;9U;XC?yGwL3RGP75)K0HtJ-=yMS}aP{{8^O?}7W2>{|k~@{>9FtIO{7I&|mJng=Q!i&|e{nf&u+Y99E!UKtBxz z^wVHK|8gm#U_d_&2K29X-tNW)PI5~>4F>enU_gJJI8ZR4f1M}_2K28NMZtjn4WcL* z(7#a>1q1pwiK1XY|7KAX4Cvn?ih=?C^`a;k(7#m_1q1rGiK1XY{}-Yt7|{QvC<+Gj zZx=YScQxpXQ`Wu|Tp+^-A=-=hsioRAbpntcRDHzbdM-&AE`uB>WU_d_& z2K3WlKtBxz^wVHKKMe--(_lb94F>cdl6n*j=%>Me{v(p3U_k#-QN9L$BSvnc^BkIx zF%iiv{l`Shnuz3<{^O!q6P-lu3Fi;6j7&r@p#P*e>1864Tl&A1UMZNU4`!(UlxU46 z`X@a5B`{z#n0X~IVAK_-OaEE1Y%|dsT0SQ}$C-%amj3h37Sy+&i3kStUl7ZQCL$Qn ze^EMqDx&7>dr7pzO+<1_zXS%12nO^wN!~0Ik=)XMS+qGOBDtmiifHppL@=QLnrQP) zL~=|2bt6KRX{Fvc)EP8W$P<7RO*0TxuecTl#N1J7Zm~G!emo z{$Hd;YfMCPOTPpLj0gtw-*pDy8OeGRk=)WRfdM0e0sZ$R?*S9N%DfU7Fe167ztwpH zo%M`~NN(x>O|(rWBDtmify2#uGy069@}X#3Otg@_`;ll{O_XQe$D(aB5wbG=KScY| z;M3L6wuzQ;B9dGBpGePVorv#j`JXyG!C5ET#?kytEF&j6fPMD4Xay%CxustM14fGu zhE@UtMu(z%{I8uBw7tcNW-yi#7%)1KZ7+cVBa&PCB`{z_a!bDi28`-CVkIzOL@=Nq zxg+6oq67X-Xt8Khov6UP5*RQdxustM14bmb^h;pCs7D2~5*RQdxustM14h?yE;YMc z?(?1K9=2hy`%h>KorvU?ev8YUcCizY+|nN++IddIPlWhGMO*4bB)9a3iFT0_ea#jP z7j2~zk=)WBA=+vu>c@T=DcTw*8qS{B$>ls-=R}+7rB$@`PBfbHbCml8MrDH&kt@<4 z?e2=6c)*EB7U}Qi?gwqF(}U!e{vPhf{a~7a0c)DxK)4mzw{fgpid)j9{uK8@p8p6r z_YZMXXPGn@(4Xf10`V#s&_C2Y95pBy&_7&)RxqHS1_Sy>y3eBKf`9@2G#JpI>mCUQ z3I_D&NxTXM^ykY-Qo(@!c3{AoftMrBitJh(YXuDGpYIMtmul!s)1jxqfc^z8PooM3 z^e+_u3I_Bql0H>1pugPx8)krl0sR&3cTf}z=%>Me{wnu8gsxyff3?fSk$?efns-7p z71_}^*3LuvHvdicOUxq;@U3)!X)vI_#pPv{f&u-v-Bu_H2K3WlKtBxz^xu`56%6SA zRfa&pfc|^ZTm=LA+g!dU-X>r`|5ItZf&u-{-MN_53I_DQblnIq|H{P?zQ81wId0ZR{2K2jleG#>S0sS(s8H$1d{WKWRPlEydG#JoNg8}_C z7|>6H0sS->&`*N_ec$7HR4|}#MNu%I-__$RQ81w2O`=vXpq~Z<`rCm4YX-U4a4NDD zIMyk-r9Z?w5_3WabC{P}C}}XDKf=@5Mlhg1QsPiBpq~Z<`a64EPYMR~ck#HI6%6Q) z7Dd5;ew%kK%oGgh@8NwRQ!m5)E)53s$9nDn-c=ok747dMWfTnP?<-{#4Ctr9fc^pA zCOi~VFrYt4+NNMY{~)gd-V_YzPZ8G&2J{c{eB>;RmT-5R<~8=hv~?qrTl$B2OE_=c zh+sf}y7yCP-P~wAcdK@3QshQoFuv`qQ{yOFknr~zo5t#P?06M zSQ&Nsp>w@|u{Rh~T?1N?4k!%<^j9VU@r`1CmB(FG!GQiH-d*So1q1q*djH1MD9C+4 z8Vu-P;r$++t6)I?O7Ai#3I_DA5=Fs){x#n7d5-nvJSU~Wfd2K~>sSH`2J~<8c-^XC zK>ub@6b$I!B8q|m{q>?K7|_4X<94QCK>v1cGsn7$@%LNQrGvZ!EB4EIpRuKsXtl3#?2?negB_hFqHM@ub z!GJZRMI;!oW_J+@2CUiR3Hm(|^Sfqm5eWvY8803P2CUgnM1lcp_LpJ=1J+Cskzl}@ zNn$`SV9lW-5)4>#n1}=e)=U>$f&puel2n2LYmSjSR)PU*j+0b^0c+-nNHAc{@luSh z>(xkSHXb6NSfUhb*5mzAO!{tQeePf6wMV3sIr0qgA^Dr*kdmGM!|r= zUc5b5Fkmo-vVsAFy*V06ZW(ld0e45ffB`>&kVLOwz+n6!obUw<80^o7GYSR_QeePf z0>f7@U@(z#0tO5w(Vc(+gM-Gvje-G#DW}jS$t{CJmf)yhz+kGnAs8^2#>)(wiJ9G- z^R2gZQAL(TE3!M|C}6Cj|or^LR>7Fko;J%@qt7q`-hd3Je$|V8Ggk5M;scWmsIW6@F~^ z3`xO&es56}4CwbUbgW=NzY`3|kOd4FEM#N)M8WCTvK2i41ZPSs1PmCYz<|M`+hBs8 z;(w)1VkrRw28&OE*ryPjE2zRYoQi@akDzXaLEGjTJCpa9yf(*Q-B*0fQU31QZMy+(=o$Pr*%;+l1UQNPz)^ z6c{i_fdPXw7%;f)=WNfy;P&OLpCGT`&QzalNY#H=s{XrE_1}}KKLrL1QeeO!1qKY# zV8Gyk9;g@fKgbVSYkxkR>d!~C{ta!2>rt)$Im#PT^*^Td6J!>oz<|LM+$P#{B)1Hn z)GdSLmcef+&&xO@w+x>8IqSE z?e7y?f&qh9_oi)Q@W=aTOCVeDy4vz(lOP2K3{qgg;7yLbf&qgx7%+H?RL*_cgSQ6& z2c-Sa*stYE+(1qKY#V8Gym$F$Cmu3??h zhJR?CGEcT~o+ubF_(bcJd7lCU2A`(t{7mbVaY}&!gESa0_+kX=>N7R?*T)_0{7UPT z`oE^EV8GxT`cp7q@Ga$JJ$y&GEmKc0V30Al!cpIGfot~0MW%oOgDyr~3K%dbGqhJQ zU{EfKf&qi9VXrC}Ferfm>yJJLZYzakvab!Q%_(%t2TOr3d!m8?gAy39o?yVBtC<9| zdV&FiZc?OgA*eIF?$xqAlCrPkX-kj>0|t4qQZQi9%g9X@&L2FZ)DsLC#O7kS>Dw6e zF}!_IfAxt!Ub+W;MNu$dkOl(=4N^qGfI)vV4&@7Q&W$>z(wo=(y?NEro4!lC^B~Ce zgXY5Zj^k@X1`Gxpp5JYzo?yVB#T<$E9u#+JNba#?l20I(sqPmmZG8iSJq+r0H1O}`p7%KWG~5UU3>Zu@+&lyf7#w7{ z@C6JQOg3DQ0tO7G7%mh60|p1n6c;dHFx7BF6EI*f&2YmKFko<)Og;ev28WxoF?Iq5 z45pixDH9AB{KOo9#tIlPXqRCXFkogCk`)1PmC=klxMI6AT#4G<%?{>j?%7 zjxh_+Spp2)9LzGjOB66*aIEyAf&qi$4DTh^$!nCs9I;X`U~s%B3I+^LFuaFWFkmp( z+=c5M1p@~23@?@y3>c)rfWgUz7t;g-9*4RG2N<5rOB%re>emqjpXcvhj~}CIh1}B5 z%T1l&^ZZzzcVM>QlFsifisJM9KJrjP@p*nho(CvC&#%{q1A@=<`+6VX5r^XQ{C*y9 zYZRa7H+bB56rbl8y-#4K_&mQ!%oLyJH_J_7g3t2>pI1+Op5Nd6fiVkK&p*ri28z7v z?Jt(64f?LPf41Cdci{8<0j8nw|ANn}CqB;~Z0N04$SwWh=I1CO_&k4vc>-I5;`98G zq9{Jk-^r}x%C2g~zT~%>tDq=8&)?bH3`OyI{wS$l@p*m;pI1jhpmoOI-L&_EbK>*- zHp8bJiqG@+HS>_6_&k4tIUkDR^Zd!8C_c}hX0Ahy;`96&=58p8&+})SXP_uP&p%ld z#pn48#SG6AF@^lI%+AM&g_&onQb1@Xf=lR#0UqVrQo_~YnC_c}> zQ541J`L~!CV5ayy|5oz}6vgNH8w`JMQGA|%znKX|@p=9eg6UIyp8uSA899p2^ItPv zb|~TV{6Cxhp(sAj|HzyH<;$p+&HmD?gOV{3$u0e_Ma!D#x|z_j&PL=}e1h|o6Ec3z zc@0`*qA|>?7Oh|+l3V(L^8xZ2O+<1_zt%BDjFO4YU=2N-?$BCIL~={N-WduR#Mo zFXkle&0S7To7iQHR?*1S4H;UrEJdP&TJIyfdnbYSzM}U zSSgVcSRJMBZHYyUIh{MR;OQ8qa$aldLx=&XXCk4IGAFs_?1j6ErFh>rau%Wzr$_cH&d zx^r+5b4U2TpUxW7<8=@$Wjb+{eZL5AoeR<+;>Q z>M0~NJ^_(?iR2*hO`38$QPX73eNr;z)*`N9S`Jwz;_e;hBJE98X7^3F*nr`On4T3` zKDDgK_F^F}D{e$uM|vSlVm2$~&ZOCV;@&&)G_O>eTU9DM33Ej$n|oKvxzr#-D~Iie z2|?XaD#u+eRZgrsXJTi$&Y_|N5AT&caA*9!2U%I)tNA}XG@_t%40p`$d+4k=Jv9hY zlp@?#wMeo%U0urIFFTz%y{RJF?zl?9BT6WOt}FP9o!+>N(r5E$CodQgpXZ;WuK)-> z&p%fb#pn6wi4tY%iO=(wIB&umzJVq~O=lHZ#!|x>!C#@}`5f?hyeHys#))2$twV{L zlaRuH9jSZ_T~BdQxQZey)Ed|=G!UK(p>^D+ojC2{-3BJ(IGS5OR;CGJ_ zO0S+MJ%7G85NU$gEBL2)CqNODp1;657qX)C{DtzvilX%V(>yK&Md|rJ^_Iab%EYvm z(^zlj%HW}9`orC;9G}JTm92nSD-@Uh67N?~1f}OMli%;qdZP6F3%o0lQ%{thf04(h zi4|FfUiKmm6kv1vLJ*PE9syRcwp zt{joH_SPo6>MMAp^>W|Bw|Rv}@izH<5Z{g-^i?}7o6J+?S~!RPFx}NGkc2HrxPl3z#l5#`0}_M~Xb-u<_Ev3#hoepa zl%kaI3=-xX1r*n%Ns)U|WHNoMmMea5)#mR`Z~0YLgZmDF@o#X%zBwYX*!11$91^~j zEAwnAf>D^scbOsU+F^Ms_w?Ca)JZ44WM6D3EjJ~}ITYvLP_%G^HV}>nNCWTcXx{*7 z-`(`*t$Gsq@3+JMJ*uO1LxI(Jkb~~6LemDk&fFI@7u_}BeCnH3e+Uh0DASnsL<#;4 zJ!Zu82DYQjT$Xt=d3X9^OiliowS2ZW;G=ekC#h3Z+A`KtrzMC7TXg34 zT6EU;TC_-uzknFG(cR+DFb|}KY|+wGK*I{``w6r!z01v9{+YD{1UWW|nV4&=_+VCy zm*)q3-VTF9H0N-vFV2|E)as5G#An0pn^`_x*64fcf0=&w{MQ%6OH4A{ZAt_*$|92x za(LUnL2k+x!Kt$!n&$RDiiMmmQ?Ri)mt*U48|fw?q?t%jIr>IZR3@53C@K>TMZ71@ zmg{@JrT4@)(dHM}N4(CLUA-&B-x}1?rRPO3=y>nd$4F*994d3d>sR(UIrgf^>sR(q z#*?WcuV2|$&q2&q@z=7luPNIq^7@s1LpiDlnYhl^(*_1a$_}l{mMYi3Z|xOaM2U?oQ4n0vuo^Z&M8GX9iUZ>Y z$_Oed;D*a6>ZmwwxI4JvGBY@%4DPt&s58vC{qK8DRi#0kZ@&NepFhu&s&no=_uO;O zUFuf#skgv1IQ*_(Zrr57a~*!yFE=5~e23rl%WY}?7CDO?e%CMe5OWroB@R)Ua@&|^ zf?4M9yMDO^<{Qvw^@O`sivHDj0u3xhiLh>i%W-EncKzXzs zZEE46z*PL>UC|R+mf!V@wr5HUj)dhP#6S}v=P)JjhO;QefbzTzRM3h6<#`)9#9Q&Z zetEZu00YYN?h*mM&z*O-Oox#u+q~c$$XXgjzLsPJt!v(X6G@FC7g>!W|2tB1zeX`X ziu^T_8b$s#$OEFt{ZDFM0QWi`gGB+hf}=)-yLI*nU>FVJ?iUsAbe)d$TxR0Eavc4r zaCfEk3`V506BW4^TlZm8U^op(0W%zQ#JLhj_fm_`&Z5p6XuW%xWkW&2`N9QrxiI<8 zJSw=tVg&`x9(0R)r8OEwi=8*oJ?Sc zZxW`#d4X2nEX*wD6O0%47GdT(x0AV5nEB2)*0WidMNS=@?cOHLdCoVi^mbvEIGtGL z4q+~I{!K&g6lR69i>~^uFqb$r^u(SxX;0BK7QX?toFQ9~JJL%`%Tk&OYZU`rvV4G%DQPCNB{f z8Wrw7p|2EZRJi-3a0!hHcb}3NaXg=5RJi-JDDk7h-Dkur#TpgvJ}VraR{etma=)l> zcfY7`_YWdjqfz1RcF~EaRg4OEUyvwtKPudPQ6%x~ic#V2OX7GuyJA$h`?7F;RJgle zRJglC^6(^!QQ_{Z!ue6*?rXx~xfY|s-9HKEM}@m@3Wuj$j0$&m3Fk+JyML2-bv*N8 zRJgm_VstQ`c`+*7eaEW6_`owSMuofYN{iNMRJgleRJi-T)zJ&1!rlF%!rc!gZ>vUy zyZc3jyL&9IgwJbKxcjkiJ2WcX{nX-$yHlgW-Oq&Etx@6b=fdsLsBrfS;r41&xcjAW z`!p)t-7B1-QQ_`a;(0$R-2Gaj&=VRJ?tUYZ1sWCZek)wDMup?uprNocWl2=H`)^%4 zEQtztzqd-!_DYQkcYlz)DodimUDIZ{L6$^?yS8vOmPCcS&4e3jNmRJIUsSkTV0VMg z(Ha%*b`Wm7Muoe@_Gsv=wcf zM}<4(d<>Nr?TMpCg}ZOrpJVk>19xQ`xXU+CuHd_EKHrTx?Z-mpJ2qqX5)OYOcKxVu z=j9qOepI-_PsF(IOU)^#7cV3qNEcK((%cWFxm8YAUcvX;A7jC+arlWC_iJf;tuu}a zzLgO1(N2=f>ppu2{5{^;3*Wlm*;~=VdS?c&9Y5Gh(CP-KoYxyO@)&aFI%m_INQ9GP zk@F4vJtxA)Jm)z}(BW>bgorP39?k>fM}<55M2zc4g**I2jO#~*JN!h9>qmt<{6viF zM}<55M2zc4g*(ex#*Yej4&^1uj|z8oVGr)Mh;TkW?@T)hOhT9!9f@yDMmS1#IAiF8 ze6f0`W3btNRJb#r%zjbfP6eZk0YQbM9~JKQh>U|h+L^r~SresKL??>4zfVL*o6L>A zVn@Q+#4%MB84d5`J2Id8M>wAf9KK2I4vcI^PO_bki!>DlgXel$=d7Wi4Qf9n!9UJdQg*zKLiAP4BNwH@) zGN(@3HrL?~Ozv@!AE9lL!`lRRtf*e%#K??`M7rYACsE<<36Tzk7`7S}?w%xZ;C@uN zJ0Ze&20tp?t(PYGQQ_|XQQ=N^KFtQi9F7_l?oNqtCaR;SWjlIWwxb(-N6#;YVbdd= zXbEQknHkcu0;jS!m{}2CA&Z^G9L;kh$74FA90nq~XULN@KPud*`~r2#5|9^VKzJ^B zjS6=!jqu{G23?+Q(B;_%t?~_GXoO_E1;m(OdGAi6TNk~S8I}=2fQQ^*1No7>H zbDG?N^7j>Irlc||+?gdLqr#opQjEbH&U~iECZkx?IrmR6TfX7toX7Rqh%dpxIiC^Y zepI;A$RHD&g6*QtnjC18sBq^hE}9Y*?yMte#ELQcYMo^lLL@$hZoGh(EhEll-qEOV z`4E`1yd231`3{()QQ`6#Fh`@pVi|KKDm>Yn;n%T&==(*Ua*9_9Ty_&W8A};G1L^ z72X^9Etv5k2;chH@4*)&hj&4#IPad4BZeZyi1RJaCf0y{DM*f;L6wXOPmVhWNBylNIbPLpF;1SqZ3beUnO(&3RwORU zi?V25RDzWUqOr+o{OP6zuap~Ef)B446`q`)52p!!U2>)rWmI@_mR7;2@Z@Y>z9lL= zIfv2v1@Q~0a4s(iOXRCl$$1oC5$_A_$@y&XsyIu#-s$!9`_!%y71MZ!d#K3%|cl;?X1$KzVs$)q-ZB8DbQRCsa`8`H5MdF~pvg1_S= z&!;U$+$7z|u8PJVqo)=#1RS2?DR2@?NmO`p2}AEX7AG&@zAs+G-dg^QC}K=@@**Zj z7UCZ=h!@B4UWUObD0KHbWOY-$D~Gu$8%s&QlrA-lUTn-g~!LB z=45tMc=C1zDx&`1UcmarCwFK0WJ^~4_hi+7Z&v;HW!0}y;adOwY-hdJuTkMze|A)O zaw~%)QUAj>0vFYvk7oJvF|B_~4eWkg>)%d#TUPx~X#I>fPHI$moSz;^YE*cfQQ=9A z3Xd}?Joyam@}t6&&oUshVrIvAZBSC9!gbXCmG&g$D;3GN*!KnTJGm5QM};SMF|e~^ zee#`-*gmPB-t+6`jCh~rqFT=fOi#pR+-X#}E~g*SPsKWB_K>bp-)L00`X)OnJozbu zQc>sUSF%oN!kU8?@34FG9G@QzC>Kc!;hr>`S26z8jT818WKUs5@AlyMUIc@T6zH#>xwl-OMFObVjf~{f$VkAxS?f zT%H9ayPLee`%&S^N^=y-bdDx_%124GaBsC-qr#JY%u6UzknAVlDv_x0WPekZD2WPB z4v=1o$^|RAUsQN@@VsB(u@jE4mZCf{qPjf$C$j>NK|-ogvpDBM1?0u znq2TDDm*#L;<^uGcM1?0On9q`CRCw}K^9cA{qQaB) z(ybB|o}8$kjmbiNFj}xtaf^hBI6JXAxEDylJ2>TZ!`yRAB3yVX^$A5;Mo(=2gfkcD9}h zX0pkM+LZG$uIcV{^HDIB&RA>!+%tu#a(+c-k%-}WB8HILXub@wS_f0Xa4(dc(aw~~ zU{;zRBWJv`43pZu+>Dm+Nd%+9-PQ7`nFgmBEm$MWT&E+wu-5Dcu|*EQ+TyMg)k~ZN z6~g1+&{3 zNaj!GzrpNr_{A#sZ{`pT;=N9bX<$A#&j6!Q;qE^3H((5n3U|L3E~-)CZq(w?_oKqy znDqj<0*wlH9pQ>KD%@>p?M7Zoqr%m7a&p9*jfupsE zD^!p=lc^l3n#yIZhzAr{$}EoCAYnZdICh(4A@*CQa;OF>p8}oY{Clqr(%YKl5EAu(lv+}vCED}jlsN*>baSq7N zMYFi~(;IRT_a#50Ks`-0X7^VuBxN{pmK|7xw@xr^ zAysni&{@RC8``@=wL7n6K35Yht|43loQ-ji6 zsoW4;o7YLJZ&ciOyy-*lGD%@Rey$Wr4QB%5_%JQPLr3FU> ze}$Ikb2-3zix`IdX3XbxIgnx;d5hB^!GB*0KT9K3qQc$9b|W%!XXBtz;qDUq7BISJ zTPi=)_iA|kct@q|m6oaaOuA%>`MO3P)eh`G{vsG0h3_bNx?P~~0-QlrA%<&oRLIDEG0 zu9V;J(0WFNyT6VwcwC~w-Af|;H7hSl({rE1Auq~edC>=$Kpdj3YbIi6@(34=1LIlE z^)1ps|CXriM|e@@#|qNl;<9Kyy&dudgHE>`3HBM@CkR$Fzf92)rSk0h`MHn*a{3j1 znCt*y4P$aQghelyi0I)zj6)J4ZjFl14v_g(DD$eyj5jKx4EDp{TXHmvS1BWK(Yt94 z0H4#Rur2R!lo1*n(9rL4%BAmT@@H%!@v4m;&$_DV_ekMiS|Y!H)N(c5CG6YhYPIlB zTKLYz7z%$z2{uuNPqAUsNyaV+M2!0oB^xy_hW2<3_J<4-J~5$n%^bp-4CC$l{UBlc zzeAC>k3}Jb?1u5cky6?c!~?Iyty`pQI8r1uIFh;)WDspkTEc`Qo@mjCV~G}yq@}ft z7LHtU6Xh5!9J!PvqJ>|?NdW)x=^&8No#c1n5Cji1RY9h6zU8l90y3TR57ubJ7zmv6 z9m^=lbk6sr6=XW+2hs{Mo%17U1)0wIiL`=D=OFSNjDk$(n8GN?bdDvAf=uT`gi(;` zoE%{kWI88T7zLTmiJE*$p&-*a&CPqkD9Ch9+@!&C;|v7ONeDAP&OqRtmgWPQ2L~Tsnl||G(n9h_-Q1NzD!eoeLx7iIjKn|SrH^1)Mguo% z&lH!#;dBsUWe6{Q3Z)cYnhJb)X%69*j0TS0A_598Edug&r@LjiGsHcw-7?7f@X}LB zUX1C~yzN4g8Y6r)NsSS{gQUg?i-spl;o~VXjWk?%3(U#=0S7-^_*Ie`F8mhA1Hy&> zH=xtAB52LYIM|bL2#}{2fzFFI;us=NuLE;1l9h19^H4=n#4OYpe|+^0Wg5A@Vet5P6yv{1?d6EE6J6vtQD2#ux*6n!geK7s%68 z5F$^L36ZDCgvirmLgZ;OA@Vet5P6zRh&)XuM4l!SB2SYEk*CRo$kSv(`md0uDOnRDPg7@Yh&-K-`kIiZ zDTyZ`j4=lCG#!s8AwKdnIX}kuXUNmcYY362$u%KQlfzRIA9USltydYwL;`vGZ`fXi$kV5wh7ft0Mjsq`nj4jaBTr9(f)II{ z_J+vQ>!9u6$kW@!^c^%kK%TCT2N%c{*`}}XO=r|6kf(VW4Uwm*KSZ9UPebJC4%jmm z#~EV`N32X5AO&mky=`^(I6?|8=fxCPI zuS9VmPcMTRA@VdonwpRRi~oo`&6-2xX?8(~Jk92Y$kVAdm`wrlG}|5`Pu~b8M4oPe zwuQ*k&q#kT#u&)ck0U2Uo_+y2A@Z~=m=eMUm(b483Pt%TrBTsWa zg~-#K%^~tMnGkuJ`{NLK`d>2i3~v1oK%UO&#-8<&r&%UMo@SYYBTv7EM<*fjG}{&; zPsgAwM4qPV5P3QYCPbb-89%NzAx}TVaT_8}-vzD-d74f7CFJRis5visD~=)ZG-skZ zdRn%lr)4|3!FTlhVt8YEgcB`9o@UEJJ6w8}S#feJvL=BgSZPk(^gL*(fSJS;jW^7J3!+z@$s zEtn8_noLccF~&fi{s}+o9UOT&iqSSc&KP4LPxC5XA7_j)kf+In$kSv(bpm?efMa#?;i7g z_Xvyu@-&Nt$kUAP4UwnUi6edFX^y{QjWKq6ai;mm(`=HDJiP~+eB@~%8Ds4B7gkf-_S zWFL8&>vMoS&E+{np56<_rXZ20hvMubPjk`qk*7%-%_YXzUG@}pGRD}wfS0ZR*T~Z} zKR}))J*co071jjE(=^bg2|3O+sv^7OcTSjiaUWEYYF@-*oHd75;9 zJe`5A0D1Z}qzA~;vq1;Q)0C+PzzR+jkp~})G5%C6W3G=p-H6-(d79T)A91RH?|*4}lDjr&UcYW>L!%xXnQ1 zEVGL^-inwBkFqF!J;2dNp56h{eHgvI8-$py$kWGRW%rS%rKloLYZbRmK^3!kiSv=C z|ABrAkf(V`2#}{K9w1N4iWg&yamxjy*Ti?2RJF+`qzovl#hX%;aKf;_zoBJdPXfs75!0`$kR_!hgh(d z;LVOtY3U`ca~4KWYvF9R{i&8)qh`B{r`L9=@F=x$kRg*2InJBXZiCnt$#}m z+W)xLzn%29toonO`UTPWe~&!<66*)@^y#dh5vVO+(E4kz^tODF%ak8u-14OnkRkH) zHKFWlS+cMDvWziq`Nr9l1@iPKlx2Ku%Qsb4#?&t&PqXh2iadQY^#OVMly-ri-t+6` zn0cS&0_16?9}Ib#ehQGMN&ky&=d7~>dY!4E*5o{qYRJlz>vLLCp^X`NF4_oM^lY3d7*r%4CM)1+%c`q3 zhQ;t?QEbK(cc)0~h2@-!z(fIQ719w1M1K?{(lxnKpz z(;R#O^7Q?rA6o#MCzv^KRVm{ffjqs8G$R{;YV+Zzxs~zZr#Vk8=TzL1b^be2o9{RUlRm%1F2(}%eHzK7GMZz59tHYPDvZWP z_XS->Iv#r!JJ0+S=|pS=%I15d^JAxDCCTqfx*&ED7P|ayqzhwjpA0%px;QrVB+%ug zOJaYh23$GDOe* z8e$=OHpN2pY_1+5dNx}Zpl82S44VV=>~FyY=-KRTABk~kgii~y(X$IX{ZDbyK+mSO z5IuV}N(AWHY+8t(O(sOoewwROfS&ydm=HbtFJMCSY*zn^=-J~-pxj5#=93yDzHu`A zmN6TU5u#@|f(g;H$%N?HSAz-Bvu_6zqGxXd6QXC63DL7DhG(J?)YE9b3MNF)W=@En z{TY}LJv&y)rzt*qb}5(;J)2C3o;?6eh@MT=A$m4LmP7RHIbcHc?B!rW^z56!gy`AK z3DL93Y>C&}H%a(6gt33DL7xfeF#GUk4MSXA@0! zPn>bmK+hfpCPdF>&|iq2y$OsFqGywfhUnQ(f@?z0-U+TCM9(Hy9HM7`4lWgFi@3@Lsi!lQ zBUMv5S&L-G=0z`L7KdGsa03%KT$^N}cMDTFo&uH6f=(Ta{*b_7`HctoE0}3Odt(+? zJ&sw0#};E`dLf1`$mYOp%0|H?5~LtUaZpjWAdv%DZOXnsuR&@D4(FyUXp(?n4p>c< zO9H+kg@hDo4sy*|h=n4EI=&dG9XPNxi`$DLZe4=>+nLX?o|RutA(147nzvJkb3k@q zn#KLAK2#8KU-C;z9{)>{lF@Vs3;rxl+NMzSWE=oLj$;Q-Gp$^VKX~P{D9Jf^P|2ox zg*tfUS5XCLuBvFNr-|CXAPjtyWjMiqxy*l6w*wU&Bp{gGS#+=(vwLnV#ARS{h8cYA;pUlR~5-Y;x3AEVNp?k^nD$O za*+|$5X}QELvnB^F4QoxGS1(`)(L{eIORoOmSYhMae{G4(mJxI#Bt1KrCg&lo4+3v z`I_bhrMYNjW&38X4zjt5Whs{$#L;rtznfs%mY^KhyR32|-82%L%KdC`>@gXoFjyBPi z^_PaFez=s6qg{YiBpTpolL>LO$u!|;?}9dbHxp};LNxa)gVJ0?FYdG zINF^3Xr+&%y&gFMjy8Xr%Zt+V+*fb_xVRjjV#015TGH#S%XnoJNbN&9Tl@i2Ahi!E z5n3R%+mz570;%1mCtcd|Yuu8y=_ll8BnJvPr#m;BCqTgZXd+v{tI17g& zKL6JPe+?)K4@Pr7=15un9>Xct3Hvdtzced$M?cVmdSd~L#zsvAeK_evtixo`HM|ok zh!Nt%8uB8lEjC=PJugFxba0VjQ)Ab6sPRZ9=h++lXl|E7cV8e0p_8e8^BxhZ{{V|W z{s7zu+sl1*meKVg3f&~kP>b}*lyCKaFYC%}*u21ukhqXC}OAJxU#gxrb6++OB?whH4W6q0E z`B9xV4VMM^GuNLGs^1u>FK<6q3UHr-Sa{X9(bqTL=(d)hGcYWA;}+qDg%{2SS0U zFBz`Z+vr#Rnd>j;5m;Z~_vN0(a#7gU@5|kd<$N|^we$OOXJa{k-mu#Hvt~zQ`Mu~7 ztI*GXzES(SgWtE?8nv&Be12O&Q{CS2|>DRxvv0@Y**fVD{r0ms87WbID$gp~e0(=WfGR(G!{UnX*q!}_ z$C{vh&Kji8+Zi@};#R>uR49p-VXp(-{ak8#Kf-CzsrEouzKqpCr^WjbofdGVbo~br zofdGVxaz}*P7An_PvEVOB3u;g)o`V_dXJwUxbowOPLsfupZGjn$*1tvrxBed(Q-bB zw?6aPL^+@1Tc7)^x^fq+%(D~wx$=9z{=k(#MfP(g-a~*hnp~NCfGeBr?@HDnUTcq6 zK|puUrPuBgul;kVdaY|X0C)BZymp`OHMCj=;O~5|q1EEW`+Xj*7B4;EdufaB)dzik zb7T4T8q~Pe_tJ*O@-5^a^7(cCfc(ABuWFP5^QgGVUcIxiViL#GW4;r1HBep~1i z9Y9a|{D#F@Lv-(AU7U<=SFz0Pk$e0G9|aHc&s^WDH?9E{>5RiAae1~6!q#8n7v>>MGLYOEoxG96)l<)**;j?|3qlf zXaNk?v=$eBxYgJHZ_gCq%UZVbVU;X${{k^{WUi+)>m94%{px)^I8kf&} zoz91;sS87oOu`OQ7r}g)&fJ5^n%7!pC--3Au&IXxlXU%;ZNyhLS8_H&mYl!aT)5eYVN-Gb+SYY_tKW!k?50Lg|3BnaILriX z#6Nvy7~0$wGxA2#|;*w^T9AjJxM_1?yEaqC8($E8g6%A4d(B6~G1 zWwKY^5Clai>&cQ zp0Rs~$Y`V2r~zur`M$`5zQ~KdNUhQ9RbOPOFY*^(#^^O}plS(3e)L7&5)p2i zjoxqjGE00lZ1MYk{+7iU0#U{j;iGJF);15_-C#^Wnj?t z6aB8o=33^^B>Qzp$mUuG^JIT0U}(r_p5hM$3=PJqSX1qZhw5d&oOpQFG@r-Nkj?vP z!eet@UZ0Qb1{*^X9ThDnGM+WvXA|WF$FpYmY(e=LO3n1y;);tWgPrXUo2`p=-#*7~ zi3VYwRXjfx=Xv&fqPjL~_9y&-!;!VzA7>bK*v$tV{MYRTx~bUyqQ`-5T0SUnQ}F?A z>SUjWc_eOauw@21rLZu0hbQ`i07ls>>s6~145 zH}&+rrf$-^rM->1A)%X&+Rse^M>pL>FMnV?RH1I_1LyP4T>rvhftx;8AI&!#Hcuw7qUyp+hL<%h}OzwvntHkbL|TESrZhS~e9 z_aM&qz+h}!+MM=B&Wc7YotDzL1T}JlSYdJd$$Ot36K5G#cZ*Srau~T&Lx22JnnjRLnM)vA8jTN$)?rCu|jYYPxVn8uW>}B!cti5_0Hv4!C)7zqb_Uh*w zrI()plV@-9d%1!Luhu||zje#~z;Flrr51x{`Rt;{yxE4e%CB%;V~=U`Va^pkzaAA# zJKeB;V;zazGjE!Dom8sMSp*CD*QN%SHmI27cjzkIvGR^~vhS#Mi;Z69p<3oaDS?*{ zS!N0vI^D91)r^Xh==)iLcTwmgzYu~Eae_+k>XydbHfHVQ(X^K8#P5-3WaqyAjv0?+4v&3(YCA?&_@iPxSSh>&FZZ zhC-33;_%m9ouv=MM(b=S_Q!jz&W29@Y^c$lNN3+F41e9>`8;-vat$ewY0Zs7x1%|a z6V{lYS+Xm%B(c9GNx$%DUA$U`mdM)GYJW@e53nTQ14|BRvZUiwSn{L!Xeaf_+R!Jp zL*Ns+&->8~o>j*Au<=Ke>nJaM@_Y{+%)z*Nybh6OzS;hsF)Eg@&E0e8{cR>Uv=0<& zZO!zA$@s^xwryc;hiC!6HtmpY60^mvNsmc}^`vlIGQ^apOf^Lwraf(PzTs9x=FbPv zk7vF9{L%5jG#MWShRyoBFJy&F#lrG-YT8Om9b&Fue}vxREcNvsqt03Cn_E*}(@9G6 z39;CRrQ-rq?_BG9%$tfQtrv=Fx@zh?%%=4%heUeLTuiC61S1aC zR4l_z(^}|TGt20+aw=;%rnyxcg?_=7g zDPp}o6`LD)z3L1~T)PwUJ$&Uyt6fmO63Y9_c)K=G&d+38*HN5}9Z6V=n$*DG@+muTcRwug*V2{%rlfsXQ-3~jFt61I4h@E};CQ~$@nK6Mob;LndfQow zaPmy>5WPUl7C!Nd2q!kSA%GBxo)4cztY+PDtLT3X(H@5!YgRQ9N=_G{Y{V0`-@2cf zhhEG~{TQN6+FFS=M9M~!G7!ih%R@#xYP$Z#Xk4L?OgqqK#+A#GtQO_5X+gH}>o8Ljv=$bA26 zkWA0_zXmDv?a+#^L6XL2mZ8+{3exI|R>nrs6Luf)t@(Ax*so|#YknOvwn9ka!NgVy zNj#W%JF&461C@(!<5UXT*g6V~^GSW%X#j&p_ zlg}j}6{});Yqq-5u&=`@!C5sV$9JG z-2#W^J`GvG!gjht@GZOw8wx0KT@C33oTsGK%WmeXy^f63>NMWmgyeWzMzLC?vhT+z&u+_iwmZk)7n?%wCxkh1_+s~EL3s=bL#oOfc z(sOcp`2#tdq^FxTt*kBA97p=%;Rw=F^LLqhQbobEp3e@^?Z^`2Uqv=G+<}aHn0W_{ER)LW-^Ge!@~dnw zgvB&x(ra^(^Eae^66BQJ(^PQk@6j&vM`Y!p6>6r7TRLCw^hTIzc0g7t%zluiQzK`< zrUm9q!*~?DS%d81p@>wVBIld}%S2={vQH01L`&Jf=0VHSmaJqMvMvp>J8}s&%4QEl z_Jw!ABj!eA-5F$;P@&;XVd+(G!}CwkhNqEDY!h`%SMJFUx%%s~4C58%?h11ZJB{`h z9&+@*kc4x8vfYlE3GpL8fIHa!5~ks|Zj6gIpXOrGOFxe8wA&)9c>`3M1xKy{UCvM6 z6b##r3amdvK|w7oIrKJ?nU(PT00^Co|4I1o_($X!hmJt;f>B4DshMXZV{w>Saq5`4 z(wOe2p1MF=g<88Hzxl6F0JS!EezDdx{8W%x2s^i+z-##5NyUH7sPO7~!osSFavHElLr$Il;=`ijX3k$37l2gq(ISqM4@{V{;PD6R^Ei62e z*WJRxVY5V}cA=b(UM8o^rE)qZUrr-B%4uX*IgRQgr@DvabnJ~hb?DRnD4zP(4&$lc zB%G|RrD)@xH`&IbNxTIsESk&>X<^aSGbvUy?P58dwn0t}56Efyi*lOrj+|!xQ%*;R5n<5)S(TPUabSIOzD2jsNi&vIJyxtz|nk7l{X7IM0vlbkN(=Bcn~`Di)) zYLc8*oGqt|ub0!Mx5?@9$8hRBssSC^yxpc27=g8<HI4TK(~6%q|ujgY8Di zKJ=0tZ~@z=7cSGk09kSYYWEP9z0O?>9DdkN4eAH%2m(I{ z`OALbBsZF$hgL#E93AXy;0>GaNg;n(18;kc=6T}De!Oq0zWJ zc+3ci(!;NXjFTumV)PumgA~dS6}2jVBx&5B*FmE6s25az#HZ*tj$qY4l4ID{KZ-Qu zKZ8W+=^E|Ea#SynYk4_{M4MfSDKuy^25f1mJqF71q9@`g2A&S0Bs?JJ zY$QaPaCr5c5!7tm3ni;4#seg-!l`EP9T*O!V=bO2z2vAXkztO^N`rX=EjOQCr!qcltWMtwGcOs;2bZlv*~`` zzm!nB9E|E`vDGMDXz@;%_cXq0gGL-@)Bn81DPhfWFsf^CO)6b0&+K^HBL$=`qk12% zw51xTu#s7EV5>AA2v10jL~_2vGGLRgE;|Dyt`TLtYdWyR(3{Uh{y>|zQnCd-uw_Ty zMd!}4c$1|KI_i7&@-uP=KJ+`%&zZdGDq#;BLmFuPS#R)r5YKX>Grm~_Eq1aET`7XNRCU)`l zQkF}%O3_J1^-t4~HO}Vf=5n63J`ZWeAvBi*oGZLmIHU)A?|CM2y+6>(A<#NjFLsPafq>4F>T;$H(Kc}#)Om3vhy2*`nS%uvDmi3Z*?Xun+Mn_DX zbf!^yq_ppMEX^@!6qfb99!z0bKe_cTtCCyqvi@@GT{b{&y~_s4J#g9Ka_e1IBe&jV zN64*r*-*LlE;~|gy~~Elt#?_i+;f*@9V7SLWh3OCyKJP~bC->ld+xG2 z-gDz;4mivk-iBRLhvTqiNQioM_DnFf)2A5TvGRNzqWnD~)%9GY=Q0!DI>GVi0;EQ5 zZ>9C;4tQ?0)5bpkVyjaxv{OKP!8`_9L3_PRt!GOh9)APw^e(e_QqW%Sa$yv-*So@E z1q#~hU1^Pjg5o#_h_^DV0Hv%;m!%s-&ndrl0gG&9|q_q=dbW+oX0_Xm-zF*EBZxm|SD znwjC)_IWQ@AECZu%uGJ*d{HDvn;C-kdM}CN$HQulzL$kN+00B}8(xtb>dnl(l-wbC z4Q7U)w)I{WZkCyOmfUN?%{4Ow?e+d7+954|Q7gkY zM!c^r?h6uDW-oj58<8xqG9&4;Z-pziGTT}1U$R=HtPDRS?EPEU4l8pa4D`OYHlgj6 zR%SA7`9boktjrl~yD4k=AS;t(+il@$tjq+C!Dhk@wKAR9V~#}f)S?_~FR(X5=V&YQ zHOET_;l^8;V&)ag&y4j}=1?r9UMJzESeaV(W@q6VtV~-@wNl|`K?{2R8mW&&6ReEm03W)^bqbsD|0t%?+e^4htjzap zQE%Z^S(&%!vp&MDu`*rgm%hTSvogKuiGDW6*#;}KlUk~T+iYbHM+q<}=;9Czk4qJf+tnkL#R}=+T znQ?a3Rc4&6R~dr#dMDWT9?GlCOSI)g`(@OipuOJ7Vzh$xdK1Kdh4G8(AU4V7J%obx zdef!vQgJbOj{OD{C}^)YSL{{LUT?l!Bo(yRJ5S0iF|1S2i>dT=uoIv2!Ex9GB#ibh zvU_z5Oka_0`U>B4!l-(`ws{#<&|dFi(XXJr-X-Ew1?}}Nv-ftUwFK?;F1K^Lpvw3c z?6Os&O+kCT)%HJNx`Ot4Yh=@0YglK%#i{bcVGTY*gX6HdNZ9MWW&czh7`Q9jz+Jw9 zS3=C&ZI4AqD`>Cxj$I8#L3_P-#Q_C^m*l-COmV!B!|#2mSwVZf52OnewAcGknya9_ z-d?*|SN<-%l!NqZ-GIc$QNg$N0<2pK+UxDJ-!0?s!h1QkzO(xr#@~er+6zP#er-rW zdp$EU5IG9k>qR1*9E$|B*UO1`uv$TTz1&D|Fbdl1HH&a_x zBOE0P+Uw3DrfjcAWI6_jZpV5> zvL;Heh)xt4ZG9p-+6da~^%Xl5wAZVWXNCE3nNR&AoKFhc>kW)>HY;eacbG5=+UwOs zZh@GB_IiUO)8YQAxWV-<8?@IuD#CjY1?}~YmNE+3>t&>jg7$hNq>1(Mji}8V85x3s ztf0MKowQ9sd%fc#XQ3Vi?e)frY6b1}#zoFW&Wg-(I_!kV!tNNhcIHspb5i6Ij$1pk ziP!lFk)`1B?F=7?d-c+!0z30h&c}&jMKM;S2COWp?(^YQyrPWbu+xxGbJ^24ShYqNK0q%VfL8gzNKL6>J6w8}SVdJbw@9pS2~puOG|5k8ev z&|dG#$e;VdAh{1%BSRt;f0xs9ZKT)X?CT3HFxNy52cw|9-nGIgXs>sDbpm?efMa# z?;i7gN6=pHaVespz23G+Jx5Y}3&-pek(uI1g7$h(%J?fbtm)WAqlD$xqnVV9iU-lvINDre+DrTieuZDMnbW)O@BYXm9G=J@A%*_NLC``mCV6 zsq?u!<2l(B>TY}rj7>pBQMat6HTq@f^&=f6CiUH7tj`eod9wjNd@gqUBJth zg7&5^q1gNd%Km8R?yyVrKAQah@q?Z@P-Dj|$>xxBI z3f$`z38TQhUPp;yQ{Y~&lRQ@>a4$_3;NJ8iHpnSRpBJBF7L(-+=xCW7Ix2Na0g^m2aSUg15{7cp4@eA6;D z6FLZGYSJ_g1Of0(uiUQMF8vfv9!qU}4LW_GQ$4OxJ`>HD*6 zcp%G$2Ynmz=!dOUs26^Cq#SquebRw%AI=0 z#|v0&`YE+x6GnXcX|;hc0O@CZ8@i*`^s|r9hD3V%`qK?#Ds5;>Juj#YH5|?_a#_QV zDAz+G{Zb8NAph!!>4x!}Q2w}u}FW5<3Ry_({D2!;0l+hGD$P zdZjI2YP~Xk_Hz6v&~N%Htyktn7SM0{>#Tae(R!utzSVk(FOdF+U+K%Jz`yB2Q*P9-9P+9(=w9eZcQD_e&Q!YCOwTb4-Ug{noqV0V1xR-m zM!|p6T})mw5^=UOU1G9`g8!yd=2|etsDKOo2r?zScPQcRr-XvR>V7`xK^1eLz%x^z zM;4^h<|+7*aTgfD*iCChwO)(T*#N-l?k4vN31d(_3ZyH|+o&L#?q!~W1uUmBP|#aj z05K$|`a}DDth}9AmC*9warLC5TIq3n?dr`RnrU!~AQO|G`NDnfTCvSVsYtF+3s;=D}2pw%cPMQ#w>EWh>kzDsMFa5`uyl~YGoCJD=$%{`NkuB3BOl=#}ZwCKu7V798JoCKsE!4>@m-Gbdtv*7ckLdW^}5TlYK4j5Rr#>i)&D z$D5o2qlp`n9&d6XtUHP8*9j&Uxw;bE7Nk#-fmz4LP3e=(=UJA3!08EQA$(p(K;ZPL z=5?eA2%N4r+0Avc*e?@J_E?=A2R+GTx72;WdMBIoura6^XU-IJ1DHWaGzWc}`4>2A z80nqq26IAB^uvp%B4dV0FDf8#dZx+yR0RZ1&k`vG1WwNuMgf7-r<=S#S3uzO9CPcT z_(49-S5?w;O>V>$5I8+ghWw8B-hRkA)8s~-fWS1Qb>|q?o-?^sY7NRLmyMQy>3Y={ z!nk3d=HaUAwU--40n_z5$g>oTm?ZA~iiA-xU9Y1&@BqLopT`%=vjzpz^*ZT;2LaRd zI!7kp)y^t5rw^v<&AOMKCV;M2 zYF71v&7>1vW27F8z_EEt3T~eCT^&s#<3bS=5;k+Ivv1%5bpVq1zkOdwZQK( zJq3%S=li&MvW-EnK*H;8Qsb~|;gXcs+ceNj0o3*S$m<-2HoUJe3aIP#GuNUg6;Ri! zGB<%yKwYoD`CBjwsOt?dS-k@4dIP1uN{pi~b1(=@uiAXBFKYT2>!Vj=@+pb}>UtUT zU1TVrt~biu2Sx#Py)nWlpssg<*$O?cfV$pfgrU&iFJnL8O*aRCQ9xbqOkosI*IOiF z3aINfn!_QcfV$p=lB0mS-b!;CauiV4yWCt3Mgeub)#eRg6j0Y&Ba8y-dTY(!Ax8mq zy>+5m0d>7=&DW8mfV$pw=EqUy`ElffvUu6MtADHsLR^`0>Q1V#aMz2{A%KSLF{2zhUqM}Sd4UGHskAs7YJ z^*%8l2cv+x-q+?AVD@ENW1;iDGg}To|CpJ#CxZJ)xTu-gh=tF~vw9*gVGid~>BX#C za0O;&DDxcQip@+0dpWPAH34}kGxIXm39q$vCb&v7a~^AGYpnoRWoAB-5pO*TuExxq zk6G<`)?46e%}mRd;3}-|z>PLDE3iCyJ*;+!U>%R&|Jb&H*0JE~&CEsQj*-GTV%PCf#mwVu`9uxAS9N8l{a7(yg$@Lbgdzi{WtEpV&N_arlkIdo_ z4iege9>HPUBnz>wOy#HzRMvn#Y#dbZSC|s{DJCz<8H~^XW^q;I@RhIMnQhNSPF7L2Nm5MByudPqU_t~-y?MdM|M*dG`-1G4slJDJ57Aq83~7x=6Khf z4AzViZp%<82dN`C#+wRh$dI33!h8<in#$TrxK-49e-Zc9O{e6J zUy_uJrbAdz>Io`CVc2~o6>*+vl@fw=@G9S;Bq!lPC7Ws!>flx8qVxz(T~*OkPZPC& zK^S;6%W#JOa+&|C?(?aKi^G9>nrf8BlSQ@9KvISiC)|NWc=rXFZ>W;Xh)yUzMbX~n zMG*M~$Qr?gMay%NA+-t#hw-NkE-R9Q#E}%`%A%tFn427ka+ML)5IviT6u2i87wSQ> zGP>`?-UEVPV|eC8H-gEFUdBS4R$P;`j_ir>6tk(5iD{0-SFNmU-%Jy! zqMXZEmU5{gSRcN2^%4$5)C%PJ?*O(U_XTvJ#1y)-`1z(w*v4YW?D&wtQxO`w5` z=YblU%4H9Yw}K*EVYP_AI<-K8ID$VSHRY6$MSIW29u6XFMATi29T&bbm*R1blsQ^l zHki`e>0@TM!p2CSi0V#2#0}U>3U~J zI0Y0-*E=WjEyN0p5tNn-SP65b+h7It!6G?wBb)mj$YJkbV;=REM>=8CDper`qCI9Ql(w!xeDoh|-MnQxOW5xVui55X3r zglk0Wr%3js16vb6x3Zs*#Lul9NYa`<4R2gDXCti#a5vQ>PO>#ob$iB7p}>1*;l+o1 z)@Pl(5Ha+*d;{0!i)_`YGw@KX&}2wF-(l0J!RqldkosqfsYW$Yc|eo;KyP&e^S-ed zd&>9RG^CVvs8sdvvyl%79+c$^a3u_}^s^pWgskr*$b~P(X^f`muKsv7vJR6hz9=UJ zd}Dq)4_QMci!aY<7Q;Ko7}eWY*=)(<8+H5Toj^l(iXnW>PHi~qRHp8d)aoZ@f$k-@ z(qB=6^F}X_WYJ)8(VeG3DkV~dH4tFS4-~CF5-#pjB2TY-QiumAcm-al{)o-469p4O z1&q*w9ZmfJQv=|kp`PzP1tNp~MF#c~JxsalpS+C;>Y>c?sBMv>zChE0wy5vl5Sv~IHG z^Nl-oT4Ad|fZgit2YUj{DpuS$wB4JL?l>m5aOi zo})}6b*J>|kfWJDk-hj1v!y%f7Guaa92+w@mibC#lR3taX${D^fH{0iGCRj&L&s4G zU!2U=HhB(m9%h^QE@f7k>Sq@q=LiW~IXx`YYR*u_KVrHK;@=vCy9x zbl8v=**}jnnJ<4fRfH+cW#w#>ao|UVS)|Y|)?7W2wKSTHg66BDT2=&x{EAs`QtBe+ zkjToeE~e@`%{QUzmiu^&NF86)Z7-LcgCH z=1ad<$C&ky$*}sx`(^nZ`z3v}%^Zx2=M4wR$KE54!;5cc=0*A9?eTIPCn?9vF=AS? z;YpisVqyan=W&f3`R-&S(d;VN9!cbUau##=zTo(0aOiX-Olx1|9ED^10k0ti2rP*$ zl#L{oVwyMqJJyu?zP)Vgh#)w@DoBLLgj>d*Tu0?OO#onQwk))4}wVwuhH0k4FGhlPyV@Z#RorCe)cMR#Vu@y`|iS+TYO;~CAo=kdN z>}~2fmGt;n8|s-z`h?gt%1_Vo`B7Jh~dg_@?dV(?J0eH9XIW(GY zCQ9$h_0%|FJezs`Y^3Hz`3~dI)6tIDx7KpX@qqMg7FM43nEo7>IKHl^S=9^9MB+bX zHt_95KXp0W8`BtEzRakpL#~Alu@|Y}oiMSwD_Rx%KkR)8U{%L;_IvlD%LuXRNh~(l zV)p`)5EyR=Ft%|(ki{z!Bv^>2#TEif?+I{QJF&n?|MqW?oTh2xB4Ime7KH8AUBVM2 zZqwF~v}raAoF+{hOD1XBGzh0@o4@|Qb7tl)(p&D+VkGorbKhNN=FXitbM|xQ`@G62 z(or?Ajo$S=ZZ`Kl>h2;sLuV+0a@{>tZ58_;Q%I!5nAEg-R|)mt0(GB4B_->?;=;NU zKr1;l;r%7 zpMTSSPG{);dg^`*^P2OrK?s%NG*z=V(ntM($AZE(<=u^fhWJgsd)JLvNjVcu3geX1 zx8bW>apwqqIR$m9o9o_-n{9NHLOh-B2kzQPJ^wRfm)-nb^J!KV1S$Gceg^?bU>&kx z1#*_~TPY&cc_d+n#wt+$et=s}JAM6zvKpLrQe6re<@pG#>!jyT@;IEQyVN83Rr$ZQ z2yvRrseHazjMG9oHSWgxzGVr~5#y`qz^%nun`z8Cabv?m%*ie7)C7f(O7`hzXf?3m zFY8eBX5yzPqEtTlZ+`Msda{bnDacff&SGll(^R$2zB%ayEQ4ZW^J(14`6Xt@*zzf~ zr*^n_A1N7x+~MNh@2@6o!aH0%K#Mv@;hEcGIc!3^KxWJ~`)ifLe`eI#+vW)KCcX zqMXtxxL-@>*X5kDa9&5}%W}S$kMl?9yf`qOe(pzA7W<4q#VzQSv4`HCZGP(8OYnA0Z68MaR5FB;&+C#X^rsj(;~UR#q1qnR9Idt2bRoR+ zOJNlH=3j83m()AAFTgS>nv6ELn;7xW;4HY~6~NJNPlpE#{tkTb%hiFv@8Rm5ciwq& z`?XlPKf}e65B;nbBG(KITku0Wf#sTTdRJ)sA7O<56sPOAKMvUWXPiB`qY(FIV^BZ* z;U_-yrALqq0_X2Mx#PcZo3@UpxA5PSJ8M91D(R{Gd-CDmLmA_rg0reHeE9p}ME*fK zG|CH9Np@d6rHMv@Z0&d={64G<<45ZHb5DdnzZ=(Y1_=Zy(`7hPfa{*%JNTglli}Owx;IGL z4oa6v(1MO%NY%SA7g0z2ltPXT!#PP zQC$CAUH|fla31E#xTvmQdm{Y%7%D?>Fa{-uuGzF(4pB^wP~hxVgc?>NA?JUwHxeb} z4AedumW93v$e_RQZ#AHmDF*2sC}D26hTc+O5VV@D$DatlNZ0e#^{1W)|5+oh7pUuJ zpQ!m$)!@I$_5zjosH&<6hC0`v6-P;1YjvSERfxtq#0bf3ec=fx{3q4OZXLj|&|mmS z1zxjAz2>DS_&XLG8-4e?t}zR3R7VYJ+rcn7k*$&jWmon~k@5^gs7x zm{B`3N3`=A_wm!7QT%-`Qo z!1B2#E6Eea_y={ZN9dbD8X@#lg6FqX*LE;;E<`7?&47l^-)=z3?*y;IUCJNGLqU5&>bTi)NUlQpVRVl_Y+JyjK0myB4|?&L zV98E7RdW=W2A_T81Nb7I=g+8leorN3Pefs|6VVs(ehu=HzKC$rXBj8a!1GoIe-tDN z1`r86{3y|+PX{Nc7Zut=_b2v?KCJ<27j4z>=^)v%kbjukeO9$w8+iB?ny+VquT!85 z{+xz>WjFMUr=e%u4Sm9Cs04J{cq*8$8hX|4(Kdi{CHMa+wE(tpkDhWj)S??=n5sn; zU_8%Q(>)&RH35^FeB^F=VPo*<4%u^OV}cl-?!s3#sy4UD(Q8s~f0$qKHGV~b=M{91 zUxDmw)X2j>Y{V;e2WP8SJZ*o|jrZy4+^q+vl5@K5W(dSEhp&DBb;Ci5$)O2kR8y_s ztv2xJ84yKd9s4$Xvs`|`JvOxbh28u*8(KJt>pIo^db!TmDT)SYK@!*XYOOph-+hOA zcP(Mj4NRes#Fan(HME~?{!k|*O4puS*z)t)dg_59#- zAd|pqRlAVc!&s`GzF*?$8&$(EX*{kMbM2jKK-Y6;K_z%~m#EdfEf9G0nQF9~%cK9V z56N%)KdNx>*|+g+yO10?SC5%oxVdU7cm;(NY&{&<^9*rR=f4WP@c09=smJVzJPIIa zKvU-*LhDBBmc5Ju&XegFOMWkBx_yJVFB*Y;fZ6X669RbI$9Zo5ky^!i-^XcgzyHVF z$c~D8iERCVu7Hpfd&cv60)wvN+1me=rb`U-(z@3%B7jka_m2 zEG?k+W3TK-?ISyi6OaYfTe;2>xHaX_AnWcgy$<6t7foMB-H@YqJ&zuGe?wt#-5fci z^o*u?{p?R};NIStWlxGR8xj?UlLiS*)8%q!bm_8?E;of%&7liKn#(pRxoifE#uXTO zLC6av1VT2DEKdS@*h)d88HT4EprTv|pKvL3!(axq#uaG!!B7r(c|k8Os5pen)Fj4D z&_KnqBo(tH6`Lrjn9x)#TN1!YL{f5WlEM^ClQ4zWr#L8@u>4g0IuJ5V(Gc4#DcTH8 z(KG?GDO$++CP~rqh@xeP84XcZhv z7Zztku8jJbWbctwKaUJmW6eA%eq6SMDc;GP>eT~Hb6rAyp6;rP%NbskQm!hjR7xSY z;UUj?28EC|Jj~RL9<~+GYNpv*%```=nXXb=C0osOb$>O}Tv9V#IaZ8*iXWFzBeCS3 z94(CuJY^J#q){3DRxzLOl+ht(tQ9U$Sq2-I3-!dYr@EdN5lYqy>I@)d04W1V84r(< z@bGZK6=5V73QEiyW$;l3AGzR$y*wNRQ3i}MCi+2JH8Nln2xQzAhw8TILQWZMl)*+L zjg1^zNph``*3M=8hoE}AWxV+hB^T#28pVv=HDh%DurI=%~^{>!QA0=d_&cuviQ8N5xLJ!$7I<=|HCUKe2 zL$a4=T+$M`r1e8oHry1tLsrS!>Y{`rAjK1s<1jLWmdl{BNs15SAl@A1fn&SmOjbAG z4(dLVYRwH zP!)BvH*Lc$c(02fX5e`oz_Sg&1EHgzLw^PwGT`8%c7ydAil;>yKG4d@Y2!>zbE^S{ z4~#Mi(5{dKXfA}6)RRc_P#F-)5IGmjc*K1IAlI`DsANFJ1rF)(Lyi~k5@a2m;tb-1lot-=IJ+SIswTE<;> zTszTeP#J8Rqzw3Ezz3+Mze>U3>pA`(WMAQ+G}wFxv~-?+N^7h$7+W%falb76w)+QX zAi*AmT23VbqI2~tdNSGZEt#TyOQveyl4;tvWV(`dQAzr*=xqJ?AbA7+CU}f4QuC}N28Y>RbJQHFsaNV?vA9eGZAqUU9yV4H%V9)5=*zmbLGpo1 zGE%d=BQ@J$sIcFGGvL_mz_}n=$eDulkj{0$b)J?6;tsQh7#g`u-sEu?_vD~4=F~O# z5Sx|CTI?XROv3hY95LsE&FZ&P#0rJCKtu>{W!%gu%wc@&aN|&{b-X!^<74B}OsOqz zw2zHqv-b89($ye&{YrH-HMdtN<#zE-Cxc;Q2HAa*d~b zxxCtRh9-x_>c>@ia8`ynK|xF&U!l?!R52BZwHKCX3I4ho9LMQNe0C=>5FZW!?- z`lo)b!Q}hk!Zpblq_{LJgL=j@>gf+T4kk*&6r2H}3<$BRC=Neu8xb;lpJW7lzXEi0C?n()%|QnOQ?-ZM zH0_}_U3;i$hcr}D4rz|HSKi3vVIOK9_Wqg~tpzT0fGd%s;|eE8#FLDoB+mT=KH3@# zGHz$IFfwjutJodn3MG2(9BTRQ9Bh|=iJXal>wEE1n(j~zOYSPn8|Q2lXlA9gHgWKK>}8PE zxJ6c8rH&V#91lIt$C5PZSO$c~GYHvA=L|12eg*UdnjafBe54i2fKLW|TYET)A z3nQ3dVdQ&v2e=|X-bPAs_nb&#xr?)Pf=fV5xaY)lIExq_CMz+-5DzvQH|j_Y2$@90 z<0%m_i5hN%=~@RFP|4`cT;Px_FeNUiILI-x@yEE2-5-Ra%%5+j17%}Ini*EUMI-OH zOVGot41ut-u3GDyCu^Pa6h}`bHOo`&FJooFG^M{*>g<90iMb{GT(7b_q`OXgi-AV1 zf!ZUVwi_GQ8X+~{(2tQ~(AgCw%Mpv!e!Y5E6jfBqLh7>6W{y$QlbfYTIU1>gyqB;B zG30Ym(uV7OY8RFgt(jb@lDqV8c$K@de5LBD-gJ0iqpRJH+ex+@_R2c9Vw7Cy<~9dP zY0z%B`{CM3M)hQk*UPFjUQzYEYiH4JJUL_yAUSlMyQt^u*3S#B2+^HwIpr|o+>sSn z!IQUP9!}JKB;UBxRScJRIqhxYQtM}{KHlv-@w{iFs{!uPJ+2qv#qV*F?(}PMliea_ zpde=z>!&xXF$Ek10%Z-ge)|^^PKkh_BGU`tJ<}mYGey6po&~} zzVnRJvM8wJ*DYjxY^Q%&4gRkj4GJ z(H$SROf{#l{c_bDccjpHGg2i?2(Hb^K`}il#n%DB&&5}*p!Vf(moSk*w}4L^q$43- zEx}a|h|IEC) zy#Ph8*cG19v<_0!>V+HPFI=)>ymW&a7JXa8H3vv2D6{1#P$5$j&2bPZQ6sMC2+@Uk z8bebWF0lNQ4 zYbegqP(0cBdrST1^0c zu0e7SiCfVt$yXxqlW;nT)t6pS%(&VP3kNt@I^bak2&U55EP)+uX;n*^I4;@9K#p4z zH5z4y!9$LRuEl{HTk8=QGTexyAL^Y7)axQ?616Zx5eNuL*|Ifdo8(0&nv^NZrm>5n zY?*BKw8R&>HG-szBr1UslA1_X#?i%+!9#|NqWHuq4jS>e?`I$<whzmUbCRCT3@ZsVi5^PAyE? zg0@P+fKXMh?k}zwH5uqKqh{EumU&>CFEno zUS~IANNv-?GF#a@(6?{7QX*q2NH46Fdg@Y}dex=Z4N=<;wMjQ?Mi72Nj^hqo4O!Da z@TE5?RkdoC{0mtLK@GsaFoC0y_7xiFb91X#6Hcy%F4gz#Ue)(N??P#haCD){h67ij zI8PGj$TbKPzcM!US?UWgCos~`DjnA@zBUvNgtq>=&ICmh0E!Fmx?yIB#uRq%BXy@z z=CJ^2qXd3BRavSq!SvLPgQ1w7?y8H+8D1TS_6k>e)sWjqF;BINX8;`Sqv$f6N;{W! z*2Jr4YpO6uTg9%@w%x1MT16*iwYg->7|CyZR z433=I6j^sPkWAG;GR=l(CUL|y*d)&38@y2Nj$a^1l8DWomw}{7Tb&hYnoXV7thly0 zt7mL;ma-F(PWafw4FV=D##}Ffn&+LkYcwUj))^vdvB2#sHD6{)U*Hr;6Tu9078tFT zl?)|vJA*nCPB7sKHt`^a6rm;>#)zd;JSMdV?>&dz>L+WgprRW1v0Jb%*sqw!i?81^ z?HsN!O+Uo5+HSMI|KH7cwTp#wv3{O!zEz`05A&8_VYqRZZx)S+8vD@%0@>2`2$Q4Z z{?XsysF9W=}LJ9g!1&r)O-puRaw8kd`uA6N>% zK1N#-HA!46_oubR1HC^jUPiot%ZZgj5a!|w?V_|ht%4USdW{}MQp@291%wqiAS&NB zpHS@r;vpBh*YYBpsbGf09r%Ejm)Pi?pX$&DVpq^71V7lk4KAZqud? zgg^sFYWl#??cHnj1|c-NiYCSWe?02}T?zFx#~ z(OOzq$5zrjJ+vAvEM5(Qa3a*uqbF3s)m7dJC9YX9{)E%#4y>nUgcuJOAY9`v*bkPj z)dZu!0jhpQ=DT5df!)?XKv?Jnghi?sYH2NY0I%N*mJEEN24JcCZ60vFF7A`zBnhL> z3&o9O9fH89jbO_q6Cz3uk!f})8mUb+atF@SWI^HFtKIZTZ(5E?gFvRy?|{w9-NaGH z#1UKr!M_Wel@DBVS|n50)T0XXz>OBkR6(smRhN*(^iuTH@@m8src`xY9Fqs%kwCE0 zjqz96;vZCKxf40Y6oGV(CT+D|i#qsrpxgouNq5kBnOZEGOG3Xqoxjap$9^+)FD^oM zV`1O?)970)Hd)~HF^)5?da>}J1q+8fcz~cCC^(T}K$pX@FX#YR+#3b9UqYwWR2w&b7^m;9$F0I5AaN2!ii-JU?j-0k)o7FU z#--w604mY4x7OXDtu=GzPNKF}sZnHx@Ft!oo{`mPkEc>wrTK#TE&F$ifuFHpF?o;r0<=nk9D3{)A~(AhBZj`rRT!6B zw5YgMhN-_-E9~T2eV=9_AU!xe zKq*cFfrmDzlWnBP3k1sTPi8;}1S-6=Vf(5;!_<31ln!NwI-L>-d{CW2OY>wl3?NrmH=5cBq5wTWNin^OH7LRDA z+{0o&>U6H3Bv`M@_q)Hxu7OeVwAJ7xJA2jlp&0dYpZWrI`Iys;Y(x>3#{NvcBrC5O zNbnly2|^4F{twL7LHyyP=(@15sJ?Dj(VbfYMbV~4KCh{&Dmrk>>Yb}sEw8U@h#pv8 z(-1AXxu~w8sy@0qEX%2jf#r79MVdTC0(gTit>2=r2fU@}U=x*>Ju2EAZmz2eHxohX(c_2_#e`jO3G7`RXZ$l(}AhNM>@1FY)?h6-I(I+-VcJB^X7uI3~0ukJ8!l@Yu z;2m{)!x$*t9d;*jQiJ6p_y&b$h}%qem#!5Lu3Y4Nf)Oi1xoDi&xd}+*e;2 zsovYT2R8uJ`rQEas(N{A-(HmB3qU$w=t78=l@H|?p}4x^obB8l-W9FEHR`I7!bLXb zHIQxm!R_=5&za>xalH3q0b@eYI*y}32UBduG0RwyiyzBaOh3X{NI%&|QLfc>7H6tF z7o8xBX33%xWl>=)&$V6@Ma|LeCq&K5y&cWZaW$@C$Qq`sktM1vV_E()?V|l`)V!uZ zbeg-xMbXwKT2RF}NC)%4Nv^)xdVb02X!{|t)!bTb-dZ3^o1dWv<<^_VL_qG38J7R& z3Wnu-!}a0HCJoHv6o{h@Sc1C&&{%mj;mF>7k)2h08)+$G?Gl8C*3&N_d||MwOO!s( zz#|F?lm^1y@(@2hE?%eS;&n@OcOE(HEam57d#N2BX17Hyo*~ zYfu<@3;{95+JlKTX0Ea^vAQEMFPGJr7G=0KR93~&ZVM+vtX~(T&Lg7PP zrqzg`rgSSVG?!K*uesY0d7>QGlH2gx41LCAPhSpn?%%=d;U6)XM&Pe~tOsH;FF&j6 z!kC(e-Lt2%VfP23;pkXmV28LSEd09(mA2M9Ks zPMXujYaN$rq!=j|RhkPhuECKhuEF{{+Ik7g@CAsj03|lA0CTvML zZM=fktPd*C8cAU-PlAbH;_U|ojXL7Z!kPmk<4?No5GASk2^=1Z#Abd9N?U-^aw zwl{%+w5TOT#4*_h%WCd#IUv`R z{W8h~Eh=enMP^+SSW8e`a-)NJg(#qR@G+@L#(s$`O5Z(!Pg8ygsjCf(zTBh^PT)_m zq^@Y9)D>k*UC|`6=14Ks_m|6oQeTl|9#)~{PKdKT_}3Zh?)iymI*i@~Es;z8OC=gm z?+HXq@ijhf;v+F=W|$6Z>0mxCsNX?rvt?#qB=Pz5S)(W~y5p3wB%jSpcwII4I%8>G z)I7KiWsSMI!gN{nq$MSm*HBD!o{jF{=bC#2Q+SSVB+?XYo*8X_1|^i)fJ|Ga_Eq7i68xTCuouGHr0YX zbqIz0EN=V#vhPXTwabb%)CF3wsc@!3PidB?mQ(dawaiFF;^Y)G;@zrX+>mn8*XCNU ziXxsNU(?>%7whcn5p`VSO=UoRQwzwh8_AL5s=R1>orC`_uq1Tc2mVV#5^~H-!{^W9 zHDVF3cXz{Mq?_MZS6{zrPi2i#(raYlXepIjh;t)>+R|%q0$s2zS#g|3@YrLAO541} zwRLATrc1-N`H4dcnJo>%y3`YGk&E3AC`sLl9}Lp&8$3s%r0l17$_FBlav5RfR49WQ zonVm>&M=nHA~iQl7Onv2)GKQRFn^Jye2CU(>lxE*H*| zh4W?MS+ekK&;k^mLDJ+LE_@Xio+}H_lZCI9g$syqbcp7fL!!0j5ZG)pwC&lVFN&`V z$rEwUk6Yj0`}Dp;?=iEDTZ6{U`Nv|XjO%BV)%NuD_4Hke^@(D0&o*-=e0K24V5#!h zAr}rK&^f$o{oOH9yVkm!jnV7JS=)XWyS=tCCc2f;Yo-3^5w+MC%f&ttYhA52S_Y}s zkkW$qT9~4OAz4a_ey~%zm?Wk2Y5R1D>QvvhEZ0)Lb1A>AIM?z!3@EBvB{X0d%jhQ+ z(PV=gNgHl+nxg|s5wlSpe7a*HKGQJ_e-Lf8mLe>U?bCeKR9628DA6*xHnw6 z+l>S4l?)`HS28|Q6J(sC#N~D?10mPy)B zng)p$l2=cnqyYJQfkEC6vgi=)gIXwrmGF`YmXK@^tuAvL4^I|hKuZ)t%V69*v*u8z zQ5=%%sD>m#+yAwYsp-o;sOjimN%w}UBI8G0N*dDaY34E$5>PiSJLU$(C$;)i#Z za49uw>7e}7YCNnEMSA=!CcgxYS|zsA_fVuusQs*G|7~^{*E_y*Nf8_I(?(&a!&n}o zIlVleBjVKrI7z<{?U$Imc(GfctYprEK7eZ{~2dlh&%@DMCQFsGx;%px@A62zd`Gy^^FBl zRP2o$z`zkvoQ=78!8(c>&7!& zT=O*r7cd7`Utlbf5@V2(1VYkwW7W*M_JL6GHw+bHlEYW7&Eu4~{`J=tEX)m_^6xLz zp=cJ1k{r#N)b?slLPV*gvB#}*Jq&RX5UUqWDu%Ls|`}o4{IBe zp@XJB-JbB*uzF+~;neBmSSD!53Xsf+(T-F`}Rf~tHx1GJlP9(ys1bgMIE)Y z__w}G5rObWD*DA$}XM6PJSbthNH&9kZ3g)5?waTW~NE(c|YYOTs))OySkc< zdqT#NJkdtK3uhX)lF`gCA9IR^PBzE7`?}AIkBGzKBR~Iy^G`gq12^gL^BvI@a)~%R z;yF0kcZyC3Aow3H_42F%pP7DAitrmp|bJd_(G50`Kbc=8-m9A+zTfd0LW;X z3Xpr#EJ=Jz$~Gn2=SbNDa$8Xt$dq3Pf-F_VeN)LH{25 zgy-HbY)gUkgMvCc`nl;n|U6Rqv6gn@m``UwJ(3iCK5=rEJ&*q9;J zaxW^A5+nctGA^fF1;1b;i17d890ix3KIB~cxo^eC8u0FDX4n8O z!Ji55?FG5LEorfjeWJah8TmOyE&P{u6Hv}&XxeQ3AO20rSpxf>DmV9u<7V!0u~u;Y z7+0yUM_MUlv&liDJzZyH;8Js6v(;fh4AAEVV)L3IuBVR?_vIk3~S)v-5|OhR_iWG1DBSJX03 zO4tbpyrQT08^NGa2~O|O8T_@kANx2Xd=A|tn?lfvKKKa#9@Ui3KH)+~$P>K zN0ReZQC1Da?-(mV@KNt2Ed{-2ZK|I2uW?3nR*1I-S+6(csP}ta1-~x+YT5-d@$}j+ z;wg$%w5LBy6+Cu&VnXImo`8_>`@!SKlwUL^q(#)s7o|1gL#IXFSy0F zDK0b2b3gnSmzgz^1tLMFQ@QKcP{+A~$;YIcPE<3qrTS35gT5o_7o*0HQ6^}CML%~Q zT&i?%l0qkIR!K)U!HUw+SV~hhK@eO`Xzvg$a2OEB&Ygq*WT}W5kIei!9w_5@9L?X# zndYR6ZGGYnC`>d^pVVkR`x zSG6lM{}HQIp}&hH&Mj#FU@!C*$eze7jTYMA09e0&hcp!Jj` ze$AY|O}vE(xI`APTHH3w`^Yncb;=)GxgKC79dJ_0daM1{BIUv;G`MR$%3vtZn~ZR2)Xu321})?nz6WH}08ZMheYo1yNBkA6~_6&5y+B zq0U0_2fQx6G#1x|G^fiqaN2`+i_r0;&I!#IYt_ zCtxAuI+5H^3eNd7gQ+jZM77EmeZ^$c!cLrn^ecWtN~y~y*vxILl$z#k)};kLdxkVM zr{Pc_O8ZWMyOEV-AAO-6;x{_=&NE=KqANHh=HccxX?J{Jy_bDiaOQxp#H|6Tk zjFf~Dr1==gs@QU=B^HaGAk`Kr3{<*>M5ylpnFxZp8e-Rza_aEieSw>GieJQaQso`$ znAy~_N>t?MCC_njYC83Pv-oUT{G=5l9fy)+sE4V(<@w%2NU-Cv;V0w4kn6&X9yMna z=pE<4kendXI)(9{>-%zF^iT`-ovmr+TSP*RiJCY1%;UX%KLg~9 zjSZExj|nWHDxKYX@4C0Lp(Z@uNP_f78L-b>QlSa^K{wF8kudL*we9m^g)mkGyNblm z_m{2wFA26*4gW@PgnqW0FSc2ttZb!(Ylck;(-WxBM62AKQfPc2SG*T0Z2XTv-KD|y z7rCNjT$1zE5()V!dCWK*%rg$;anp*dC25=1q&U|qp`N&l z%=wTe$o_0WWz`?|ZBqYE5XI-x?idu*j2)AqD;qJwD*N{hv?m;Ee%d1{bxXrdo@ zROHi7QAK90cjPR(%g~+|iYC%ZH0jv{I?FnG+%oSkF#jeXF8&x> zP{aNye%CCJJ>v|~rrQ4%VH8xJ?@g5VguIu{_a@<nwMNKs1XE6M0+( z8&jDVm_&DT=rCDqw$y$|ayHlCrnU*fCh>r@pUMEBps{3z`BzUIH~&3YfMQq$tpAhq z<=!j4J$RLT4y~RsZXXo0F^%^&Xl*KNkHm49aM=3^%0;>-O=LMJ0 zhY7rUiUH`M?}{+6Wl`rXv5i;7M44qfY0~cZQe_SdnIVBP!~>cyKYXUVH(LVg9NAtj z_4jJ|WG;12pv-w|)%`@rc=AFtI1ykIs0r6BVQjfj;dF~xi8{>#o@de}d z*0`vp#n-Y;N!kHy#~5@{D{LIDn}~cW5LT@+}{Mc)h`k`plY(x@)5@U3#6a(4Drp<9!?YS9S!1Ye5S|!yZK%jNDMh9=BrJXm z;d=-dO=hoc-H6IF^9nC)Kj{QV@4|*1WBje{0!o~RKNG1Xr6$2Y5lu}RVaxcehE`5* zkcv7!4>7F|&bTq99wcEuEeIzyhlb6LVQmEgvFUb(6&XYCjRy&-Z%PlT%5_T&yzY%! zw-+$`M&LFaFS}I&h6d2s_t#fOs`obT*>u;tA9_8&Evc#=I{dgHsk_6wqBVHPiznOI z{)$>fZwG^JzZx3xRKk^H2zk|wK=J+2^U)4zfvR8}eY=mj_JO0*RC)xw8!h&m>xSyR z(t@mWD8Ow(dZ;W$u0FvjjN{3(VsQV(UZ9%`agCkd%enj{&_9AhHeOoM2KXx}h2Lo{HNHe-g4iuvf?;D(t--2Si^2HT8rou?f)^_6>mPhb#` zM!=+Ia0Y>igw3Y0F>^Yd<^lv{n`}WCLX$L)c9T-slW7ubZZOjmXDr=vtDGgd_61vi zC-K^!EZ6=Nx%Q{YwLe|1eYW1uU>-7;CO*$u`z#O-VdhCs+;8UD8^s*1HWkowKe^?s zA&da^)*gW|-!ehUP)36oVtX`{idK&Eu(s?O=V5I*(`WY#j@&qVS|$h?3e?40g9H^r z!59R|{jm^R&4z%5C}_lP(>(%1XfqzR90d18pKEg$Y7q>g8S2gGX(rxBjRahbM4O|Y ziYg!(iEqDrfEN#9E?;j(Vl{mRD|B!I$T0QBGsF@JDyK+hJ^hNE(#~n)n$g#gTpz>m zY0nwgg^b%nQk<9aoAitIJ5=m2I{YK`f{wi(!~!Ag)~`o{Q9XEIY4B7lPBSAY9}Qx- z;w-&!D+~{>EU18H1gNcopy3rT6;hE#=aFc7FsqQ*T6-}MeieF}QQYw$)`~}uIQV8f zOAhgSOg5Es%}@x{bAzS7wBYSg9(^4ep3fR=E9~GQb&*;DDeap>kgSL*^pnzXv`s_%q=*zNWYN^oidT zTcX>~aDGcDCWu7FNv>KFBHvHr_X%c@L-z+_Wwe#UMU6(jwb^=F!FPfNR)^Y;mCJ0R zN#4<6?$~TDy(oImw(m!vU`P9o7-zYn%x}nx84<6)6@8jIVi82k7+P-5lD4vm(pHu& zZDrUmTc@;RPd-0x99?3qnf+Re%-X@VxcvkXX9(+fQEs~@YFMKLWJ$bUNLLX)C$~PZ9Y0kdbo9gu|PDha-V{4r_VcsoXGfT1i zO7kbEl12wFF*+ENahBw+D)*Y?y#gHPaod-KJPr@;3paUv6s5OGU0feUJac>$y*`kt zT0>-cRCz_(cM`ZX>UV1|l(?>}x{zM|RSIv_2GkuiuAlXDd%gVuwZO4m&~?(SBqbc% z;e@_QDo-ck_fxr!A2Ze{&lY0E((^DR)wX1_^}fi&`*5Hp=iQiK$<2t|x*}e437lq% zNz0&|W+>6Ow{4bJQhtt3te*bYxZl{J*1ggul30^endt8MMOV)|bQ)*lR@R`T(~xp5 zV4>}GqzNgL8FV-|03+5cc9ged=5;DIk>zfgY2bAoh&Qx>P%>CLjW8?2lcneHfb^u^ zh=peaPK+5AMzJ`=o2c0EhZ^f5;SJI1>Tu)u%H8#eT?%C_34&z5m2O}-qlIK-+D31z zvNPn~7D*jCLD*gVdP=l_)dAj#z&KS=={e>P&9=)fAY}&g>T*lcR4;f=RMZ?oN08Wp zGSJJ)Vs>`vIB&skUFmedppwXC13L`C=s;M#3sh7nZOb!diwfA zd0$^o-=$a#C<93wyeMNDql``;#5bX2zpF0N6mSdw@?yyP2Bk63@4a)2E9}WdeDB=5 z@1UFZY7QK0$;UaU_JU2uGzy|{LPFCaj!PKLb zZP;2@(^we^Zw>Ex->yfXeR%hCW=MhMGT9%#^(h6^3t@jqYXdAPOQ$p|0Hynvx;xyQ z3oFSP{U%7ehNhn*MS)n$3NfYpPKK~iLl%{uPN`_oX>rC_mS+?ys~)lCCTr*>u%2Bq z_Lhb1O4*Kz>}}@slg7=nj*0Tln5d)TZCr=87Ba;qKF+6OGabx>oR6AwD^7Y!6r1%C za~7<7F;0kEimV7ZV1Q`9Xd^)R!%{Irqi%_9tZS%isvTe2@u|nuf?5#l>N1w*Nppvs z#A9P}66>SmZ4U3(b2u;f6%n;3@dKDyBj9JV&e1BCY^ZE(tkd=?t?j~BUC}zT&pNYt zGTkJn(gc-)q>%ar2BnnZ0;tb^qj08I)?La#C75h@*u#7;&fSC9cLlVTx?2Cslnv>qt@~$P$ ziZanE;S5%+ZgB|Jw#aG`r`l9h64l~uF6ak?bYNM_RtLA-l%tyBjE2<4bJ&(oc7+y+ z-<3igP-&J`Uldv^z=Nb{4P2VuAd5ySy%*A#YEQSz^g`~mRGaWIfq&)A#I8#JO6i6z zyN!*7UcdvevuRJ76YD}AijGf2dLu})mF@`IhST&r&BP&12*K;jMMqyzc}-7mHu>#Bi)3yvQA%sglm2Gw(O5{*%iEZoW}oWN@b+duAL#C`+_VvL$) zf!ObE4mXg0cXeHTU4tt#_;`^LB41Vb9cgac)0GlZ%ag=_F=TNdRZ^seqSCK|;5}tY ziEocu9mWm$J;n0B<^|CcQkON0b^f{dw9BB7bh{kIk5(#T-rMCi1R2ERsWh;f*XfAd zj2^12i)`H6$N)Sn7J;TnW3(!=lL8Z(_Jym!{)1&3rZ5G>CTMvBGDM`dFmW+l14>jrJDPv)t=o6(z3H@}M2g%*vn2Q7J;-{XhIee*0 zCG4Q>XM4;^uXi@{V`iQ#vw_V3Tsof|cY~#juD^vbFaj_6kkCh~hAc3Nfdu>px5Yd_nV@)2<>Su7@2z=Yetd2VA>fUgDxUwnCcx=?#VbaA|z?{RH3HS{? z@pFR`0r4UqKBccD(#IKPz{4L7{T(eWKpG}#bWAe#nc`MLBGyyZmWuD7``bT3EP$QLf4Wk=Q6K`*zQKVM?Ipk8^0lnAHw7L(o zMC9_X$u9_h=G8$q`}%VJm%N+{qU4rhi9n`ESs~jPKKyJkH0lu9eM*|Rv$DQ^S7p_s zqZXNs2#~z`Z3!e-i8R6@6xsnYNx>c|DT1V6wMR0}lJaU0C!X()c67$t6~Y9QbV*_@ zQdRM`-zM=z7Ds!%ZYj{V#2Z|Sm@0AZw8UB;WO?FqKCsrPW16&#>!>a@Ccu1M(ah4{ zbt|+r7ox44QyfzPnktFeZ;!RN+dy${@Y+TW)Q#ZP(~c`JPG1K^d?O2rS*ZPuoT5dE!MxBEUdIb)a`+a4Qz&DE57;z zxjyH>JPnIit=Q4o8IxQ>1X%d3Xj_>v6AGMPFl_u}EW!p@0vj;yi&RFU!=k7eCY=mv zcU0O6QqQERlNnA4CoXur`cDcxdR%G^UlI><3BF2#$V-!T>aZ{9bI3(9Xr)w7qGXp% zMb5dfbsR~lBu_TV+(zhM`2}imsk9Etfj)g?N`B8=y?NVy0v zVDoX7X0PUtfgxd(|FS>&d3om?)QCkw;#mmbfOg=b?MO{e z1t<(ZyAGw#_Lq%Il%i?4rjcej)lhu~ae*p)Kz<;9WF*~p61r?TQ0 z6lgaQi2Ds*;bS=~4yUAZEpe@Zzyi3UmC+AoVd}_+hI9j)axXBD__yI^R`?iQ;d*^P z-4%azQ)XRzb>Gda`^wLmeP89Cef48197`LR7NWOnA1$OOdfG}mBl0UeSithDu=T$~WlFc&{9RsAXZP3qLn7;JIv zqUWp%BmiSlE50PiI%oVFe-z?jR?lyS#o%g?$udwAf3YT`&Rna!p*%}OL*125BY%Ik zS{3OyIi>4olHY-3gS3jE$tNh9FTTjB>Jh_YEC}*_a=^SOPKeS|(l~hygOi{5*HH*g zzpsBRp?(FT$8q4r~lZLlkdyN&&FDg6T@TR%#yQHN|@iS@>zgnPpx zwV0553<(~e_P?Hdm-N3zpW`+O#yF~j)A~)M#m&hgv)SA~iB&(7rRryjRQ*hos-Nkk z`gtD3lcy|2R=#_Yl~1ONgyx3-=Y+=ItO&($mM{Weyeu9p@k5E+F-ra7kep1Xu4Kkr zEBCoTI)U|9^Qo|Ndv!Sg4A_VIr`N!sAgXC+xUsRmt|3)$4xI4GN@}1&v2@d)k{1wH#tQrmr-Sy;3k!KJ`hmZUEL~ z(wB>XxTH!$$U?GFSrREFw{S|y%}POAkg5UoDy?>1M%qxQU8!?fR2ea~O7D6DJxZ!~ zEu#{=o~FwkQ+z01iFnPCrBi8Md&Q-%p|ro0oV_rAI;BkxOw8h=%z!qLKQUXb^+|HA zPnK(a3axeWyurs)_YAfDi+5CDx$S9A!|vNFqV1x&v&WU5ut=1P3S$wX=0!|gV@{E7 z1d_F6D|#vc`^P*S=~PfTB4~pK@qKoQ6gqaf*?CaqxRHUQ*57!Q!rzSYo(fCq);}w!#NI!w> zkQ*TcnrS2l+#d!)?Td9~jmZ$fgk>Ig8_Z0|{5W4{SDP`J-ClYJZfxAU=bkP1V>8TX zV|cu|+#KJc5MOo>30c=b2YqGD=XmqAv9_xDM4+{t&pUX1F1{=>Tt5j7($518^?NIK z-??|szPig{N%M+dkjGf;>K8WpupYyC!S}XH<&NCKV@&4aCOv6%-@d(#k+5`8Lhg+{ zmE$cJcT~?Q442aPIHec?nN_l7EL^c1mLR2&U6M_X)Nm?^sN*aO`SV;p)(r8h)L1sN zS=32jEZ`MwT%Hw;HB6D22O{Byrn04(;GyMtzlMpT6`(ip=z)ik5%?z@ z2TT`h{6@x0n_8s_vWFbQuXTaY=IbF>=4;BV3rO=0Ii4xiA3gG_{_xBLub7m;-MBeM z9n+PC|E_RzU6tB%#~wHuhAVg{>CfD!P)u4T*)s!7{O67t3pmAyOaT~YX)Fr~x#?>v z%kA5Y;QXkWMrQ43Bi0}ifZZD=+x~cjqs|8v99@Bwj_lqYuEseqt8N9OlQ!P=62_4- z%KZC7YVvOgicSQz>}M9x4;!kIIS?^T!G_9`zS+<;iz~8Ukg6jq5pTyImpaik6{Q@z zhOuY{S3#)RmPN91HQi74!KnO~Y$-4-QcjtI1~X}L6>#c)dxoN2q8t0WMx(`LBDzt0 zihIRlo2*k*k4sXQl6K!NsVpN&EN!~z_o+>38A>3FtU$!1(^2x~PbG_V!C{PR_yWb| zn6X%qFmi%O^?|31>+|7}#_^0CO!!5rw1sQ_&T>(9yZDB{FpC~>!B{5Sl}4^q4R;x< zL)g8$ICREXlE=-Fk=-yWIG}>F2VQ?toDu7yZHG_9_6sR+3FRSWl;*@PTSaoW|O!6D=%^9ub<}`v#gqtK1A@Y^t z<Wmi-MJ#=L#l*M>k~vA*aIQ%kMo*tQ@|~iU^bGk=Q5gi#m1m=_rlFEvh`Uj27!}zq&uhYwz560N ztM)ch6;$pgy5NqcqUs?@KWM}&{ovWi(@q{Je3G4mMU5yr1b24I^LEo7bOe9qr-Q*3 z5ds$Y!jpvuunE||W{E=c)`(ei#C%wv;pzVzJc@@sSx0gH?BfxfAF`@({?y}i{|8xx zI6unwpVIf&Z^e`DtcW=$f`@I7Z!2rVjc;Yq)yt1ZqP1J@C~3x>FK4}`Ur4RL#;u&o zqSpV6TmM4V5qE)aae=?r1@1r-pUK*6hN#`Mk8dl*^>b))WyIWb1h-y&{OEez`m`?c zoyWH=D#49bSDA04%*CJZ@~XBfYNGg~3xr}>2SkB>(A=&cKnAz=e+;{-VL$V())ILZ zi?y!2_*3Pz&V;zy`jGhGC2kg3ZqAFm*pBGaqNcfXznQbSq)TjA-^UM+YHPZHmq)i) zz&CA&RGhpq;Lf=rRJ}t;7nFz<>i{8(b@asEiguk5tvqbdGez3HR;o2cbAdF3nLmL+HoRayHCESkE9r&7~H@8z`g zU`}#T-n972Y}!f}RK^s)!|l9j9TXw4^{kLyFU&(25x88G5*1gu@#6@_p!RZj@hbUF z313CV!u&fG14G%DxDoh`)TmNKXAI*3>Xh7BaDvrYAv#oJ-lx_txOTsrCrU0g?fApz z5AFWg$G0DP_8XTTd|8xtf9LR89Wj}b9bTn_OH(K7K~V*YDVebi*zu}-wdm=5kvlER z^Vl;{O|Y-yGToPm^|GrT1+ymQ6N_~{`5tlsN>yIDG9p%?^Mok+`P#+<@F{v{t$62l z34JMJdht#<7=B7NV=Sb9P&%XRXYSIN5UKFFj2&n$UnO3Wi&G9-%87!rlGXJco;es68E#9;qY94TdpOX}5ibAVh5eAa= z%!^iE-!ah)H@P;2AZ3jBb-C1zoE7KJepf@)Xb5Z{(4XDV^EKjwEiFY~I$O3<6xE2* z=rOewjcj27BeZR(1MffD{M5h>sfVM{ifFM>L>pv?W9EU)$j5FrZN|o_tz|c<4>_); z`>1sa-+t*ITg8GV^$|dy-Ca@`zf}w4$L9a0aYLw*USRI0)xwh1jFX+__T%Q#f|XsO z24P99NDc!6&}A$PiA$pMBiqFn56iv2O={1?hFSC@-a|(k2)$wJ?Knq^I2xAu7>z2o zKkMR|R@9eqayO{Eul8)vB!J4xDWIweP#t7u31f`1d=-CDX*ifFr4aHZTJW{mEp^x0 zr#=I7QeN`7h?a=?3bTOt-5WBB^EO1`OxD%!ug(4UOw?ReD3vyttaF_Rw4X1w&^DSO z5xT>EAVKXUO2j(dCD!{bLF8PPrLzr+4J7#BkD%R<_=IS&Q%>LNmhUWT7g2G7)?;F- zey8-nOvH$+&F|8i-QbnoG1aMy$~9S1J6;KdN3x6(I=A2#i=T;<4ZMxt{+iV(^3Dj! zV4%-$xEdAt`^7_IWlW6?eXsTa#OWR2Bf8C^H6772t?k&72I(GKuq#wqk0@#_FKKJX zU0lOA7McY7-(xHf;s16{ZGT60U~HOtSm3JLQ&|(<>By(!#ndzLPn0YF$p5|3qy4YtH0iPs!E+Ysn?!r({R3nR8T02`O>bdW{cLJNB=q z1q6)zxQ&sg$}=Cjxx4Jwtf5BaVob^_ZpqdAVx>{zAo#tb7qsA3K(9)Mu!+sl7=YEp zsY*YeR%ylfrJOT#<9UULeDxxYcGD;Y~hHc$2yu!GRO$2&;*%!EM!+iXC=&f?Si$ z9RtdLM3*mfl_$>#lN+3Hk)Ee&KS_C4`yXnR)R+`DCLJaahscd*TjeF{DUE?ihkj2b zO{boiG(Qul0jF-Vr>le>2$G#SJN*P6=>(r;7bmjK4(|hsyS{3Nr?^3jjC(%8GZhI7 zxmx-VD_-QLNdt#RK1?t=&O?L7Gz-Hy@YX8vW{;R%gTEK(!0hKCfbLf=_Ox*V4CaQJ zUnf4dw&EG^;O+EZ#Top4f<{9Y3FDNDi21Fx&&0c5)LHwx@$S!WU7Mi%y7}UhqO|P{ zy_Mhh4A_|ViZ-NF>@5BSofq@@iupGg*N56_#NzvF#ENA#tt)EH9C6g#Usx+%y}nkg zF!!x7r>~j6TFh?~e{!QZ7N`6qls|y-U%8=H6q}E&F{e@aBfWNy-%%e}hut$@yTlh* zk*)BLXr&`~e`6MO{(plkB>pmD48Q)rpZBUe^f10@!14Q1>ixPsH9L1jt9MrIJ6Jdp z&Rvf3f1v(e-hq-FwgRt`o-71T{_Mo&8(l~zkx66cmCt-vaZ#&+Ml|<=*ntU#u7J>r z$?Qi`D=ny`)G@GjKvwc2=nbZLGRkN3V%+ekE~y+!Q>&gZw#11}u%wogH*hl}oM|?T zxF}0(Q-PE$MI{ZSd@r$yJgJDzqjMjDlr)=jWa(6aluC4D?SLS3os^3t)(T~`O)=SQ zsWCuNBHM-(8&fqddm|J6rDPt@%AWXPOEa^f)x0A%8$21WS!z=JFzGZYK}!bIrkZRj ztIZw~>f~zT^8`Bt0|GzGg#Y=o5GhHGIbVc2#DcTfnA*8qWUR>RpnuA`BUP>`AY1CZ z(kLo3Ri^sN&*CegT3N`Fp!U`cfcy_wvW);VBcsBS zzpF0Nq;@lP)AgZ~{77SE6HUP!CAcU!9tF|{uUhewL{1Nb3dWUyi7+c|lP zBNPw^nHB}Dla`r7>lSD90QS8$(X#%j3!?1Bz8Ck4_7l+4&3FD?G9yn_m{SYPn%CEx zGYiCyr_YPBm)ej{mx@NYYo>xwTLi}Q-nc5%Cz9Wfs?t`C~a3XE$2 z=l_=-h_Wt^1CjJFX^b||G{V)Rp}NjDSZZJd?>NBY!{uG#^>@dFGMu<(ny(q;Fb3qR z@zSru%ju!0ye8#*M@@o%<+$(uN9D={ ztcjhXf~SYM&^UfN%ks>fVzasEcr0e#XDkhxMFlHG^;^dBS<^0NF;NOd6h2~W``2ECFR=0jJ8qFCq$=l z7fxoPhIzlSi#m7{zN!>9RKo-sxrb58WFKJWKfYq1x+oFB#+@cPae7|HO3=yxKil046a*l-z#|e1kX<4U7LZmOe@S#? zLo8RO5N(gi#Z0eERuXDEDZi)IhQS@~GxGff+$tTDDuT$VL`ma}k&^WTRPvY#=8L52 zGs-?M=1bPsxX#C5P=nSV;z*S8KL=m+ziPxxY1;AgJsqlti&FORMlQh?8tx%-4N8`6 z23Cj>cz(Bj}DgWF_LG^v8f4ubUm`qaCH zleR~`|0(<=eLoc)((;y~#9PzUf2LGP;OceD!NMQWkD( z2-n}ySOZ!6{d*x7jH#{oFbz_z?)YNxwNJ0g0m|6#eZb+<3OTh(I_g$d&ZNNq$GQPi zo%j#O?w+2QGO8y~`L1SDi|9}?Z4Cq8m?RVTX;8cSK)A}ug+E@DIu#Enz`7==V@6XU zwDkD@=fo!@qKpekc*J_d9V!wdZXqZdryx;J1uGBlV;}K30Js580LdjkGQyFlNa#2nipm^)t^1fOWBFl)eHBUT(Fq1=~s8oDNthpd@KQ=G0usw^ksKY-KJV95P zcv!so7D~=Hmgb@C8H&#?6XnW+|C&W!8;}NYf^dtaQ;bDdy%iM?!^imoIEo6Cmd_I` z!PR+a7Ue~C?_p%xIs=DR)gb)y`fyG9AUTA_jnlcQ&DNv#J>JaH6#uLqe1(6dO11#! zD#S+ySPE*sc!FEpY`rQevZ0z@H8XZf4Mb}9Uqaa!OdIY`!QF$r8M}{qca(!!?ghjY=ewFPA6Jg0Xym%bme3OlF?{@D4`pxQf&#Gck1gHsgF1x$hmd}z zWj}pd3p_*F1VF3uD9v4_#kP?-hJVQnlUEegH)o+oM+8L4{c((7c$QQqHpSsbGB2{LrfP@yTuWBxVQ;v>!LsmY9X`Y}#kv!2l0nVKA_^C=e%<4v%FAXeKdunqfkl13Shgh+B)x zN1=+tM-3Gsx}Q=Iu{;E-yJQ%34|u8LtFG;_WW@bIup7Efvuf_p}-}&4lYG z(N}|*1p_W~^kdc=>uu~m>&AO!4BbCeKi8VHoUMmJf34N&pkPz)!50zl_*2A z;g~$z#||)LgABgR>!-=UCSCU&Up)YpVr%i(W@~Zo0w)za!bKB95Vomo(9q@e*GFp% zR4&wEzezF8fBZ28G>e0xpr-@0wc?y8Z{LpTtgViIweL95z|HLov;#gIwx=@Rg< z&9P>r&6C_8DY&?h=cM!HmX_{lhp16$zvA-Ys0L|rS?Gh}%!xw?4LH>C_Ak0SJI$KN zoo4o$b&w%rw*9%D4t{YW9)4NqV>KZCwaNMTWuar<^a%rn0Tj_e zUU9#Jgn`o{d1sb~%t=RLC4Hi3rI{@vB8pguSBQ~U}{7f`@;;ftt9E~H^ z&XWJ+HbUb4HzBZ{AGt>;&Yb8nZ;19(uRHX#Xh}6}r&|ucs4_?kiSRbDOGqje5u;w*xuvMkRaeuE%BtF7*>=IkN&_&FL{p%O z%N1pGZfMN`?l>MAUeO+SNaH@8LLIjpTBx}gT__zXA9abV`Ni)mbhI|u$x#EGEWubl zgXf%5a;V530#nu>$%QSx#8m77RqQkp_eeo`AUG30&cy`MT)rW`W2~6Tm(@5TX9%T) zDiW=9XftQ5f$Z6Pjzns6Qnd(~QMNq*?@{51^=Mz8dGI36<+imJaoiKBAwgp9q-{9l z(B)*hoJwcY=rElwbLlMC0$;XPQe1A6a(a=-^(e{caA-#v^)~7^J!w$gM~<#@#)^C( zIrLGk-HR4-ExeA@4o1;c#;U74bw1acciT0AWKiv5dV@W-x(=QPdPg z%_kt_(fi-N}pCtjb&JwpIFB&!ZBp3;;NjJ(nR#`%tNb9x~LMNR}| zk2PnIPaC8~T%53&Toca@r3cV;&n@5<_ zw|Om$hQ>BPTswC*)zvhG5h4N39Eikw#ZQ|n>s?Rl8mjlYF6~U(s94FnUPWN^$Yuoe*pL%oS@yaZ9hv>TuHf&;3P|MU&nwUV2XHF^^bh zw}_(7*0!43tuWn0>6%4)WX&Jwd?%^l{XH3%3M6{}nJbH&#!5`3^`iJy%Z5;3z` zejQRqVqrtvl9A4YLjFH-?*boJRke+u&OjNi77DbWNXkvFZIZTW0xh&n(>C-Lk`!8Q z!z7s`Lno7vnMp5RC=~GaDg|$Nr4_FT2*~S&Rs=)@6s?N1sITG&DR_HdvV)g?!I#r!0a8)}r327=>L-?ATQ z^(UrmMdn(6N_J@E*6a2aWgWft|GLZ*Zk`d{$X{jtSe6EDU9*$AUU-6?-iHdc*_hDMM9p1QYiDgI4mGPDoc_zQA625=@y19QuR{FxV zsnw&%un(r4zX`tS-UqBfz2RWEiO$J7bPb=z3EGGZ?b=C@;E9Np0ixKBzgGMJ)1UR zVlFXKqD%QBx4t@g$kfyv=>9g_La$3biG^5WsGYbZdBoH`N?78NefBq@dm55=jDNpg z#EHwvgEu2C)L#L?Nd@dssr_xp`vhl3p2y2vf&!NwjS*Ojla(jF7>-(&Q1Lzd19g#gL&1aO?!6kc_uaIdYpLjkHpkT z{Ih*Z{r2st+fpl+rOr4vb>^JZS(sd%P_OpxX0S@8Uc$e!jw?_zh6swt3@l8uj6zCP78=z4eGR46YlqOQu}FN0Raa^Pd*F|LIsxj`lqdi>ErcZ}|aKCR_T)~Sr{t31F_Wn?Cw?G#XlgU!=~E{^gWVujPh@b; zgN*hdJER4~O~shfi@SX0QA=iQN}PXG!?rzp{=}0|rre1GPbQ`;b@dwM!Obu_A42Sf zD(xrzgcs1rzZy#ICqYjlIcPzLjs}rUa{Tt>5s8z=N!BR!*zVNoJMogA|3dk4Kob7< z$Ga{PD!o}>88NOj{=!e<%Bjh-Q;((I=g#PmeoELY3ig9lN`C(8KVW&TCY8Q__n$}i zr0TZ4m^warNQriV;I_T5O_P zB8`xO#$(eCPU||TW(iV|FDQuq+?mR4nt5}LrD1a4!+hv?6sXhSB*}_B%}5cY9W{z z6Kc8m$0eTvQP=-v@@cPmF$tuONuo;lB)^X{Oh%E2k|Tz8Zi6P?CORgGsN|ugJ9cl~ zbJJa^@>aajjl*w=Y>1x?ScE@fjB|D$Nzf#U<^58$Dw(Y`!(8(j7 z#(i7lz?zdLCVNx8bCVNipUP_|KAo6_LzfcgO-$Z)b*eWxWAD=PWs{D_Yh&+MJHDdAvaw&c~5vAk*_UVM^?%ac^yW1s^y6eAETlT=>-@rd+B4f<;Sx5dV zwd;my;xxp^-&NjJ{a*dXH>Q~{vL8~w-Z@Wvk+;d(n3Cd)tWoKA;pKw=o%>|<#nrl$ zan8YFwioQ(Z0p>U=^^Hvr*&nDx$gfh6%Hw=Uw5OmyK2wJE?;n_S$!L*Rvr3`Mnl)^ z@CeD}b0!QWb~;Oaj$AgtwKzjv9R_YWpn_nY8KuOo>_|fmvtmQ>UpN!gtrEC<_c9+w zUL@X@qvBS!?-(=%)(#G@=^Gv_52bs{1v&8w?nFk;#S=JkD(?qfGIi4~*&vD3P3-^V z`Y7U|DX9~c@C5zsBcHo1H3OmN5^Q1FF2|}RrXS0&N#cLJwQFr+>fwKSKu*uQ3;*r> z+0J|K{ORbJz_8 zZN*ppy>nNpcBg(ucRzIJT|3c&(cO3MMkU{rXgG4$i*{|NB&K%mOdj?$8h~n^*f%l< zlk#<3afq`L6K~zJ3uh~CxpVhJqoaN6v@U-@Q{3hinT>6G;Lf`eEr+j7&A1CJ=WMd| zg}B(P;i&D1`^1qUF8et4-?Va~7!%7i9F-i=c-a;>5fmPgn*DXHh|CsjauQeASrb#+ z%Ud2$>ifq(zWtB-EtcBm48k_G6>Hk7r|;2%H1lxHmDq3d^=&wR_S#eNj>TSVO~2_U0z+ll(4 z@NQ4MBCQsnY+0UrWa4zJb<3}ljt09#a$(}c%F4vKsq=N}o7{~{Nzlt5eHmBMcJ?Oi z?8M{--%ST%Z~JPknRFfZgG(e`-o5A6J=mSKM^eB^{U>pn&4Fd&0W*D;-o_v2*pKn4 z^^ZR>lG?U*w>0gp#NvsGMaN=GHzX$i;^zW<5m_dZ{RzA)TU-oQRo)P+dzaQdajEPI z)S2I9P|=@Ynwva$Hsrf4^~J<#6HZLNZYpA?ZC_8+PPhmE-L1qi9hjV$cy62(_lfbA z)kar*5$|cbNAkT%{YNs;$<%Z5Z{l=o>ni+6jQ=P8m6Iw{Z5SjF<~LF|?&-ewwUv46 z%a7P?55T6ttU^POl{zP3&rO#=BBJ z8Kjy0Z=yLTl8@A@HuX$%s*GnDw~wTzY~AxIm#~VlL$k2`726NXU*EaKb{C47*!JMQ z?vlM4^`pC?)}#0>F}V7C>o6N7F<4xc>f$#YlD4CO6y< zwdtLL{e5;Tsx(oyWA3usB`x>$DRp~Z*gkj93tyiC+dR7cu5Fj3PE4KqM;d8KK_vZ* zmw>TlDJ)a4N|O}2J88f1qR#t$Jz1QcJaqO6(<>`MD0B`E%uaM-hYy}AI$kXM@pxei z_5!mV_%n9>8ylH^JZ>CPtk;Y~TH{a{tVA=n!^92n!xHzn0WK^b8(&;1kC9+kP-=BK zb#tO_BF@G~Vsw=-Ox?dveuswmN&8Cjk0#0Y+azDrpzi0&xXE8e>Y-E_ue#j2XC$?2 z&+ik{j>ays^3KYSneOqAXqCdk(Z+G(Mt5(5TBJ^Y%i}siBt>p!!)!;B{H7T;i@~7= z+-E)TQjXR2f7*sTN^0VCY}@Xem%3v&GU*dF>v002o`m-}qNKg-1d0luM+S%Sc)|Zx z{5&>D{{N8(=|1C(X|n?J#%T&o8?$;mwzxP#!!>!=4?gsbG6sll4JW~6ohLZ&n*#UBEwJdhpE zbyq70%p`#8kdK>x9qNOnVe(vwTT;{?Za(F1IE)%p-HAp7H@a)C?YOtPW9xOkSr;l0 zTwXKTcQKv=Q@0wHlJjzzzua6{-ZrTm^ zds7*E|0izSibq`fQrD-jbpy6sAL(6-+0!@Vhb)B5!J3DDm*;p%|@i(lAw?)@)vvxlx_DRb zOMCl@_H^^omU+w5%`297wyf$*&s)AA-PXRMt);!QwWY)BA1s#A#eA+in;y!Qy8FGM z+`4QYyKXmRy)?`{-d_RZI4BQHd6xWvl`r5-mvm32gtEnc4rJDeUxKSb;hEvSqL*%6 zk*-hI!TM@7y|m_fM6WaX!9w#gKF(pQzUWk`D%kGl?+a@nE>=GqO_3q_Ub zP!7IOb}gfh*kGcqRF{voTTw+%jkENUH5J8}R3vUF3!5R7i}%T3Cv{tUOK0cm^um?P zn>$-qEKjGcL#OxP1tsgO_1Zw|W@%!kr1fTpYhP2*^0^{dqL;OswDIhx!QNi%*Y<`o zCA1w<6GduU(Y!Q0uYFOvb9GxwdijdwEy7po)|<~jUHUWm-gK|jR9<-Fbq@|_A@0m@ z$*Dx9yiW6j5=}t6y350$&6fuXo`qtrlZ2k~l{RVN*86?gf_iS~gm!&8Eg?>0y5tpy zyG{IvQdB1!W*{78zQ6o`E^I533aG>k~Y+M|rnk!!qSd1wd`eNj8H%FeTKjTk52CHvN)a2SohRZ=asC?ZG0F!b_0p6ym*!~7K;9A59KI`w4jED;P0SFW=%-2**W zRgH{{J#4bWEe_ytY_s{cV-B>E9#VoGW4M528Vl!5^~`l#9hJhSL}xXRJ9}! zjvS)eHR-fOu^R>pW~Z!+WK7zKfNjBdsR-0;2q7Tk(*skd15J8b%?17niTsu^9~hyi zAT*?xZ9{Gw4+bDUtkEcU(ejlw&2@D(v!>3RT3d70K&f2F&Y_OsXJ)v&e-0)cXEn^I zIjgVctlqZPX8a4q>0WadMt(^x&RLMKYMJyT6)BCuhKL&VHHCouGzLZcFoa7RM6oK; zNe|^Q#${Zp!fCHdd-M(}TRxrX>4Ehq3QuQ>C@mY&=sz{u6*YvY^t+u@L+$CsDe7r8 z4HT6=*REBOn&KY4SZ~&(Kb!08H;GGy&=mn`ica92Yj9j}SUpfN5JVYcF%05<`i3)L zLbs${IEb;BD`@e<*-VdiAqRtXJ)2k=QKf!3ORGODId0A8MK_1akp-I;!jZ!Bpf}cJ z;5tmB(N)qSsHhD!Gu6e+zz~LX(Ni(0A@`YZaf#yTY?#0k!TI!Kl!BL7AvNs6bg=76 zC`Qp)J?-n5VWL_XaJ*!$Hjj;mUSmv_F~|y~{CYQ;L12IYp`Ec(NaG4pNFz-i{oIQ15@ zpz>;%A>v1|l)A_kp@fcjpe#)UYF1aP;|ob(rakM;dd4(Lp~^vT*n^pCe^tBG!3mKK zDlvIVoi;BCVh4BcK10QgpC61*=|B*fbb7`p!`_f?k1J=kJmpMqPcN4IF73Y)5 z`{M%tP*MZn#-5BTbczMQGUlu1LzInz4h|4szO6go4EtTmHru0W3x@{>Ix)_$tnNCt zs)ZU4!*8sC#;AtVwL@@75%tvBpL+W<-Tut5KQlxAXK1jPliVK`%qV%0<8}ZX*Y~N?nu4P;y2X9D`+yAr1^!ije^i-JZ)7;6X|y%%3D+v7uyN zi{&m+Bm_a-7>XEi7H*p)px6?&YARdpcT-+bZyh(R0&SLR+E8yHtsSl5vQ}M`SVxDcUclj-Y3oHi(huE|Xivw1 zv-}nYX1a0^g=l(=S0m%%5VYT%+rUs6-U%BE;1*+U>dg;gq9XB4U^s}8#t6dcdm;dz z{|b)7wiT_I7`KDDOma0)L^ln~gbOna)e)H?&@{?dzuT%>j7oecrbBF6Xhu+(nS+T0)tF#_$aM}EVTwpp z=IZJ)VZWu>)>V4bm{9=5@mWL*Q%rMW`b5oN7H4s)1U1~N^nDJYQVzi%V-7VS7}VGT zqHZ>=v6qZWpUWOBm(pU8UGf1l2jB&-*=-e6&oQBgZ2BOxiWB}Ay!RHTi?n#p=<0%*x zD`B@9M8>LCxnQ$Jl{Ee0k<{7Zs1Vvlhd-W>M_iyB^fks zL~6^V>ZZR%4kk)Watp=uTC6a@1R`bOn=fl@hyl--&U~-MS?dekHphm>x%7`nNP4w8 ztL}lFU^)(Tet&BdE}z3K%R4DxQsAAj1ks~u3QT#@ki~k)KxRrlC3Q)zAXk-G)rVIH z9@AXufif}>2$DS5F*shy<5eSA(X{~xpYfAQUSd^xB2BjNT6H8yd(|!a9HM>A=3!4% zTkW?{!U<*8(=p>}gbtx+B^-l$aGplPY;#7!tr!ZDI_52FTMFYGrBBXRz#@xr6LCUd zRvnbxP0bG2W#~LCi8?WxG3%gIe<#Mq?4D)^z||)Q@E6;pQ)$y$%^ScFO6&pEu<$Vh z}P^E!6m9W>M8%PHA@J*}8DuN}$&;V^;=#CE|; z2jGujtMPFX1Lg3+V`)JApK=NC8cegr|Ty zWrz6SV&&LBn+f{p$J`qz$%Vq2C%!<^#8R5f#{5U)K?q_1WkONat?DiN;CNB4)ZbR| zW8jZ=ASEuYky9O=LfAOAuj)26qKGar$WpYfdLL8V0X>PSYeiYA*HJxxPqf4FNxudN z{2gAs5V%i!uzF2;7@XzCh$|Xq1XA@no%h$n`f0vSMajqy1=d}bwJg&$mjx{swl=o} zs%7_dl)EaN#DY01ob8kONmb$%I%fj987GLoR_`OKmIPYqV_RuwM8(>X#j9NJf=gHj~>F4hk+0-Y1IRGF<_XF?kWfo~4L-f;`7w_qSxeO(p%e zM->u5#!@(nD-8ghDp;(V%9yZb_{79ZT!cv7TZv{2F@50kdk#O z$9m;*l#vfyWNYwVfeO5!5)?PP>iWBL@1)>oTTvv$ab_EKSTfrp><)c=+(T^CSL*kj za6c`U798RFU};508r-^ky)FIu>t>e5SKNGe2)(2$)}|rg(t3mfIGJ8%=NNVQv_Gcy zFC=5}cv1U2Givi&m(OdLWn@|%cnVF`7uR5nlwiI(RyH@cbaX_w*(woGkTDf)UCN$_ zxS~dh`4G56U5b>k5h>vLvOw2bSfWd``w(!D78PJ{ud90S?a02l*}XzuX>d)pKo!+s zh@uX(jmpnU;Hr@$DvYpLs%+XFeW&Pl^+utVI`%{n`!Apn&Ed$5(d*TS4WnX+y(9}8 zl|@J_4fVjbAkudfC4RVwoRYo|)O3%o@Szl>exEu?}ovjO3V}BX8j%k{uTJ7o$ zY-G_}*t)#6W3gBRjl*O@?wSV=<1`nW*oN_12EWfsuV|BX@($GJsxsbEP;_ZHET!Oy zm4b+?c-1hLhjSx%)Tba0%foU#(yXA6#$b|-tg}^7t=Jgx%6fX*m)ihyzzZ?KfgJK0=ISV33cdx?#?cp_5Gqva*J0nTF}I z%%QVPZ4@lE-7*hz3V#Xy3n*L|Dl7S7eB>J@FxYY{->S1{8O25+Qe()md_g;Uh)wqj zn5S>xs)0@ql{L&I;;HpW-OAq$f$BuDLAAuGslA8Z$%-0M9azp}W@EiCcY*>dIRTmb z`{r$8;U(pe!$HNu1amS%Iyciu&lly9d9~V9SIA-n`8Un5>eR>5r0I1YzpQs(Hee_q z_gkz4ubSPKw1KZyBBFIFsP$Nv#P%2&TDcIt%Sej{b33H3u`*j^q1!!bj8+?hlsu?mSv6YQ_^{j=qqMHR@tbq zsCp$d9xHdv8}5@EIbtw8*7*vt5rZj8pdjAjz&7rwYF%JiRJX?}=%-Pjj}XO)wMPz1 zV-~Wn5Xh{kG%$oM61H7SEox=!mRyB!5pD%WoWBHX5!o)#9Y9h&zxY93xq#|mKw&Wm zE79Uf5fjLYA*v`P+a(MalpD>#iW8fVE~TeC!H~V&rpZSdq$-ebjN8k!-?1Y1LZ1}UvYC{pO27}D)PxD8A{%wRdrR|U*4j(4NL{~P#4W$3jxP*El z-i?D;oxTaib)j9U&{wBmnx6}>=McI`_TW`!kHTl{g?-#NcE;#qDi@>fZ$>CsDOT2o zx-=)CyBqk`SD7lcb`z^uMfY6_E9uvuFO@XND|6Tw%mloe!)^zc56ia z#;|~f`^gq!q!jxBO0o9CzRId)kW!G)-4q&UzT^@F13Y-irrSYs!#o{ySZD~`RW@xw7ZJFCtgCfm|zReaQb*`t8j7>hp%Gnqb)@m3l9u>d)Wbx8X1^x01 z-WL)NF1E-XB5+1t3865n&B~3v#&V6=3;_1rAPSKkf(VPT_N}{_HPn%n5=>!m;P3jP zBnz+zi@h4Mo{(N!%w9EJ7XACNkb*ybvh5i8Y{f9S^`))?f|l&a!ogv!SP_lUC2lMu zN?zW_hMO{Up};DnHIZfoIN%ly=(GYdhTKmL5^;4ca?RMRheabZj}3^id4d2P5pfuML=a`EUp3y zNX~x&wB22rk@9o3P+jk=Fq24qEH8xI3c8dE$-}VBM|JZw7c#gJ)~!}#Ra0~@_^aV` zGC~zq^Zm5u8dqYG)>T{Qq|!Hm%>W|R5N$v>sCk7+hh}2Xr0PmIR8#h43zxB!Haobe zgE1(+`1-IjQ(O}$iCrf+iWu7#wZ*t1QIZQyIDwSZsu^be*Pgn{A#QVVie!l0Y}3=l zKFgF=Td&;XO08eOel$rbZT$i)`pGr}EX{@3OkWVkXu4y>#>^vVv=a%U@^BV5W1+IYFFB2P3c2k9sj3@G`_B|Hh471R*Cp&`e zMMzEJi%k1qa`+X_3S0LoxJ?8lfgzR{^B#E|!e^5)cmg#tO{^v@d2CRU>5w=S_kBH{Zs}^qPBd&B$(Fi{cmx9!x(8|yL(RVrJw045=$^DP zh7GoRVw=<$5?x~E1xa0rxEU%9kz8-)4mcP-+cT#7p=7(5ZYC=B=Xy&Wh@k?_W3VAp z?q>OCf&8=FTU@^|JzsKIwhg_m0r6)*c0)3cfVo;O$+VvJmZeh)4FZw2#WOIH-I1uR z*(pJA6S)g?kg-%IR)S3?u`2`9bd&gWwp|1iZllb`v6P0UdE&OYRVv+u86cjR!mJR@ zp^SXH|DDvm^s;5?j+V~!!lf%a7N;+6XViai zpTSbOSPG+Zv3fxVsg85eI3%N&%Y$Ie{x0_SFp|^@?3x=dvUpaunm}12Sx-k^gb*+-FQ%Zdb85CaiMS4+>mqTI>6TuwEs7)Ef zWK|4vrVE=kWs%Lz2sK?S0;X&=kEqhJ>IJN8FZLayNBJnH?5u(Zf~KKa*lb-~3z6Af zPI%~8pB<6FNcZ<*aKrA}1`>I9D{zb0bgLrjYbzyb+0~-5m07y`K0~sNa2QzBk{zfs z5TTa5-s$zT8fIDHs1(`BK04f{boG_n%Ce!AypP2^ZieU{th3aiXsX&iH=E?7)ANa7hTESiO%VG?)sU~+1nDys zg7$K?qQSn@4dKIHZ(aSY3W?sJBCoWr0%_lj5rxVV+s(Bu){*#un#kk96()MY_@c^A z8Qs^h0#>flNnoU*LN|6@W7jkK1&=Su_Vv)vx3NP5pp{X%R4U+_j$j0d9(Hb}ewFHJ z8?{v3-Hw-A$p$Z{DHZw(H(#$>4cLp!7mK|^ETWgx=v97yC$)&-z}Tv<G0yb%kScX;WqXrK%7~9){eS%2GMhFa1x~49np?GW`a?_ik zA9kPa7@_c**WNH=>5Aox>Kc4k7Yg67 z>c}G%s2v>opk!XH+bydmz2f_AoI;N%>dY+6(~kQ=URw4R$%Y1%uHC6mjc`xQSiGl< zRqIMHYj@aiu!qVS?&--${<}$IlP>>-0qpNa{sdz|qIoePcs2^5HKGM9*IT1255#qq z2GVQsLOuUvI9aeE7}5{+bB%%c{fO*n-C! zS`nLt%XB!rFyj3#Jkyayf`0_28mtiK%@gtH>> zrgObt$`T6M7p&gTSBmQd^)k3Y1cj${OPII@JA?Iht$-sE8*7DP+gPPgzhEQE=|!s9 z)!a`i^Wwl32km1&12_dK5B<>%S1U`7NKxUhJZOX}yXV`6vnDij0+cNvTuDUXx#!v- z=;ZArAJE8T_?&}FjA1_?aVbF%X{ zS5%vG0#s6kijBl3(|{OE*U=wkM0_f8J$4|_1de$*tBbNFcdHnpD%Oc)D}1Md5If?2im!54vpp*$6i8-=N4!j|`wW+uQ0;N%6jdwg`)W!hSsT=rUTWH30$*ae-(UC!1{Zc}xdjqj^qB(QUt$piNGHfHFEe8kUxyF|4H1 zBXYq1_ zWt^&^mJULM0CeJODn5+oHb%15ZNie{B|jYvDxLuxrvuxjR#E|+Hip;fVuyjyK&@q9 zK#e4@%vNvX{JJu065hT^6yzp{2O(7?b_RpOUzV6k9F!z!Ou}M=NK+a_Ld7^~_6+BJ zAWiFUX&R#s4=#keL+Y1yDJ}6(kSJcNjHlpm(wZ81-%+Jjur)%OuLcr}BkA5AS!a=5 z;40K21|M=`i2U^@4ldEZT5UV_#P|QUA6PEa2^SKKk^wvAtpu) zYu=4xNswRkU%!CC+i#&6r}PaoiTWW(-4} z0TEv|^kaf6)L6x$mS(j_ZMmFoqS$aZdlQO^9WRN;RV2*{|hT>QCaYhVR1TNiH zp4_dPW9Ps5S(wl`&M2^egkfu{b7?10wL|b$J~1*2&7vZ%xL$O!^ph|X>PYgEOS1z~ zctnhf%}$GPWows-1D8yQZbEY%BC|VR&Dgl8a_Jr2B{vdWGsB(b>gVK&(FRDKxPT?1 zb_RN1$2N8;Ik&F`!GuWay94X3=q15eJhv#oEk#H}08eWI&uw5=qc zi#dB}J74T_JG&7@1eThrv5Px-3tM=>8fIFX&6)_A<}YNK3Zak&*JXLwpl?97=ExVk zkty#Dtn0?1j|#~-aGq753zjvzZV2bq$=wW%5ZEA9k*-WjaD)xGbob-287zom|1F+; z)~9U-oI6IGs1uo9j8Qlouf1hay1lNJf9v?So`0wF?+pH(iRVkCFj*2oXw1!YUN5w( zPa;-{LQw&JT_bZm{FH~vyD{c*v0IlLrTG{Jnnj0nEUgKNz{SGBRJFz=SDePbP@RQ1 z|8e2c&J`Cf)EYInTTNRQE_IjM@idt}52#{O6bH1(4OUC*6E#O+99yzht`| zf><2V2MMBN>u8ahNW@LZ#9C^akosrxpSQ~X&Tr%2Rq)`LoT%Z$4sNX4N`GR%H3f_W zFup-uHU14wVe1gD_tk6hssznszM$2ZFIa{CLY=HjS!3y8?1_}lUpFw|WZt^`!ixJ* z?Z)tD93ax|{5rH3&wxNv!4kTLGh?I!AbAroyvGY@fb!Xjt+{xqs^1!)TlG8 zosC;aU7CSwdJre(IADz-HYio5Af;s}A6GP1lP_H|{8Z$P_NPfLg|55Gb;MX0d5}g$ zGjkF)!9BrgnhYm{+d!~*<3=(EZIbFX*(1EX(HL#OOB>9`hQDf3<*^`ZkRaa*5 zyRM>vE0!);Hm_rea4As{lsDzLpjDJCkA$0cKX%KgsfR~%gWM=a`1}Oepc10Mkc`ex zky)3}AB9S9>rM(cwe23n`R_&K^}GAag*AAh8)5b^9@A4&$g(S=!x7(j#T|c9vK4f3 zsVl*gV_QmC<`Mfjn7bgH3+a59PG^YS?5lTy*_ zFB&8dFX07&)s~5{*{-|}pK_O#H`Zo@u@(iRtv1RDj{e=EL&DATE=QH4=dJdg&u^X*sLmtG{HUDIU?zF z`_k5B>E-yRwG$6;+VN+I*ELIFE(D1cIkqI-leaO$g$aG`*>+3fG*4{Gz_hV}&eJNPYjhRTvE0J3CvKwO9>JngJea zhGfRsOIxL-RVt`1N1EyC)X_Pwd5N}P_x!M?^E#{m_<8IIGdC)`kR%}R)mOwOXDwss zyCzbg)+<!P+6-RI*TdW7Lds3S}z&LB&ikAZQt1gCOgLkc!^ zA{s;jic@e^@v7f}{Xh@$fjzB*j17d)$QlHC9)c969Xf!JNyYf#X_n&8M7t^?v)HwI zqH^$p$tj2hrYTwrJ4zM`h!cR#?ZZ^z^k|v!TJo6Tb&wGWWa}K;A&(h3Z8S%$+jIco z#C4>)WW$^;b}8#1H`7bibXYU0oYJ|?G`9K7cV=|87ff{-j|T+IM6*R>2LkD_!WS6t(4k*o4@ zIXXspNoa01pQ6(i$iQ-~>uhUpT|vI~T4A(Q4xCmKY5_dP6}Dy-x^Yq)#)5ij<<9pI zyBla3ENv)3TmiY8Vy+9`7-N~lx{XoOSWzrwAD%nGktPfGL5JnkXD$w7K@kE=%k#c+ z9<%-u?ovyyFqXB9VO-XFrx6(8j5c9cX|vp?n|5T=8TnZDD#8L+-n3I2Sy!SjXgQO3@7 z_)GQ8uduNqpnZ~1wA1uFvC?iA4k{(gMa_JBbMW{DUe4=lpjJt?^+Y#92D@Z@&1m{> zRHae&zD+?s#?p)0=l+{y9wOwD>GsiDY*m$vR5&CV)j^XY4Q;vzM=Y+uww()0cSSdX zviB?J;6V@E%Dr7N3J}VgzwT@2JYfIE&MraefiYinNZ1okPLr4-YK1{vk z81X>s3;SIB$w$tB#Y&dWn%QbCcGuB7mGUx zGBE?f0kL_nZl?bpQ7fby;q^G&SbtUSVC{W^*BKy=(npLu(1o)R*LFjrhOLS+k+3~} z&@0o%U@#~Jt%QqFVck|V6XRL#~RY`efmto#mhTN zXebiPzSpkRO%MERp3%}aB4Uw+IUL849hPTDMImH044Dx8D-DwZB%(%^5Yhj|%a?%l zEL9TR0FAQqgIl|ncGb1CbdPkkj8ywdkHQ2ar1J6fh~zfF7;g!)_f%*sp{h-(2aQ-S z;uE|`2TeqO21JLJg%nYh6%A?aX~F(Vjc#0mwXRm>sJ(;~ERuNGE8T;XclS2YF_;*zK?G0<%*v4t zfRu|v@go(h$|P=}~&ip8|ZjRVzc(4Y|6q&}p_D|4h(9WCukI%@HX2ft-= zwFSSSu3_2hU|n4q9$tN&f5?d$HlH)uL zqPKrdT31&K_rsJDtl?|AXNC;lmUhwALbeY{J7+I@@jMR~pJ;+TIq zuWZ<&OrL+&^AgcjomRnbcnuOzK%FsfK=v43ZA2AJ?D9|! zO1Kv9el=%jZ%9h!=O5WQh0f5>u#KU&nwT72Cq}?B0 zvfi=fSVW+F=-4ECTXoK+H7N46_O}^yw7E10BOC}Y&Bdt|*6U(r{-wyvoQ_0Z6;B=2 z{g8NRu*-u#^TpKF*(AGJVoNm2HxA>y-9SsD3lmxp2z2LV2%Jjqf13GKk(*lm*TgZe z{!^L)-!3n?^;@&3$r)?yo7`4hmmUBPjTn)s(rax}cg2B^^g4^IY9Jb_s=)XMjgqH@ zq0V^6uAHO?V-cvW&ESd%K@mB=t>UG1q6Z5ip@nHreO=^ORU-j=X<1Y^>yTUGV_A$4 zY<*@ZtMQgR0Mv`Ez32=qD;4A{bi6fDCQJS}nEqUyiFXy&ZAu|EQA;=`oKilRbZIn& z9}-H%MO?n{r64c=F;zzhxVQF5Y%c9dU))ie#n={Q$P7#$3P2Eq?auOyw~F6*YLxXa zXq(K_#0W{1;C!V<)w`#|z_zSgC*Z#A9J*|Qj2@Y5j0w+P!~IAWXLVN`YJ$mE*gW&m zYrtx#ouZ#ABaL$?l|XN72V1~qvd4Z8na0-Dc~_MOp(N(p?3LctxsbkJ635J`gNaBh z+W6zU#pP|`Yb6YlzzToG%(H*@;UO^5kBAJ=^Sx-L?246XFy8-wQ{xNhbQHo^x~Veb z(eZ-z3x(3_;;~G_>~0Yf4s`9qa8S#FWg#p#b|c|n(_#3i>LA66jHDxjZia^`iuihU zwHnhG-NFLDlZ7~6lP@&S0z)km(_nt1k~pcDap!{PEp1)2yk$WekNKo^Q2|xJZcRx7 zV1l3Z>go{4x;L%U-}wVk+Z1l5So#Oa7EA|ZvMf{E>Ktq>&T)p6Y$B#HFq3CAvzjqt zwy2Vp<29S&kE}(-3Nn^dfjvCHgrG#~hKZv_#09DN7(0PlY5qnZ0<6KWb3;>=YAz}; zD+*5J{3Ex1FY#kGGJX`v$je-uWON22$s3&l_DYyq^bn|93;mu+&7%e~8pNQvnmgIs z43vqg*JM`~NW5tIfy$&@t0PZQgbc0|qzcAOXicE6sVod5i;qiq2SQFxW{@q!c-IiJ zuyBdi_E3%{6wrt?mV%;AoZ6BvHJ? zBv_`Yk#r$4M##pNGJU~cW)RDHiTKsth}#{&3Mf|HV9Q>Ayr-XM_!rMB=qJm+_N)5u zZ}Gl+`FsMewwKS=^f_H zjl^H)ZN7f|m;#dC1aI?AQTXBB+$T?opg+P}9Enf#+9L5Iy>~?7M|r!xAI1M@&)X4& zPx7AqVH7^ud-g|B_%UPZEx{EaaKr?K*0A-;vU<1+ybi7NL5;NzhGTMEYK2;489b7JV1lYaAH zu-v{F`nQq3<|?C~WbC~U#n5jj{ewlLKbiDDj-me@>D$UiKbiF76MJv(=8<51j*Fq6 z30&l}d2NvY@)-Iw>1*C<^v=1gi=qDy(hqGidgoj|9YcRV>7Tv9=#OH1e-uOSP9($D=+u>R{~=$-y-{78`h z`(o(tA^!;;?I5_U+^jFzn=Fr@hfgO+P{!gUevCZgRe;sx}bUj`F?${pWe|!vmEpX|_9S;WSTVv?EfQ$S;pEUu`Ht~DC z#7Bwu5-*Ye6;Bv{PCC5zkbX(8@mWmzkC1*Y>0N()33yb$e24Vjlg8hT$LC|{|4I6n zzHjs{Vw;?b=I`v!OFN9-$^Y~idKVA8^h2X}_VBzI`gY*syh+}Rj7#xTKbI*EX+33p z+_)Zz;qxxi&y|6OkF%?{#n9hJ`i(n{-s$0!G4xIj6P_`8Cx>6e(EpzNH~-Y=U7T~! zfu?VF6L)-01RmAD=a9bkzl@LT-_96%=dZUs8!UHC488N$Tb?(1rw=#8(7S$l=>?;A z@x#Yr=)VkH#@Dki8oi4Lz8^#XThibCYom8|a{q&(<>Tl#{x(Q|LJYl&AFlZCApLnU z^ykOmoiTVHaA|Mt{}_L#w;N;VZzjF>lF>VT_(BZ5>#v$W7`^MSM`P%p0WS9H@w?4Y z?9tKTdA}yU{T{)Sj_p;T~^?P#+{Yvt` z`<0*^3NiF=kHK#tpV~hgA2+V=iJ^D?qUJ9~@BHjTG4xN9{}q2VdS~DMCx+hHjl2IA ztPi>*Qf|(kzeIXx$BvAlKLfb*mnVS)KF-c8h@tO{!F$MOV-gM*A18;m#?apwgKv$& zzfAsD9ANyN+`b<}KT3MMhp`b*p7&AUY9FRqeVm^BC-EBMF3$Wu@wvnu|6eIS+50W?gqYUZ2ZloU zUqN~&&trj0Jx?C7`nY*Y9qBic-r1`q#J3Q4^~@4~khqiQ)x@6-mHT1hUahs)@&6L> z8sg3$JxP2naTi~`K>P~guH11LIMS~DKW^>%6#eFrz(o!lN$>2*RN`BRJ9#cv9JQl7 zYbef3N&hVAUB8SF_v)-&Zl1oGcn$Fr$>(_=6!l1qBc}JQBhWCH`0lKZ5voL--`(J3{zz#GeY`ClKEi!rw&vXCeG7;?IWg zdg9NA@L9xP4B@kh|2BlrBmPndUr79qA$$q&D0^}laM8DyzhwHhj{Q4CK0^)GFRmZo zNqh_ODWv}-`RsVo_uZB3Q?_I5Ub)ChH z_jd!AdS3HqwwL98jQH<~e}nS*GWiT~UB>ZwSn)|-Ez5-&(a(<+pX|L#9MePnxcStU zxz=8g>F598i_~ZJE0iZ2@E7v&o;pS89G`<>FlC)0Mcj?kHvo^4b3N%Ng!nuH10{SW zhxp6}z0~LKzgc~bW_?;>_+Ju(_mR(}e;S`tXjn&L=r;kE`n(}jpPLkiUv4(JIs0~d z44<#Y;NK#j&n+}Q2#56Z^B8&;H%?w;^aw}w^XnM;zmxwYpMr^e9F1}@{JZK=_tIQ^`Qp?CZrTyFFr)6bRrpuge*qjz!m#u$1xf7#q_^v>UX zG=|>Abv2zq{`bVte*?Ja;b&KwhCBKHfcTe)Bb?LEbHpDa{s!vp{}BJL)yC)Jq<{TP z#hbPkJE`sZZbK9C74-pu^LK|BU!%;>{Vu-%k7_;L?vZ%Mn_Zi^gt1|h_B_FtH{k)g-AI%y4O~h{}{S~Blam6=Df991&-%msH z-=yD2dKZTufKW#2e>ZWE>8FPH4&u&EHW7b`xNC1a@tRd8=PBf~26)tXSs#PHNBKyvdhZ{hj}Mm3S?2 z=NAr#KtyhHiM#$fo%j{R-MDTB9#w9e(ogp0vRxq3&t(qZb&3JR3l9HT5PzG)pEaE1 z-iL@U2;rXtE^>SM!$x@#<+e@fkw?my9Pm>=PmzA~BSzm${eOYM5k$wsBTHgS8ZA0&Pv z@yCEi_16!TezMp9tjXE=@n0xD$!iP64}T*4M$*qU_v!7Afg}F$!RLbgbrkVOLij1f zA0zI{olbm5h<-ltr$YDzz@^`>zuWrpDz-O6`e#Xh3-QZ{Z+zbB>F`1Fd6|3))Q9Vp z9(mMW>qplwx03$K`>fn^XrI4C`nC}Llf;LJJAe9u;*-3&l(TU|8Vz_|FI{G@N(9F7I4w?A<{eh&;~rJKC4N8_mJ^- z_I8-~4&tu8?^b-WS2Jqzcl~}V@l%OAIeeM;nIZgf;-sR zvxfL)(r+aFNyI--{BGiIp7k*C9mEk%=;x=zUkcIx5qMNT{zK^}c~^wy1>?~`Sr_XM z;S-4uh47PsiyV$gS|0eDtk2oRe@6U0lz$iT-2O)If#B;AL^l>H`W-RE#&hm@n0za$=((0FQ>PcD*YsHBjo`1p`X97 zK0|M_`Z)d*vGGIXxsLQs&reev^9a(raW|j%7UD3!`niPogT$SGFA?8C-08!6iN8eL zjnmH&pK!I+)6qXnyq380gFhqQ7Q$a9J{00J0g5X9vYEKE1E&KQJ-KDB$-kcZFrV~y zlitbmQsO&8d`5`BMBK@FGw}%Dxu*b9YGJ))3zk(yI@U|He%whk2fT9s(}% zFR!xtU&@O94!HE!v!p-Q$h{M$82u+MHTt!r?*K0JHP;#cI?{iX_!Y$G5PzKb7UHXk zzf9cYzSeeE?g_^K3gXj66A`0qMU${BNHze2@h^O#CnNjqm|!5I#R6{<-%V;mHPj zFB1R$bqPgiF1^HwR?o}MHwN#)y0v^J67QI6e6GiS3;CQ%d>P~3TMYK*5`UKZe4G{I zT}piA>x}>3SXQX z{=KZ{FNxp#Ph;>LaqlFP!yCV2z-L*{r9?|sr3l*oVl$uf>6dqW|6G6lHk`LB*LKAR}FI^u~34LHXx zd-I9^reGCueqjai+3c@(u-q*17cSUekv9?FK>S zcHv9J-?ZE+@)YSGBYpt$_Wi_VS|t7c_aB)YzCrwN#5-;>0bEVHaSS?6=nMB*xvvs$ zB;GXD%H2pli-CLI*yj{3A^k2sPLjkv5BXe0{JX69^_24s#J4|X^(-2>w}trqvJn)Y z3yFV$_{TqBc$xS%;&;Dl_5T6!CyBp**yxwqAMZKhCvP<1V$%PW_z$|Qy$gvSeX7al zj-|%m(bp1xcEtEhr(P{4{>mKVGM|Lx|IFBk$C@2R&E_{ z_!RLEc*cK(_52#~n-4Gr{N@36;k(3tbCJ=%i}b%B{?u;`c$5>!SBM|^N#k<}{my}> znH&bGZ*M1`V~C%0yVcX#+v&i^d5E@lK=FK^R?>fFs|oC7%I#9(UtM6tf8#hR5Z`gD z3E-W?-%b3Q*^~qEPZ0m`2dqBahUncxe8qnlALlO~B>u+7jQ*Xh>ko-P_XDFpmi2sr z_{GN=e!bo1jXT}s(|Ll`$LY!8#Aj?Z!tav)OyZNejs98o*KFcX?z9458E+L@M*QG$ zM*lO`Gf(_0v_B^je;e_0RvMqx9Csfi{`SpAIExAHJBW{`;dJ$Xl=!E=Z0&vZV7qS@ z@psd4{hICi9q}o&d-t+F2cBW_X_{hu+K3-Vd;*_`a`U5;i0}A})&I{2+70Ise@Grc zz~>NS=XDa_k9qQLBloT%e!>4S;9$GWJDzyB-1ifI<}PD=DD~>o#Bck9;SaIB4-@}% zhXE<#PZ6K?W23)=_4zgNch9$eoJ#z!#LuMvy_R_DOq1LDuQOoJ!S?q!;vfCJ@lUay zO~iM<%kbyPXCd+LPyyde{Bq(CzuxG}n9Mu;AQqB%biJl;#{M9f%>zU`0d9TJ*RozCB!Fl zK6QiL=H-cB!SUth<5v^E1!14hkv#weqvpt{)LUmiVLR8J`~#Ka=<`A2Ptj6$^>!7xnWy#1CU0 zwUK;w5ubaowd+{>Mg zyO;Q+%M5V!e4Kchd9&@T=kvfNuk{%74`k!LMEV~xj_TlrLu*Yw55Cvx^P-av@!elE z;8xN%5-+p<7ZG1Zd|c7wjJ1WPILyq}}%^;=f&L z4P8Mwf0_6%YK*b-gFA?SeTvERL#*es#Ji^%{aX6#J;c|1!SG+Qe~+#+`Q-9epYM_n z4&YF|+Mj;M#raE!AI8MVZ8QW!#Fubf-%UQ(5^ozY{_iLLKH}vI44+Q?OT=rwU=@_- z0p#--@q;*@z0P3om&D&oyotD1Z}q=$f8&4oA$H+t;%|A%@Y5)VGl~EG7?UT&rJp&( zCw$N3CeJI%XBqKq!Wf*zae5W;uW_C-(~9wKApW;O12~=YK1lo#<_Fxq^m~b)exA`^ zd#IKBP2vkV?oKh-+eQ4;k6Ark{a+&f`A-<1ceDOU2wd#O1&m{_CZ9JEe}QpbC0|PX zFI$a&2kEYc{G+3kmk|ATP|!a4o? zkodHVjll-m)#r$xw8ZL@r2PLuJVyih68TJ;VRE>ShW~r)$J2?wgYtZt^*o>Wd)SYI zts;5y*+~4T%dNnN$me~;J2@X|C;oBbKl!`yN7`RMUm^a( zTdcs@v>Q(m{~X7c%WwRX_#7@o*Kqv4Zl=j$&Sl0Q?nXbS693D04S$gM4C41NvD!;H zFC~8OJB;4>my3v(9Dcncl?DI6@ z|6OPGKaKKfA%1npPhCpiGP=V%9VRN@q6#F0#{PszDoRq zXPF?MB>o8TYnK}1|DgW=l=usr7d%1w-x1%yIB+rh<$zfxpSw6tT^|1R#6QBk;tJM( z7V+nqS9}xkX5w%AgfadR@ym(-B^3V*5kHLMr4qjpf6KkbK=zl*XEX6vIF7clU3U^M zFJnisT~81{>dyw8XWirdhWI0#kGS~o@5H|rnok{y08jFw>zEfMd+#{lVuvR(aQFf1 zGmH59yR5+Z9M{W<@0YfYa^o~j{Nhg==U-cMy>-OYL9RUv<|m3TX!&+Q`p zy~KaY`Qzu0whNCC{{j=YpJT&z5#K<6v5|a!OMKRGMtCCe{o%Mp4&VQw0d71VL;R8< z>lf!Y&m?~5g@(_g+~yEJjOz<oOZz?vuoSGSkZa0n7ab@h7jf`tKn9pNUUdY=q8#9d)+J=O@g!|Hf|f zP9g4{Y8BjhtT8%|`19{J!s}S>MZ}lhY4!OU%PkWB!9hmo{M!47_nct#_4Kn_h%e-N z2i%H&?j?RI{bQE+Bg9WX$?EeL*5?`G7jhgqKEEbj;QVeC`TULe6YRi;h#!XdTlD9y zP`>MU;?FbwTul12i2vYK8(-HmKAcbd8;cF6x%5^OKjrgQf0{GzEsnn&jDQcq4E=nF zc;{bDZZ{Y^ZyWKyGJpO_(*KZnJ;(Jiq#q@|k^bc((*K?KGV0p`;>W=di5$-Sis{4C zv>SEA8@SGRBk30qFK@K^uVT3u5})&k@wxUoyP==>shD zB>ouJt&S&t8}Ugqt)4D^_$u+%b;kb&>h1T4=R^6KQR1IvKH2sA_YmhC0Xc-@qWj_B z1rNswuY%7JtegMOAy~MN!V@sO!Y4fc`ahVM2p*oFu55|sb2KJ6LLXiidzAIOHWas9 z2E`Ei@Vd_w)bnuo-xovs7G}rPzeqmeb)vQy`pc$Sx#2uXZw&ogV(=F*uBHCr@m@aF z@bJ3K5C%?^{1;I^;W+b_m~y`mgEzrnOMSv|$S~p@!NYOaqcME`6OL8r!+EeD$I#bO zp5Z*zuRvepS3rIrI??!t_X)hJ{15Toce~lEwKkl*N#_au*v~Y|KkOIkW9VOq!S6WJ z>J!cQZC;yvLLj${8E!)F!zn$U;+^7y%C z-<}WIw@JXG^t_gKHN3B2D(Gvxv7hsSNAbBV2LBB8Hk{8a#L!O$@JG%td4|`^?yEOEoPT=&d}_S0pIyMC$&qNhwwV)EZU`ssIPq7deeW>K7{kMf1uqC=iyI4yI``$ ze9qPO9^yUvceBqhzxuf#Mh-dldpN%8jiG;Q41RMAem3qpnH-^1&G?<9SA zedn(+^?3{ZLU{kxwe;8F{d*H)>Uk&Y6JBS0l72S44tq)rpR;4|#f(qF>w|4E^#4PD z6yE=K6x$Wv57`sL=gl$rjWPHqWAI;5&f)!LH_(2D=Vg~OJ`Cp@zsR^QoCmuvrrf_$ zZsB#whhylUj=?80z76kdno9oR_1psWA-sP5TnzuaX!pYVNnVMe|32+%IB#=MbM!cR zV+=k!27j7yNH{;gnf(&Z>%Kxg5APpX5yQV5_yo*%!t10(+WGMQljGR$;XLgi_{hAe zCiGn4J7dcICH+n~um0&6`me>{4=a9%_lvjhr}ot4Ex$&&h1WZtiQ)6R82s|n%%6t$ zcfA@ze-Py#-uLnk`i1a5#w#e#aK8Ej^qb*z&qEeieI{_-5!? zCzzgu_vbgp(4WHb9^SXM4D=Hs_E9XOeumfgpJMzOUT?kpM5|AD-+pIIefA@L_&I_G z+Kupf;?XgDaxwUQj8DS*NscET-VgJZ7(PpAAHw@WKNLg1jrtJY?>fpnQh0yw?J<0= zWc(T4Pcn}339s8e5X0wDr5}5q{10O2i{u|(hxPErjAE(W+dH)z?^42xZSblByidA> zH~!*X4tSd!-d{54UVYw!*B%zrnKIrEjTaT<@j|kmsk0i8LW(59tD_4!ydq~fvp$VC zU=QO>S;LuuY`UjBFo0KB;f2SQUwCZ`-u~?;?anvjg$|``v%RZs;qc%LQsm&6qVqnczhv1(qAb zqp_i37DyLmOQdTp^bW?-)(fp`&cFa(_jf@#TaIr_Z8ZHwcuh)M<@LI;rA@E*OJd)} z&1#b3+U4~tXmx;|Ev#aDZ)QWKne(Ag+2ObH0rc4fNP#MQWAmekk=1(X`^1sjltb_|w>yJLH| zv9_vWzMJOf3Yp>cF(ozCs(z0tsdZ`W4RzJ==P#w4%a>XUOR~d-Y`z$Cb7SL7(QO(c z-W@~RG;^jXs|mVF+MS<|l6tCuzVb(3H3vp`i5}h%1H%a`UDRJDJiKG3um-QoDdq5z z;UHBSFRMzg&*D{w<)I_q zz&o44OPp9N-U~U5_gkUD(KfZIwl?O%bQxqYIP&K6YGb?eOVN<(@2CnjHo}5C<+1*V z6LG`zT2Qh(_DTv5VlG7NV1-iE+kVX(?u#jg86{4vc)i-dUFV|O}Rtkz16*hVXMOADq>_fb>W{P=&b2HYJ zkzPR{3P0GE&y;!xhX-00=&%^#Pl+8OTy?{AF_qv|xoxk$Q#u923$i1*?kr1)WZNjV zp-O2?UDNmB&Knz=qAM%vYn3&9*P*#LFW59)s=*GrXc(^wuegFJm_DU)sd;c{{n#6- z=w5wLgVdEpS8wSY+^ff&HN)pIsQnq63rL(*93lX{%`|WGK%x^(Y z6gO-d>V0l-nZC|Ajfx6Q%anB0}hxKZ#XK0w^6Lk2Fij7hpaZ+f2 znmUK!2-QOAkA1sb4m_oe~QKfZV zQAMJ(2i{Xc9cT~fDw6jN)xFkq)(l^Chv0JW#A<+0no?EDvUbWeYSGe8yj8Y5oDB#( zaD{?jS-@M9d+4G?IMxamMQ8y~`+-a;Ry}4-7p0exvkq^sE+RNtST1x+kTOqRy;n3F zvX|@N@B9QR5G~(XV)t6Rd%(ug!ci<)+^$uo^EU@VM0|V&h-RwFMC~Yd8F6eg8?>1w zFINSh+%$qKmvVU&uiv|Eo|VoO5j|yk)*CvI#k*GJJ&=f<2csKX-`Fr~dZZX|rLjKt z$}BG{rYoK6u~+*-*KtErL);CBnXRIzUMZWln~UoUakZLNJ0phGENFj}gzFn;O`jQe zWkxKwnX&gY#5HEttk^4!GrXKkC({zXWJ-8hF*Gx#QjIfa#a+RKNnXiXE~ZO^csZ-c zwy`m;o0{t5n$QF{6D_KySWz|2h`nbqwV-g$(`y*sQ;sy@7Hbzsq_2E_XG11x)kg@&dVMfhmlM)357&@VkcgdMoI*-}0M8pM|b$RyIa(#>GjPy`$T{iEBh^XcorIMuOZK~;V&T2U3LT?OC z`rJTYx~trq#_OXoe53jY_2+OY4QIL$7=Vu7G_jS#sNRt6;SiK7P&iB`v%_9VUhthC z?CW#i_3E(RW)}(A2rLivU^w=8-B-y3OkTpvca_^^qb>Ri;&sd!@m_kP@)u3+!YNQ3 z?9Un#3*O8wZyko&DD>u}W!b_=x;xX|pY@R6>p^C3#0X)%@aEG3M$-T+4G6Ux`ZF35 zb}XJ(m+l7_p`mgLz6_YQ*o(q@F6FUhGk)6_@ z6HHJo#MD)aaZySYioCC}&KE|577jObw`OeOifyd*1+{W}cEB{pUp~NvNM$Ks#99{A zVpawEwn2H9u6f(arIvNsZn|<6g9Wx)1$kZY^m-U}2t8Mlys97#GpdMqm~=n>amvE5 zSO#W~POCtR*IVP&)WzILHjj5xXNOH^k!vXRM~kZ@#s7BSlLd88XL)H%-Kx7|f=a2Q=FIUIc*xUz*+ zkQXNFcM)-#7C5Rhu>aBRYHY$3CHf9jKk5Re$8}byc9ZC{SO)a{kaSroYi|k{HG)nT z6u^bbZ$EXwRF+|_{0iV{ib;%##7rYFs4@Ab83+R)GRY@8<&IUJ88L3BX(rOCkdOyyp+H~w3mn=G3#Gxf zXl{QQ8PsAPNoy~Id>wpSA-fi#2VnT9Og>wL-`1bebwCt@+z%rA!Gc=mhz!FlOwOVj z+cXPxw2sT0Q0QV6%jfY@@$SJMysEx{V8MCj9!DAh*}xL9x!}XG9fUvET!=KT!h-Y| zu+jBvoE{zgHZ{(OX;I_M2Cp|;>hAv+3W*8fn;M&9#$yvyBvPJDF|&>)iN3ps;39Rs zj^6}9g8M3o%ChnUbETd^By6+2nR1?H)zOICM9^#9MFyZO!CVV2>!$x-X;%{)*-?Za zA^a(VKjqr>~`cm3(Otu)24)+kKc&+j$s z23X%P?3PyluzBhJnU4bBtQF=Q<=}sUs2%uTIx{%kBT}pe&3nzu@!Z7EeUHY;QqV_u zkG2WX;1Sj}4cG2$-`op(w{}BNWi?*79hTG4yAS0Pa7qBa+z5GVo*mvU{34HRnr0P4 zQl0gDI+&T4S#E4@WXhZ&jZnH!r&Oqk@iXgDiN@oUM&E8M)q1GFJE0B4M+b*_n~=N4Fj?=~-}TM`#2 z+TJB$MV$MpYoQ5|D>9owSC1`jQg!N$^R8S0tcXk-QwzQ9RWYbbqOkIo|%HgDbU1q3#n#SyTY34@nwpsSX{loQ0IBa2NV z9H7}B+F2(Gx@wJ5Gqhp6b(PgGcH<80WI-D%8@h2Q%OhB0AE;OV{-f7?scJ8H^u%8djoKwA0CKa15V_DROXR zWP+t2!fv>J>zS~3!!+QH-8(TERgYW)cS|iuSS)!-zi}7wF~p+Bu=KHaA=wh;R4XL`#oRboTxpt(wzCPT&OvsgoL_iE zAU{Qh;M{P)DyR~cC9vfs>z&VWLM+tPc&o)tvF~AjInKiMgWFfP55nzh*Y55eg`@4O z2YW$gWz1xXRH{6$4l%tmcO*o6s&QnLlrUSwQcggX^$t$uQ(|ztHfP25)I#AX;sOFP z(XQd1y|Q4J)u$~XxlU-YAgrM=(HwVq}V>fBmw z7$1SY(ELQ`*qhCelp_>6?#&rfg9kqeNTUjZxMQizlB6EUBj{BjfuFx?SGKa~u?S>| z>rL(l#7$TEyGto^1uCf_qr7mc!PV{{KeZ46J50_v72Xyfp+wUp5qtHSsG-er;Mbo& ztWtcRr()V-rb)FGH{J$0m1thE?M0Sdx$(tpXJKgHCdF0}J21k|Sqr;Nlp7Xf$UKA6 zRxq6(H%M}@TnWH@wrEad2WZ+!oMY*;hC5Fw(pwb;KYocwzN7icGB{)*I^tJA6PJS9 z(=&Ak^D|={TPv8-Y_gamOaQZ1B0M@OkFJH?v?2Kmx(>01$f{#JUkK{LO2KxT>l%^h z7W*&J$xIsqO`jt7e~ z;1KquVzU694C|2+2$FQDq{$j;)RKP0hlR;*qs(N?sYKz}PI00jwdOB>O&R zjqhLqOo{od3)Yua;7DK}>@1?MOKoZ^DB*9!OCh!;Qn4APi0E=v{g4ojZtj>IWHa_m$(C#88DZqaRAPn@=nh z9IXlx!*?yxdEl;$ps_le!-r-z zVjyYcIJ6*%k~Ur#sut{T9(9w!ZBd1s+E}0;OtA7a6$9aZqVw zVbfb6slZfs#19XUR~U|&SQz@2r^K@=W2;VU*jXUC)y-s;#~7TtuSku~C6`_2q7xSP zR<&ycKVYTlrcq?(o*H&dk9dJQYKBKgma^nrj4q>rFNY9VWuWYVY9emNw<{% z4f_QOG>st(Sa^62LPlGjN_u6yNDwG8idHESMllP=!kaG5vr^^zpeB38XzGF_Ap!-8 zHkD}alx~WBOJY^NEhW;cf+^x#%Do!qOZK`5YeHPwoi3PyN3plr(A%9C7K2%@99r0l zUOgj1f}|_-a`@%t(3#>J5QDy%a=vX?m`8MhqDGwT$cM3;6iuu4hc zmT3p&mJ}{+$T-?eEfZ~{^kZDFbQhMKzZ{vR<@qGvdQi1Y81p#=j}F)6Kwgd9q8rZ};+8qP5KV@*WR*m5JDj|q^(4kU8mh?hr0jGpwyldY}m2m4od!g^!9 zfgGa2jKTY~(iT^FT#`r_ZkBGrx?uXWc|I0XqMz1|PA3cpPV=%FnN-tBJ~*Rl5+an3 zh>Jw&(yD-sY0}x;4E?;*8b>2s&Tt0XMvAV5sHXNQvsKdJ-C zLo&EU#aQ{$SeD#W?Y)&F^AO4vURUK2JI)Ztp(aWnLD7#pqiI^HoRHS_dAyNU$+{dv z=d>r2p?!aobdboenDX3ML+G5ff@TM%@J2E{i^2{89uYOB?meC^ru_DY2QM`!9`=uJ zV#D^=1R$)ymjoQO+DMye(??tyLnR2PaGin9bTX9@x`TDJ-Ps8j8yi`l)JZ|PAq`F#35@Hd-r4K7O$s)j9((m1J3qT%i ztGm>3pF2OlK9P3KK#_@MYc#vtX?5 zqC%(;+W3aGTAHd&qpV-Vk0?FNWVFy1rOLX&q=MsulJ*6)X5>)fC0T@2`^JaBuuz zWL-Y{tf)O8i3P{3D01m&Bx<~R%?*sWyg6e*syeJMK1JGF*2vFC^Hv)rmR-sdzk-R_ zYaEXk4ROuBijxDm6L-APJs$%ylM+lLPjD#9@9`x!0nf1cw%E|FrpTLY2q!jh**Koy zR?a{hvL7~P6QNb32V+iN1as0wCw`}(`bL;cApDw8919%?Uairf16(F^4ucxHSPckV z)}Td-@C)kjf`Vhf^K>2cdOM&o>yO&)8P?S9;NbN98dUO21CQP*_5WOc2EZS}-y_z^ zEAP__FTZ5Ll{f8^?cztE|82%jopC8Z$UT%F{ z@7WEzcKAC-s4sKmDlQ`+q~vZ?hY?dN;!VO}s~mA1_}v0LS0Q@$wn8 zeJ6r{5$|*2$IG|mj=$}l$uE~W{#KoJalvQa-xTi;*5)!Gt&um2t%#N+?U;JtiqEk(e`_5OZPfxmF7?Y;bnH?*=k{~sB=uiuuz zdwKgwV<3aSV5Jv7#synA{EPOx@Lv9#!V!I{7Q_&fZe!5pK58Uf215B_15t4)5h3D)7bc{{B|s?dKAU zUL4NLKUd)Wcl_S^ox=aAfYgf%mHW4G&%S*AZ`pgfU-`Ylzf^e%VHN)GfaUxvY=ZZB zD!i#Pw9pUR^5f+@(X~9Ve}6OhwTc39IB(0}nXT6G*;9Yf@qco`HUI;tw*7Ps{I4J5 z&X#3gV}t0E-ennoU$I)p=8t^&vjTPX)%0n4hu>i__$vK&|N1$B|HVToihmC8<<||q zXkZurI{Ca@aed>D!+9O=U3YjL%eMy#KMh*@6pAl`Nu2L||Db+z-qDu&|G8Hc{*QZc X?J}*%UaR2u9{+*>9#jyn+-Cm)=_XRuw27&^KNDu`zfFJ^5L=g~!VHp^ZNLT_9mk>gLup}WQfV?7+fF`t~ zaYx*6M+J>Lpkh!Vpr`>+QBfmqJ%SoH1XTFWsimtDXWrlYJ>Q?-@C@B``&89Ew{G29 zx~H=wFltz%m>8e_H1-YjF;#V;l%%K&E8|aBXFgwwub;0O{_pJTB(Q$(%D+$I-)Jg? z`sni|iZtusm6Uho^^|wJ3b{U(NwF`boe<-{L~+sx|MOCr%2%fH>0=rJ_~>J-O!}JO z$nQ2*9N~C|6#Mj%SboyJEt<;nise$FhR45;7cieb>U?96Pf?J7|KtC7b$zU=FPdGd z6hD1bbOg6HD5EjH!!J$uonP_N6O)tjCp~{Sv31rTJAKWsezGg8$invud|BUd{AR>- zi|O1s$aMU&j!jBdH)(W!>pQyl3O0?8Z{$0%P3+*LTS|t;=eBMfe<*fFVoYpo=jN-H z#;ouqp6rW_N%3{`#l@!hu7k(;V&c=zNKEYD^G)^nE=dd|J>K-W!AUSetE3KPEgC0Y z9)DbHi+1psu3wiN-?Yu(CS^^NVyFv0SK^zA?__-WK@s9J4gXJ9=QGrihg^JT<6D65 zTzm`hosX~nEYK%7UXAa6@Lg=lu2tty+_x0pQhb-;yBy!^@wGoUAW^0SD{#CK-w?hx z<6D96ZTQ}W?@D}E;mgmx^5DB)9Us7PHNKDF`zXGT31d#P?Zz`FS4S zm+@VX?*@Ed!Iz&`@!f>)W_;hkSAVwPWUESS$8m?gfaCl4*5JDf-w*KRXE(kdi!+~Z z$osF)T6@9CSv#9v`D6QObAMfP(a+y>xNh3msdHcSPrNGk$_swUe)`=NyN?9cop(*v z!D*jAT=vS_!Dl`{Fh4Zo@0YLJ-FrpxyH%Bs7WD0T?7U@9J-cJe$6NO}#rK`oZtZIi z4($2JONXkq-g5B815;`apSStCr=QFVpL4=<+b-;R?~xDvPv5on@hjeKT%58l-~IH{ z?;e{t;pm*;q9K6~4>Uii=_hxzogLr&=WBlX3o z=$BSAZ)vpZo#S8m>_5+))_vg1Pd&UL?$kxERjlan$it_d{8rrw_ivaw?dE|S_m6(* zhLX7lq0=XGGdhge|JI{Jt4{g!+ykMc8#^p{c=LCc583$jt=D|}UEi{uO+L)M<(028 zMxA`wobwO0JeD!1;h34b$M)E|d3^7V{bp|a z?D3Kj-}Za4?HlWMt$#E4P=4nrb@4S%eRtYdr?^|&p8e4FU9HmBw#@tDDpp- z?TH5m=D?7jQX!hPG&lynk>C*Dc9)Dt5hrq-=UGGcn z(7tF+?z`u085Df?$&oR;ef|ATMu*RPw%`Bzkx_XoWBxp{`0foo`&1>>sb-IOn2UJU zI>MD)1dB-WnZQPp??NDoB!44{ygW+1pCEuoDyMCW$nq1KN0xsarThz{l(RMpJ_dXf zBiQHrDELRB$d5#+_q8bY>=~t;=cCy3LMR%^ZmCi1lN&{!U!%x7M3FCwB5xbTZe64B zIx9*!KSklSFpAw6=OfwSFvihH^4?MOY#c?O>?r!DMd>fcqLecV^>)Iy;U^bM=Ae~D0YsG5{H*Y;Wa3Vd}b8+z-F{V3*V7H5vcLeb5$;ID8J$xA@(f< ze|{4DQl9)46vJmJC-e9;6Moymmpnwu6HzWdH;DFX;agGy06ycy|2|)_s`rHxP!T@g zV*SDomw&AH{%um9Z8DqhGhv4ozS~uOzkv@wJyg9vjFa__Q24(U{st}_@aYS?^YgpH z_k3C6KT^C(aqR?OJKr}SbQL&Nj1Oxwq0c6@(6~h5n<-vQ&ClDaoHM%1a+)Sc`7Tw? zr+s8OI~D#irDuGZls_clzAIGwj(uM0*-7bno|51Dh?Ji%556_Z-`-y)1%6e2jVeF! zDQSlR(1V|YP>*)_c(9aDlVTsMqx}6{Qt*$w?7Kke|K$x*&vO+%q{>N;lR%BXU$x`9 z3DOR<7e5D;p1W2`JulLJg7%O1o#fl5{7RzX7s{S@x0B_xkq6%`iq{D@%YvtyYcYhO!W z;w%MJ4G_Of1Wx0jW?`x#PxL>2drD8Gg8#1xtTd#RT1KgymT z&6I+9svlhq_1KQjUMBI=l--6azQvq4;d7q6>^n)d?`=;?`2tn%O$z_$I4O@+<$SH| zaQO?;4m*`Tt(E>ezLA0zs{FOeo}a!e<@;4RjaB(gmHl#OwBYS=s;2v!(v;O0)X*0!DoBs5BVI zZ+?0zyA>oVnU?1t3yByODH!*PY5PRjm|seX4*X7lw@e$u(Ycv^+(SWcUVWC1Ny zdp)k?^HxdugUHX%9>r_SKAApVmA_Z_ql=~DOO>8`l|Ju&EP;O_&CevjSZ_`vS^n9o z9XBicWS=ALut4D_07iVPu`>U|N}o?uIS;G$8YD0KURL((qWm*W$v;!}9CwS}qf&m2tln3u@TW0A;q$nx-gkn<<*wk!GO52U<>k{?v{c3mn3+McVG{#$m)a*tE( zyI0|NsP@wMM5sr5ChqPkGN|Ik9xC-;r6wR(s|)ujUSm&?yr#%%e8q}ajPjG=O3rp; zIp<|ayzWQw7~d!_QTprnR;Ai~ce&)N+iRpM=bTBhoJDGU+>Rv6Szjb8+^gb4j*2I1 z)VQF>qf?art5my>R(d|7$~lpnAS+jE&<@n|mp@c%;v;~E^MLE7{ z1%h88M88{W#!HW zVn|_5R#DCn2^&_JKWF^a1yCh3Yu>yZ=&ev=b7tpc&C@VnK|YF}o>P=LB|m?5W`03Y zZhoGxc;4)soB|X%Hm4{nH%}Gmo0BsqyWnbwhvyV2*@(Pp`Lc}cxtRrp`O|V|=jKh% z%*mq}ruybcB1X44bF%WLjw#M5CMB(~5l+l4nvqtRUjTh`bLI&Jl(q(AsZ~!Xlrj>b znXY4S@w90=ARarqf^r`31trQ*(PGiv?(yX>3l`)abIY zIoU-fe`;1iLuh*5oUDS>oH?VX%xnNHoRw2ZTTIN#EgA*)GQ|weDlE+X*G1K+c3HCN zYzYkHC6#r?S)!pi^NI?Kvy1WzhoIG_z`5X^g|l<=JhP!?rWa<-p-(`7hK&CY-G`}5UW@qU_i;R!<0Z!xWfc`$m5#uw1EdgIICCk=os;96K8FV}qKuo6I!I`pJsYRPoP0fiZW7?tZu(DUWI$ABQ>i~%q^priP-eCR9O)w>W_n3FA>3qwX83!(+4<`&Yt zQ+WiHc{x16H!llpbeE~;Z4IPel#?i+kw9gA0=lO%DaU`xpn%x<&c3ee)M$sy`oo2;WubvREWw?o8QFkPs&Vi%vr*uNKNVw@wyd zMuIEZ7;-X;@(K23PRd&#;6gYJ(MO1ZDlTAr$;2Rn`B3JRtBWu%hFGjcctZ1Cow)#m zfNys0l&Q0O&CBoAo2T;`ffgdkL}3x-=kgRwj_e*in7n|=>Dk$t^Sq1MQl2SRDd^Y> zg`F0nHrOkN?Ey1QnKw_>kBR=aRM*diNS&IqzMkw{y$h_?VE@CRkx&0?tj@Sl=GwK1cSRzkltuEYUtN`HT}C53 zdl&g!mmy0xm}a6hmS|-4&-9g8UvoT>Ca=ZdDY5@Q{__deRoG+V_D~|e#i!(A=c2i< zm)cLGRo=0#7oBx0R_L+53$5#Gtm`s=(|Y+owXTovdNp<`{Jvpce!tIE*HOLi_FL}6 z&cJcLOL3h#waLxJSu-E^A(=i&q?`D%Rr(fzZ|s|+(z59$`sGj50 z@-!t+YasVsEH^ST8py9ZEcYiSH<16L0%$cy9U#!yBBdF@y!pWHwmUnupT z(LkQ4+gb86EP0|O?_$ZjS@JV2d6FgXX36_m^0O>?iY4!E$%B@> zhb2$5OFqVu zFSO+P9wRT8SaSU=73F1?d^`o}Q(?)|wGhXZmVAOGUv0_ty*OT8W63ite5EBn*vy$H)s+mi%(7oM7pJ*r4NIx2mfzxV);U(XpCf>07aHdWd6v-oT|}UEad~ai^!? zjOiJ?9B+^ru=8zvw z6zNG!a|#xY7wNG~bLbEIM0zCC9P-0;MTr@s?_-)nbU0C@KV_Ojb2wh4cQMT&IqVbZx0&Wp9IpF^ z{NG?Yk?C5I-pDkkq~RKoevWAl#o;QEev)Yp!Qo1geu!xfz2Vg&y^3iLx#0?tzLjYX z_TdtdUcoen-f)3PFJ+oTZg{dtFJhWQZ8%M&3z_B+8%`1FnM`wN4JV286s9?(h7(14 z64M+?!|@_LmT3;5VV_8kWST=~xbAP!{!DYo4A+YEg-mm(4A+QsZ>Bj!hO0!nJJTE* z!<8c4iD?dr;ngC23ey}4!xbXknrRM!;S!NPj%f~k;R2Cv#59Mz@MMubvJzTFT z{*`GCap4q^{()%@ZQ&%5-p4eDv~Z$Gf66q6vT(de?_!!mSlB1hZ!^uID_r-NXn&?t zn64G+jZAZ>3fGAAb4+uH3Rj8rlT34H3RjBsLril>3a=LFRZMdz3Rj5qtxR(W3YUoV z3Z^;qgbPG^DbpNs!jnaM5z`!M!f7I1$TWwTaEeIJWST=uI7y_ZFwG$)oG8+hnC4It zju+{%Omhec`$T#q)1#TLJ0jYjX$~dfT9LkxX$~Rb8j49Gl}LAInnOmoQlvXE z&7mT^TBJ{5nnOgmLZmOeqpNRP1=8XZ3?;{)!PA2w|6u@w{SO7pzb!f$A-fT94B0KO zJXSlcSKR5#1pdl58Kq4c`8P9PsLw_m(t~FmMgHLCqm6^*b-~T<#le`Z!JS8o+M&P= zx61-su)t{Ll_Zy=9AEJ{!P4ZLkpV?QpB6zUx$v&8J~tT_a)bD9t3M047^+i3#eRDe zMWWRSzcM@x2%cf|nH(%%ye(K>Too)&Umq+_tsGSzSTi-~H0i=V9xM+WI=3oV9dKDl zuypZyU)=KD^0d+yw`@C49sl)DboF)Nehmx~w`>iB>+$uuGe2wW+rY6W7)t1Uj^DS5 z{R$=-mV{lPhrev>bNu6w6;|wmQnuX&130L2^G3!$@kkr(kBsgMhz0vQ1N5)~G3aa1 zU%ddj86ZGP6eN^z6jGSJBT5LCS4*8%0VK~p__VRFIq?D!vDV-tJ~LJ2NM5t`r_RJMs79XjVw=Qq9}!9rwJ1i!!4Bgg?q>wZ0T{{Wp` z5PZXcVlB`Df}REl+B{$&95{42R}$g?B6a)y6I37A3ohZ2m=A0!1N3!H6x=`2v4Z6R z7wzf#id(=2^!zZNWehq2w>qT>VZl)P-k_7ZjiO-rdoZB;<8h5xBn-3sARxE`x2bmj znM;#>Q@Z#Yc*izoEnU3ES9Bb%uc;QJIFz39I86vj!bk1~N~$>wYHmQys4rmy9@E>z z=@(4ciENg9P7|JktjdIs+~S##a|BJj3>n=D(As|zs#>B!TVGEE$X#$qm~DmL5`Ca$6TAP7C+0fMop8rNWAVf+>OP2jGzMD0(~ z zg$N}@e)kv*vHeXIcoGqKV7Gu9_fksYvZ~_Kcuti+IcSO%#e|;`j_FT)w5zYQHbwZ) zD(EU3tm((_AL*|Nt$Ma+MG=|E0OljT)QP7j7!E`(MaIfH@M-32g|TgNI+m@a^v?S{yycNk3#eakV=Ozm6#l9D+?~iFW-1hYCN?V7kal;4ab; zdeka&P4p;TTQ5->9_=HI7z@t`YM8fs?w@ZZU$W=jQR7SRxGGls4>x-xFd;Md27c??Ah~zWVwYpZgt) z5BZ-*2@WD%-0f9yFIB-4MEfp#l?6Edjt`mkHSXVRw`t$Fv(UbIvbxT!E(O8N@z*`* zwQtUi5!<)68^B}W<)VF4G)T2?zu#zW|5;kRd1Dgl(4luQDs>Z(S2pmqA2e1SGbgW! zFZ`U;JqIe73Br94O7sYz_4wJH+80Xgb6Dt7^lZo9+i3r|6H)t4)c!U&q0oM*1}W_q z{VKGdrNx^!a&f1%e}#D`BCph^3co8yi1b=d1}P4cam%YEl~t>4Dr-C{*CMN?vK6In z;?RtCUqvb}BPclj>By?avAruiDv7{pb?%@#+u#jiD)6!aaRIAAw-EHOa9#iH3KB}V z8B+ASRXpf^_cTC~*!MfpEMIVx@1VyuFFqYYmW^CtB73GNCAkO(x1^4i6S1hYDr$V% zXyxuGbB|;0!6LVR)&s_C??oL_oiR{H2kjw1Z%P!^C(mKd6^Idz|3YL`#(JjQGnPH* zw;}i*IHzd$6&j@4eW|Q}o)kN&2&*D6{dlHjpb&CPjkeY(zxCQa4FlAk^5Wf?7-NE* zT7`-6dW@HQj4RxHe`HsfhqAOQyn^aBad{0_SVD!@qQg4=E09&O+gawZqZM>3uRuok zH8`(ug|!A`yTbJZt&|>Kt{|a=WuzGDb2AUx6&?miGTr;Wc7-pS_%NN@oqQbvW!$o1 za13#}pha>CBt?ng4FDuB6<4z{K~64}Kn%y>`*8`*u?GVcrhbTxhalj5q6$TQ$x|n= zEjS=>D6VvyNcpqwv#s?6gi@aX^wHJ|b%nLKSpaK|AvcB-$KMNCm9-we!LydVNLY)E z?#;g_Yh7zV_DKC0K{EkDG`n0uFhzl5Y=gNT#8uB+ML3Uz$@kp;neyj@Rlqc*+5bV;fyehc477INwDWh3_F=nar%rf%c$Y$wN$|7kBDAopCe0I}0Q#!;{ zAq4-+p?)$3gF|f*DgR;YSD3NZ^s~?LZUSwvE}da8wHOcM+--}H<@mQEt1{StWf2WF zl;AUeQU+^lK(@gK64VGF80@!FS&nh2{0Fmb8@jW6p7Q0?S@km6uhaMvC=2_>{AnNXiS9W73O10*^1fp*~ZCFd+_ zL{Rmg4sA+nW5fdR0TeHRe$qfsL0Ji(psY>Yd`8pIWbQyj3CI7_ogR&BRXsMD?774m zWOPTPaxs?}s6lEjae+(K1658%H@Or&Rg>sbYHL5x(|*A=vAAB}IPQ8iZ=3=hOe>fz zq+vknZh37Y)bAzL-$#v>Ac|oB!>IpAiRW4N@YjXliXW6`EioXwTb@qPEP&uyR~QI~ zTiu!ogaiSRrhT?rw1F7?^R|g~-rmADWw+J-gCpL7+1>mz7qDT%F_@D zg&Z5SVi4h05<9ENit|K$UY(MpPa*DSou{aCOl7Ox)5MC7!*sRV48J^8+e)aVD(IB( z9T;f7h3IER?HkHCi7x;6SWS7L7Ez`WdpIjf}5Jb%dZq$Ka9m0 zh{fPiT#32$8qBR%R|j@bzv=+@mN_k`<*_=jM;?S-H6mc=H5Ht&ovu0cg<_F7wYNBZeX0o+6X}5C z5l0+<6T|81YdlW2*EgcNIo~Nx;|<96`hQTmn*tCxovR?Bgd>oG)5|<)PL}{AIW2or za1sG~m7lFA+Ej#t^)ejns22{<`ql1`;a)h{EyKYMhJ*DY9PD5?*bT#|aBv#-U5sH& z3~hv}bvSst0}R9ab!hB??7RaID;)oR?5(KAp8TJPh6y91I||)Y%#{ZikZqW62s)E} z+3~kC5IY=*a+&}l%`ykCq(f5+vy@_NR%U@0ufdWa2b{xvXNMEm5e&8A6po#GjoQ#n zz6~obY@{dO&STR4@qo#R;J>lxk?1hWhK<5MqNM0(+!ZJd>}V8LhTVd#%}9oQVzV*q z-1abRP#X4I`p3R4H0-U&stns~k!M(YaPrY+zkRI?yYFfbWDib9P`djbKrrm<24dge z2ov%YAkwgHpc@P;2B+=_S&=Qsdljp3BV8=qm$`DSQd?TvM9d<3z+9z^_xdoLF^ZMk zj_WXuOz@Q^{R4aBu2-REH8wbnkxZyrR%MJd>J%7hoHSAudB27}>-gs&t1{BQg&zO2 zfYYI-hu{w~!uwt`pbi!&iJ&I{f{|7!NGPEnr0AB3sM_n6F90OX^yBNoOrLWe)mYH? zXUeRD)=vV?y=SAG*OANTPC>Ausp6I?pSdMuo5OU*VpOO*WAe!` z$0Sip^0O${eHuO0@n40k${Zgo@XTTR%noF9U;j#(<7or3eP$~`s{n#I90N%~ecG&R zVH9@(AkrAMn~cvKq*`KYPi(gaJ7YxSs_QMv9shIK?li4!=-r7TG`*|Zf!7GP=~phaJrpDd%uq$DcpaP0rANi4SJ95{Uksz z$UO=YO4tP{-2ZDHwA;Q2kTl6puVPubm(G8^aDJ{Vaj%Y>GGdj<+i-zH;vDvQa9AUT zU+!wDn>P+DypiD@RoozIN?t=XvOl950x56a>=8F_4tTl6&6`v!_XwH$R_5*^a{DjE z?ybIMV>U<6hst_EQwFqNYx>yOa}aDC|Eb8R40>ykXHa`Va~Z3-7JXJMXy#~;TF~Uk z`X@-SlM1!d_kdw<>ZpxafZWoEPvEvi>EZ(zh%Z9GloXRtKkrY?M3#+m$<=2XJQgh< z$GQi9D%xol_Fpwcb608%3e*%IWhYX5ey1|$3Iq`B|01KJ_{_YB6z8*l+=IX-_kT4= z@BhmB=P9w|cNZ1Z{3?)D^4q=ccSJ!r8E^n1r2i>%6G ziwixA*}d$$lK{>|ZxFpK!+`8w<`Og%AoQ|63KB{<04W^gA0D&;M*}1cSO(Q(FZ=iH zg>q=CZ!c^Sr6dbII_?oY$mQ+N=(iUxv2x!abJyVDe*Ljfum!epwR@Q!^y_-#UR#0Q z)KPTcY+i(y*dl+{cWV!++LW6T%Lh? zA-6QpbZAt+?b8@x|Lg6AB&Zn4721#;COl}5gi&O7hGdrrQ@N=K9*+MXY*Q+Bg#{iv z+ZEcA-Bs{d;R>k+WV^zt1of94sk?%N5;~G1Vg(P{6@~&NnJ#`=yMlw+E^jZ~g1Kwl zGEQ>EDQ+)Ra5kG5&H*6#R&li-g0qvdx@7c(ngiIv(!cUZq&N3i-lpaG+ z0zl|4O%=r4R2YS;o{>%mN~)Iu_2f+jzQ|369I|_%e zK*dtWp*X@eJ9>Lsy@b2kC;#qn=JR%Me5^CH@02*F+9{K9V%49K=gGC?u2hx|H6 zmSZ-6`{Al*ljDJsHW>=_q)o_oJG)&9|=GCVz#!4 zzKd`Mu6j246DVnuB&a8CGSC}%o0Rj>qyKUr;Y32Qg<|>1KGSz%(B6OXH&O}++7+N1;26E@j@w(2-)Z?Qqgsnt$W?^Xcjwj%Ok_o=4don3S+&}6W& zZU?NxHmNa@ne*QAoH5aMnn>+ApUn{bHafTCUxcj6L_g2;*fUh?>Fi2mbU)jzO!T$^ z@oDpVpqBuGi5^#wP{Jx?MF@U?2OWYp10*eUPA2acXbWO|;Z)Lr%>!r-Qj3aeK!so}hgn2u>d;NGPF| zA7KSoj2RdY!H1wyZ%R@&;?2c#=`>s@CE61n`YI?182LMg!FN zieu3!YS1@)!Rr>+978bm_U5-2>IOj(;_> zD#{5)Rh#kz*vgI3louHeh+_HtHC~19MkFvK( zaR8f_k!aRDZD_`lW!+B0Hdl+`5I(&&xERia$5tkDbFXUk)-@vjz>AqqCAR}zkFX) z4nj9g`Ml#KbD?z6L1mbZ9QfLuRBJsc8)x@VlH%*+c=S5J)Lov&ZM~f$@Rjf)BY@cR( zPtOi|vr(!S*tmDU|#;!^Thq3&;OEwmKEt+-#3+M@!2_)G{4f3bsQHsEq|W z#%4|e2-AEX}Yq*U=4JbD19 z6;r8Ie!;KK+^gjTV={DR>q4BQPa)1Q=Or~f$A>6fnxW6}^m1Li5@RD)-RMO@3pE00 zh7wtR$sV5KBg-kN6$vlts-)xp9!vG|s!i<(#lBPQ+u$u04Olm&rHI^4N(y_JzT>zB zyQ!vy%slsr$4v`;)eJ3k4a*EA2j~U+(EV`#2U%4MHJj|UP-ozD0IWpUbbs5fT4-OU z2eKc;eTJa-07A>Wt{|ZVX2m@BWmN4o&L;q^Cs(&Mv_s<@VITXaF>kGXLwUFa|A8eP zF_yof(9aAL0wOY3f|plbHuMk+@(A zi>?QX*;XxH>QRa(8(6=%hxtw1(gG+bGx14MaR;A%kO(zpTZ)~;w0;Ux1q>Wi_)1V= z$VJ?cAHae|!rP}T6UAUN(iyoBOQ$Mq_@klUKURZg!H;`t|E7Izgznlt?18eFG-ObA zN`;-i!VgKd@rNY+--uhb)I&9}Vo+|FAMzd*C=nW9qRIW>?r5@&4>E74PYXmVc*~hM zxV_&JMrfEz>&q<@rE^QIGjC>AG&JTAX-v##IRh%n6NX~MLH$`O5#$vrb02EnxK=8& z1c3s|yuoY7o)V}5=Qgz%N zL4kzi{2&S&@1+43KWuXO!=?=ts0u%P>VV>; z?g)H0zoGhFbxI1dv+>X|s@(;YoAo|6+xQU6dhrm8(B0dhPZPJnuvJi=zQcP4+~nll zF}12LMa+#9L8m`tBIr3Vl)jlWt`%Bg^2J{pFlEnhWf&Pcp&MqbTpB5K}r zHVqX@{txHH+t5!P|3YL{ynf7x$g3+={si7Acx^Kvn^zZtUJ$PAf7C$iXL=?Oatk1m z*O3PduWz_cuJ<_TC)l4fjMhMHnd>#=(u|h-!ANa|KEaXsAUZSle~?u%YHu{L*A54e z(QT#~9h~UVw$~2d5wveJJE#8x1qmhWgA|^66%RU^{0NZLuB+s78u`wgpSnt)Yr*-jsDOiC22YOsT;SOtv2HmkN&_+02B+9}b1Y*z6EwUDg- znIMUW5_(8hWvJR?b&|&_4cejq30C}5Vd#HVCiaQnlzKg^Bh)nQW_p<4V)$-jFzhQC z{=zsv5a9>UzhZAyG0aw)VY}9zMZXID+|gBv;XnhjXVC)G9U!z@djqj8^#aRj28e96 zg8KwN^tfZ;Npf9}34plMfuk=N!>w%gxptg#=tpJyLfuGA+oLq?pT$A2=isz$wOd_=05 zu#vlHlcJhyKsMFO2ucSCREHVJbc^aaq}m%0N%fw44Ateaf|jD%a4W8{O*ISKqmifv zP@JaPl2nIEs^>CTUyE*!-~S-1qWbW-h*XP^(Y^UKMfF+(vZ>|~G!r0Dz1%=-s%vSD z;ebf0m8%TZRn2q@_OofNz!qpET6xH-Xpo<3 z*T1T0J#IiYt-A=i4It23W+3CO7EC6s0zf3KPwqCf-ZZQB_%0>Xk#o+dTWD~&6r2E%hhVHjbO#ke{cjeY^ z-4ZTotbN7Tu!R|k?t>^&)6M+{bTjBEq2v%F!>8!Lj(-KRD!Ru;N2FVWjPCvoiteWd zWYc|{psfIb?#l);-)h}5`uoFxNV+X7x(BfffGB&2V+$A6&e(oIX9XK|Bfh@ipTBU$ zPQrVbbo@K8b!iBjl~(1QhVa6_L0Ij%-OG*?M%Q-ycO$DJ+{!4*X`mh=CQ{KO>lNYf zrJhw1EzlH#J_JaOgiyGw1lJ`EY=`fc0h(JCR3P;5aCYSp**HKNkKSZM>-t z`Q@uVTCiY!gUnm3e1t7b!`e&%H{M}bFZ~Owr%;hl@?&(c-_XPH{uN|ZtlJqv_9Xi( z5^ADZ|CZ{pwkNgS2>SA6(abdp5=vlJOaU)P)gH|s0FpF2Lp#tsLYgh<%2>{sy*Mra4)bs-jL9r2oG`F0YOoYqS;HgQJ#I$(nMsuHdGK@>g$!6Vu zC-mGnN7$xMDZ&vEs^>Z3jCbNsmegIZy{}{0Zx=v^IheUS&JJBi`D+0Bd_}02O1x zoxWbb`Dkc)>LVyB9(`sdj_q))m|FjBNcsssYzu*`c=|*f<%0ui+$h3UXrvyNajWTJ z1spfjvhfA>uv^fN9seL?Rfc_Oq-WT!s6)q9Qs19gjcwUToR%S%9vtrF;GhJ|(BGI4$RImOMu9T@{(pbI79^z0K&K#D)$fw<4qcx0BI5$f_8{8)fZ<#t1U{ z8}29=?GJji?cr_+K_APmxJ^Mq2^UL7m8jZd#Qq`~wI?I7WQ>!H@Iw;aMt@%!nNjV{ zhS4EL_H!kpi`Yj`7L2wdt70_JC~GrX0DauX5M#)@H$RBvAp42@GqgEE9u4JSZ_A}}C!x1R(`)_PmDn_%FCJmladK4Mm zjAvCF4L2a0(E|jX2M~JF86Kn8NCjKmJZP^Q2#{=}1vhC%v*fY?Tc+v(KPRQ1*k9km z7I6F{p`__mW?A10C1@WUb_D$Rjzak03VO_Rgc1Dy-|)L}nCD)J!0AYFHdS9(srXGd zAp3Egvj`do5VRR;AQxEWoJvR%Ad=nPA+QsV;)LYtp-OBT#w}Nz=3gF}(2LFEe#@fGUjmHJFDSkfC|Ba%y>PM^sDen60E!-+! zwPlNVN8%k=a$|o%yU*#+04>^&ik$cqb&XsX?pv)!4u;}d=5+iC*xxlyvl&83;;>^3 zd;;Fcu}RjHoX+;^jktmP|JWK;2A(+7GjJyqp`E6Nwj1)aGH`DLvR_*F9zmx86jkY< zQ^BXLpzY5?R2b;xp+A8ZJ`VxI@qdkdOGQ6JsfC!ppC4%Y{mE?TIz|6H1F}QmP=Zbe2=w9& z#C~3D5FvlB)f{u6+j_hv=~(zOxk!n?F~;K98;VBa*w*6M>!9Jt{^X8E&~W_6utBLf z77g~A*zQ9g!Z+N>&`pd@qYcRJL%RtY01!C#G!UEPyM(m!I9^u{j(fP#X-T zR*jh%gIt2b&W*9y0%yiQ@xw-Bj91o;TmHGI5;?^0wZ(#n=UCQ=2LPZ|Fh!_?2qy1} z|Lc}F?S%qj-VTbNb~8J;wMGfC;(|zy#ZRfkL)z-{Sj?Qox{7I7hVVoMM^eDMS!u$P zkgg(&`v-%KFd6QXJEEvG6oaC8r}iU4`X1mLDEI7d2b;*(1wQ#0Jfd3OO%!{{_1`(@ zz+t>8|73kT&wlcE6kd9Y!Z_83hJi#JSO06u{|)SEPxYTf0JR`v|XU#cLX zgtsBZ1m!ax^aSNTfMm%1XgRv7nCi!&u&bP$ zmLjX-^k=fi$qqhgRQK!06{lSWWCx$o1Z@HcoSspTPy(}pQ!^ekr|keqPL0S(4B=<1 zX9#(ll8@NLEmI@MUhIz=VrJy1T4sm^e+MzOhPfA`he*71L2AYI@bdIVZR$Qyu2T0Nkfq&302u^{?jjhLA5Sq;VRD7Hf* zvD;T_*vy-0`X>9ju_vB#|umV%o&VQv2GXJ zG`)XrR3Fz_U&Yy^hEvX#XRk<@YK`DB*HQ;nL@$YR{z)0wml19B3z3Mw7UXkT-G_ zqxdL{e!tEzy5<)!N`dtecxq_)vjwBiv0JjBbNI?m*}v7@ciEHlq+hCrd`h zd5rEMl~A84sM=$6hR5hiXeSw!aqV#3;;Is;=iU1o0L>yb)9Dte$Lm09kR2n3{P)KP@T2)dF>yD&MQt7bmDn;h>>hD)Mzd+FOGxf1N-8gfr~o*cokO(X7;jGKK^$^rA-`Vhvi5g>8VWZXdxEfBs27WnNL)+KG^ibGp~FW%>10`@U@LxMb?94x1dUon-1Rj|x=N>-8( zA5&14sKBmjBuf;bHf@Q&_rnsr6N~tpMy1xFry~v^tFpwd^F2#+v=}@OKXNzSuPm|7 zfb8eBD+#(AAXs9BfpB!!#(J2L`G81EeEA<(;xAg_II+Zt#}WerZu)90G4KKaOsPYu zHT9Ml&D0X(_Pu(EVGYO9610?9P6$i=x8;QEEhk(tg3Gl8&oPp79l@tpxfl?W{ZDqI zO0f*1SiFfI3m7rrw-KbpOR*pl&BRiWQ!F=X7<@-xAmSC2la7sRX*dTCkDSOF+%MHn z@KObsj*{ZyvuIezTW;bE@3WtaoSNdhCiu;xrSvbWbQe08VOF z{g~*%2pD+&6j>FgZRdKN>{<1L$mqUskK**O0ok+a)dbxP5IB`62;Q0qDL7^DpgFAq zNOJmMAvlTU!U)bp=ywg`A_a+XnQi|=TwIEsQ)2@Y7pI{XZG${U1I8&tgNN8LA3&&Z z{G*Xo+2E}t&jxl}{1F-5%2mn+4;WA)3e#Qv5J3(=u)#G3V#mdQ2$=zhw7|{?(c$yYnTxx9DS=5o{d)Tx3=3zB|WbXDj{ywc2&J zVz=3V?3Kkm1g!%I?Cv)Zdu4GmAu9lp?0%aMc3;qEbLGs1tniErhX4+KDvWQ(24^H{ z>yTYjdoc`Z7fNbjy4rW>t&aarWL4CTH!5|q+T?ty^yf-N?Ymwce|s;rx8M&DsJ&?* zsa82X33&z(Nv$2Ji49Y1_%Xn&HVd7@*p4)OOn~{k*zoxluie!@W<8mF+6q2fkyY^- zVDzwO(7&UN-7__xwgzO+Qhp++k>vB+*^+W7;Ri_38Q($GUT19SF&YN#Y?ARWLZy>HR2@%$08DuW%Th+;R{9>*IKeDF@?0N?iX9KasOeFW_U2oCUuf!O2t zZ}33(X+Wd{oCMwEI3B_{4pVUu+NM^`ul&Q+@Ls;Hi>v0n;aq`H_bV_mzW1jZSk=DS ze*F0zaWxhu!WUzt_nR5~lB*7XPoy-!KT#n;xWj?vP5*$a|DFgf8*8Mz&+#Vo-6-e5 zee!XRh*w4mJ#IaA%vsE72Q>aKJDb13p*V=2$~l18*|hlF&4%FSBquIncM@$ZW*~L{ zZT2BIGev-7)=D|$5XG!flZYzT*|wJ`9%V+|hkWMaMtCFAt3LkeC2mgQ?LU9V$i*Cd zw%%VoX@0wzO1xDPeJYU@l+PTb;8=lDgE*Eo*odq@m558R9oO{q-{u;}y_`M$Jn6XS zu&4J#=y3ddFZLXFOn1*G?Wsg}WOS3EyO>J!G$4B_(UYKd0KsvaD@Z6IiCsL@XAlp1 z*zF9EbloeUot#Pp@EkXY)|*NUfMTXI8cwHMoNi!eRJTJfXJE`g@WA@ta9Vtp$H|^b zyo8Ky?rn-wh5^}Ai5CeP3J^H;QIJpqvx3tc9yF)X07*_|1>hv65_9!a-2We@5`D3U zYiwWwQhU^*N2Jp4U;_?*=vWgOKE@*=;Q41{RRQVhZk`S7sYD~v%Rw&`?K<9o5>c3* zO0*;>1t8eqTm!ME60He26%c8G>+@j&vBb((kBuh9Iv>rOsqh&YkXUOQ@bc>?3*nsS&{gj|wfIw@KfpoE$&x2jvVSq?l&*bTb zT`Bio5fmcKA(mq|G!nI3WY^SoegkR)Ws5z^e)CWvsf|TeMXkE4N6nr?#8Rc_D-^Yd z49K2CG$rUJfIw}Df!K2hA0e{9-o1co&Xrrvnr~)qS4uBpaQg$~Wo6!n_+Db;vJVt9F z1*3~mwbw=+Jw}tDoou5aTz1u)y$^s|k=p2Vi_s0WV04LObU7`WfiQ#d&oElt$uqyr zXcH{#=H93nWf+jnXgxtg0fN`{QIJqV9UBMZAFB2kjRr`zQCY5LG*>RQRtLpnwX1`r zRhUom{y4T_0!+rsB=4{5S0Ac{SPFJ*4Rtf@oq@u&d*1jZsH>gD$u#|yh(UP&0kW#b zT-q@r^*7NJZl0s4Uui%#_16d*0T8HPXdw1eLw^y{6%a}M<{6qe)&p`f!yhw+Qrn5S zDPFxgD%A3O)ikmtyPTyY8%VN7lIg9&YZG-uHdYO7^1KX+%p4x~}TdISq<=RFX>Hl88>=C=C zVvOE{3RcRc4^P*~CoQ_6B$X6TS|nL%c!_unq%!ElDzRD*p+|~bxFT}Fb-grm!7W94 zwX7t?Bn3QZr3p_{=|r5*Q0LunUZBp2$x!F*a6TF5RqPiJB0h0dwYBqmn zQ6n~cFKelqgb$`ER(E52H4>}E$fv{fx9p>8h1Q>8`XzK=$3G2O6|2wMd#vnfd>blU zy{D}lT1Q1x=rXZmNW(BKvP_@Tu9YB)RcT)r_u|_M^>rNT5;+Fj;+Dk;L zM0nk{|F+$$Zoc1!4OU|XGgvJ^J=zLi?u8Z98tpues+9;8j(;+;Dl2?=if09Tjdm3{ zxSN+LD^wa#qU8X&1lxTeIPOT&-G2?yeUn@`5Slo9t)J$W&J=sv}jq>%D==F^7jRm#mkyTOa zX0*0lHVYZuHkw*v1F~IqGC@Bt71V0mdF<_#XMfn%-2sRs)(5(w8w!Wr)m(H#_+^6y zn&Q_q3cnvR4ZpFUf}eV}(n(%J z)?2TWXk!o+2K%+G2dcMTC+Le3;Slc|$Xu)U`UrUi5a|$SKsOjntk^d<6SQCuko9pY z$Qv4lY*Ud|WZfQ-$?mw)kUbv{cWfFXJ9ZhnpgDZneldguDlcB-}M`(@9WNRFu7c4+9!2MrjRjqMv8_$aN6t@etExT5=#sUMf zr?{O6$_5BwcD#YuQ``hXQUH;y@xm0a~!u2D{jcw44Zj0)+jVtL_4xjhoPh&_aPQ|vW z1(a-ykrUx3j2?KO*&B>`w}|uPrlehpz~K1LLq_E%YvMdVX$742lL}UI`_=Fh{>->k zgVfKA|09iu9$7$hcTuh`$D0(&ju{w(hwR?)Za!i1dL(Z!P>aZN9d zg?+G-0FBu^|KEBs%7C*}yYq|TQF;Z-`>2pjk+NFo_b)cW7!B`hp1Z&-vJXtwi){Xw zx;*s-{ITpcd_=0@{Y!Pj8I{Y5NXiN*$=E?P0mTdw9n(!fY0g2S2ek|(i@rSx(FXfJ z$f_J=NlVXB>_KABZaB&;Xe|QDBm=SsiH`{y1`s@?uY!aUm=%M>J|47}i~&e`$%^sV z0TL7SiJYp`d)#Xlc2FZR8jU=9*z1d8_2XWb(Dlm@qa1%fWL1pTweT2q1#|8C6R7On z@NmJX%z*4YfDD3)WH-!FkWj)Eq=I+s^Pm|m0Z1}hKMst<4#09b+@sgaaE16%@4+Ak z+oXn{83OWAg621oLqL*jv03b`YtZiMIAG|dPo4HutD-Tx@?h5*d>Ip}b{ulCJj6C*dlfib2D zcJD+JB+Djn=@4BI*&P3e*x^)7F!DIB2|8I#a3ZU}phz`A7Xz~A_a_i^0zhbjMh0Rp zW{xN1r+K;wCPFuP^YIL>UPNq5nat5m21Ex5HJ>&E9=n8fy0X}h^F8Ze zY&psOqZ zPaX%>i$7C{QpDR%i%ynTunIA^Qkr5~!)<*2JW`la{Ha*M7B)7+^*5+*qr8vOr?y6z zattZmmf$(Y~sV0!n^iyhPM^vIE!Dfo^p=-L&|^D z4Dab@^bAitZd5!MHm=1Cz}3^W|NS|mcx0{O$XYFTZ>mttHZysuH>sHXym}5<{;;u@ z*>=tWV>M3VA+CnQ?{jc^y~lkZ1;elX$E5x}?8@EhdVkQb23w~lbeqBUg;dkBd*K_K z&Xg^iLL>i(j*Gwlf~=}#TQ~Ju)^3rfkkLH~9YyH=(eHump=ljKpNQV;e_KI93C{|D z?DGZ>x=VZmkZjvip`9F>s4;Eoq;hStN8Z?f9kCfNc;;WL z_qv(BnYbr+co1&O;inEqRr5cyPB*_Op*V>JW9VaBV)}NgSRL_!GfbO#Mc$cs=-GO$ zC#F~@&K9jF%+41|H+U%QcQo$*+L1BK&nqadLK2U3h$_wt}ytrtMD)uux` z*=l!3YPIGcnpX3}T>Iz$pH1dhH_`rns(zE5!KQN3cZ=m!y=M9geOqWFJDF&v*)#uZ zGo_*xy=E#vCtHagxeW|B%d?&pS&l8wNR5&^(lpAm@1aq+;fqFjlqP%#Q3}uhAggMW z>PB9p*z?vBWOSd0CZbUuG9Y{2x`d#c=yZ7gM?vscs3Aq8tl&X6%H06TM%gt2jUwi) zo#ZTUrI_8xU%#w0#Z(yzze*?2p$(C1%mEi^} zw_oP&&)gkFZvRMZqW=3Y_$5I#JxF&0T2J_AGiNSB0N#IzjLL8~#dwCZ2kFD_0=#Gz z49AsWt_G<=I!o3+R*LzcF?XOteRd(2XRO)CEsgbPkbeV{FAu7dGZxTZi2C^ga-6Cc z0!(HKi?lW znS7s@ssk%g7Jl^p0)NGXfahb{YvY#f0|wI^ML8 zKLCNeKJEns)^Z1~2D>-?18d`!{%{mcU%I%~SJVmOTEoSvthRn-*bgcXtl!T!ps%O* z+>#@_M>GdyhjfIwV!|4po$L%iS3z@v7$Q~bK(+L zUmS)3Lt)R#!Vt!h<`1KbH?c0+D`^F)gqU6J-e9UN@T!G8pK{f|P{KCrY3}CO@kJ3e z%zg>RYGFlhB!C`^4Z4yd;_-*vG)rcv0|2wk6 zig*U!zvb^P;O`6KM+Ei=a6dA-&rVY#z-j}sM}YeXx)C6hvsghw3CxP1wuT4YOYZ_m z_R^XmdIUICcEdv^>c>FCjhw6_`y5e9@-kMDJ4Kj=NcqPN4#}4s%B&*fZv{?g?JcwB zFl#T7)jts%wK{;UMg>xrWay$p{&=7_@v$pd>T2d>IC1=4kx^Odp1(Xx*&)9R!8gDK zMF5+xK`MadY1M;GV5AIn)k!}Dibu9t7Ai&wQmdy2!$MHRryBpd1EL3FCQx2{J2tz@ z@PojTQRU0ALC+3r%?aklNA`$xl&E(`UPGmu*wWznAXUF<7kxABOXuNBW^@S z_W}5?m=oM&K(Hr?GY7tO2P@;ezp&D!#E2R4Z)ABfHOFevqYh!igfpZPpZ6Eb%7Oy|hvG^( zcY^8DvG;1`OHV*3YwwTRwQU}(hHcc+V>t?U3j?%GCuCK&x$CfJ8+%b%g^X@#wz5s3 z0ojYnjRai<5VRSsAo9<)mcckL;;Lty89+((9!!C8#JX}Gc56kn5xZg~SQ3=JMi&sl zRXPLRO8=SCp@d_@mEm65_S3!!BL8$N?Q$QkdUiIseUfaf zHRvr^>s)$aD7g!{wMJmV@6V7`S*!2Ch}N2njBe-2%37@r$hOubf{tbiYyJF(EXPT$ zRn|(!RnJqIbAL%7%#d+r7*AV7j@mVzRUW`LXC$kX4lq{PzZq6hPyW6C1~|Nm4vP0*Agi*pATr?j3uIMx+5T%pyZnlb z?u%C_yF6k*wp|VoQ~?m|a-D*R7bXb1e2=T1T~+}l?eal?ZI?&Eko^c#x^mvCj$)Z@ zo@I)dp*m1y^&`tNRi0($V7oSwWyYXtZJ7ePqk5_bf9G+oq8$(-&21%RI0NmKh)|Q%W8M=--Y%5m}XG?x>4snN7&( zUN=curoez~%d98p3V>jlR0ZK1wPas<9#=ifOan?<=7GN2GIP0B7O^)CK{@JphNYV}=+NTzB5U9_o+UW2IyN>gQ!SO77}@&AGCNoA^; zKSwmxZOG_OxJ;QUU_iF1LIj-)5KPrcLF9%Jou~{~JyQ(;N}8(Z0&S{ca)>VZFTuFd zGtj*G6wxY+ zkT^ME_E8cGu7B$1`TmKjQiwPj$(|;M48BC5C&lo_Lz|Sxb}aZ?{}@e)=Y2b z|NH-)&*|N>_p{diKF|8DwZ3cZwb#b%rxT_c?Dftc9pr>LpTZ#WWbE@BY3zgs3Ew|P zQsXYezlntL$6W^zy!WX_n4KKRA9oEQr~^O{=En`1Pb!B=;Vp;r$Bw(U1xT$s2G;3Z z?4EQ0PW@z+t)zum_qPQ`T|Gv(JqJb@WsuR{y#LW?Nbvnz%x)S+7a2?1ZGrt?Bn-!$ zVi+CmKz>m_M$jODU`_9cQ8mtj(WU&cjD`bb{C|io>64%D0mH(HW09WUj^xBiapxLL zv21D?iqda|%b*~$%^?C48C4;)mKR7cjFQ0w{^pRXaFXO7O~w?qd-jE;CpBn+L)?-r z@kaC8D!=+DHM*jvLi|(VI3&R$a)%ruI2sFi|S(1<4+Q&aU1@wr`t52!q5!UvF7_9 z<}qpWg{V86E=}#YN{XAyw(td4%&4)$;W-H1__wdo130O|E*<1F+VNRvWHx;1PewnZ zt_86Nk<@6^({O87orWM`xD||+LH5sKRP4S+`w{dht3wcbOChWQsXV5R^w|i2S~dWd zS$$4t=q1ygd!}cK8Ow*pAnVNd#+FmsLh2$hinpBjBAa-Z^ofygGTwv_Z#E5&ug#j7 z`kOQ`Et{;%gz4e6CrE*rT8wZIs%#7P*NNC&ByIU4n+j%=8EAxmn)Ucu?~T<{>SZ)|a%Vpz*W~YoksD zm3IJ+(Y>F5pYZeJqqpy>ruN{f0Sg ziOZK&|AB|LOCG?#iSo*M@{_8-4;~84k)KovPs&Gy%C5$tzc?oxT$iXXYrt7CA}P6{ zJAV~_qI1{}GqC9*l$LtWKwS&4h{tISihV3bO?&ST*EY~rs{4`?b2P)qpVR$_pPCDVq4&-;j zAwj2$SH?ygNGfMN&Vt$f{ISd~1W1`xAuv&9604kpR}qrSSoDtAwU`f0N9;@_wZwjT z62#0T*$1eZ;T;1>>{uixkG* z04cHhz1t8g$1}C$^d4Z5jt}D9=K8W4 z62pJZoQ(+NPZvFwk#-a_74_ZhIxBc|9q%b3BN#Ll^R-T%hjCI3m;eK;JU=dkJVy|p z%72dqHWyVI-`_z}BhRxRr4k|IZ@vL0SWKhK)kh_J$w?GngVU$zpLzy(K3%~8v!L{P@25#4(ib8ou^ zMRp*tM9PLaWo#P~SP+3g9UJV44E>kj13KAwTUo~OML&;^lA9mILiuwhy&X@^y zdcTO1N~xu<(>wVD^yY3z(ECCsGz_)h!}(;L-YJ!rgLF}C%s_fId>9PZX66$PcK*Ojg((Y@KbMI};bhI6 zA?2|cg1~67tK?yjVqpPHVKr{-T8(vb<}s53zFRC< zmP@o|2L-E6_P+{t>oR6)3fAYttft(TTqgI-Dtm==uu3jQU8V6Z&#vf;y6LzS@z87y9n|`-=I~>iSJ7kr< zg`m@`rEvDmdo4nxSNfmzQP(cdJHI39NLJ}J7{J)tYbQ^%`%87};4~=on{xOJhWs7U zC(~({pi;aUuM`HU8k)7@(~gPMZrh;I3kwgY)*ZK;d~@@a8TyGX&ZP0d63zL`jtQ>S zLn|i(f;lT20);wN|YUqqV9+erT=MJ%WbgPBa%mY$TGJ)@u2?QERmqaJH2> zb^*YT6qwfPP6yh>1C1o83?Q^tS2;*;45h4~kYLe~&a(!!b9PgY3x;~W zoVg;(LcH!Y0-fdmmE-*TNo`^-^~^?6qi5OLNKb!N+{Y-0@CsBnSrs?Yg3PM8@xw*K zk@ob8+FfxE>vT^tZ64C9J$LWw_!aFD)vq$7VBZvRqr%#CiPnp7Ic*ns1jNAlS0t^* zk(>8;5x1>xD8k@>m;$nH{kRcEnpUdwg4)*lN~?XL`8>1??dJC$nWvW@j&( zXkD>2N@iw$9_sX|FEEocihvpK@oK&NY1~SC&iZhfUg4i|#TH@~gkvEFm38>n%+w@; zGeYbNwwv7*tb~Pf{mMCL-zJ>#;Q5^BH`Sf~+Rf)*i&?TVzP(pNM@l|C0ZTX7d4 zVK@z(Wv<{72lA(P9wg{g@zz+vKvFsP<1Fgk6a2B0Am;$2*8O{DG^6pKK4u$wiA)d3 z!%D;H+Aq>`IuogE$FLPLwhz_FFT4Y-3g$nM)Noq*M#RaV*y%@bHQY<*KOD#({PrR! zDLxo0G7x;<0%yVLgZn*BHvy!a>UR=OGOKe3>wcRDg%7Py&*=uFvYZY?#`dWi`Gqg` zB&REp)Nl%4Ps`~o}h(NYhqOflFB)mWKwxs@yC`^EkMfY#~jCr zgJSeDAARl6j7*!o0f z67=OEtp2h09AvQPxD|xF2#C@c=x9`+SQ>Pjg@$0&)+J`IV*1cEh`BOnbUe$JNps5v^O%4x(rWFsoF4e~PvWRXB(hBdHPX>sKQ^ ze9=0R?7Ih>(qH93zG#2l3(%7QLA3h}B$e|U&Z6|UMh;QwF9k?t`*{bJewBFiql|Q9 zjZS@daUQbaBl6U^ML37wyfw@Sty!;w-cU8|6h_J_b91g3*1>bw%L(c59HdMet-5ieLosc)yN<9f=kvi2cwM6~TpT(iTAp5{4&1 z7O8+oI*?xk*AlcpwF_ds9E7jb+XiRthphR99jAN`OBM#?QM}zi*w5aNkrVcWhw)bz$ggTj=r+(Jm z$#la#kSW3ZQu+U)#k-;r3u0@K)Oc#aE0Iil0%z$pBVjlY_Z69Tbs&G~OGr>>8W+S` zU)Fq5IZO)5XYt3jQ`-ZiLLCq5;Hkf}Kgp!~7*DNJU3^dNtvQ6n)CKP;nwQ90jtt_D zdEQxvAtnZ``uff_k_|?kR{3>zTjlpR*~r^hY+8z+vC5w`$X9;coB(foV71%DD8bQH zCytQu;0Uo_4p~_1zd#ZM12BwBlJJq^JS0H`f!DtEv%5U5!*PPqC+M2!lc6$8n9Lhn zj;^paym5eULmVmV!{_u|oxp7_-DF=pdF@~eu}>+P24}Rk2~^a|sFKxTZZnd8yy~4{gZbhN&k>>ewxq#kFrSJq)I5&eZK77MvL9oZpei?t5}2 zQ!YZ2fblO98nNDaDbm3oudPACa0NV2#%qf#$c)#jjrG`7Vj`;9EqXZ^4fDq}>UKu| zp}AJ8YGkCweY!2*VcmcRMdvK&0)O3zd{$s;)AG49;`1<)SU&rZk9ll$KTT{x z&BgN!Yc3$^G?+0mhK-q8W+B*YlN8tN_R!7GFQ5*5<)YF^9cJ?nL?K{Ka(G>=3s#+$swilz<>vsN@= zViR+otf}>3txX?n0cTz+{@;0tdtLtjniUPqNXF&UPpv@)KKYb26H8Xvc#@FRkO4Lq zw@)0ei9d}p>{<>nDc~~xx1~_le6vUJ-W6MZa$j}uaLO_Zqza4sM=Fk|jpmvtG|ye@ z;$2HH-86TNF%Y<|&t!pWlQNM{A7}2M0aznQOk$dZreX6i$>hgtSM%CHckOELT7O>4 zcGvp5YtfRK@Ao$DTkkKKkt*>nFWIq)*|TkxZN;N59PZTqE#$v251OtE|FpB~!ndA> zR@}UW{1J}Bp554rzk;MF4!(taL|xP?<-%~=g*V;-@V;B4(Dkl%%`C1@-0|Jbk3 zYd)!*w{RAX`bYe+-BNdeYN$(i9IVqWeDk-Ehr>eqD)D`iOa3#w#pnIh0iyL!o4xMT-CRb4?xODq3_^8YE^$&B}Y-=qkBm^Z-xDBnlNV- zVt6cdBDtZ>EN`6uap49bCc&Na`9(bONi2xp=jVOcb@({J643yd|fNJFe zsQ4V*i=*%o`3?B^A_|EmBW)2d%g3yuKbV>RQ7^%-NlhbPVw+G#t8tWEMiVoNc1|v% z$r(kRYu>?cOShV3{E5{p$4`0LH2jOmd=h?i&yK?}w61MJuJGN3ESXgP^DNp6_7os< zS6~i-pDJ*c0`Dd;A3$@@;{L`8*$rep2B@{4X@YT@U>Xy2W6t$)j-si@Jp7OcO=6Ss zn%r0y+IHu0dAuqSRLbQ5hx$yfo(`76>ySK^${Wi3k+`6*B$(5@63dowZB8wM7*gBC z02cPyv@ZReGfSAw`i@mM4G6a44&6d;EbGDDO?b9dps>E*)JvHU)QI{)bqSU&(>&STrNp ze-24a?VS2t)QM1 zk<^sbYtN=FsY>4QS@^k>RJ8?}lB$AKD5+b_X)UR)N>s&s0!j7gD@;#qR#G#V0R}&| zq%aC*SM{e_)3`h?==$(rNQpJj z*@;x_emNDN(|j2gJ^2mMI)mLtutmB4wHM8`Z*fEV?bp`vQ62AMG0D3Le2bG`9K|o9 z>S6IgQ#pD(4MWA+ej)1m z{NafNww3!8yJ8d~3k791Tu`5pD~#AkbP}stI~4bJE>wZL>x|&-yoyiJG*8-^mB#wm z;8uHjMQuNrYJ=6cK`NyGoBID}8t-Tr-?dCN15j5Ny zYjo*-XG!*$Pl52P;DzFSY4!dc^N;ED{`hyN_aV6Ba(VM8m46zCKs%%H3$UL}r1xP@ zrPaF=5{CUzk3{dCEy(Dd1?%9E8TRyw+F_nYE?`-0fXk`g<6w_(Oa2u>{~EFn@;HB-<;5|-w2^kSI7RIVb>FA7lPQCNNODW?I+U;{cj`;pNBh$ z&`(&95&9vR1)=Y-r&rV-=m}lHLP{d73caBP^v;rfeR$B$D)jkincEB9ACs!-gzg4n zwgovKw_1LY3OxrQP#8z^g7@Dsr)q@0cu`uR4@AQ76!?J%9k(DObUw_2(EHodD`azO z+s0lFM+$o)tqPs|HI2}_G6!rXXNAT*Ry&Wz8+H`B4>I$G9-Grn=zq!sMiDvysVwV< zTZ3addA`9XUadUG+yHs5PgkR98}GX|cc zqu{nqcxbtG!U9^$+P;!P1hIw}ec@bSy7*@&+_p%){5lnG5W}KxP`k1JFOnL++Wv{O z!hL{cHsRkWd=c)O#|_8`_XVtn1@D^E8km;hUWijvtsjw8j|Re=&2(GI4Db}&>Q=Lv zZg_0yhwl9)b2G^LW&3vG>9AA7q>GsK{|FcdAQGybA(G_XH5(@5dzi>PJaGqdVsM!* zU_6QiZDPUyPXS}pOZAPiGvKTa3+7+h4$(i7d*CS21urmigcbEwc~gM(wvV?gw^lrl!zw$x{WL zO5dIFrg;^=`7`l8UQJ9wK)&&ROr|Gz_m@#v$c+=`30fe>L4hn7FS}VYYsGvl=A7!o z`3~H}1Ix^NiMwWI-Zv1^ZsvW%7H8fIYe$|HX1BkEFUnk})PK%4f14@wipY6#WdR-E82s1Qn}gC*|d009FkmZ673aoGC1U<+`#5r^%Tc-5CB0Hqc6Wuu~_!szH^bA)xKlilIG z==#Ly=sb6sZx1j_ExlBJb{U*(DfTXh4OO_7Tt;r3Uj7+PFy@uN$4`0Lr8tRsWfIPa zUhN&b)bD+(k*juh2Y>5&-b^d1z)Gq&ly>BlhHNEfLhoas$mxck$4yh8A zAS{8W%A=ag9ms!Fa|=Ne#GPZK3?!9vv(%rwyZB=};|l;%>+boc0|Y%COxA*0^W$h_ zlgn6!8Cj(t@ZQN~EJ{c763nBz=*-a^gN$r+R$T&`e<{sB7;w$`o-~IdsiFD!gAq-C z@!a1@fbWC@3(YwW17jGq0DJTF30!}H_&)ABq3R)()^Yj{5EKt9g_1U(24RKMLou&FHx zrt;RK!bHWn2q5Lz{B;|izvA092$NVJO)nC?zq1rmrH?I8Y_@wyOlA0TR5 z*NELJI_oZN*vVW}*$?8SmNA!~WR-o~=3GL~nU{N>ekQ#NcQrc|ew+pswo?_3VQ2d` zYBavThNMP?)_Wrray^2-p&EqiyBZbVb|AlP`kA2T0fGvP9OMQspWZ0za6TZaLJYQf zEz=GdV7oF_72ZQ?(?mzFm#%p_J=KNy{;VzVE~L7HQk^ghcMVaigV;PIHB`5CCi(@w z7aF$kw`~m7uqx8SFYvwutpx~FS2@TKkLpW=JPC+W?FrkIsuXyC2xq9erEgE6YpUfE z7SxKLu4U!OU(8${#TSAM*!0p)rT&a>PU{>25OMd16>QYmyP*|m(!bbEXA~hWE0Ycz zsSZLa^ml?Tm)CG`k%^e)&djnLZwu-LOui@UI-tws8$b3WU;NE(- z(HP;$-Sm=~hhNu4vLHC||2C`=P&5x;Db}^g>>iT9=JZG$A1jS zjQD@-M0TbHq5`q^4I-6y1a29%+P|X^)T$w{DySquld!ySM-yxm_cf;&e3mi(i1Ow* zP{PTUk@aQcNuK4Fs^noH;U=JrQS_b9pC(E4Hknri9%aWQuCsFwZ=U+x zwSC>D!X2Oy8<4Zu;%@kg?l2!ojXQLopVl4TzY5^L;QHbY8~)wa9oBbXk;UGzr&rX{ zINMR4f(AESfwbxf`@kMs1kqIa3(TQh_7X6YKyr*$Vh|Ka*oWdjTqe555#E(#SB$Hp^`x3f+ zc~>NrAJS|`&|6wT>S%6Iv06)LiAj*k>nO&X$+35VRU@-tWFP|!t?$jvc*L44cZ2Q<+W$7yRAd+?$1%vceU z8Woyvk5useVtd>(dHyut; zt9o+t+(;AdLuC890||L2D!0R7o7U&=Sf6`Jn;q-dhKcK=w)U8ub2*sc=?s}Hp}!o7 zrUCE2Er^(0=q%{vS&%^sPJ#u(d$nUJrCa51kDD|Bj{@lMqc;7^_+?M-okGUPYZV&%e{;Nr(&yZu__?wc}RqR*6KgL?A27 zRx+4Kw|VN9Kl$yqq}=5IVYbRXM z+0M?1ktF;5-d>V4IiEhfV@6A#*J&W)#%38~*FyEPm8XMa@W*YZ1m9|ORLCo`?=rXp+nMl1%4tjYD z6tiYd0S(>oWeyV!m&ukQZr~w*gG%F1(ap7ago9dZWPu(-% zG!_$M^N>bt9MXgrF~T-4VdQQq?!gx@uz?6($G|tWPSme|>x&pHFntl@<@K=2y@-+A zFd2_TSC<#B#1Xe^nn>yx5jhvcZL5XOkb~PV(xeVAIdMk zbQrxdz6LXwu4-2Upw(yL+pcQQW^B73vqC;r*zO+1{2Qo@nzH zd}~#DO6%Tolom7W8>E!x&~0*r66aqHr9+*yevI%oL)g76r5p$HV}#cT%2Y}}+@P#e zIWOZZD9z!IrPM8=6o+-7glde(Y77vP)2m%E_1yxeE*_^Q27qi4QA~>|>IgJ}LG1f` zB2E_=TiWeezX=J$v8X6gOd}k~=kyyv`$^S|?GbUxQcjC;G2%27AT6dV-gKPaM^#Nu zUy10PaXQ%5ICjUt#B@%$57OJHt^?T}tnA*UzUSh(YY^MPv71vK`Ilc}y=iz6S_NTu zt^@h(b|q+xG##-514-qaKw7E1cM(uV>`ntn+1=LQ*!_X9OxTqyAgbc$=-pl*SOVN2`(DYnSvT4kvV(ad%+GO~ zurf2Nl)VG2I2n__P7@c$55--rCfP7-`H`y0dSQTCkMEBlsnO*2*^wrFfV0icuSgiq z{N8ACg#-DIU4AC$41i$G2@c{%6yFhYC?KlH{jZB6^2~+tZZf_OyOpdP9wS4ztAMgx#?4uHd{)#Z{Y%tMQGj6YcAQzhP4W?{z_BhVTEO?PV{8#mX(cxWcR| zqi82A3qvo2FIfcfD!aXlt|OBrIGcFS`luJenzXI?vOiXzmE*Gqv>w5Dys3o?ewt9> z!op={nydYKvnAjD?be$Wpnq({w}2YZLE@Xr`o$Ns77B(nxOV1aOi((nbDiA_uR5>0 z0V5;ZGc0FK`~%e#^KVFMye_va@;bkr`3Dk)-M%wk_eW_2@@wKr1bqeT6G5!eKvFql zWHgp{CVyFm08y%-@w!rDzHICEMmw?lO;sp6$vnK5=oZiDs zrQtNnnVSootx4}7VK@|)NZYx$1Nj~Jn*{BkoH|9E-oRP3E;sPUa@r%}bSkU^Cs{;t znaoYeMh1K?ZCZ!}VVo-~*Fx;%@%or!jy&bHJ$a2m^AW^0VXoBhDoRGh;Pd(m3B!rs z7+zx>$mjJNLBjz;Sq*fMeLQ_6pB{i{NnQVnV|9nj+<=u`#}+Xg5A)J7I}E99+t-QA z`1Szm>rmF$Vl+P32gxzJYi7i3U(cd{)96{KXi{Ru4&=M)Lj;{IEkJCngPh>yb3Y-6 z1ES0xep#5k&FEwq0xr~0!;CY^rpRVst~MR9bCK8*+xH?6J4}h4#mX@MH4+!2t{^{gY4}Q>q%ng1ER!UU+svkmw6dkx2jJ}KBS#s z$_Hp1X?X6*dDnDYry@Vg^#}}0YzKBFE6F0%WbFTfq=xG|C26_7i-h3{d;?wzaghW0 zTt6jfK0x4_au9!R@f6B&4Is+(vwFw16?`P%&_T!gX5_;$-iC_k{H@;YR29~EWeFrw`}wctuP=%K01a%mG#H1Z6}0eqm68IV(3le zP21DLzc~q)lQ8QYkxo{%woa+lt}Qx|HS;CPfc^h5BkE*(5GU2N2{6sdw&w+qt&sRs z{;9$MwIBQcBdL+?*?&c{^##s0$%nGoAB0gN+gu028nw2d5`bXM6$U~|sl4Gx9<|3e z0imYVuM*YXqG}(>yjiqByPZI(9WgrrN70W3ZG;*&Z%9ew=8U(xe0t0(FEE54w=)HM@8?~Q3zr>E<-yTWLz=iBE&PmOc(J5!>g z(dgj&ceh4*UNk+@(|5y}D2Q+zj1WDKb|BvkuP0~_Ek*okAgP?a;rfvDVca^BbT~jN zY0*mOh+MZsW!(ClbCI_8be>M-Z9?vFgB@@x?D{!xLh%bH8%+l3-&`p#=a|cuzWLLQ z*`B4@R%^EJ)Aq%m{k_R94?jXGxom;75ydB~e$La|ZcNxEKT;g^;V7PWAJN;0oH=$| zt(!2e4#sVeO&B*u>$e9iJUif8i(0mejFf6>eXW z`M8Ig(+lR<=WiQ;UQQo|(_F&)jz_~1#JV7%5o`Ljky!row`IJ|Me89J-uZ})w;=QU ztx)ejLQk9h#K7=&+GquufYfTy+!Y-#Vq=id7{2nFw1#&gcoBS248O;MjNx}QNy*MJr*#9dU;SG7Ad;%pAJ_3o zvGnoTiRfdLoKWnId&t^+P|joGRQ`+j39tD?3bobMU1nW7P>a8-A{P)j#voCtCSyT- zV=)FqvbPaxvV0v4O=;oema)-Ubhs_u@E5BgDh_|mG33BPH1h|udO!LLm$LB(TZln& zQop>$ZiuaB(V_J^!fNTGsDl2wvl2F{Y5)eT>%-l8qU>~AC#FM-jIEufydeqPHcs5} zs0V0T!K9@)R7vt$|L7XB?8EbRkjr;g3Obo>xL2PGxeisi)}155-hoqL?#C)D4Z2{V z8Rg{4Va)bE_U|R$i@7IK6w%_#oZdNKqc^|(v1DnJ{O-Lzv3ohLnxB(<~x z$-7G~`Tg({#y%2hh~%4SC_veu@J|$wroM(Ad%2hTZ56g7Q`fI!>exn1PTKnD8j^RH zx%&765-rb_+voRT$}F@pSOtn6Ok~SC( zv$5+}DsMh*v;u99)GE;Nut<)gvY&{uuPHBHi~)T$X1uG=<8Zdn^d~)FUb=AL&!x@{ zipWf>XnulCWFDHKAoc|&E)BEO)Vc)bLVY(lip-9IFN+)GIgsxLhZEFWDne{~13`a6 zdgxF1V_6OaNLii_>(mWy-bQLvjjec#vu3`=G6-Ua!#v0A37pileLQA&oegG#waos> zW^n>qv>?{iF)JyG%FLe?dlCu5OHt>9*#rmjXT=s0bgWiPH4tr0Y<~p@qZfnU0ze{u zqX1PK%bo+jH`re;lr>14!!lvqDrGkj^Qw-W8_^$)lr|1nIuYy+Qg#)rzSB{+@f|!Q zHRX2Sl@U9?+yW#FZ-V0qyXg+(m)k6YE>iD2%|Q;p{cL#s2O-A*qO2Cy+ERO;GcTC6 zW2s^2fIa%)p6&PO!#ti=(d_*BnD)^xS;@4X&bxB3EPIW(h7$ETH`$t5#C|}JI}~pZ zhsS(cSDdQSnbk(SL$zGU#`Wq>#r!g16@uw_9m=Q!=5_6|ofkkz?GN$o2;2J>QrnTL z?Ln;Vz0ooSu{SaKX|z3NN~En{+j~)y1JS5RZSQSCrnc|$zP43~U?}W?K83k3=Z`BS ztD}SXO1Mo>Tz3i{dg;_+ynMyT@>Y1#duMr`)Papwa%HaCeF*Fp5wc2IH}t{5i?lt6 z^?*OF%m z>J1RA*u;h7&8o}(Se=lLc$;Y>+*;f z#|^grK1Gvf!6l^~D0ZM7J9Xr>`orydwyLTsm-Py|S5&F^{XlC&J10*%2w)CG7yIjxkI1R7H zC-{Wj9S-ErTaF+o2@p!H$U*#h%RE9R0;2q0UIKpak>8Ix*0GJ!Zmv<1pflp#2&43Q z*Pb1;q`PF5_TXU0Ko8HY|HmYp}?xxmu>a28nGb=!2=0? z38ePXNAy40;psE>0B?qzzQ}wlk@*5o4ro9Sjy?YP2*aU&wNUQqDw)YW%Ih#rXv!-6 zgi4_Q$6Tya?s=S4`})8>tK41xfO1!=a{mOKZ~~eG^#4d|lqB39jHOftJ zAYZxL2|5-aDfp}V@+T2+oPnP%FmX>!2RTQS^SKZjy@^me5_LGzs?kMHSyAtXiWSI$ zeJ2JZi?LEf1|vG)sd3@(-N>nSs&LCYoVlj*$FeAYc~cC+JYEl6v>n5jJhYu=CO(oT zy&;QD7YoYhNrpT7Z7YL5&TeE&20E#d#e z){9hisxXv>RX4!8`DZ@iL^^IBVu26$rzUfD@)I~pNfZCnj`Qw{kBKVc3GNhB|m zZ39~E9XGOep)P%iT`jjX0SvrgNvw z1U}-7HAac@B~|z-ubeM`q$=>kk2uRus)Q%?)%2_NFc*uU|zuVjXIpKJnrRGMd_@lzuvFkLI-rc;+Lbgj(UY-J`RC%DShjGul+ zZ4P1&BB^O*dQOU3nZ1Cs{qGPY47YmAv@$2KzRgxDwR6+mVu;lhT$w6^f><5 z@zVxY77_~k!Md7t;M81+`|*{;Hl#Xkfz($|I8rx`2dOE@45aR7u#_vL8m2|0;?CY) zp1sSFFdPh1q}$DPAb)W2EJ59r)L$1U?^MpyI15t6{IR5Vjz|^4Ivo_&^@CY>WfG)N z(=j1~aX-41iAF273#V1_FSE87@jKZT*J05!HLMshUa)} zD#nkAOX{){1F)V9)wr&6Vnx_dl9cNL4YjxmW{aK|ZvdYEGt@GQ5a8LD&PLI1HUT_ME_&Qud5!W%Y z%72o6`wiwajo+Sge&mw5z*)cD^HhLOc*7KNz61G@RWE`D0F($~Jsso_FP|L<*$NP~ z?efP^z#r3VKf#1878_nI9EECt4KK^Fr`^FF@G(hN+~hL;i*X-b+rvTgV@Q%*#@5JH z-d(}OiQp>lrbnF!4~&BdGgXA=7+eiqL=i&Fj~WrqI4=^xf0T9;)C)(xZbTUBKs`N% zw-D49Ac&A-AgP?&aTb1_!mT4eKL8+=VG^vXSwk5b`-q=wQ5R$*AQ)CghFP;rDIW?e z9YI&hdw2w2JQ)OMDZvjI1D%S-0pEdk1gD*wmf+(sFP!w6A$Y0-`2-gcbQC}!c#wk} z=xMNwkX%5NUil-I-fz9z(@VB?yo%w#fv~f7j@X>{?nx9Ye<5?}`7&>0d|$ix1NLWF zq1*l96R_eFX8^+^nGTSyLp>w&Ds=mLC0U1>WBWPN+bF1m4+7o;g~${AeZ6D}>$pgo z-IO`xWjVz1-01G?k^H;MmFVsgerPA=-`#-B-3>@OyyP-wsNcI~pO=BD@8mLGa&F%j zldaAxoZEL<;N1T4vG9t!Ng6QnGOHtoZ|B%!a-h#8D!t3vqOCQvwuo9I>`sPvx+SLQ?MbKoaX|b~%B;SKbKBEEA zqI>!w6x}Nq%BmDXYN@dY(W_f&DL$)UbN{ z%!rlW60e0rh0m`xte$WnzoB}Mpt}HqO9l=y#A7A-Oa(+)H9ZJcFOyZ_?zV5q%$oHs zEi{qj@c5jF;uDx7O-J!oWMe5FG6ocxJ8Int41w05N@D#7k{XH|&qz!0`x60PS8ph; zaUh@KPXs*!5GYnVh);0+Sc)f~ z0E)|$;w9|gL)2@me@9Y7aa(7aUy9q&`rlqM6vNXa9ej%230eyfD6Vo4pW?p=c@hw% z*fXMd1DqTM$M&-p_FzLa#ERQnQVOrQ{xfCuC z9st{M4SD2cv zEH~ZjSUzw(Sgux<&#~JYiY5v1A7(lY%QK91?O48xk_bnxG%SZYkk9f1g8Bjkf6a+l z9)%KS{D)gdEDr!k%W@K|QDvrD#kQ{f4%^CN}W|&*@btDJ&jq@bRyqXIEdV+ zBA>$UBM=xT^t&$b3 zZOYaSl5!EIc}h$bEUv->BA~n$mZ#)RzL?~tfk6}n#c@?m*%0ek&d=_ceUh`3mQy-}PL{2W_?J@;g z1wgexd9+BNaus=UYSh@k9PIsC21Yk)lQGtynvA;vZ8NEW>cTEv2tIR&G_+bj^w|Su z;iIueP_QGm&{2rz;wFeF%hoF5x$6=slkzg`YR$@1fFI+4OK3fcJr_UCpUYzuA!IN2 zm&-CsJK6Y2+e>NuYU{71{0h?IC3jrm4LSVK8|a_)@)s1&Xx`O%VB7merjSzGOKIHl zU(Jyzo8xV*6;+%m@~3A?1>HystL^>7l*`gyqABgX`o~aAVWnibx6MjjA<&|8rbtOT zQ(C$tl8;lcOt_T%X3ZW&H_*Q_iet^W)XjS5Nw}Ak?{LX~>QU}*sxcK`dv5E4!{Rkl z_wZaA*9*h})Ia12x2;fxxF~KlT<$TI#clp3zo~=px7-|b#L=1gu3nA9^@L_l#ak}2 z)g)_{gnGub;gV_A?Ekt_z}v^nIBT}d8koD{E^;^AC2MvW!LF|1PEr`Q1G| zo3*e~O1%7SxZ{6vk+CV7A5kqRveo*k1CdYKDqGIo4Frk?x`vaV$qA4^7MjO$F{x~O z=58n*?FYA-=X&O8$1w0?!KLzFK#&j~F`qs2cbKX)J@a{mX?y15kuWT*H9hkY7G!$n zL!M#Jyjf)*fhpfMeVH^4@+v(=vVKXT_;!kx;RxyfSh^#Gt6YSSn{Z5)j?|4&8}T~Y zh{97(i6a0`<$W0UqJVdwg+WQXR;244j0DmPifM=|Is3MFBxc`U5oPkm7ch#-e;+x9 z^Op!UDGUtmWu|Tpv1=4NP_evwI9ajkn(;Y;J)PKB6`P^hmxw)DvEoP=ZVGk~v9Bq1 z?Ga+blf?E=YwVx+7u!#^@FSYK8X9W6CS&1Xs!?M2vFEmg^@ zq}2AKrhNEn3m?yh6%{=mZa@dyfTtlCAe60DLh}a>M>Y6F zOwWJrSlB%DP8t846IFvVMn~14FS4)`fwPVVcqF`CMtMUW2=R1VP+zGMu^fdMyHj~( z$RLVU2LYqTT?FIw+1#rTy!rL6><8kjW#w0gA~c>nHcQ4zcad=2T+PfX+gksI7ML64 zP^ndB^!RuhCj#8Btby7_8D^Eu!YYpXGK{{Yj9Lzc#0ue-;ssrTN?x-2H3Y~c3JCaZ zfwk-eG$XZNtIzy!n0WZVkAkEJ|5yCfCQ4&NMo>}( zjakqw_{H$xK+Lhag09C&)pDoXTtPpFdo0)c<-bMe9D}9+@BdAV3cBd{sG#xSAu7ZD zXw^j2J1OWf4&<+X+Lxf=S~>rh>4~7iY?ro`$tacA4QV4s*c*AM@e^;gjv#LluiBba zZP`qY%7vf(#S_4$4%Fin)uRtcIX&DY>Q>0oPEs2XcYwQD-B0%HwQVugQN)Q0*KPR;ucF6`#EiDeplNCqvhsw zGfB4}d>6$(1U9FmyEC%1beA6L=)TRuDy$^k3`e(kWJDLw(jq21(af`-GIR?a$baW2 zhoHj%f;Ia&h);JrLUsW}>CUXMbQ?+cE2+4)bSFyP{S#KW63<0&S1omSY<6&KE3TEl zX&UnHwfT?sGTRgLyXo}kjC)!=9vcQdzSVZ`MVeNCb_eUgF*#|9|NKOx$9|q3SB(Jp zL{w5K{sITe^+4AXG*D`CYZa!Rq}}rMC_)TdsqSE# zv#}FpA&WsV9>5iOkIW_122b9cXuvWUc$FtAzxD2#v!G-iFCvhdrHg`MA7F;k3HdOt zst-{!Nvg@w@>OcR0W4DautVxSJ{)M4@HwuC3pU;HDG za6l|!T!0lq(dH2HS>8mdTZcr-6ttENV!O?EG*A^`>^yv84DlU1Cc|kwXu}N(lVyw} z*fmuNXL)r+&SlLk{}mGh)3Y;*4&lZ)#ssyECUxV}C|16!nOEit9x)I3u3z}#!oN3- z(hu#bu!(b9gG$noH4qR3tF2Q^+7f$~8F?2al&zdB1}zbJQerov*OBEfGk!(2-iYeyKlbY8f#nEPNPBhj;BiEMXHBbGGsvCUsAmv@*isGkOaacA;sinC zjIzLPo9(bETG^|BC71EvjB6NXe3g$d;}CjdDla%l!i;BdDtu!>6lMgKgqK$|XpviI z!Riv+i2GkqPIcx$AF9YE9H<2`K%u8@mw4Oq&A4g)bAD2!7t5@rgEBE-L^!JjJIF7#$zQ3YBpqyS+t7YP!9C1yPT-7jU-Qy9o)y?w~61 zLxuz4kyZq%AZUYB(bz{vXg;Z&xj2hz{2+g9zxOLZYTY1Mr;Glw`O=gwv^R{pZ-LRz zvmB#u7!lz~HyK@l!9uuyKt>;*95Fh{Sb`^EeyqD2jXeT(2&4TS2(5U;XeWYtDx-sWF?(8lRxi?{9a{7&q+xU~xb2|*FZ76#XBd}cMRzsPK%gL=Dk{VaMD?cqa zhHl}khYh!42lBa{LD1O%f!kOEN#)EVsZ?H1{#aMM6d>jHV5#L+*4c6^bp>Z%PP}ex zdU9tWxg~e%At1-$C<^XF$~!8E#Rihf zX~9{L%jb_JcQZgrZgtX%Ibxm|ZKxN%Me+%{3>rKrL| z>{28(+&&$imfIsp7{2zP;kML)d~OdAR1FZg-Dx1HoI{k`*|->SdkP@swqd5__9~)g z<@OE@OfI_`jj5wohiT7rb?yOVVCl`z1HFDqFPHQ_LWRWsD@bbSb#RvZb#4t3hTlG5 z=zVl(q=8Rw6+tfp1bVdwlFFGwUa7p9xERq}3y{*=Hlp`UCqvJ+Cn!3*HP3p?sixz$ z`g+H$4xyBNJO5}pQKoQv5=jlWy`0%Tx9^ZJ+}?7_bReHwGeO_nFWf#Jru0)e>q#n= z_Yk8v>z1tmDYwC}P8-Ya5w|u)XX(YZK(D#P(ff1===Im4JD+~k2klJ|d+UUV-m%84 zc6~uMEgu4-q`B-+&ZGSOGIxhtW$aiO7xG1i87^EQi6~Y zvp<|MDC4LF?yx7!bOq?9e>!^%HysFu=B4K(U8w+#4#xkOq%;M1zOf7p+5Xu6`z0EG z;(dl;fdl!|VJit52oS8<-9e7=@>x#E)_`d7O_>3HGSP)S?m(iFaZE581B`gJ#(dqk z#@grj(&Y4|8AV-!_|oP900yIP45s(MU`)dv9nS{E)rnNRZc}l|iKEL`jKKS&7*qX# z5`eB@D<*q6UTl-q#}bz_3LRI4fSK>iV#hfw6~V_&k>;)cfQfncC!=@|oUY^P33ubP ziKO%`o!cd!aTU6`9p3|zXUn(V%N)H5>8wyu<*!kASm$FATfx z@%=F5qi=MXyUc~%$<|zXF{`PZBZH0Q*;vS+8w75|a4Q+F!48Tw!{aw*&6L3bnKL_i zmx+fJI@B45V;HZ|_Ye$TMECZ)0^WnN(>Iw;!d`|$xf4x!@mkpxuzYl#A2o+~O{dKs zH7hZn>8h8Dx2mVP2BeVHixWBM+ID31`V@69h~0vurh09a7d7j-z}f263kky?V4O4{ zpB@x}cJM&E67(9qFNiHSkW|htIE(hNFMn+N*a(nTubmyAn-DSnG4YVhC|RAVH|{+ zOT%ltv&Wwc{~ig$qhXJf)({8sySzUM>ZQE09fWV3+fx6HkU!^JUgyKM8reVZQ}kty z7mBOY&^iLPr7NyIJz8rH04-XA;<}kGbNatXtDB>B{eh9pKCPZK=Q5Zhv`%**pH@GD z5&)sNhB?UI9<3>a^owZSbd9C8jiKdlmS>1vfZ5V?#EwH^8(ICZKZvnY0lfSUNnMa*UtSL6V-ryCa_|{j#AifC=I|4 zC+u;Bp%jL+?1lT|t*XRlhfB{6t6|zEd!k{Su=AHkAQYv zhTV*+V4`&Kb>-P2di%ZeJKli;ORgL`A$nbSa=T4_M#*a{{zg9s9Oe6(>y&pWcE0u~ zeXXxB&7VPvqtK=Vu|JQDeC>+;B46WViEW;*MZ)k5SS9V@CPy=__%ysd%Z+U}SH3F3?QtAP6^TVq+XJL81tU50z0P-2fGi=a~n z#$u^h74yq%*wh5SsX@KVWifns8+F4TP`XzcHV!HMo@p5l4aYaPldI*gSVs_c^>P7D zsx@C->9m?L5L)$@JLjK-n}xY(n1Wcth)ApWz(^~T^TxnDZGJXt1OwuUT%^= zv*u@+3>y@p^Ir+3)>2ub;(1)Q3s>=|v$v~rq`A;M@?N&d- ztKA6kDkKcMR~mgX9LU#aGC> zdb|ojavMz6U9^p!Nl^e>ttfE7E8r48vQEChs|rugS3ly$BFAS!^KOdqg!k5?3QlUIR)s*$U>VCJ*ae z0xFU`EJ7t}=O;~(CesMTR#fRVX^b>^2y>+AG`Zn&r%9jw&}5Km@(Yf`7g4qG{U0PX znuL3&)npjKYi}`{yy!r_CPN5X2oN->GLV2DS`k0lA6Fwyo&`!Z`Qe{d6Ra6Bl}ARk zn`?p|*VLU!5meqz>A^{uQV#HKFNnuCzR>bKyEmxLLcI@S2P3Hw@6o-|iuWfHhIdpL@n$)YFWxT%O#ukvoogV$ zXv_(Sc;Dk{B;GWjRJ5w)K5oIEzoEf)ih#Dd>W$`tpHIRd?bYDA- zR!31~9FwN$G#UUStVS#PL8Cm?sFIA%K{J5;w~*9mG`n9~jT(_Kyz*wF(K!y}YxEXD zCjbPEjxdm5G$uAgqt|dX(r7$Ts?jZ%8jV`=0OTx46xV=Q@x-x%nPJV*rW_L>C)7Si!pQ;hTU&{7wc``K2xfKiT*2qRtUNB-XtT zOPgu2cH4R~mG_)x6lMpwoi|&Y1n!4Ah(G+lgpj=eQT8`q1on6jYE$ziQT)bs zbBp^UvXY={lD4&f0<)7re9?ZlqbA~`gITkCBa=1(@?6|53Xo^x4;vsim{)+d(Yt@6 z5l^cZ@qDhzw+)lm9qeno01)L-hi2buJiZS!9>&zE{DGwV8!A{3TY#iS<2`yu8vDKb zR1{9Q4N6t&&~LdB$Zu$_An1!5MB{f2#D&S1;%ek%-vOoO^@n-dyLTc{F7?%ptbO0! zYFn7*4>dG`PJE6~Y;;6c!S1insVAaM9uxzXuO2l90qNzgKY;EPWf2tJx-8swvKHPWdbDAg$g=BZBW zGzx-FJ3_9_;zAcIKcztE)XCH7KPI`Xuf|v3XTc8b>qFDm%L|Y?3UdMVbj*ULlkMya zoNT}Kf@~vHw$~tGco*t-5IYV@jcm{Fkyf@I1V1{*$aa?l`Lb6*Yew1c|epwK^NR9{4yE2TcdHe zZ^>QV4RQG@`75>^rfAbC_rtkPxf^zeawAo_Gs$ybv?W38Lrj7ipP-#tJAzmj195Tr-MAVl*BdBR?lhPuwX|gzC_%Oaovl%&lbAA3>YG2} zj{@}ep;K=8^gm_OxpKIxe!b*PuuV??6H@`4&x2)OVk*?>8vG*{0YPgLuHnkhWk3+(6 zC@c~m*xP}8Zes}ALAiB`xXr{_aGSy(%WaQ{+o`b5bZE*g#5#=EZMl`L)N;`UsE4Q0y$?<45u>bJ>5x2>^MCH~4I2#ddP4MZcX~OMz2kPp9{zC5IA*_x; ztiOSza(=;CaJ!v9mfMj4X}MiD5!{eRM$5iZXl5t>RL*2cQB`EJm9zzf2>No0i-I8gLO4cj2u@oZpO2H zee02y0e4$Z&Q>I(h(3Pdg+;#=!b}IIUk&{HN)N9 ztl6vDhr4CaDhhY6rf@PJjQP!NNl526zu}>F1ukIg2zpML1%T+?zdFM?!OcCP%z>)R z{m4AbMGJ%ZU(8n;W#T(U%J>1>$4D3sE-}jFI*{KCG!oPeASm-!j^^V6wzqLL@`9a! zQuD^ZJZ%S-OJF+=0baZcUh{$C!+n`yo3O)$ZUK|j$HNI}wQ*6|SD2tor_GwvoiwW_em^o!m(7|8;TFJaM!llcp;ev`c2paDUIK_>CQEnbWNwt!U;~B zQ+q(0GS%i1mU4*dhW(F_)M&G<;nYr>hmbJ*ZMxAW+#zxk_0snO>9?IYTLow@-D!;hvJZC-UCUz<{bY5;;Z3k<}C zS2J)m(&h!ARGU8#c&j!TSWp{{tWtT~sg~h;%&?6%QFPTTuT|8?`9fnFZQQKpZJ2~j zr_EH{+y?Evc7ist=>eXnu#^{}w&VFbk{WH^>7G`be^AJ#~%_rlnHYb1~v}rJY(^0hypJRq?v}uU^#?EG<*|FNBoz0wzIo5RA zOu)^pHXU=I&0O`Homt9rQQPtTHzYOMJe!?Xo3Th3K6tIsX08MI+KeKo1R!X0g@L%) z%wusi(&h%BRGSx1HQKb9&1_L{cpr0XBg|?mj0wr{DcM%M`*4tb_aXZlyBrIvu?o2w ziyvt%ANMMzIPn4Vcul*gre42WWS*eoUi`|biQxNUO4dp0BKR(_*-E+*mM$Nll6In` zBhW}-|3^$z8c8q6iX`=8oad?5SXe8O;0OouJN~5v?FSGf-NQgqInPm@R9-!QY>YD$ zAXW5=Q=q8CIJ=_BNlt$igx*r;sdJ-~1Pn~aZ6H!xZad-zHmK=O$3Gu!5XQfb+YQ@A z-27%{2+h63o(m+x?R0>YTje-gla633qYl! zRTrF|hs-S1Q9FRDc}g~shW!U^LJ%8`q=xDX-6E>KkM4?u;S*OGs{e5y-$#28R1OfV zxz<4rfPFTee~OR`08yfEoMb$-WtyJY_ybquZs3RAief>0LklXYuKwJ41FwWhZv5W4 z27&vgmIR6&D?oM$P^a<1Xhq5Z{-du6UN3IJuRJ5v!3VFixtL@IR#CmmEMOHk6J<{A zS8~2n6g3^!Zy3b!LHV-QR=m)+3q9AO6PEvCO$mC(%FP67{nGLsVSYlU8B9HBU%l@K8qj`TJ#Op!FDWY(|D2&aOrc z%->1Q4nyaphqL)&V*WOA_HB5H9?rf4^zu8&+3pzW%Hh=f{7gA>0i>40soD7%a;6uC zD9s?nu#U>MWCTD)+4YrcQ2e$+GR)V|8-7`TLeWILwj5Zhdj- z9bW1!e;;zNJ)9j-&Ryl~1Uzq%!>NPwd&rp!`s0io&in`+Q~oY;_E-!~^LxtSGgu|p zJdGLeA%8C&Yz|@IZgS>uRNnmE zgni}Ahj^eNhse2)ocWw2_LResLy`RF68zmy{$7754)>D7?pQn6`~ffRBY!tsXAY51 ze>pP&6()ax94;*Y_;G^wmA}`Iz~MkSJoqSFx|NrXmcO@}Xb)!}m5IZla(3QH_E3dA zP|jX+JkIhE!X6-JHXVaAayavjI1UHN*+&vM94v=_j>PTuw4MMUHv_SI;Or-&Jk+M;hfi|uf$e||Av`>Lr8ow>+- z1>p&5%Z#hpB3~)FzuI!!`D{5}eLZ$4<|m8!0QL6@iSI=9wZ(<(>L$BRQGYkQ%zUMs zlhl@-N3!K)^>wDWv6YBVRewK?$LX4Up}R*hyM7-{w_jb>mlrH>>db^@t_&{hT_=A< zWopDZT})QUxP z1|q2SHqm2II_h-GzI4#&%` zYQJgrrmD$)2W@wjRg<7?hCdeC0hY0?L(4^kb1D%m0%EOz`z!A7k! z8=35P$kwn72>LyGLvi0ltP@!(H8d!s2TzkGj_OTa*$UYX) zYlx_2FB(ad5hp#ex6wFcOVDa$jc`&UJK)LyS^GMXsgf>Km#n5L`yIq9Sqx2rIH;$GIJOB8PuCD*33)v2nBTv{)Igm5Zz9C|FpMV;H4w*p zQ3!Foh^|Kj#7m7N%7~L5;!S8AMEOM_4RKz9h4|g&3Wf{>SSb+6eVZ!RBAg*sysDUE zwU+SQFuFOjJThVWHrelh^M9uU}t+#2<&VT^+g1*?nV-2#7PhAWHb)g31~I2Ob-ie7SjmRw-shabfl$gcKiz3 ziCos_7XE&W59K2VT-L6eJ8w_<9N&{#Xi zdv&s2r|~t0@tSi?62AD$WhzX<7b1Ec5kbx|k|-li`XnqvG_~o8qbk{=zB#bVA7oI!_J@jQ7V~;R z-9`?c$?F{Y@X1*U^`d%E%YKLYTb4AFP`{qSd_v~wF) z?adn?&c!RWz}nc0Lez~#wB}5O`pb1XWl=_)^i}&0JaDLgMXOQohm*Q$pL|JxdJy$= z)2LO+7WJ-yUH%Y*`c&=3EY8ye^>cFYOx~NJ4e&GgI1#+h?BZjm$Dq-P_u|zN3B*RThzw{cKJgM z>ayQ7YWi_O{nY;U_RwY~1HN%}94|lJVi5xFY%%ndPr;Z+kQ$*O=mYhG_BxBt#IvLDlBpH3hy-eP) z(90GQQFYmrdXgdgT{5ypmE7)hgK)!bez=nK4M(A$odYiGa0I6n~dWF zyOIjf8rnDgtZ8!!BeYlisc8Q#AGYd0D5zatHLet6-;<-qDt=cz_-`F%;Gd#fL|gm@SJPt$^PPXb=ib^ zfXjXd{IDj;z}pbZtVa4+J=XzTA2S@t)+7QSjA1a=WR-!6dNLsUT?S^dj+)flPdvnD;ML#BfIe=z zz3_UUh8?BKFf|$Y^M}L?e1c*8%}FK$?|V_0f%iqU01+8@)<~j^IO#L61dYqULbN&q z|K`~Y?9EcXrB)%->db&D+-BfMt`=C+jWaOCXCPNQH>*Tv;6m{!lXqk2+TIdIb=kOj zG9de11`c6OHYo!;5S(oTNB%|z^l{UZh1c=)NKvX0rX~YdexI0ulQE3X9%wRfycdNT zI9^0YAR+@DjU>v5lRg85Xj}%4LaQ?{>R_9JDXdpmj1@wy&J3u+Z3Zq3OgGNJG|DpF z{vE4z8z|KosQp!CAQiec=hZ=?{d(Q3?$`XTf(!gpzF`%Kp!_mGC zsN!uF&hf@kLKfs$HVfsebW0egv+(6lDhq$fhn)aDaJvHF3R9DX)p24L-o!9o-rr=Q+Ka+0REy|2L}X!-kwh7B(zk>; zXk3^tqSaYgbAZjlPF(JFwYNfXt1}C#c$Zt*w>Q<+3&Kj2aB>vS!hatwk>r0ku2!rrtcJH-RZ2j|Bod}lZA7?P0T_s4CDU& zOcuI(QJ979BH9lTS!iPm;YazfZQ=I5DhosE$%5>6S$Ke@(4;Jk+0SR;iSNmRK5lwT zVfGR|L6o`xQGzpCh^Fg#a3(Gs$ zEHvgOBi|z_Zgpls6>qapeTp+q%0f+$h1xH57RKu=93_5b@&EOhtAE(`vAIR?0yr=C}% zb8xrL!Tea|;3N64En(ErDhK&A%_(_5y^g+gK;dnCgouGUOoqReoGGYantKK zVEickK$IGQsmZ~TFA`hAR1D)6dYc?P>P2A=9u?7Th{(YhBZ)HNr0*jGexNtELa-k1GRG-R{PEv#zz$zp&*?TuNKhA%HM zHq@{EOjEyKQ~%&=MSYEY*ouBfFGamG4rf>N^k$Bnp4 z20eG#@3`;9N^27LEeOd@{tx;J?)tdtyE$OogWe}f{mS~K;eP%niQJFIFdk4~xcBg) zkb4gibwmXBU5zBlh?Aas7c`Fh!Du!23wN{J?>XL6s}O2+b}*`N3;Z-O<>z}jU|oHL z?03|DVs$i$+WWhDYX5u*wTD!;(q~AFThbl!{%K4NwSzuRq_*t}#Jlt`)VB1ZklL0a z`tvA7t@a~bPLvTRJ+($?9JPPZYHEk#r0yfm>hGx0M>N%U5!G=+hbrE3YbVClWom`uc6i4*6(7u?bFY3iz-@sY{|F5sKPC^HGLJe*7Z=6 z{f=5Gi=;`^&ch+wMsNKBYL9AazetRgG~g)J7gIxR;fIOTCSw>s)7?<3^rDbjrHF1u z1hvsd5@p0mPi;IJN38;_rnb0^rS{u#0kxg#qoxYC)Mkrmby+J8SXUdB{f=4@tG7wi zI^mF|cJk*?drVWCD=`kEN8$Zzm>O!gevn9Q1cvc7`G(psFAAv*6VZu?pw`PsqKr7{ zshy6-Q9A{#rgq29mfHMd9W~mh`sMIC$8lA>~!&2aMK`zo$kkE`HwTZ$W* zybhr^ZybT=+<#sVblLBqFJ~n)3HqF!JoJ^H0$m?BT_}itqxEzB5mN(w2kqR3lc&Eh zjGN$fc^MysK>!AadQ9$gedSKR7^u8OzN zTZG0X`2qAJwLi0_YUuZh8=1Trp*I7?bM9ZS2fFNc(9dFZHVJyK9X<4mKLNTvZu&hz zR6^&$^%qPH^he)wJj2`FZon|UEp4Eecu@$wL`1_8QPT$-3HPsOK`%z*ppQVSp+CNZ zh5r6AA#}y9PUxz53w?q&j!Ft)ZtD5l@9KK~q(;7%IFZTg9{RGft3tl+&$T2YO&I!Y%N<0@IQ{9{6e6mswA1 z;4c6H;7jGho*!H$Ub7fc4{+J|0 zK5lxH0O~-W!}<>kmj?N<+PMwu_yHKkhjlT?J9truyn~2x5rMpkk#Mhl7VL;mO(zPu{UoB#4prRVG7|2X&*JtC8prKR zw3^!vIH|ey@fgOZ9c-u-c~MBMNJL!_L2Yj%;kNZGYDc1R)Y51*wad4%)E+)E zpfHuY^P-U2b|Pwo2x`AB(&camdKR_jXdJc8&}wS=IH`NK;oSpjBkH533b)ic zi7Dqq^-z=jj@qlNm?qV=DJ?yEPLxIM12m4>J7_hv&2dswJ0$=A3pG`^rS{hmirP#LSl4(U z`yI7gSno`tcIlR$+P!ZGJJuBbNCrJH-sWS^s%&%$aF%{_3`_IG{Wgl4s-IbC8OOh3c>&oMPL$1F^w zc@~E8MF$v~gS{xEIaox!5kd1XBjLvGESmk%IGROhHO(8ETbj?N10drRkZ8OvL#?ly z_bK<6!z4Ujuap+eH`4%I^fDa2lp%1Uz6ZgZ{gwo_&RWxXt>7XaBwT?fGhhP+zzbeCc$li z-?m%GTMXQ{HQajyMNj&SDD^uFng;F#3lib>!7v_3ds537J-sM|+fzgbN~7af?~1g(a9aZ?NTzAhnL1tc1;%Lw5fuG;p-HCHJu+~dNsN^+P%bGF@C^{nI7 zIb`{V>v?TqS&4Gdo>s0=f{fajDQm@x8+w=X4!?J}b$%D&$7OjR!h3s4xVi-T^B#Ng zr#2$WxIq5Y-TSz#JT_Fvuupl*K9|QcSTIe>W5H%Vj~7J}{5CQ8dN{hRId>R?dg}I)N&R<4b`G~iB$K;Fz(XcP;Ku;A=UOG+7=O1Q%1r~!dX;z zM&qcqMysjz!%5w*k3J-99STS^UY8NV-B}Kk(Df*#h1*HW%9J(b7M$4x&4JA;rI$QJ zvZ~8Q;9B++?-xOrfU#XEs!kxNVz-~t4fZd2z1FLFifvrABRSB~q*GhM5bzF8< z#anJqi*a??kb1bue#h-dmTQx^wZkRL?bw&$_O<5rtVDS_-4gfTU~0IHot?<-91PkbP*kch>F(LNVvB)i`xlk9Jk}pYHs5iSZ*^847ugh%S{z;xm^(&2M?Ow zES`P2E1nUif6#Pew+rwwlh+`0b<*w_bDu52WUpHNmQ|BwzstyU zRyUI}a%Yau$eb!NqK}(yD_*`yzrgipOif09o|%}D_b`k<-_2y?O)m;F@}`JpAtEDB z7zy{;W@Y4MG%h1^(dvx+zMdaRSHJ7KTPK&1sP72V`jeNeRLM3O-*r@#xbH^D)@31+ z{SMh^7IKr2oq;#D5|_^h*(zO$zn1{-rDx#xZ!k5;=D(PTtP;a`Dz!j0{(HSBM0T%; zZjh?N^A|?K3(>NW-HOH`y9upE_R7B&*^dW!WEF#a9ZsgE3b)i|1g7~TO$Gho1zkaF zbp`Dy$Is*q3EgNUE?1WoZbUn~g35kJyCn<2Nwk0Y$J5?%9<=pw)7J@`ed$kl|0rvq zhIT*g+=drF4#Y4{w>7l)^`emWz9MRk2-?kzgu4KMQF+txC42Ki}8e<&QF`TWc?7{isookb`IPZVG+aPyDSe>s$|N z+3!$yVO2E=_0B|L`;dZ{K&_9Peq6wuNC(69H%txcYo1F)JqW}2yqyi|6TK)zeWHkt zL&1$HGRpf$9gcve^JpET`; za{Nr*PN5s~cT%)FZbW;X*tq$v?02+ZXQ?%b_S1iO+8=^_o<45+aA6bEcDeq9siECM zJGWsU@&ktPA3GY_@iQ(ZA?;X1%Md|(p^f_mO44eifPT;C=3;Z^3%Cdz%`IXm++#-JcwH$W`&~+^S+Gn>$<*I{O5S^cl<4E84;4pO($b^U zotT=GG}F#)*ap7CF#fHzNy*BmT;9TztQ65wM5JVak#NUnR!TlX<5IE=txib`oYZZg z%U(fBgj$^`QH9%-{M*i%W~F2s2**SJw_!?d_9@v$dpm2b950i5fjF7TD+_&XFL70u zwXP>6vfriTU>1mzQquZ2pOXCNNr^sgdXfO_M|TjV8enQta`}^vcGyY=Vi=!EMN<>W zeqI!&q@Re=QX5%+G7@el%}Pm6G%h9G(dv|3{i{vM5C-B9ch74>UB&Fwft#`)ON)% z-j}+dsO{)QA+;Svw3$?xD79{?E{EGmv#7N~gTjR-UE%P zf!D|cy}>2Wl^Di9ZfoFu{)8hO!uwoAOArC?B_rVu#VmO5p>gouLaX6zhLalJLAxt> z+RDN^|2bt9ef1(c>ij6 zze=bN(U_xDKTHk0*B*CV!uI+YhVir87J|-@esj@A!Ibz98SRAi$7PNKpmxCtz9kkun_5 zgp~#D3^WefAha6V_#Z8_1#KNP-nc2QYHZ18%c^io?FMhkxKk;%{2=z&0lEB4Jc5fJq#yxeLQy;hqf>pH$`C?ugeIR z#hYN7)U1`#vfe?;;w7bckln1!b9ZJyk^K(ilPsSmVZ7~ok8#$MU{tBdq<5B}m(b?< z{TWOR#vdO|#JCj0_>-0f;}S0lF)k6&3y7$pj~NL!Ze?MdkH%q~jaFm)Wwph)3%!YL z%hj|;{z~WsRiT#F>YW4fCDW5@rrNA)W|erfiJB?<9MV%*SxrLP4R39uKX)2PTk4vr z09-+j!2BOmgLLAgM5LoJjK^(hkY3?MA<`>EbQU6zo?s;0pOl4kI2woaJhU2VWvxZ} z#!dmBaWi$z)MbPG46{TueNi`S;Jd%j<5Deq)EeytvUKC>ND59MZfw6^=aO+JL#y!zEjBU|sj3?uy zZs27*DvavF!lrAcD%8^IFDBedSPw1P?`VC^N@x`N;Y3YY#Eu4U-%wdt6qlM{Zn}{f^d)tYjw9x{s^&wu!zn1zOu_T6;-s%V@t*YAmLP z)*qFLv_8f#{(5smYnc~?w3dme3K4bd86)9Fq%2yC(KuSKqSdti`PS0vu)U+De`h$U zA*wPhthKF`g&B9NBs#Mgvafbx)($G-+_7@(Ox^>b3*E)t>at3l%x=Zm7Yk*-BVEL5 zZ4&8D1Yt>^{1~M5ano~!%P=}2o`1vCkiPZ7MA9QLjIU{CNDuR(kn}JSornn1y^Msr zlCnsjj>eHb1+6B1$2XSr{Otm66^dJ(Ge=dtg+9g`NBNBn?>P^skY=^fytfq(GI{%l zj=a-U@t%&W*)=vP5A4nN)87~UCWkhrpBDf7R67B@Z;x-12<2D+t=Jvu0%WcIrj$2ew;;|*4 z-l)PYwa3Ji8&2w>Ci@+=!&s3`qSgk7EVZ5wLv2q@?For-AYBpff5p^L8+C6YwZRz1 zLmL}v1HCAuHc&)IA%fb$M#2pzS=9QVanyRD)znJ9wA7}tXhpq{p(LspTOT!5xTSW9 znDR?p^-z=jj#^_DUz4cCHJ)0lhoH8XrZ!Sy+?5U`O1;AhrlHpJo!7K1lNf7hzESEvObxYGCfW^_ynezk{=0#pR(rQY8&azk z(T9kjw#Z1hfSg6G292Zk30h5UTb$I?^Z68goX(cf}PxIyXXE8O@KEBHV3CDw%FpOWzG1Q*-qLAA2B6+3%?B%QA2hwN^M}sdb(RwF5M@i4tRP z`jaU22aBMF+C_IJQacXA_>_MQwccJ7QtK_EJVa1yXC&O-nMJJ|8b_@QT21ZJPb{?u zng`S-)JIJfZmFFmro1p{BWl?vaI)V~`<wR0uLZRk&;)GL@8 zYMt*$q_#bV@gDyeYTI~GNNpPtt^Zq5TXVZEhl|=-)S9Ak)EZHsrq&fFb-y-r3q?)l zXq#ReP-R+Jhlm9ir0ant`yH&stY0R-~Fxb&!VDMMC?ARvV@6!qmXp!UVa& zAg~(4c+=f7A?*9-V;C>_ z)4-bLMIo$NB6<`Nuv6Oi)`|};tSy`U2Ux013+q!(m&_PzuMqR2 zV>&5u&vm#X3-@V>noGSKA9veEz$vZgS4|ossNlz*Ak`vhp9mt z-I|DWIEL{Re;B0adQph&S~bdwh?Wxs>hob}rzcx&GG@LJymyu&oSQ4(qgdKlh+ zz?!9jckC^R@D9K*K8)O|6Z#Hb6vFEuqFku}+<#>xT=C6<*9MJ)w>?@7uRl)e#(QlO z1y5U9cr&zB++GS#fTz?JURNoBpBdn|b)DGDeh2SumMW9rJ-5um`{YjGb=B~WkWg3C z(xcQwObxuPGKuhh#xVZpcLQ(r%}x};TP>pHh=8})NO&S83*MJ#9K27_YIwOgso`}` z2|W9hPo*DSH}UwUD&BHyEXLJk)49l87dP4OxZTbAZ4$R@-t*iZxdU$9G`G#h6Xgt= zbCfz8Q^W0}$RPq`0KXdJgmXf?Ob-nHDC zv6A7rO{tfgD&BH?gU%jqC)HEmWWVEfI*X`D+>XK}+tXceJKVZ!Zf{GJqv&Cxl&rrR zZV%m*$ZZUUapqUUZIl;<+(wCLC?dF>Y$V)Jo>kv2LF2d$N2|F#`i|xHjwWRujp!)8 z*16iLinrXPEYLK2 zOYFbXw4>ByObyK)$0gGI8^d_BpAF65Z*-!N=I+ysXf@4U za8mVpwa2=qJ5JGv#_IyYtB*g(F%m`&r8Hz~Q>whesCeDkg$EtHXL~4(OvT5q`Dgv& zR|XW8e_dF*x^H3mXr7cTt|}}aFq7Y?Yphg-jAiWOSF8Tb3A;PF?zD0|79zI;U#=dcAGc z0*SS>AeP|b!ov${T8`P0oi^0;SO~T(lgkg)1)p+QK9Pzi<@iy-vcjmx z!ZpAt-V7-QIi&^58bk$4yA&+D^xe|YpHj{nY{k=WSjF_z9$}+)aLF5<+L&9QcC6?# z=?^LZ(TLuK_itiqsI@Okq;?#J@wPNXMXiw)8ESvkDrz;fQsX)Pd_Go}l*#Lgv8(3m zf3V~_N#BwNb(H!U=^7Y8oqObaU;#1ESP9+E{sCsXB}JeePCradInNC?mhFV6|s?>r7ve z8);NjWnR4S5%oA4uw3?(_uWaG<46v6s*?F;jG{W9dlRG%NiCNDRK+Qbilnn>Zaa&1 ztAoyBh;$a^#ji?VQCMCyy-#_;ls@G}l^gXNs(Kez7sOI7ea6h3k(-x}ewAvwST?Zk z#lotuwo~lU<87As1iv1U;BwJt(nm;5>_blwrT%6u)g<_mF|OK%^Q?w9BOZ+7YI5Jl zi^87zZ%U6lBf^^wMv}>0&sMHRwxI-<>7x+oMm1`218KYJ*|R@nR$e-KIx$Hr=IazX zMiX9Nd`t@OU<$Cq`s$kqFVf)+kt%s1eM*$t*@t)g^@-u_E}maYHC5qV=tW_8JB#RK zRhLso8wo#Dslz)$KI}6?XCu<#-M`3(w*%qL!#RQ9L&_TPbc3Aof|XkN=y?tKggri{^ZKJUnB=2(Z1bR&EX3^CA3xB zbM~Nq_-MZLzwraBq@B{QmyV8eiknjlq+PE1MNPB|;_CdyB4aH4lomu2Mp>>Nn!i&n z6Dcrd3yrui)3Rn5%#EiVHmHDO^^D?wMJxm)c3wt8FRy66taD@;h7&T^FBI#Vcx#N~zDl3Nh7!Q?U1yrEX+H;o3=6fRgn zY8fUP6qYw!wU0WS;26O-g`>IKl19q; zC#Hr_6BF77W9fc3ApZFqlld=4WoQ1QuQnt!-zYM9b2+3VR6_|S|9JKPpHOXc%3wxX z9XD#E%L8l|nUO72Z?#aMWlWZX(mESt0(pjV~#MCfa zaZO_DXog|@7L7p7H|AQAsYTDPP_1LK-MnCSCyp_XbV@V)R`}kIt)}zW%dU0Q<||Bu zxYN;uSUS3rF_ul0W<8zC^jdz~28Ef_p)}ekhfad;`|zEs`|PY`(3XlwH$xdmC@=WC zI$wHFlLF=;?AzV%Q|AD+4Jz!Ro60Uf%;Q3{NP576N^=Ub;kn0$)ChC#asBEFIZ*lN z37mdZln=O>8AVB-^0F&w`hChXBlsYy3ABERh$Q{R`lU~jpEG>1z$fWYc+Kk|`b;{K zB(gbA~PC z!w#w~5$Ti_;@!+;(wz;K%RQx|D||#9HW5*aAfj`m+U=twnkC2YNgu-de^`$+5nW(B zp=oj-v~zZ>RJ(!jRuT2|B6;S=KJ$JwYIX@DaZ(#fSjI#~3X^pg_OVf0T?>N}QK zOX_0?@sG;&_-8n$)`VnwV{xug02R(P4(D4eohIRYcCN>{`515>rg5Go!PnC6xc>uF zgR|A;i8vp}F#h`sgR{2Si9(!@i0DH^;9O)RQ4zBRh4TUSI-Fmk(l~d(J&p4KnbSlA z&=(QEf@x-yzet&-b2p;OcxR~oB9~hv6(y1irsyrpOjCT3l~IqyH^uwrcr3q^fTg>} z(o2F^Mmy#HUrY^_KSsKU+oH3b$;%kVUw>|}Ec2qU51l8XDnwv;#!JG<{p{b;v zX@YOM2i?)cMFlBT^S$;m>tR-`M$4oMQR6DaT-G*yf8&`-LS0Pr&r4TAa%NI(<5#Cs)%H0K>^xG-fBCYF~ zkELE!F~ek$!ok$H+^W{K+`$~d5@{056J~pu)2;<(p@x|wp=YQk-2aHFf%)vEi7<~L z3-JS=8kiX`3SkzA=qg0O9A+d@5lbJcb>*|y!7N3kVa}OlVZNhJ#U>PH#;Nets!7<$ zZjquB8rgEk^cGu0R8m5e(ST`%XT7WE=}YAlOwLEnw2(KUf%^N)9rdN2`excthKQw( z`k$;yCQ<)n4>(5X6?h@&aRP*j+OrgEr+EG%_dXiAZSo|>#D zAoB|v;zYqrW?C~DYbyl>C)!fMhkfW!FS4jd_F=DJUUcaW9Dfnr?aXW+#!9m=CA5|j zstZ(H*6y-4X8e=oU!z;|L7+?Uf06UQP^_v8=wbPEjV>6{s$Ba2Vj(gq{a?J`(|_nS zq93@wWj}I{K3?uKYejx`ai%h?(mUGe`_xa)4xoxLvo zTcXnG?}dAs&>&T*2cWk-*xTA9ZYyObaH?{g+KO#;!E_p3!08*EUCH0{mZfHld#^N^ z(~Hl0PA#v7)5)6CSrXM6x(3bzF*TfWO?(^N_45>laRb_{>gm^9=wODNrikcMsVhGvwc(CbJ9Ku+AbE(NrL`!W4|x#}_&Cv4BFadU;`wJI$>in=#!Oy2 z`LHJ`6A)?d-gyq1pUO$fwtCXAR61mPDmk4M(WIE}#L7;#hhIfZ=8R;zG^ICRl$b_i zYGV5N0vFTnfxGu%7=Ql0iRn!*;sFg8)4d{^g@~A*Fp^BJm=aTe`LHp)ib%)w&$Gnz zvBZ?G<^l!umia@}K=TttlU3Q!mTJa0*uPbD`#QFkzauMpIfZL~DlAtF#+qg_jTKSP zU@{tglnRbAi>iksxkImnK_~S+Jx!X)Vj45Qzk;b@@WU|2K=N<%`Ynd>$IA?Z#a`4l z5dAEo=MlkRvX{v5Y&mO1ayt_3+h5N>;1?m#P0wfuRIQ{*;GN}aqK)n?7CMrmdzKPx zbT?f=bmnyGEvaL*G(qP7n40KXd9Omp?-8&5e$PZ#d%i;uPISkM=tD$Ax5!Hl4WcU- z$qXbqx}7Du&n3DcdTt?6wc0aB`T?0un8f|UI%HC4A5Qn7J$*T$nNyozs7-Mz60SH=IX3hn!$E_#`E*u=FH$wx?ZXh-7P%!Lvf=l0UU z$aNF5uCseqJide3EQsfeV&dsa`E)S5OFa4XK2d57tCA+3bG#qn%=`y(9QVTy)xqR@ zQP^L{BHCBSv$K(8a#ymI#<-9}yT*8^i)aMbL-erroF4c|7FWgm#ki|>Y#_dEu0^*v zk!rCcTf5fUpnw6-MB`_+PAaPT-Z!i_$XBJVDD!>O%`3msVNPrb+ zU_VQEE$P~#l&rrRUbo4{&p~o-gNJ%6ID5 z9pd?EZ*VDfbgMp*jFtl`S;t&nm|+znQ?#_-um(lt3%ZxD;|0^Rf2AH#JL0}u;$i8l zt++(y!?;KIt5wzkGFI~y7Ypj#MxLKAJ3wfN(ogp z>q>9ex7^Zf>8mC7wvh4xbHXdVl+uwzOyD!0&k8;Z`5d>w6<`|pBHzG|WHr^-SKq+f zP4o5jB5B}eq&ZR=`02EM*8eay^>ysouAYT6h%|=r2z*x!{B$o0XAnn-=omzWy#Lim zGPy@d%g*GTARl(LJrR+vvA0g8#;O@afh^ocG}B-PaS@B9Nip@u%8oDhN*g>#>Odxa zk@SZVeMXcz98(k1^s`({;S6FihVjHVO-zv&g)@jnBDw+*F%316Os<#`Q;B@on8qN| zF}?HzF{v5EC2HxGm^PR}$cDC5qDB8<1~H74RL{WAAdclImcd<@!5~jF7$*JDjdTQ2 z>QGD#gK1|v2H^}MSHhb>D^z*C(Tmzrm>p%>is&*#FgV*w!WqP#BI%1n6PWcl1k?=T zVp*Fdt8@|RHC)(mYXThncKrCWST;a~s;q zO9;&jwKJvNyiYTYQe~K$(Ec3aLJOx3JBmx+EHRpyd{nbR> z&U+h9pL&V6TjQ;2g$=wYoIZ6I(f5l~)SsWG!DMpNY^5jq{oz$?Oa5sjuzXv|<&>B1a6LUQAas!c?Ly(o<6ei8LXM7ul8NHV$e*-AwHIkX!sPC%q{l$m5B zIx3}dROutSh&9)wi27q=dy;!EB%yNHV#NbVMZ_+C?-5k&fu4M{Gow=rgR!LUk`{rM-u-<rzW6Z93d^C*>%sR{l0Qxik~fKz6wknMin?!*ZvXWNQTDF^$$!<6?pb!R|Uc|u-B=(@dK}zDvt^)HbsRHT@teCT_Xmn@JZJ56g7)SQWIcEo%qN=QOn}%FMmx?g5 zfj+mN%|@1i-$d~eP7A$+eA z9Pgf@PP?jzXARpaN8fU5PPr~_ET>#^^eGn${nc?zdw0q;T28sT+M8<^Q#I|P?W-l6 za?NDXb~<<0PT`!Zl2zLYtlCy^T#jEbj!%3oDB18_tGYlnB|0fCc-Rr=E!IhW4fV5v zXCLr2^v?^Zp=O1%jr13_^b)LpVQOk6bKsi6jS|<9NRnnx0YVP+DwWnBn7THJI z-1aJ>#h99ix_M{AY572Lc5fTe_FfcD%TE+hN=Nkj03CEDS4@d$OZl)7wQ>>l#yfq+ zRVL>f>MmiMqrEo~(Uw6(CrU43&LOXs2HlG;CQ7YkVbVl2+<3w~Cyb~J!}z56CP%%z zD2!;Vhz>$Tj`na7-OE-Y>M9>LqHc(Ej;@$!BYIAsYE0kg45}aA`BeE4G7nQbLXJM4 zzz)&f<3o&=@kR7cQL2p(?2Z#$y$S=HhGBf&JQLVOUK9p4RYa#CBCun;q(e}N-w?^6 zNOV}0_t~)Y^S}jDHVW%%Rzs7*It^1B*5sjt)mewNMC!}k4@y|QFg5v_b9`c0?_(HG znQOwj+l#`mmWiko5n+w=k}#}2C9EMxbXbe;wPB^2QvX!HnxA#eV7eLu)!Jpf*9@*? zaWsj*AdX@QOgaw&X6bp6)UWY0>L^u!sUa}CzatQy@!u!GO`c;2jQ66pLDqzCd_5uv zTUN!;Q(3W0&=6_i8xSR#iBm$>!6ieXYb0J{P zpkI@8+(Cop`D;uKftmdh3ETs^c+yLTz#U!`5)i)eb%-D^!b?H|kBejw5=~&?1WTZS zoSFDKpyoh2{|yQ%-Hvh@d>tkw(uf+Ug+I+tUq(czCQ6`Vez);NsTYgGNx1gGC5!8_ zbHLSu1Ttg0TXJ_c-9(hy7E^<(ysyI*PL%$Hb6h;z;5yrj!imya5%om`uI^qU!=4?^ zz7xrQNHngy?gp2dD7~vs5(v1?AkRD}Imn(AWP`lrY=Sgrch9N}(rNG<45lW?NyjAy znJdm!%rZe<=S5+VTZ`xdLi}{3a?yeE&=n^37fp zF16esqAL**^7&pOO9D2pSBqo-5*_lq@r0}{ul*@Y?^$=^KF9*9kH=4!O0lu=ynZI} zn8)aTl%nU*=A+bbOiesr7P)xB6ZFGG{N{@$o;h9=p3@yHqA7@o=N==;(Hm;@ziCwP#wvcki^e~?f`$JH^merO zV)9xry|-M+sE%7T3s3hxR99d&DL#+O9R$7kOrCC8zlx<7=~i?nKvBJ0tCPIt)dh=4 zhd#-ZolWJRtSyHpdCTQ;%_2F;QxbKOx5%F4g`4Cg?+TjHY<*Idw>#hIGP?2+KmDwL zqu-JTQl;bvdSz^8)z}Uv;|lA52x_TZ*$2ux)M1so;BCMZ0OFAC2>#*3&QqC)ONH5J<^^sc~8iPo#75bq?^_F+h|tm%FhR? zZ=~)-a@P{85T&b|QiqoJTVGtUzIZ}Z)RAxP*B7^4U)*|qajW&kmFtVAtS_G4eU`B3 zUA{(r&TC{&q%Y#`acbF+tQB3B`qVwFV!<&uPJTV>6ZSS8-C~>=FPX+PV7bcfklWx? ziK;>^Vn3B~qsz&Uu)yh)?VxRe4c3d>tdAX{rgQ?)Q>#`06H-awIxMKi_JHuY**tCV|!&1O$*WAJw?`kT)D zJ;g8Dn2XqQY$=32S&KIEw^&KoaAA z`fFQ$%ORhy8~2anD3umoCMD2=Rg*0uefFaBic%k*;_7Ul0#_Zw-m?J1xHD0!hPjs)g}rAl5p9ErI@{PtGP%dF zmELoZeAwQz4I*7@2jZRXJ-3syjncUS&M!>m;aO5p+Xq2CG?<{a(?J!Sjtl+iilS6Q zAJi2+Tu{5CvopS>7{+HkWrFJOMd3;G+al_Qh@cKIl1#3c64Z_IVT0<8NC!10A}Dp? z{77{v!~`{VPt`EXB*aL5sIlePZNe>0)4$7=<+6VzmPpBXT2zX3f0b0pU;08r1zV+J z=}Ql+&XyNcxlPQT%4HqgDCo-7-9lx$3Q7^mpazBBqzq#Jrx>i^PjR+SR3i1s{8bpu z%=)WB78Ltr2G{h-Fz#iFDhngs3e1hWjDqW*mrB0=d8ze1qM}ya`wh$K-gj8ez@qYx zinyhPruf8KA}M_}e^pC$8f6~-$=8byOh)ABs%@#W@A2|*)PFbu|BWR{Uw`~)^-;NR z6la`D{n<;7kV&T`+gslv_2*?wO-Fv{k*@xPOAs{}#=B25^=E4@YD;0ZlGTdn->Itp z{Mg-<6P|#t5y>Y=bnWSZZ+Z!$v6@gkFDc-SYC3v-Gqj#rjO!PIg)3O~|LdQZtge4v z)elnAuRC`v2Xl-+%}jRlUUrT#wsiDZb=7$6s*D;WEp0Vp*dwIhKzB+mA4BNGjC1-! z##ZfT@*LuHR!oz!a@07VmDf)uD`r{bVgWRYCeQnyFg00uDBscCH30tcDTp&qn5>NQ zqHuWqP((u!74rTkFA0a&B_b(6qOY`3T}wte;{+P%8M zB6d=T^hn#;i1Zd^m!G{!>B$tE-FMD;Jl{^Bn5w z(Bo@2G5pSd?El&Ds{;Nn!*9d_tFP03_`SHy*Xin$sMAsn>1i&I>NSO?9;Hsg)YR!W z)2>d3!|z=f#(w#i0Dp4)af!K$>dICDVgV~-(AJum6J_S?|D%; z{I(U*d_)8_-AFRIVoFfUIkXFE2_hX-BMB-TesxfG_?5r1hhM#AgW*>;`r%jqwc+rq z+L^lY?}lH!$qm2ePZ>>@QoD6a%o=|6CO7<=KgB?XUpcNHezg~N_|<<+4~E}LxhhM; z)gy)*D#B4>6T|O5Ylt=&esu}?S;Mc{Mj!HDhu_OtLiP2>55K33@%87~0n{I4N`xO!qn8CnTNUh6Ar(fPDDIulBqv;cu`vlv%_y!5nYFf`ZK~y!r`~ONCqL% zwP)e=|2KwTl|~tO*FP^+z@OsFFg))MGyFzJYZhxw^tZ$|JB+Izh;NpvG z(|WJ2HFocY!|$@MHZlC>SK8tCc$T<^%AE-1#WU=U2tBwU#El5di3+3>%Ub%2J5_NW zD;<`c$1Yc&SvMjS^a-n`A4NM{>#JtJ#CWOT5=}6NtD_eQ&Tl>JjB(ya1?Ee zVSE|Rt5Nh!FA7J|-9&UOB07vCj3krWj;#zhhsuW?MNdYgE9dQ_?I_xei-x76XZR6x z>3|lDA|Q3CpmP&am31G&!>o(?-ovNp25f9ZPxT|B zeRV`<3efp9-zar4rY5452Pa1K8HVxF2TVlsy(o<6LlI3yL_`yfB$N9cTZ!mS`LGea zfJjI5<25#-bLEtrJG^+c4TA#ngoQ$3cmq{)}P#_5CK)WnL79x>`h4hzRu=Bgy1$r$c?5oi5bZ z5$RAHyHKxDr_uvhd7e&q=JjEd8*gx>X8@|GfcE>^{HDYae>suE@l?OL9<%BrP6uU8 zEVJ!Gb_=K}@&F3c9(lrHvD%cnnYGTOS~22kk7~kkpfc~{>?1jRoL0^G7p4Z)TL(H+ z;Y@L$P?(Kds^LEFMd3{GL=lY_-=owGM#B9z!YGq>Er)ifDiLW^A6+GHNP0uf6?fre zJ2}iqb<;EDa+G>#(1d&s%d$x!kET#tJ03ljkh=>a?k^MvU!dJbsdF(kA%D`zh1?dM zowv-v;kf!f6Y>jQ6kgSwDWZoF5%L{IlF6MVv1alj4(&pI5|Ix1n=4hw>dNN6o6M}f zs!+V|#z5qPT&DT^xJpgnRyHnVQ4`7=*6zQtmOV-G{2}PG)s!PON=?g0rZ=%qPtc3o zB<|)?g^Vk;xruud+$e7-7ZOn)UNEEOm>vJ&q@ZXHf=hrc%f3)R)Rl!+G!de?y_Kw^=&O9u0NR(kXt$j2t)$7xEBK4{>wW8!}e(C;WdZt&)WjIX8I*>fFm{eeK*A#HC0+ItM~OJ zF6A6tN|E((brSy8CuSB<+p&VpxX$~(#8*3hORQ|$N4Cj5qI}QapB3kNf zItK2)#niO@FZOe7Kb)tWgkk*p-KOo&_M&i}a*Bv1Bcko!Z6ul8)7VPezgRx(AovU- z-S)p5Y3C`wa2f)dSP>2$R9na*W>TN_IF>f9XO1B*>7I#efOxTjE`{G;!qmjInRhvi zs|3UN7wU%U##ij?f)C>wBciudWlGIA5~eF`C9b>W!^ZUyA|2P(F0LJYT=GK9mSwN1 zW|{h)mDi-W-nq=j_0iG9wWp42w0N<(ifcBeCawd#%VAtoFpPJ!ac%BJVO&p$==bp| zU*GPdL(k-X&sO4^FCR9p1}?6{@J_AH)b^w|iVJyfwk+@JlgaKX_F4FwGdpE^rFGnd zAiWZ8c#TT0Zo``|cQg6yr#LUa)6V3X$akdH)4Yq9ZdmiH3)aX{XaT{C9`0k+G>PbS zmwKWD3Cq@$za;*dmrG3EOZ+XM0bH=v_oa^oo&Wa-U!;5nUi3HloiE>4^R(5y@1&_C(!t zt4EJFsweXF@xL6465@B?(Y2(!V0G!})x6|ADp+0H+SD0pfa>=@V2D>o*zn2UBX1At z%m_L8liSeCd8c#YFYM- z>cmoASi|)#Y%)gLubuJB*2jgtpmqqm=!<7b?KzPygWsRQ)KI%-uS9B}Vi=!yo1u21 z7lqV56w#50pmva#gv&H(q5;+IA6Y$-o zoSsN@U{7CY15>Z^4pOY9zXj8yf@%9rr-7BOYuxh2rA>I1N)=~JWt2#6Qq2euc!LnN!*O>*U_LHS-#`l1fw^H{F4Xm!TY7JmBy>a!Pqeft;Gop+N0Y zE-tC7dc1n&A;ERDI#&7_@%&i21%7{%6;FffvOOKH@TJ8ggv9B$f=hnt;8-g%ubb_8 zvmjE{nf_r!zW-j>*?*VoMX7DHDIw6J_NP?WqB>E!_ITKEmOHBp*0mN#)nlpV#mohj zypzel-SP55mquTH!Tx6BCmNRpcrMkjJvo>%7e zqjTuV?6-R>FjVA>+ya=?gy2<|cSs4`x+Q1i2G!T{HBzWz_nNP5S%pnPwQiV4Re2Ps zjuY!l`c4U~6Fo?j`jUlLQ#nrDJrUK7FpiJR7*w6SC`5I=h;~KA6wBxZ{;T6LXBH&{NbE%NoSuq_A~zvSf@ z4edKJ8Z2V=pPq=v#p*W>=#|?m*@6`*vy9Q8V5z+B!~6n+^xdr^X}(hOn&a-!XnKG3oMpP@a7Y`!yVL-q||b8WjO;pZ*_H+yA$9 z;f5XBgK&y(xyf{BqpiqvXvH_G5YM%n7tHR@F>IgTQV!G~TkX$F=c(?hCP?4s;*oBH zyew4K!-%JXRn??6I1+o?UG0=lJm=_mTFGIaq_c=pgE2Mnyt|8wC+w~oNj&q)P5Pen zqA-2`PbvlRZld<&2(TyI{54RKA?fqD#T* zmSYZN-ba`4YWq_M+aEvbXd~X>{Kx|6p&LOWfR<&Ccsv_HXgOBq35A&fYoa0@x%x4P zK~+Sq!w!Oz`36-cowTPF8|9aPM#|mqe^7H~_fiGt%{_uD!0-9+5kFHZ^+eJ1#lEa8 z%Nv><8)ZZR{f5x)OV>4PdE;pc; zaaU?`iA*B&8^6_?#IKZVJ>m4~3x7^nEtJRhQ^#UU#6QkZdY6zc_XcQHyT13e z%fJ5llKqnz4jAGYo_RP7FVGD8NnN<*Y+=|9Q^WAN)`<+qVi-R-#xT6ai$aFiis))Y z)UFGRM8E!77+%F*$8anv&2aANmf^F_g&`BZs7Qi`q3X)+kpdHH88c8bVZBNj+Bd7q z*_#YDIB^;aq)DC8ksQ;`7>+v(B$sF;-J}v+LthZ3_QBL3soc&XX^YNwM!RAd-+aA6 za*Y>-ozc!BIu8-voMa@K+{tW(NIUtkozbO;G?A&N+0LkP3tg)iEt%)aRSdbkN%t_T zREVmF@h_vkkVR1+ui3hThtBD!3vY?M0BNc797r4AIc*F{`{N=H0vu&o16$@BQ?*)TapQ7dFW|1KAh zH*QNZ16Jm%u2M!x`DX#xH~uOFLI};7qTkq z0}k>}kv8Dd4kh4gbihTDt()i|$Umkw|J%BNv+|E&eBZS;|6Y`pe-T}dNb+wa>bJL; zGmwAwx`<0qnf#yX^3O@Y2KoQ`x8(dU^7$7dO{JMTLn>)2r?FiM#2qn1ZSGkN_GIYxsJYcI#2Vj11EnS>(+ROkX!S*;~p-)o*FN3pFYH%o5r z{WXoJtCYqHNHBT%O7T)%i(diRn&n(i&sVMAPxka0GiwBjHDMHo8&iR%uKJw;rdO^X=Q4te%(aIU^y`%Qy;Tn>9I^^qdxAufga222ZH4e zjb*k(x|Sx%`~NUCSaveuFzF3fk24Z+QzBMF(%P1Z>?VlltE&{2WnOX;$FqI;c#%{g z(Y|%Sx0&zBC5bi?R&_xYJ&f&Qf~kF#Oa8AcUiv6~>sWrGkMiqIM0uxG?g)yB8pUF9ya~NqlzIVEgJK^O z!UhSSNF4EYM5$0T@uHB|VAZAp?4MzjRs7TB~bg8U=}$!Czr=ms^hyya_9zftzr`R`O%B-8WeU} zIx@xD<@5%n1(UcNW)dUQ%qyN#Taq|WSNzpL{~m|spwl^(9Cx{S?;8)vSdUf-;nLBQ za*8|F>6-5K%$zFsatQAK-}S!{(FW0obxC3M0$K?-)V!=Xa@WYuPZjdAy0u(?=_{jL z2`9(rR_7d^6NKIJ#v*K#fmzK;Jl1 z!_?H7wOhDcht**bhVfU$rp~Aj?~ zuB53(sXH+>(KhpegwZ~XVJ!JlEo9~94oMj8L=i2OY8s^$7)d5~f`ppMdq_U)wDc21 zI^u2dZsu#!DbjaIjf_=&m%BeqSN|hRqCPI)^55&{YgIEBdRWeGB6%N)j;b5J&E%Kh`6WQz@^w^P zn<(YtMiAWAn+WdrzCO4%5}dhZ`cgU00rV@(f7-aI7@=GE9ICzk(* zyf*=_qS)3z1F|6~m^ehiF@Puv9C3&TglJUuF(?S4QJlf)=y5_w5L6_PFl?JGK?QNb zsHlLbaX>?WkRX_-pkYu^Q6r*u3~CgRfMDML*Q)BOgvNW`d-r|s``+W;<`mb#-lTad5Q>p*nGrJ~14>>IApqp~z@+B2ndo+IE=F#&#R(VPhroe?5v*zGgE; zY%IP2lT)TYHLo^2I-S$`PSnL0?8aH7h@)}qAVm}dB*FCC0Mw0I z&%OaELO(*R*#+OD&FsSFGW|r5;iU<`iCN7s!jGXyGdMdNH#aNC-lbPmA$Yw=E<`oq z?U7KzqsZmkyENe{3vvk$Cuj*kApDAk#8Yk;!rk~|a$*HQLO6khRZjd7*+YD>)jsKB zZV-0J^P6$aLK`McIxUO=H^C7eck){)+91zidN#};llohO{G%%j(nUPr4XVEkfs6J3 z5Y-0R+#)bYDsU#I7DCXkx>FlunFYCX7q1iaGC(lMQyLOac>`zR0Y~!3802k$#2~+3 z2!p6a&!55!dC@BLb*g$tS0zo@g_Xa?Be<+N)FY5~(_0`Slk#8L7eqBWs-va$R6zvh zCaCr(qemu4pDIxqoZ~86`-}><#$w(55tSI|VRjLVTx&aoYGV3wi}01$P=Ly$WA;Z3 z|BluJ54K&h$KsUT9{fXaK~JC#Km4uduQ5d-jzi1Odm zddr>$m(`_U(0G7AafF7%Q)+M)RrNc6 z46CUCNu;dIG^yhN=}w{kkO7>OScvJ}2)v%qka$XG62gQFe+;j;01{q5pKo~SO@JH^eh4BZoy5`V zyRek>HoV28o5h3S>&T9X&JD41Ti~NDjooyRBV8iWHTdx}AuV5|UxJ*20P}GN8*uXI z6uyejYj>f4!p`mR7oL{l7{j#ll!4INY)5(@86+ZW;`t{8b)|K0=!6CV<}ELA z7hR+h+#cbsR*@wdkY03=V5~R@G$TL#B}MQ7OgwG-2~K6TLJ6W(2DEZHW+O<+5#w0( zKa)nuCOdUs*Z9nasZ?K2q){fRc0-p1VHBwUz{OTmq`nEf@+M{d4KR(EDhTydrWaKa zK`$YWnbNS3xI1b?q93T19<3~$-j)WNk34|&hY;1){diAcT{qO*LC|0PZygfzEyxXt zg9(}m5KKH-L*gk1(!BAs!}()E;#q*i%3ol~g7gxOqJzTiY*N1sA|KtrVD$K(Has@`37gf*5{9Lhlc?YwjZ8r+@U=)f1|c+ z0p>Zw7!^RqFv>)+rK}4ux}DYVKB5@k|AVMz^vkYLM%Pi(O1PF{? z)R1^e7OBM34&#quR0fbR+IbEbseb?YsvEqyc~bu8P!L(USyDb9zo`6dri3dngK0_H zd4iWwj3If$aUjXwC`eAGN}CblnE!yNCfVBR?^fHr5cIdh|78ASXJ84JWHv!n;-uvk z!j~pZ7g?3_3Lrx9uz(_-m?tbt1=4T04pxex5y%@`pOCYE@h@V9IXShBGVoZ ztMqGW^T=;u!P?QrR1LFO@ll^onjyisy@Dqf(Hw zRUbHwK=lV&x!N}Ps}6seHcyVRSnNl+zya!@b_xa|^;ZwM6FQz_veEHuMV&f|PS1Bz zI(>>C|Kn?oPDHXN`4vu=952W*f?Po43xb3tKo__0@CrWGop^V{KKPP)>|@nan z0uWUuH@RR%F4}>)u^ddd@)i041(;Zy0+Y|RqvcVUPpZ!fDkpqH6)&L0?wiyd+^6Z&4U1m zOTBxR$peWkl^e{p&BA7glt_OyZF@&$^47e?)z>JK134rXU4m+wi<(V_6ISvVl^lzf z$r1WbEA(|V$QXXB>!zaeS$=1!s2NiJ3jFxHuT~Si-K#)OEwTT1q{;H9lOP!^e>xg} znB`B^Em)k|z&Bq_({dKQcho*0tHOk(ttI=6>6(B~?WA|GgoJRqBeYHEk8K{b76+|WM? z>Pd1N28J+WftR%eft@#YicIoMflF7LNuHWM1leid0*qz9rD4PxcJcjk%wUFDqBi(GXb-Mr-Vk ztARB>0ZN?qK&U6yxI~661z=yvMAyh32xa|zxf@{^{DymnT>|z7W;Da>F|)U|$LwQa zkAEnyOUN}$9Zf1PRUaUPmJ@s6^l)~E}taSTEBX*<2ci52^_eGcYA!>lm{w=+#-!7;E# z53$Bu)V&-D1@nIp)zfS|t?k*=&!Zb7a!ek14=fRNEZz?!%gJK~3c3MJ0%e0SMs3Ri6<4O&`@8o-0rQRVbmDa=5H z{FE7-gprS*9tIs?`U>B_95nQJxArEUt;lGBnxOaMa0pyf9YSAdHz;u#eat_YQXDns zjjc<_VPl^Q)+Ox-#^*X|0qnL$GZkM(GqDGPw_{@kxkPYkG`lsP4Fn_&CTp(gn22!OwZ1FJf% zvLM$4^#m=Umt+24L*gkf5QB|udwZpH`HN(`;G|lTv%y@=X z?XuG?ugg)m*{`rStyGW1;YH>`RP#F8s?2`4>GYfh<^0x$SN)cNe2N3=L(pawlaWs} z1fSUzUY%WDzXBw@x`ulN|QX+c-r=7#1;_0n=+g*C5gkuIgJo<*G<%WfA*hYe&hm3- zA{Il?ZvoZSOvKh&Z3I0NQ439!&T3NZ6S>NX>Ui2Lm;2T%!@V1nQuzs~@|48p!abOT ze-c7wzGh&1#rRhI`0rkfj&qjGf2(Dy?;fO!31;On>~U>(F-tm85l)LpNvm1X7?u>> z7PJF{kP4B9bE;xlcxpJH48xz|8=GvJfo!hKh<=usb1YsD#lXtTVZR^q<=7iIr??@f z%*$FexL<51J}9^dcTOl#Xb`=8{9jn$wIUTco9}=eYW5OYa*0XGmt>CL!c?32UvI(f zDk(dX74n`HQLcevyU*hAXTJVZt+O$UVS*ixo@nS48bA-6Vgu-S*v+*4_cAfOgSf={ zQ;2Hc*;o_AMk;P+0%$u{P5PDKs1n0F7UVt$`;DOI0fHYtsv+@|UvU;rw2MC`H@*sx zc=nGy7(n^zN!VG*U>oeXt@FaWe}&U}34)7|PEmHsK$Km2x;jpOo_V1fVTt|+L^Z95 z)tHU6p>-!U{tce5XjS_G_f8IIJVC2ectnHjPPOIuSJ7Ng6^}~o89gyg!sU+gS0AW{ z3G&D^DfXOV`Jh(Ybu2ZW;;WyuG~UXX?kOlDPq7{zK$WDV1bngs<3YsTB_FVZ_Eg^3 zrxKE4x;HR)w`)T?JvQ|p)`q7a1{-F8HnQauCcu@a(lv4*s%`kw55m34IC(LY^gkV> zU1OyMxlQ3hf?fv*WS_GTHz?*1@(>{67(2Qv3##70Md+n~DVK@6+5H@Dz4Pm2DsO z-rdn^{n?zW)>15|RbKSbX6n&w0jAK6M!lj1BPQmJebmk$o$+V5#g70h6O79bg~hLi zIM9s7u^_jRo<`8ww2v3*VIfi6&p39B zkfQ(*i%;yPEUud9jp*otANQH`hPNVp9XAJ7bw~7DQ62t)7ip{R`7Qvte*7~* zH7f8TUuZ}?Wm3w1xLsNXZXLwwHh{#g-JuhzF5L_IXUR}=+I679qF8pv&`RH z@ple(budQ4C9L_BZwHiF*ml5@CjP2zM)Mj2mKg&UH!%lPKG%?^f zddL!F34H$wqS}DpZVGJU8t@I!_gDAV23%r6t^pSiG#4Pa<>MNH-LGI)7_i|W$AE7F zBnI4aqBY=ot?44hQnZ|FsdlhbnYPqi3;V4J%r&S3+Xk3h5B#V@4m=cYtUiNh?#lXc zajXbei_~x}2`Tp^I&YS~vt3B!S?|LNI{@(~*|E2Ak0B)lFDtpp|KdRo8QqCh7c? z0VORzo1czD87AwmIuQI`6@IBS_ccfl`2M@)H{lyGd=q|GAvpXy`)PjHSdh!_N`m?U z1b$~~NIa#8aTQN1#I1u0yc8f|7(d=J+>FWj(mH6jjQx0jS|&=0MGKL#3T>C{3QX;W z(M(4{hUWKeLGyK?*^3q(g%smOj)$nO#wWiHrP+-PCgZ-UaT{epF3qk4T~Fn_$R!$r z9mIs@BHTKlc?Up3^Tp1Jrh25dM+ITDFqo#Ybt;Rb3K7B>BB?K=AOY~txqPUljw>=! zK@3sM^RlD5YzU1%)sCYZ;2HdmqI#RZH?%5QtSpiCCsm85Pe&yA-tFqUOt?kkLfg_wCXq*T!v>ULzo!eRkum6Wcd+fO)s(#g4*CG zeH9qo9qk@Z@L}h};M_EO-HqH!XNg_Ex$b4N#MhmydriU;r&9EEY0WQ?G5o`kLcB-? zL^az!TH_{Bv>Gwsclnogufr|KbuY%KzaKy_$hI#7tNjD_Gg+cLZ(1ESwEICfBthj| zg{U9hA+33vDw>y@_U@B%EL~;#q)GXC3e6{LdYMcvp3Wp7gJxexpg!H-o1#uD_fuP+ zqlnP&mc);0Ce^@C`!wm;R!JS4)364cmnM7Be6ADQjN66vZj&bb6FouYq7`blRwznd zY7~RkG+HQoq~bPNMTnE(JXMh~TKT5w$EaOY;o}8U9N~m?HqKlLw6E4xwebi%9ir;3 z6PAJ?NvKWII`I$wv&A$OlhBP7%mOM9;~)HI#X{S5=k8E6%DWdrDkz43@SnQIzFMq+ z$zT6jhChqcpVFTmg#I)PCezrukF9NZzfnY`5nw7sPT6@7Mv9bMg>&q4V@op8rA(b* zS6rW9C}JSZ-Q^Rq+oDV!F}g%2*$@`>guPoc;N%tZLQ){n#>+zJDk1$`rRj#SJI;aF z_!T5+wpj@_^gy1>fradob?hmh3&oQ0wF7y{kKegh``zEzc+v@a&>4U&K%-NP-zoSl zgMKsv=Xa{aq3{wA(=_%1=Xw3$LGR{*vvoY%-+bjrzV8<2PTJ7PgKl_kjeUW24jS<@ z9lP-yRXfnrvt|DytyD{^%RXt*8TX>)DH?pE$Iya_xTKBtiB|vLqxIyLy=k3;$_{8L zkBp6-h1x2JWleRqU+Eu<%hv}~J;|pAqU0t6yJ!y_GOahMJ@BAEaCkGz4urd7iZ!gS zaL_R}nV+~nk~xzrlKIbQA^i(FGMVqf)T&PAcYPWp;p2fbNw~f>z*m8l>MLAeLG2yT zE`rXWpL>xLG$fw#7tW#;OTw*#R*d$MR_xxR@gPEd8Z=!EgyE1(kGg@n0*ZxkN{5u` z|74+j^C-1FIgRR|`ahP_lb-~f+-__>)t!8{>Hk=e+d$FN zi|znOIK7Cag7R^lTh$=6$p`!Le+nmc3&aem+(eMlPel8+feO#2lGMcz)fM&L`hb)> zG;DyN|HfIG)Uy`k4h?q_6bA^T9?+0@%66Oushjy@NX-IBNUb^2JTZE-wGJ`P7kox% z;<^g?Sf6B3{%JlHB<(VvpcbfPsUD{0mQhT`*~k_MPpk^T_x6eqj%D&U)>F&M7+h1U zWRP-oRtuoj!6}9I?VpVAI&4!8!~hhSQ_$awt0@-5A6Ijz5AHFvF(fZR#EdkA_TAk<-rg`DA(a~mNq0wOh-1l{EQ4!!ZH zt~B!s;1*1c+F-Mss@hvlegvG(6HW`@{eEl3X%R#haxV#)OVP4<30~(-4CsC2uKdGyf(DYsjL2q+nHIza% zd6Av%jcJTcRh-&&DeH_s5>d>DI$Mj2jY5Mal z$W4w96Ep)L(3@l-Cp+a#BV+_1!tu+)z!96+G}O$Nfh?V+3CCs*$GMxsIZj16hU1*( zmg6m~kd+8b%>P4FbNuO}fTJ5jov7QVJvGOb7UafIM}l4l2ppfY5SQbTgggX@aNLms zjvL6aWIxuU8oqb~QcfyImECoL(JRieM4mcRJMq=U}+WBx5ft) zT>PJA_R}DLpcle+c4Rhwu?fE^>L^%uE-xgW2K9CKmX3zG23j8Nu<8R8OD(9Oc=0}l z;xX=ShtKbP!1Y=@(%<@%ar0a(-Nq0>m5NJQx$^POR6+7jEN_l+!2u=J-{?yW>Y+=L zVU_tT8OxS4N+_cd2;bvSpZioAsBV5tZQsjcd1;73+lAx>-WWE0> z#{8i)eLOvxF~1rK!HbNAsP_Kf-w(Y1c;HOab{ho!ufaye{Avqw2OoD3w21EGMdoWr zJmqejMW1#8e@qLu0wC@G$?$(Q_&6qH@Uaooonf5HAY~%Dk`c}H3r>9)(K`|DUSu9b zHK${&x^6Dt0YQI%!)a$_K-|sce-QNjsfyF58WK;TC^)^!AH%5*AmMZ(IjKhk7laKy zTJ3{WZIUImwV`=JX*(hHZ)S|+6sh%?u+*gbS$*C1bp-WIgDR?`jAat9BAwC-+ADoIa)(O+|LW_b(u-IlaCz z;N%9|gAnweK3Q{`YC&$W#RwV=5IEgxA@1PgTyn|+L^zeUF`@QNOAbEfK()OFA9MCO z_?Xjp@G)oa!N;5t9DHm>Oq86YXs1;}Nz~|0>e{9&b#(_H_Fj7rJ`ViCHE=nK6B554 zU=6(MPZ(J4lzu1f>UTvl@giS-9vFDgion2buWUN?>GKb5;I0n7NQ>I%LTwp*+|X$7K@)@xK9ay8%)hqoZ|VQJ%hJD#Rox9~$BS&- z5YWF^tA$4$?%-qBZh+IfYx>gO9?R5eldWA4mg@1|KJYNSF-{ zz}IbEg<5{qY{lTKlWb^KAN&V1*(U!PC?0r_0jcUtv@X}oeErp^o8%A zU{X`F9$6O3`Yo8tzwRW>`XUQ*S-(NhnE;{YyIP3LdIb%0I3U70x0PZ2>^_GZmm{S% zs{2v@r-mCl^l+oaEpQSw+;H3oivbc;5BmQnLyOzXP3GO_&?0i19$N5WpBh?>n%2;O z3P9L39bH^|f*D=#QwKw^(3xL2j%5|a1}wlb=R)_H1y&GOL{}gH_++V4{mRF$-sdUo zyMcL7>%MmMa9PB%kbh2XsJ%kd^SSnbB}s|m|A{W5hK=& zM2z6@!Ie$;++-Cdx@BGv`v^7T>TW!eA`J77IMXXyHR1z2)^R=}cNwNit!->)?@Zj? zg!ZN#u#JAKb3CJLB;wwSbb_e1&8#JXZQO*`jI{HQ*R~mHL2hU_pmhH_fMAP7d=>v#Z=7s%~Wz^hY0h%s+?iufruY-X4@UvNDcps*CEj3&1*FoG+Yej0OK@!z| zA#=EeKz+uY8kO7l8vYO^fJ9gEB&C?6nD%h8Z{%9A*YYX9;Nr`o3h zB;+caD{^X);hSiEgxsf4as1e#(@}b`p!!M7poZ}qhY}3G6L1r=p!zn}MsZ8>y9J_} z-&a_B74p(-DFprZkJJ2$Ey(3pOwb&Fz;A|z#8dVYet&Lv_`L>@@bjBle(TU4HR5-g z%WpBJP{a5=gAxqC^Kp~XUQ&M^t-S#;?M0?QRP)=jD3ssV5cF$0X?`0l$mO?zpcMdt z-$D(Er`*Si!!}ngzmEYDeu)mht!M+5b%A#Nug9VgK5*jxZ$S-yjtSH-hD#GH!<)8& zVIN^QkM{lpk%|2mAgUQ2X_fB;oC%ua2ySH<{!tv5!R=9XB4|@b#c-X5#8W5=hP`m> zATj+6kT5(R+95HiB{CQxv6ZU~)?aanWrd%q`k{RDoNFl5Gpu&~8Q5fukkke{I;|JBZg1Ly<8<7gVtG$P1Db8AzTH{9@mKo1lfoFYCiF*>@dpLU~ z*Q5#8VxGG|EwamQjrUt|vqCjZh*BGWiT8n2P|RB~#H_k7AOCEWoEKr5HOxPr{wpDf zfQ9rAjv|r49;d&4itxwt2Z(C_`19?c>QjL;{*ezs|3|2y8m><*$ZfcC30h8F@gAOr z#8V!iW5m;9{4ovJYJkK)T0*-0 zyUo)UeNOKovB;557U}aSJxobQ9{iAN>oU}w`ct@vs55*IjD_0AEmKYdy7V}8oPIkc z=OJ40{0D;CSRXA6jODJaYe8@cf>^Dsn`c0JZQZkS|A*zY`hpN&z)kyht7D)AkQR-; z-_5kQ1$h92BRcd3)r#YhvR*1uGoLET-W-V@w#Nw?cldcdF4S8;e}I2lKOds~{NEv` zxf0U5fX-dt*txm$9kznQ=bgQngh9>*v-1W-5P|BJDjsI3k4-pVZQ`z6(K>W6dPV)D z3I9U9<8Mf1q%xFe9w`-zdGS<`61F)10MDBqjoMkO!oR~b62S{@n8?QGskw(rux8^0 zOn8RHMC?x+6R-b{YS#NaO++2|%Ny_*Auz~R8o55ER-B2bddYIGBbp?l=TLNz5{-;OS~USt2%4hHb%HiT?t{?jP+O|phZEcZH27-iYbYYvQsp_8*W`;*{37o6s9Ly3aicFvjm5mF zjgxsn?GMACy<%E$ORD9wpmsb*v9Ss&V``=@)6oLPgs!KdwPzb zNdUnG?$Hq0M5GwTc^X#(;}ifT#(94SjH5cg+34AWRVCbCbp?vhkaBAuwR`SdCNVsL zQ$jWU2*q+i)qltv+r%bzBN5KKhS_8QZfb0j^DAs}A}tzE@240bv3QX)AgXQh@@t_s zc@%>FV{m-sFOw|DwaG&SjgaWPK|^FC5!xh%tAS1K2TE+R@DF2?p)xmx-h}E?74aEl zBb(F(Hc2BC?Fmf7m3*|xch*nrb|P7rO%1b2FWl7FWY{mTNmsE+nqq(y;zc?_RNLgS zS3_-*3qe1xowmsc3vz98FG1G=1V0(5A+ntaZIXklflY1!N^J7%c4L$N(#fclk9X|l zC4OL$P6T49X}Cq~W<=*<_B6~QC*YRGA_IShMY@YcI#K_jNI7`^0a0y{iLZoOVWk88VrfoA8Ia8V#ScIMIy)054SVZ;S z(Fi*hskT01H(5CabFE<(Nx?0RMbfvxBAkgu+#E#xFRG_S{=yWcw#di@p%%Fsg8p@f zX^UKBL9RtEBj`+kV3DpGBCjyeA{XOoV3EE+iABb4)fTDksuGEM>tio-pr<2gq&Cuc zmzAOB?Rqpo==96$^;iR4gu>cosu#(ksrYR)7gc{s8KVw%VAMGiEk9${(%Nt?u8Wdu zez!KKCB+J+~;9N3Ug-%P)y5`zAbDcXknTTpuk^Z`MC9I9;i z<9sP6p7IgS!i3-O$Fx<=P?BhOCbW}B*dH>-s%@;z>e(ar!KmRk%jg6YYaU?V$2#nZ zwBkklWdWmWv?fhn@3@T0_Jgu2oA$IIcl4S?&~d^jC16BRFlx&m!{}sygwZX~P99+I zWQLUSxb9E%gIZyA)ZJl}^%EE!&gzY)&*S}XMq2P9hgnA6yr7OcIp)uYpnuOHn$gV` zMft#ApKeq-ZVfO$gnMnedATQwkXNYRI z9X&VHBzHs5zwuygl1nVeHOX*-&ISl3>0u$ga6jYz(+N2W5HSc}rIji^OxgyHMlh79 z@Q03sl4_h)4e%PycI*uu1$&fgh9>elIu~nTD4U7cdM5CVAT@T`ETK>qv1pSGFi&h z1uXQRYE@DX=Wp0}P*44LvA~nY9B7_5U^5f=HY~2z)n~>Q za^+cU#?>6y#_;KyhKByJiJ9srp23syModpRM8hz#ha7gnVHY{EjCcw<5L7? zcj?v4#`D1x`yWG82kOUjfmyPx%FB5fcsk zF}dqmfFxAEs0AZMU9FRP`CxKUZ&yjka%rCala8oY0cP->%%uDeg!v^asfAW05JQd}d)N&C?l_Q81^CAm!M}Zd*^puM9NZdls zaLPH0kTHM=?@d1$-m++gA+Fi+iMy`TMa3>N+h=$h(uP^|doVkjmcY6VCf`qgA+t#k z)y)2UE@0-iN1Gw&|JX(|`_zKm>1u$4Tg!l(S||2d zawBf}=+YRjp1jo|<5yL;rkzTfSY=_syB)gvbIW)wc)ddfW8*hG^eH?VQ!ja#KaoM0 zF(yjbHhRxPPALK83yn~VLh+u*fNH$!QHD2PhcYldl>|J=2;!X$3mMW43scp98T96r zkLLNXomzEe%^4&me`3+I+MV^JIuH?g>4Xxw` z2>Q(r(3SjKVE}R~`7eUL+Fw=jM;5|KWfKOiHUm-uh*WVW=q6#Ss(2e_hAN^{flsLI zVf@%bl&V&BYS5}C;~HO+QL&9zB--air=oogqFS}5Q%5XSO>EP%Y-QW|Xk`Z~JET1d ztznK=sQl2DR6dYsdSCral#vC+;eCSdsXoa~e{myq6o2-i8t7~+2F-HDtwK}JE% zH{sfhwXV6|irHFQ>z3;PlxMhZ|Hg8i$Lu$$hFs5psOI|e(*ajEpPo(~A8Vz#PO>03 z)J`L41VG?=gN3+UyAd)F5aC+9*>F|Mm|_Qz>kO4*_zs1NCs{l{@J>t~s^@~D(B-)#tOfF4J%xR8bE|S&)CmJ;Gq8vQEi16o(ins zR_1I3jXxdXsM^b13qlJQ1jsCcZdWlIxkf|cDUaeTT9r?5>%hP70Z8mn^qsMTnjIX! zzfojZD>7dKWJ%e`0`h<;V`k`b&U2tNG@Jv3#LM~4Tn7$YQrlLZvKFXwqYL&a&34i zL0tfXC$+bbOB^#_Pe=q1vEZGX8d>n{*2(}uK1S#HoSSh98<1fG1Qe4{OMB?+=zMX6 zlpo}Ben5E^Gk88TxIw85o1Hr!zp_;Q3Y$k*z$2`3U zpM;u%I!Y)VjsM6lChzYDP%K)AF)Vj6(f<4h=22~3Sbx6fTU!^$ZbV(&s&1RUj^0;< zkVpRyqPi|Vc|52KH>zhN9r)$Auk`;c$c^f!33`gkp&zax@f3=}pCh<+;Lk4sB(+gp zZE8b3NPoRm;EqW8Bmt{3ZZSKB2v$y;jlq*{{DT5k{Q_|+xjT2&nZn0;JjEZV+ z34^6c0!(fh|B~)qG0tX^N?sXmA$LKF$JHu(1-^;@C+&<|aHTx68I}%clB$zetj++S zmLjPA5b`jv=fsE8_k?(|eeX&;*BO|84Rfv|zOl}={Bt;$N2TNGqv#5kAPZpr1ESiw zMn4ufm)lWj|0TdTHq*{^i3PbGg~JIt8z4AW4-LUaTPk+a=Hk|Yb6o(CI9J}+#<^5y z;L-?mlYJn}-ZM>k2TMLR@3Sz;8pgXLN;V1ZgAL$aAiN(y*7mPLhQaqAA*y-j&J5+< z;R}FoN5re3y2^rF-p3MjzDf&`o*IJbN=8#Wtq8Xccn=0hcza(p;(c`s#anlRl4Y0K z?2BLueX0w5Ky6sP4CXAEsi>s&rcLAND{&{k)$ZM}yN0XRw&-Pvfj=eJ-q|?zW(9k@ zqIlIchD}|5&y4*AuIDsV#rP(B6dHiLdKStL zUFg0|9P^*H!fcCl_eNV`?LR|>&65gSO?vaISz%W~R9D!?j|LTX6mX_T`w4w;@n5^8 z!sc60k^@>z&`f~fsFN)OL;9ec5<*4-BGt9=OH*Cj5aTtkfVI5r*M%YP%}7;0vV&tB zE3)Hp)?1@j{&wrhvD`ke7}wx7?f_k(4Ef&}KZcxvTbTFy3dH=(b&*ps5o_Y+Rj?7| z+d+Br7=1f@wR9ma!Edt3@nWP0FVYNx+OVS@2@H$DQecpG>Eze%fnm94)5Qj)AEWo% zMPstUhwB7~AGL%X;Nt|0X+p%qG?Wv>!?`F=biD5is3h)d*Bh(@w&We9Y}&w2m9O7N zHICfr_5QB74ik4anu^VAqQX;fQf1+qD!zsUSJ!xSNQQb?CiNG;u;gS1N zPUz;PV9@c{d_5bRr5w)71s-`b*q>I-?A)44+@heiMFF}v>bn+{R_O%N*F40{ zt#cD{hJyDjn+kIO*HeMAEADs(*;Pafp%iKw4vcdh{X!5R zSp`9Wxc#q-An2ciI8aSR4-0bpUjqm_N(D=#t%k%?D2mXyoIhr~d;&lc8bhI-JS2#> zQKp;aW!x{TtB{{_p(;L6=|vyyo{S8WSHFMK(6AZ9|mTiaDNYi8T+3?ROghA(*l#ZL$KQ* z=pTrhR3_W=Pylj=4>u81qe3I{g@(vN1ndi&HWXI_n{5S3)awTIWO2q7Y8cAqNG-#F zmyK2t!yS=%g!7n|*UK}y5sZhTi8KYnBS}vJDy!I~2p?eTG|Vcmeqyb11`?Kepm-8F z{P;Po@)$(5RoYm>u2r%i=-2PiR{1d=Y89qKe;q)`uPZb}mLkw97cf~GPx=ZdvC3gk zPpopD8k92d3(BP0nFEND4lC@Xdh>hP$`5Rnz#=N5)sC%vDT*Fs7b(ofWNetNCakx% z+8Gs%=$0H86u~s}l)c^@q0AVheITs1rdi0R&sk(hymsKwBMy ztAVXv2TE-9(>i0T!&`%>N|I)zurK3O2gdmhR)KNMM`7qlj&ZPFp;DPDG9HtmVaB-$ zcQ+a7XDmK1J%V`bzq%e&W+NeZk&7Uzjq|P-YMeF%zqVZ)XSM~o#%W2=g8;!e_i2c% zSDCjH`ih?g2`SQ?$ky=c|^`OFUoJXpRamv(CPkD}NzrB4Lr!p|kRLWFD%fgLQ78vIwOwNWG=Rn-u z7-wb`jFT$Hd5~1jM?&%e}~8V@St%=&xJDGQ8q7iB7<#o@*&4vfA=Ohbqjq@-;hXDlRw9*i7K(Y3mIIaf9 zNd-!ba|P6s#%t1kASz*1`1i&s4vaIHG8Iv7XBLukiUQ-*VS+NuIO|ti<6Qj_jB}hA zXAr5hMMCl-OChR_bLyl}9|Cl;$oVFI^8YhRKoxdvM{4`O@@zhq5%sInxH89S8 zC`yde2kMD&ZdKz*>yJdP74z?!v1wPETA7O!Fa7Vwx6EPno9nbVih?c6z1HgxjUi*rn+T#2)JPhgeQs zENe?_fZrD@f3W^=15`OdR5_;@U2C*MZU0F{;weMaPudt)t<#~_GPokLP0j9xtjyT= zScA0hl2=bq#yY3CgOof#O1@J{p5~VP#THX?l`ka={i&u$bIrT@b{QaoK5kBRENdeO zU?qPQi12MV=i6-`Vsg_~_FUXvihJ#STiN7klEjg$Y#$+t{wG9rWw#am`4P}m6k%qt zT0+p@S*t7CA0K$cAr7cHLF)m6g;#1wJSBmg<7o%*$MpWc0Z6oK2km6%kpm@x`j`;m zGe=Ixqnz!Sx(s9Wd8K7F>_Z#fQR;B8VznHin$G5cE44RtH;<%c>hefBmFb z{hBMp<0%<93s!0TF|1k#tj>jY!m1}+M;5Xo9W#$rq1UaswciJ~J-BW%*TfHOc-~4) z&OqM4_jhIl+^*6(HOX8{An2bDwN>Ui!-CxKe4C(-!tIcN+ajC=w=4K#xOE3eYV2lc zCyDE7q#-Z55mP2VT(8Fad1H6uV>>IQ=6XX#TXQesq{tuZuzTr!u@yF>TqXm_sqo7Om7H|KL@gWR=MHqFOv{JTzt*Q-9pufZ6xfnej;c zroS4H`*_3EtzqAb29dxJR2N+{a@InYztofOv+!R^pD(& z8Pd)PiQvK~Jx}1I80lINT*QosjM);}n1=NU+VU~PvllrZqB``Jj14N#ow@y_65zSu zpk{6#Gax;4J8iS7v|Mw#yyPFapGijZD0@3(MW0VrXw7PVREmQYYA7lHE%jIA&4)St zERU-!iN_&irk|&vJmZB*ueAtYFERmwn#7L#LrEl4k?(%cB-R>`Ch@^{io{}by1b;f zL*gjPz64nzk!(oRc29ZiMvfD)MV^Acw znB6ec&~Liv%nEf1_lByG-k3=>Zx23>Q^KeN7#Y7i3niO(rN;6uS0ep*k^Ld4?LF?^ zz}{}~BoTZYVnhYcl?J5!Zm?1(a-KfzVFhnIt@b^~z(XM_>O5Yq%?cVPQO>+V`=*c> zg*j3~qA(zFHKYuQF(}yVZc&V5e+JTn7l}eplPDPzO5y^7Uqp;35;F`)lZaRA>Qb*j z-lMMymy=yCkD>(Ovbszgxk()C?KRl6S2>gQnpaVz>hQo+2ebHi`tj87U*A}-DZ}H% ztWd8R?ntj+sia#_I#EeSPQjF_G2&B!5f6vD#)w-XWZom~M9D!&2VNurg4&2VqeG3j z0)qaqP1=Zq3`iR>Q|{kOPO~`@13pb1O|)GCX))l`WsMBTonvl6Cc$_$rzn=S08cOq z(e=dg7IZ=I42g(SG$fvK1Pu^RJApsO4i^F>cE~R! zyHzUh{Dck#IN|+2^L45lFj*VM>3m2TPUBX9)9J$LJ7&^cggw?DKvZ*jVN}4$?bpnP zpg$eHuQ=seklU}BMbPakKqA*@2-bh$EI3`lAH(S$fP_=gQpHIv{yGeW<&9l{jwq`P z>O+elCmKxiSJ)sT3~0mAMvTnyMP21wX#TWr}~ zi6sMQvWmUjSx90WC}FI_=4KTpJ1w;ZIH@Zh!iJh(4r*r#wf;;qHHbree-5IW+JRQ< zF2I={*EDLq>nlyIW@NzsNC)(Y;tvq@!!w*pm1QG~H9DR)-KDn?K+!No=>5WG{ysEw zBA#1Fafe_nCM?4Ueq3S+wj#j{A$S`jKT#1ZhNvdk-Rkbf-SH6g+Z%$37Ub4lDnZ*e zHYNDQ2r)-Itr~!!{{93|2%ZiNkxx|YJ<*oO8=zuBZ5J>t%2dm;V6WV{Ak2px<=05$f7*EBkw_H&tIswx1*RIQ<* zG1XmdlrO+S37P4W5Yb87Qz+!aFZa)v0= zi5HmzQBAJB)!QX^F!hcYa@+0-EZ~xBLs0eSirg9viKje`>IbNo`DaC&kg8ndB`2&`|qNI(uik}T|j)dA& zZY$JyAYLRpyETh$%mXO&8ayfIy}Yp-Z9{lJCUV34X6W12w6m7Lw721|=(_Aiefp0h z(!EGOh-%Z8-Wgc!WZ+CbeT-I{zd`%W;}+D>0X;|1M1bHoqckL*@*2* z64SoFP?>fKbLq+Qh*-r#bYl<@&tvX0jM!v|nt)ig7{u-nVx35=3~}j2hC@^n`~Hql zVx_2Yf6Zr_SeXU6#NH=p0YD)3w1&h}YUwQTv`293fY>_#39-7jEV0WHl_Tgj5WDgP z+$u188OH5pNE>e7m4Mq_!tH#fsm-_pzCR98&8@Xn+pV-sFuA||Q_XGj?STPYZa))L z1rWHEYe+ohY~l6}ZXIy@5+LDrsKf2vR!}Bdl~>k07F}QSA=UIEb(op7wC3Za2(HH{ z&CqH?TK5XA;q;ugiq=wyYFejSjomQs3PJxEL#wR?xnbU!pq-zP7MrXDX4=TNw}}a` ze$MsA<^i$Z(9Z<=vn@@h6OVgAaY1j?TOMYMNHD1pL7SwvzF`S=#hg>=143{bJ*XSf z2=@QQw529^vDT+agLMG}{dDNAdY#=Y$R*g9pmvI2q_yFdvV+cuw=avGdg}y`nEz^M zXXq`DfQafz=Rbo(RAI0k+u-#uTMSbdG9jZW9|gT_om$EX4&|P>Z)u)Teu|FO3(3ce z)L<@CQ@-{;ffsfH&g7T7ptpYk?yD&GvY^8p(47QzrgC`yUPIz36b0orF6ABo3FTW~ zSCrM7`;S}jxEdug`SPdcW}IrAUorP^RP)kAq;8pKjIN_G_G=1KTW@Bvx2Mgs=YeqZ zd|PBaqWwEC&lKDj>31y29Dx+(MLvM2HqY6&hMH#(y}2tiQRX?qf?V?qB&a3T#q;-D zw5O+Bjk7S%&u=>(aS%Xao(rHIJVKeL2%N)d;;C{8Euv?G4~BRsQ11#DdJQ9ajLX%M zQrkhw;m)wOGgNyNMDxm;sa1EFm0_siva)q-xhl4eIgOXw+2zE>g6vkt+b~E0$J~+H z-Hgri1dcdDmBCf+8F*dj#R@f2VR50CGb>c5_V2EEjR9H?Q5~RX+z7Z_K?bC!Pg_$ze1G%$K=y3h&$O}UQT7KomFP1N`pD5L(?QVl(AO$&b(QRIIn}(pgyy^^;)?Q=sDl@Pwo4X(CG7dKvs+XxH+Pn3I0@0}=x*H^^1aE9~ zYdwCzZt7OsYWz*R8^$#>=<9N?mU#nqXVkXHyJ`0_FS zjg3B3)JL!yMSE66>$NI@J-`C1%8klKl1v;MXeI%Lp?o;KEpP0$glrhllu3HIo1Zy= zmXR{Iz{XpwFi&<)fUrIl8!J^&kAkUZV__e{zD8o=JQe6z-IS=`L6 zs$T1o-i~ga65WQM-={>kG{M|x>Q`6Lp5{uT#;IC!!bv(3#8L9bvl&?1N;j>T`I!XESa42o*e;&k1PByT|kgR?%`=_4& zt3g&ig?JHXxyP1lmX6;Fe?QfwHkYb#2@6igR?EHdXN8}EWp`TG!@@c>m_kX(s_Rmh zR36!OEgsxr{B$mTT;HP#1r0*4;A%{o${Vps`OhFcMc;FuL$3rnu~%{`j8l3q6^y4J z!@=ZzSOkUr7a^#7C52Z8Jqx#2k^n(}DgsRPO71ft-76VcZndUYMXuC|rEVPEKSpY*$7ej8x zxX3^C1sZY_=0vq2FTWzxkncdyKMx_O40);nX+xew(jGRw&x94DzRF~gwi*;qdmh4p zG5>)=M6rKEu_mc_FtG{m^~7ox@xc9kE7ElV*=t|wkr(QVN0 za!xIhQxl^%|xb^T)R_lx0ZKr$X8Kn4k_2*%O6 zmod*K<@4DHg6vgHX__FjW2i@;wn3IZ4?(t3f~<%`o7K-U$i_lY2ifmgp+Pnng8tXb zbdaq!ARS~Ymr&Kn+vaq6$>Gq*jG-1$_BqH(Y#ji7Xo^hrOtdWUwSL5j_h;Z*EowxOpF{E78s;0BtZBp*V zQtqa?D0gLvb=*Gta$I%;>5ps#4W)X$W#g^5mE+1wP#Mo+!qYgzJTMgFPkz!m!#&WT zR6T6P{zY#>jWRTGc>V)H?F`>v66y?52>NT_o+{&(8IX2{VvuR9s3hH4g7XHfLND_W z&<^tM+bBxZ`xS1hj)Fvy8niMVl8+F#71ai6m$YJ3#M2U9R25Z2<+2wk9jw5Ry!!pJ zS+!rh0f|JB_~->C@eL);Z7hKr#s})7zLI&7GOb1C36WVxnFAZkD792Q=TJbkeDSnf zMc@Mpd{wN}@-2Z2iEJZ9pDoC_;Nia+AbZGn4Se|kvi^8WdgNg2yu{IjIq?^sbL8|> zCo&R|-Os`y5_AUahlb@uUfA1hPOVpM8vH7E7K0!dX~4sSl6k8fZ1Thc3RpR+WPG1l z?HJ8_aEFlWW7KIGDFnBYK=^Qnx{asq?34G`Ex2527`p3_IBf228r=03Un5M0udNP zffkJg*sQfYL3;`#$;(YpEhaiL@~U{X>S=z~P-VwlFhAzdI5dr+I@Exd0o#waJXLOa zwA^wLZ#jLT(y@;DkUb&JR)d}T+snlx8aa&_{wAlzrBnnZO`Il|;Rb|fXNgNdLT?_0 zoQrw#00buL44>o$P`=%7FbpMutFy$_GBR+!2`soS^Qr&p@ICK zlUwoztYMmX)bW;^R$k~^Z34w`p^5SWZKBox1?5qWD34KGV3hy&ZK+!j*Qen7dDX30 z&xg|JJdUxC2h$Hqu00G#;F5tYklgb`cFv{uLXJHV)Brs?%OAfr5!>s=hHM&{&%O3sY!8+0LY6qc{4o2QZ!tf#^Aqt*&Jt5*>7$hrqCBelI^w%T!)Z?yqEy#V) zej!1xNrb{)ne9;CEDhyA0{edh5u~k!KuX&B1p^v+@95JY6!5T#pG`9CDu;%%TL4kR zZcZWC4HtG@I5hZvCfUt^sAjjnRorDa5`z98Z)tYlUl5qUWp@WbYXFk!RP0JLl*6KU z+EgF{cAo($?2-d^^{S@SkO~i9rIz_rjceLIlUl64&gF^aT)GxlOt(CjJOiF1g=Ypv zLjIl^SO?16OCs4bj%P(-ZNrq1Fm@5 z+rS5`zlEHzJ^~8Mo1RTxUkV0+As>$@V@8fet8u$xIb@qqjls?*$~^pTo{W?8R*1cd zFppy&@?!Ep+v`z`DvV!Doeg{CiM@Kv1H09a(q3aBs_pfAW?%}}UROcT|N0H>7ppDE z^@}Wm76Am?%-0Zn#}EFn3ReQlQ~)8CNq}nN?KibRRFYrL0bs1Sk$fKC{mkEF80!U* zNw47&L&EIkvG5v~y6x>hj^@o!pZ+wcPZjEE9CWNjHo*H&5Y^OooF7VkCy{fJAy5-6eH4C)E}o0(sQ?6*SJFTrm@<_^rXW4z1;uu@%! zynl5#*H<30Twiz!T&D@we(ZSvfWY%2Ga;(E9$;y>)tn7Me@Bt#`oq5hgScGpBxo%_ z;QFqHSgylhiJ+=C0wr8ipq_BOmwJ(F4&Mu`G^v;t%#h}(tz_Zg%rXin)+4-gkM#r z2C0Q4JeEOe9iAj?NSdT;=!C1nYv_Qut)cy%L=7QHa@zDI^kDtIND^LT8)i9m4c!_I zjPKUa+9v?cdQI0*Ukh?;Xca*{074CQ&=6Zg4Y+quLuUddH8gUnsi6(xf_y%*A!&ki zEF%{~HJe^+jHN-$#8`LSw-kL;vRcDV>YWI1FLI1!^+?}PR=Xbuc-*U+)twgPvigId zD**zl3pK>Dy4YoPBT&NXX-~5Xdv_EaAKl%KVf%JGl10VWqkGf1!R5TGWp29@y-~Db zej0Qu#f&zY@8EDI8?lZ3Mq z2g?U_dNYv1{u%U1CF-Uy!CH3kR^3?|GbEhdH4ruIvT*-WjOfU&J=x7fG<%VMK~%F_ zd~PVa-H99&VtC&17-wAN#K&WU>DcL^aQK=Y;a?13`b;%bMq_7Uc3g zgP5}v9%AsS0};vOTWyAwNLmw@%dkQ3G)PBE-8o5nh=(;izL)aeVCxm>Ns#vp3A zj-Lsxm;xo&D7&l65y<%dB}6sXA2UL^4uYV+ZocNa+=5)L7ZCI+K%$@W*vB-KnLVD? z&t>-(kizcg$%dWo02_AJV=FMt8WxW)L)5T)eg@cK%^BJKo88sT2v)3rhNx!O+A8km z*AWo(x6jk;HlH1sz-4zkK~(@b>=tRLc!9vF1ya~01?=jTSHcp3$7-Us1N_aU zE>G*R?@qEj7e5M~i-hMmc2{>JY`w?=h-#i4ECrY6gAnxF8lJn)3gwwkkU!V(R30lD zi^r}sV%lR@qSgb})sPd`?V+&BLjQdZ=0=DUH^>mkM>|xVH2G_>n2vF2ulby4vTmS? zFu!Wu0#6}L|97G_*VIQ~u1Yc2aQ0SLBl^+*g{U^y@9BXhI-m$Mhkqpf`RkXoTdcOA zLmf~%f))XU25P>A^miZ!5Hb@Gu}nigw8SK2Lrr^?!J^gR>IYo4cNng?pt-IX9L{wv z$}=HQ_%OJBC|vW|J^dEZ??t9VRC8@UE9Ou1S3FBv*|De-@WuBb}PrjMJAY{qdN_ z`Y&B&;>F?SyEV_6Z`gF0?=vx9ls(?(5W9H)6QbID6}p| za-M0V8HaAF*3^K`sGLZP;R0(__2>etab%}IpC%Qg88s?J)ts)HMx_K*iov6lqRQ*Z z+vutfD^K}PSb4^=R4Ex!ioQ8a-h|tKr#3UucUi)rPo#GI^l$}PV z?(2G2bzRp9%%{HmU>tGZWr?FUx!$y_Atar*ltm_F9|8@Gd-qbex#wtHBqkyInn1mT z%w7|DZqx-hrTF$%m2nU6)q@50R^MhAK}Py-!tOc3;(Oxnk^5T@#ueA_+~jA{Xyyw~ zd6%9~S(w1mV|ttLuq(F^5V!*TFyL=Py_b?7+2w!2byYZP_u*2uve3RFx0l*yT$TN| zxULFk%O1_L^Oo`!YRjcYk<@D<&)?G_*o|;lBh^_Sl>gtX4^MyCZ~)iZwlQmM=RX~+ zCgpZ-Y_j=)whfMCt2XDrFgtA#0 zW|y#9RBxWs4KAP;Xw_cH-h$n`3PZ&z#wgmjj^S5g75E4z{bhM=L^+Ux1#gDb^L?UN za$d9!i)iY4N7s*-ja#X78r{ZRT7&6m++7{l-p`fJ_U;hI-SJiiRBBuzRKhybetCCn zL9y7uD%fm`B3>2>3Eo`7nGZ-I)_iZI{@4qatVg$@pl$3^Phc$v`ff>@a2@Gk8qUkw zqNdpFYFgLUT%rW4;#0-><3KNO?0OvKsNjm0dF&*x0xQLsIXGOOSzf&#h64T(kF!oV zQ%6hjf~BMIT$C)U?tXoWT?CYuwH}WG+Xbbwmb2D_U$ZK_m9Z%bo-<)H?2xfr&%Vu%8$!1kSn8-i{`8=*o$H!6~_4p1D%!=9m zMio$!2&`7Q|C8LdD*M1-?a7uAi@9k5S5$2o(W7a3tUc6cNbTi;9R%~Dn^9An;Ympo zZq_w}=}$fz70aNK0Bf>3ggSDK99Bi;)rv7Jf0e2; zu-=53%J_K5P&TxroqOdLT+snkGf^r<-Hw(IK85S$W}|t6DY%4hugg>(aXx5t*hQ;! zuW0?K4u20Zywr!LocrT}^(-i@g}bmH!dJES(gX+##2SuJj65M{12PfwmqGlFW&Gxq zwSzxkNU3k8T!g1vI&PvLY02F&!&v?UHoJh!CU`m9GRMDH3}G+3C^5MCSU`RR1X&Ls zH$iC?*pIJoeIniZ&fN^yZO!mzMo z92PlQL*gml;4HX5#vjAIA3(x=!brn?zkQPQxVl%;2y#kN{tEaK%-a`JwPA$2ph!bF zbvy`vErk2B*%@{Z2_FPeUD=bm282@`A3dL3M$FKJhgr}e4(L3B1^@(Y&eD*0N?#%T z;zWn=5P*d6^bsJ;*AQw`@}j%Z(CqFV-JCSxXygwCkY*(|mhXf)Ylq{J@0B;TXdd*# zk^ze4pjpbQQyT{6<5?>0(hZZUofGIupg36WdMNIS4{5`U@~v_#zW7A+^9PPnQV*OI z*rB~c@lBxo|2%56Uw60iM5M$~4}*3LeTqn5Eg4UHlkK~yN0+<@h=tFO=hJc|1>!ujVlp6SMqbJf7~HXA6b% zH{g8j{W!RT2Y6d1lEZ`l;NSrs48y@B9t^?31Re~;!FV403kOqqkdA|CJUAT((|OR< z9CXCNBRta{2Qzt)jDs0GXr<2tK9kA@0vQGme%U#@JBH3#P77+87QGJ^kEj0xIe*bZ z^y#RtU%b%K$LSEd5dO!7%gyOuC+NSEz1%*k^O2Jx9(NbAs`NS8=@@EYb`_plyc~$T z=h@fd5^Ao}W3Ln|^r%58!kF&mce}`K^O{g9Mf*`QNhpoDS5Z2glzxZ{B`+gbhWiMi zlLdOdKzG4|{lyvznuanh0eT3p|Bn6Lqh0p5{BN*Vw+H)w4EP)N)o5W#9urF0V-)-L zq~uR^*f&=TiWd?(OQ8J(dN`p=HM9wPgwub`ek78tt^48s8|>BX!M+D3w{3q1)g!Mw z+r`WWk`}k}hf9w@9}6yhEn0m42Txf5>9WEvlg^sF52zMPZ$P>!nJ-d(MCv?B6+z10 zt_NPV#nWnRjt<9^-O*KRtzu~*i+Dqd*m;k-@oY4={vC4T4a%PA88Yr-#J(xm)q<@f z_8g6clj^qst|Rg-K|U|YFNr+dEmam-=$95*{)v=&N2Kl$sd7qv`=Bzy25YVc#70T6 zAkP!z$wa;*$hsz>&cF8x^?dZMq`Eig@r8n!kd~yefrHyYL*NUIF6x&UxVIY9N>2>5 za9ssI3X|aH+p$R#-&GD6xu}<20(~dELbA>2x1r5fJ`ztk4m3(vC`#$64E;OqVw-<7 z1a+JLaHpWHI}oLs&g<`^0Db_$ua-dHVL*Bb^i9Tg>Lo5s!fZX5p~3OAQRs05ZQw8z zCJH|BAMPtA6uh36!pk@%h`WI>6ox^Q(h8w)4TEz2ouqIj1T}?^JBCs?nG_Zyf)$1N z2BaxG7t_@rMq#W5$J5s3IuvH3Fj4R`JS;#pp;{{Z$tN`9F2C%89lUDMDoNS0!dc>V0ro~BwOgZX^jvs`CJS)V5F*>6UV`D<&&^S2WCg0yu3A0{x31S z75xbQUGlYv9xS5mAAsm2i0Zp`Q7qJ5)w`g4@nb`#r)D1Tn=zbUOFa<_yt1mi9E^LT z)pV)r?vPvYc-_$rPTFmpKrMmJBwO4(M|oSY-wVcIgs36jd$trzX3jH0%2=@+!Gtz^Jf0X zI|Joo^*3YUEa@F=ouKxifHo}>I^grL*e0XyH0}pcSB#N(Lacn`bJ9X>b((slcQ_HMp66o$w>4VzM6(}e-pxuR}s(n)1o zlddS|E)D#<1R5pus!Do_n}zJypmwOJD``um)~u`My|U^&C3#9^r|52T`va8n+{vL% zI+tpLh+zK*?vX*$=aMXjGTTn$RvOz3**kW#eg?x1REz(if>f$onKI5cZ$LdvOA~BE z*39h*6FlXbfH%OkMYuLDK#lA5dq&bz@8SHigz9nU_u2)sb@<&eTp*PiNa4tlLTTYr z#v(pgm|LTwPW&K76-9%F5c}^N98#|YbGxkFt*gYSdd&12d?c?va?gN9q*SYo(w(|+KZHgoOi4-#+^vIy`8w$w4$?)nr%&6fv zkq(a3SOh6N4Xo5xaJq-S!bv1td5<&kYT*~UM=ZdXav(f~YH4(tpvxgqr9iP{^I}2J zn@ddJ2F}^|N+ow~NKF3&f8k|ew(zwVnv*n(g=`myBHYD5es2WXDiCFiM)YOR4rq;_ zmZ>x6b2l4%gwHdSUGK~@AY+tfl2|-_0TPP8^JX^K^B}4l>|>4$8thKMnLfv__XE5? zQjMBe*?B|&ayRb!g`n^AnP4NIYDheVqUhw-^T!Oj>i|+7;Gg(^u=X|ZSx@i(TXolZ zvPGj5CQo^;*jHg%baO{z#fU_n<@rlXUrehtx_#VVd593=+n0F`JC`6qC zL>CcCEOUSU7({yph|Yp`Y8~Zqodpq|gcw9ap;n@~p#Bb`TQI;i`w*uPQQjr=QOF{? zsc&F@7tzCD^e-*ai1I9vi)apsMt}%D8lp*J=}+J)5S_svgJ?8}l#hxh8bnv2N~*dL z_kYD?Gm<9ebULKo-Wl`J89(C_>7ZhbC z#cse@xBPt4$FiE)c8|W8hS+jw;M#xoNoa_B!01nyp$#$G61j%BlSD&7lt@=(g-~zB zhYSzPJiu|cvCI%~Da%|5^^~v2B4@RfWad8kY{0-C3f&XI?hiQz_AMCXmS3b`rx11? zPT+qF`=;Fz!hRTx{-x86|1FUVdk%?4fGCl&guRF2Q?P3};5M+20hfY3`8)%AJdzam zEy7dO4ym_=)PNN|4yIM~p^)qOI1dT7a265ql^y*8{-Kz8wWe5(yGnL1$Tp_mrO9`7>#P6@y;e*KDy|I`XKVmLwp#u=1G&6V8W39J zycrm0UpQ(l|w?t*uok7&CRfr66UbLtxe*X1YLvGEz2FS5*aLGGnB<#z?X!6pb$8RrJWA z6G1{uBYmZeRDWP%BYiO58i@nVa?BjlNUyQRr%a@gO2Mj)bg0sueQ-CKp2`tw-&?hj zQY?{cqzH*N76e8T4Yy^a%3T~Iodoj+M*12Ol#%*D^@OWmbJ4HI2nicwU^PQDrxGt9 zl(t^ECOdz0gTG4S04z4HQ6o!)VKIXUu39qCHQJN7s7ik59BZ_{Fh(tx+7QcH!hG=& z>ZBJc0;@J!)6PL;4S)#KOL&R)*l>$B+DDcs-649BL@Pi9Z5CP**Z5D9WFAPAZXxJa z`X+jTb!T@KSXEw<>UePg$rE;}Qj1kw@L9h+i9!BRok7NohQIWH#PAb`t5~gf>p!+vsVD$?Ii6?wZFYt!(U^G+)h$25>>TSa6DwL;>6%x+#D+vBRh$nj?bL;{T}j#C2&!E5zUKn;7xiXIjJu z{u78FSBSr*bvp>+m%*wLA7C|g5oe<+_`5(;=_GfsL@wgtB>HKpApUZvz$mUuXOrYz zkSN4QLN|qYR;Z0jt6Bl|(831%$x|SqA+-Lxv4&4;Mr_e9dG1~{fjM?f|0QZ5Gwz0P< zxr+nvvTFhGDFtvJhEFzKMc;eWSWN4t?x(U&HVD0lxb2JXZs;xc%hH?4oq`$kHBZHX)Z$0`2U!qO|zdR za!qp~iF$zurs-fwT;Cr->;05(e18^nQ@+Q`^rkvi;C@r?Ba#uVAX+gZ1;rL$U}tr1A#&UWj9OYTH_27bp#Qt zv9Vhq=LnQ%`T%(}SnXtEjZx4|S>q8lPtr$WvsLOP6;&li*#Rz%rkNFXk5X}jikDZ3 zPm6M4Q*}xNlY8K6RF8u92d1(&1YhQ!C<<-#5iIeA>o}xwm*h2K)G6bTYA*>(B#%#U zHYR%6l__Xo7nt%K1`QdZrUD3UAgjCRvs=XG!7?37_#7jY7rXV_%iUWq+)>=^5z zc~`qP&S%Wn_9-YmbhD>(2x(Vt$>RE=nz+z$sI@?d8itmQ#h9DIrc z*>>NLb8$yfT`~UP`g+5%&aix8SUw>OAFqG~=`yK45A>^f_a8X;hzEz_poRzg;@~qL zu=J04z|z<7fTe$q10xExFR7mWVTk2_(+jpNA{|6*S;hm1*s_oZ5V56<2N1F4B^;=S zJqkemU|f9JkiBNeUUA5Rk{T5NGf!C5kk+b`ZkF4fEK}TRBa72Gmt53Tc+0?6S8kn_ z+Tsf4L#Gi?sI(Bi!ff}fk?9+`Iu})=<8#x`y1s_8Rr_5X~tD3Lk2vGximTw%X_de6%Ro>PI(qaZ)~m3`fD9^ zwq=)Gi#Y9@^?s*5A;3T3XAiR0#i3A^g|cI?p7qPL8Wi#x|@o#-euAF@}&! zS${Vxb=<41)oEp)rxV#{8CGv9ce&3f_@IGvY=yV%jK8-|!%o>-T82W~=NiI;pcQAP z<3K#9IUT>X;S@la!$T@;N}9S`1Qkk*%`Km??%p-=4)M}5+vMe*;E`xkB>GDv`VNwO zEuUGn@k1m1Sw9rs_C^-hvZC}4j&GgTRUfysNNe}wQ3WbTJ}bwO=G}r*uQt|(9)nyx zIK-}Lqlc<3SoPfiJ*rhb+0&efeW8ooqn)->Hce@UU*s75;g`0 zW-Vn?FcKeZz-xGTCjsB7^1_waAp)J+Xm~xa13$i10IT5~6u1Wp(18LxmV!OL3eaM_ zHvv`Qi2f#8=U;&ay{IyxcNC_YQ!yhnE1EyEm0HsaX0lz)&xEL1s8tp5@I0wPeZBBJ zmFQWBmxq&Ho$wODJf!S-9jAO%$K5T#EiLG^UN{Y}Kk|WRq5men(k&6u2Hw-JpmLHy6&LRMw*Kd|;g4amts?`8Cb? z)&9gLbk>S0fe?lc&w{=2XirvOLMHni`KQLi98D(igDeZI%tdmhWG;Zr1y<%9EfXde zp@3V3r;D>?2?xZoqm+*wdrOSi5-YaU3*$_QErHk)EB3uasf6fSe&I(+H zuZbCf&q3gGR$zBL^(Xe$dg4tH*n&Y9eCpuf%h`*ZVBhk|rF*aiaY02iziN6xl?2+( z3?~#FUWL0!b;Bi!sw9e#9}(WmcypOQtyV`-1)@ma*unMC&6g;r*Yf0geX@Zklk|zt zlZpDI0i}x52jePBJUa}|Uixztx};ZOvsvNKs8{Neyw7H()jb0nfm2*NDBwgfy|}^w z%NsQ3E8xURh%bb7Y2FvmwEmx96z3flFhV6+lz>l+XvRfSBsZI8k)O^=t3MiS;v!pK z1KAppl>;_vit?BMDpHr`t!H^H-`K&54K$B$VQtLl=m(hpZItyLSfZBWV+y!-dO?G9 zS4Ux~Y;yi?d;%BuH*=F@4ypODS zYuhnJKGw^_(cKUX>5`YUZ4oAdiL=clRlKi_k?45Hc* zi!AmNF5?!L zXQ>|A^nwL4*29=-fsDv7W?H~89Y)YzL8+HhBJ#NGDD017|85gSUV=x1_2+<;<3kR( z7RV@$%p4hRpSb~#nz`Y79>hO~9Ne7mH)DD0`_Z_+1R)`wM{(@n1=;KBi%j<-GQFV@ zMu2UjTjG);&2Bq&5yn zE#_26Q9;DM`L#<6aBMJ@fK9_KxU(R;9C!$BJbDEFppgVui^)q3F3&185OfCIqf}}H zloD`*EKxs)=m`?_SKxYTl34n)xQe9!pYz8&6F(q;Hxb&YH^26D;mr)-nKd9sLPzU6 z3$Kp@Z|Zq~H%h^~iq?-2fs5>bWd{ z`jjDgMSlUsx@JM$fl1IrpsoR%0rmd505w{H`tV|?@D!??7di{98q})a6N362*6>Tu z*Px!VL@ucHB)S(w05wyS;KRVU%GEmjF`ym=kpi{$SOaPfoQ`^y-2&8NOxq>`^&r>` zs6WR86yD!p?b><~RQNEPpk{zogW9w)0EK7|YS%>S`^|Y8)Q6U+mqT<8iON9)P%mnd zSo)a?)HK*L0QEMA6sQgag#klzUB&JKiaFVOsunYyi9l5zV?pgpPu&*a7gRBc>Lv)NPc=y_{eGf}Wj=$V15g`5q(B`C?G&i` zz5;4c3sBv+0qWtPbNnESN{*UDd&6Vb9gIw^*t?U+408Ku-7N= zRV1uMX(tn6QeywGMcN zem?lutm-@gE>t~}p11|Apy5vIM} zm0GPnM|+^c5~Vvty-D;Oh}uyd?>1Cpdt9h5gf zQ~#~AwJ8=`BDY@NN}|U>1d#VwlEWQ2HK$zA27dG7<(qsqe3!M@p zy$8|^(qHo|(m$BA-$kADLN|j|BW?UCK4S}bT4!VST*409|ORy*Dgm)`1PoJl1)FgL~b^{kVJ1u1r05=B<`bRzP;)H z2P6t`4+54}?;Z5L9l(tQtUu!r`ldr-Wbq>{vIEWlvU3%(gV|Ii39^M?)yVd<8o93g z2TbDkGRTrFk?YD#5;dGDuKc_qu#lSv?neUg-v)_7HUzq<4BEJJfDGAcVgxZda}yN} zvRFpMo1=zGW7E8Qns6_=x(t7@<2B|+)P~n@3P-3WIk730;R&^Ia{tp|iV38TWo58| z`yG|r3;h?Y+7!E62#12sq_WNQUuQrNC;wg_;LLD{Iuqwtd18tWEy-Yqq&rE>O>R`sF}>M-2`eGST)qc zt%`d)D$Xa=eo#@McC$ooqxcAk{y0ORe*Z&Y4YvZ%Cdo%2QBaSCZl(A>b#vWc2;idS z9B$jb0XyF<`vzUNf$>L})3`Hd3@~1*Fpgoq*dG}T?;kV|Fc?pFSW z{+7s%?C~VpSz+vGNnA6mXYl`gy1_UOx~a(C6&T~PRTi{UeS=^uFYWSF0N!5TfcM!q zFkI3%;6>9nXnTWwgHw=1$}SU&WadJDI6s#V(AZkZ- z+cy}m1;sMgfj8(I+yWUY3>PCrRo~zSyjN@GqHmzhk*IHQ%U0JEwr?;I(o8T`oN7%` z$=H7omD>xA0;@Jf`S*b-+`hqCMET4ZZHfmik=x2#NTOm8p~akHN!$u|K1nVFi9-7B zVL&Q&m_)ss<5Uxzdujd7vASJr5FvY*!+aS(!E60%WcG z20Hcq4}F7Wn8-{t(43EYXiSlG3QTdWGDRo!6Z~}uIWH6it2V{o-vlV#zQLFD-w!$3 z6zeQehU4TPNc1L%V2UM{1aTC^!OtXl0wl^5-JzT68@%1sF-3cQgVjxmp)NkiLQOvz zP_I`|`?8h$3ANh`JqlJ0br-9m+c%g=6`MzEs6Txj*u!lUr<3SY5CQ7Dmc*^V$D-Nr zmx4q=&46yIZ}3HmGjCAS!RaWxixVWVaj3;!?kzfC^5lzUj`;{+x4Spr4K=(OmGZzQ%UzeO@dMFk%)e z;z2>Le?Bf~h4T-A0#sIIb)$efOQdY=4$@jWqwG-4*+SWDsG^kBMQUZU1NF19lVic4 zNGxYyBnC}m`4yvMJ#Ry6Ax}8t{+ajj%Y&1Q=m}#R6^p9(Hc&O8>tRycxD$ZtC57r-n9?7N+~S44`XxYhrq#wpwF2hw zkB2r=uLoNq7uBmI+C!n*$&#Gxp!yz7i2qmEpt=aUDO7t4Dujv`gi37$wOGf=#K+Ya zDs>JU2!#`Q5Rb$HtXCZmtVuAg{l86`jy)nRg#b<%#-G=&J>Ubt}6s%!OBhaoIx}LXzrHw+#uN zbaOuXItF*J9W3^>DdbEzuX41jrg}ifnQ&gbzFf_Ox4ps9?gVI8_L`U{^Fo9L;(P!V zek6NKGuGrqMtiY=EYFK&;K!RIPyfBeN|@RqJytOLtSdTTpy=N*1C(4DR(uPHi@^6*G}Ux7Y)Fst;`2w5A{1vUnRb9 zi(5Z|>#fi=Py@`|wFq}&na@H9iMt?5K&)OcNuBv3i{N^tSf-~bG9eE8IPDB92SG?t zRm!Z;-Z-fLmnNK~342(=WFhP{E7bHU2y->z$(ryRl&K}w;C@k6hgqQyNXT6VS@eOL zuuKuAq39QdFnLz!NgSYgt%agVbqB-#v%yqLD7PGkn%;?Pt8k^oQkV-NCs=QlhJ7EP z9v=lTBO9xhp9rh2Vy7f<@61`aZ#E>ydjfVt@>u9_s=-}d$~YKij(=CK@=e=X;>ClNHI5b?9nvcpGI`|V}0ny4b1WC|vZD8BB8xZ+l z9}{e`bUGFjp+bOoc_}oFE?$JcZlH2ya4|0yHFcPr!7r(IL8o={LG{NXagYU7dLq^d zRpGGyXnXB)b8UZn?K*Rf-6+jFRbR7LGH|7uf@8(C{D~IV;3r;OiNAou6#%@As_>c0 z*OcUCkAqEyJwy68v@9fCTx*hRDZHY!>JQ~r*25vEj*dIa{2N+F*7#U`-H zLTjbzO;mSFd+sauhtLz9?(_u2tT+R7IpL1;g(E@M?-Z2vP0Rln^5-YXdL(<>RTdf~Ww z*Fo*G9wPGWZ0J6!I`S_Fo7YN4vN+^%Z>ZOs? znF~O@q7VM~rwr4%RTI7|gs)3!vhNboMjTI1Nu{sKd;8q}E)4?UQxqB;haP4;tF+glKRcq34i#;{{if%7VyXW)Dw&Jkd2vPTTU`B0qK;+$TdI`MHZ_`z-j8(3TRap2;8SUG&+Lcl5%0NH1nljqA=^9tY8dnBh{aHg9 z?c21*l~Lo$z>7mQl+nIT*Sj*-yE4{$;q{c!zD;Xg8MUsAT4V{zXy2wATp1f&85@uV zDWiRx`mPM$mEj}tQ%3tXZE$5YxH1~>8XIM_YttNPTs;`6qm+LZ4z5~VVKYa&*35yf zYsk2ae-eA!7yqlqznME!wqU4)WW2GFI}>GR1B3L?YWU=c%Nb0~Kq@ zRmDFQiq)hCZL-Jf*Ig5&OQt%ND|4XV26C<9pGw7QvVyjkV%1ra8BV3h94P0LOYB{x zSWPm}#!{?0IWn=S%$Nh^8ptZfUZq$~4$ziTtU4zWs7i=AP;Mbv#nRP^)l_|LCdH~# zp<^Z}w}`A_<~54d)cAJH1mz^6#LP8{)l~F$%mn2moW#uQ6|1S=?U)J5Ni2z(YZa@h z*6o-H%1I!JnKvj_Q=8i{6O@xc5;OaX)l}kk%mn2mki^Uliq+KJcFeTBirbEvwpVN0 zG1K;{Y&&M!UVUxHOxvrk?U-qM^|c){ZLhwzW2WuZ*Mw&3&i?CVO$PV6Igky;J`KBd$uDXirpMOjx%F)1yPb)~{XKm(<0P*Pao zMT&|?Ek*a4=OwbP)O`pLNBK$$>%K_YVe3j7fRshlr9nwy8W1TvY+cC+5Ku}f3zZa9 zx`iTThpj8g0Rom$$|5BN^>2|#*$_`ssQU(N6Qpz$V1+{XS zNZDcQO7?(&DoUwPQcyuFM9L0Z7urkMuA-DmB?UFKQl#v#btR`jKsBXQDJiI-RU&1F ztt;sT0@hHsIT9SnWXlERk~=c z`ugpdNvb?prHj_8uiuWDq@IH{QD46uGfCwJYofk>J7$s^4c0_`{dUYG)flXa`ugpd zN$M_G6ZQ4mF_TnSuqNv3w_~R5)z@~+w7vS;j+wSsU)wR$_Uda}GetNtn1+5{GN+Sg z$sF@6Ecfgr-v&@edGnLs32vkWH&VR31!jsw0Icz@xH;sIQRhHy#@#6WZoO0Z7qacJGyb$7n@S?Ql$_ zHi3bcFk4-NC-Gu19|H7cnUAlL@8{wJ?%b~r#C>A9n70jsjK%Q+xg#ro*u${%0wv4V z=S5A!Q*SGRily1q^I(+8hX+tJ5|jN~@#WL%NGyVl-(<51%Iix2$X`(c6^@UCd|EO;Ts=G`5$AE5e-};8*J*;WDS_%H!2>9 zVpsZVXTzH4hq4(&OV#j|kOoMohHIkl%u3Tcy6rOMEiHo5QKR~oWdpK$b__-Txu>|S zrc73r&>B>KyuJ$h-fGKFb7uta#PCs<6j#c@xH=mJw5Tpm?ro}zj%^#_8S0r$=*#!` z*jAj2fQb7=dqFxLx^g3hu%Egl>Da-k#XUQLARc-Jw8^MAbz3`Hh9M|J>V%CFJ~{qu zpmM_v>ULw@G{w_chQ$YX+?=^g6O4u8c;d8M1n+%Aegqp^Mxvq7IIKI$u;8IIS?<;> zds&u!6$>7Rg!+=DQ!AE>HA}-DK`Fnil2X#Z@*NKAR`ZE8YDF19vXrAV%d3{9T(NWl z%PVAgv=vKN&2pP%@f1roSPIE|V@l$_6PmbT-B?lfCh3arrw+5jfA$Zu0;7`{a%PhxA;h2n(D*w3% zSk;P&op8@A_4{)_5Pyrvman?4F+}>SZmXahc$e$Tl6^DRf(3O}De);-)zL0c2d+FD zcUPbQykCWYiI`{4sm0ijm-!DZO5C5R&MQ6f6o|a~74D z%0(wwIL3X(@-vVJpaGQW8i|FnP_}&G^k`8cTvLAqs5+2pu%$ZGP#t8bu+P%`WKwmv zRC^h!{)TE#FH~O@l-0biE~~q~k2p|wdqR_L>S8UPhQ~q^^vOssXU#}te6L`YH=$~z zH=)wQ)Op@A*l*`ol$-etF@SB(pNkFDMxv)QVr+AM$%ru{a?ctOT^GjM5aYo4f zHq&^Ey~Ms0CpmkGAutP?l(QR{@S<$b;ZJ7^r(BR!Me{4+mQw7)w-6dN#Sy-R2nY$^ zGr{PE8gLD!Cct%ok0_}TfLrtl+WLi&XjNpT-!)Qp`Z*8JKfH7#ULF0Kua2(tyGJHf zB)#*{G5pXQh@#D74o z)+NQTypmH6Id%ImzsjPy;y0)>5DY&)6$lm!0>DQvF`@d>Y5d<1s&a?7)qg$IV70kP z>T&4lg<5~?X63O9!(L>5&8X;~qhq0^idM;5y1PNsh!-a6@|ZIfnm3L9WuG0nWbKSE z`kfG%erLE90-?LT2S1q**cqP5h7Gi5wvE40>2NJdZsm_28qYI$xfT9c_u_W&{4hYU zD_P(7HFz?Jrx+l-(C%*r5giv|9np$2T%%Zhyw}%CjbbI*-$$u2wuKr9Y_6R!W_`m| zV`8AjgOC~|N4;DK)iS?PR$fGNYDZ$fI;Fsow7Aq;+)P7w!g2h8sc~I@I??y?*W(&0 zM%+ht+UZZX-g6f@6;yAnU?0YB?!(3c7j*5EzIio#$j)I8vn^(HH`6)9vb_1CPQhF# zI~&T*Ldqz_&R$c|UXG5&WX-YK*^5hm-5k$VDKRcZ(H}-EQe~GCnyf|^aJU~XLH;Lg zi5_?Sna)ke*TiOD#pS48crNzG%!8KU**sW<0}MiCJI`<-4^W3iA0AiWm`X*{#G0+4 zy|8Nlt*9XznGiKP`1%V%?5EeUa2u3WNaBbss42{z+BuoS&~UpdZdLVWr? zv3(udUoh%se8}?ypf!B8vdnG9Kg$5|o-nO6<2?_0#p> zF?inF6L=0*cV+1saDn|daMXifHNZCR0bqj^u;qvd z|HI!2YzA00uuV@V1hx{4{x|5o3a}3?kqhia5|x7p+PtVqm?UDEzu-=QY85CHs!)Kc zzd}`QyYTA-RTx*0HzgqB3g>&}E>7#fml`e39>j?~Mp)54W^DX`TIhuy0;|TEY9Y7* zxvoEmH}9-*{!kJa!^IgV(I+4R&bTItrB5KnSmx`v89@CCL<;Ke&`yr(26v{r!k9Pd zg3pPf^|&P!3y#ALm}N;${r8&+q`%w+X=~l8pDoA(P*ORz=po2PgpD5(kc+{pK^|oF zcR_CK2jX1~$dDy+L4Hl5UwR41ub&F+a2Col3FkE^;J*(N1@S28rXZdQL7bWD(gVNz z!i8GA8QBN(FC3m}kR7)H^824G$m5}g+3c}9L1rNDc%kZL0m!gb&IOrD{RcxiiP#KF zoQRpM~X`=i5FY?gCh1 zo<9}@*j@8{M53>E67zgyNzQi6vw&t^0TN}NfzVBvXE^_k&vH=aD{^wSndTiF%6f|AJM6-qR}Ug7$X>aW@0{&nFUs{)9x| z_7KpYC=&L0W0}KHRFLd9fVfV}894hE|%)!vlm@_4LirA&xTyELtzLmVsfLH0W z3-qb`U3BJfN_RD1QXqa?;)3`P7ZuZ5--6hUAQ)|M^p%vICLk)nszGEXR^&Sd?%oDO znjz94QWS_k=LZHDf-+1)_YQf#?Pfrn3VpC6A{(~JL*PsWByi?jK_hP^!kHNL>o)-F zyUbRvdzp6$8YXi16U|?PQ5|Mzaw@R%dFjZg>{tyyW8@~=G>1!C59(SBJeDtZtB36m zJ^sC|9%lo9>CpD1>pw-M#Qtw!)mCeMEI`fyia|b*$m_f6obah7>gy04M54Dqgq*Nc zlf=>w!&Ov~;ruZj+SMRZKJ5nWO4p+@)Qv%!HJKoYh&RQCp|3E}X$Mud8}#OP7Fz)- zXZc~Ik7W&JMEs4~=Y?JXtH!pERXf8``#IL?RDY$=Lp6$)(V@y`@K`!PII2_iakJDaG4EP9$ zG^4EgT>w}nkz!eoQN62>FuYKIuxc0HJue~PJD{##&{+e%$`ZMNXOd_fhyZw$CW)oL zNTjjM)hIdud=ZEg;CbH|z~7*0V4DP>$As6U&gd@fQQktmPd-ys+&}DUOy9_eBx!OA z9>s*lm?@F_oag$O(A=A9JSKOjkS{m}wyB zOj7y=ApJ8#+Du_f)XyRMoxcjG_ZG9Sb65rhIHWrM5a>21$XL zsI({P|HXQH`I^e4KHsEUg#4N_5jpkghuHVMD^=~_)b7DA&GgmDBseQB?g#7lh^rH zod^I>9l_gjLUCwEXVz`v|Bq(pM9je^^6)`lSr5NX57Tq-@QL*BMMw)?Xcw?*56^lq z@UYwL+zm#5GIW+)c9A7=o1J?}bQ*}@;cQJ3OMif_jb)bb$22?VfJk}x-dY$)nw@9W z@U4aewARhe6)(8hY_oGJxJ~qa*9+M69MKhwwcC*aywFi#)!3e!8(_$ZQ5+0_KE_sM zX|UB%Dh`gL+T)Q1@ca*~+E#@R1h#TpfU{v_|0>iLiG%T$$W4f+ljtN?DK9islf=?b z!&St=d=wqT!B`L}Yk9DgYSaFM768agEuyP1cbW)ME_e(gKNX0EDMVMXe0~qg3k?IS zM)bn{2@%~6ef)=#G@_^_auH1@Q9g)(=u%A*OTUXyVwvxw=m1e6h_wGdH;7gvStBpB zOzGny$Y7rI*~^vkwx zwp(B1n#U+nJe$$ui0zIUqL}?m+L-uK%S*Cu&C zkNHfC%_i@=!ENGnT~A=s4buch)jO!$Ug##UYHUB>6JX1L4NUS*q1vBq(b(RxL~io# zM4}}i0=B0tiJQEepn!ibNEEUy>wrv>_cErV)>)cUg_93!b6Jx2Zur&_XOWn~^1F$+ zx*JtatF}39F6KY2QA&VF6?_W{Hb$xJ0iztQ93CNr#i-fX{~fH_D4*UP7{$%f7ZJ=` zr~u;d#g@p;X%k5FIBN;^f7c|j^s{jl4u1wk2M&J@L`u7FYfMi2ugYl^UJf5V)~Igk z?H9JnY16iw(<<6_Q>SAtG?7^@LBYl>&v%DebmKLcARa|Z@j|D9Rh#9F*@0QyoOT~T z`7i#Z&GM)va&y{T65RnJnB`_o5=(!S_K0QPi=qRw%mI-y%lm6hPWv~!738#4t-Gl( zRY3)kOljh7YEPZht{J+GoYrv@)N*p#J}^Byq}7tsTI8SSYYQ0t zy$rUUERmbLnn?5)9gp=_u>iiCyBbKc79x@HX!p+DDL_X-CIEjov%K`rYci|7dOn2d2S5$QyFEo0>>q!Wz)Euy>c z3@qy+dIyYt!5iHsU zrh(S-m~chVG}O`peDE~+ScvVa#EvLE4p*_~U|$iQK3J7;G=EGm?TRv1z=(KW+PJw{ zj9q|)oi`Va3m)-@Yg^8NbkmJXuOX&!;cBUcktjDZ3ZpB`mITMggd1<b-5UN0~46mMK)VLRc&4LSO_jUNzi3|1DpA^sHk3O zHdwWbLeaoQdxOqo%kxP5%dgr+wX*`E-VV`t60HIeY`NT$0DB-Oha^vfL^)_@=q8&? z)}JH6$vL4~7}>x7XGn>MYr%?H$o2Kd$PEnR)BvIuMlb^i9+&6=1dcIHsb+uPKN7OZ z-+Hic^e;{$JkbmmT0M1G1W9a#OYqB9xW#f|E+uR6)ZrvdwOZTTJb3U2*7o;yhV2>l z$n0HMJuXH{@j|`9s%;;=Jus`=3SS6DKOagqaV8&{`++f@Cfj4Ry=AB=k9&q7|n z`~z4uw&!mPuw}poCa%5%qyHePkYJl-iQHEBYZ6Tc5wKliN!(WW6OxPpi9%NP9+1fh zq63j}MkmPd4`ce%;<3J(1|Eaw`%b{4TVaXnQe+Y@bU9cxp3jQ|JZ_tH8})b_!7F%5 zEs>ky3rVzq5$=WNXp&g^Ew~C_y@#R$U%dz-g~(q8MA8Zm>LQ4oNvF3TN{r}v@EAnt z5NlfDC}ZV2R6Q?rKUg)Q?p9eB(IPPVn|{)WzMC1qb`iZmq7Oj?L~m%4So$(t1)|Lz z9YmjlNFmxaKy+SbAevrKQHa1uy-hz_*oZmIL~g2i*MiE1WYZ8YqEUMZsFh&Vp#EX? zbwPazMt^_-)yopOpw^LS>yHBJry_+rmM&;wnftq-QUXvTpq+A4l-)nP(W_Q9J^90C zY!!(WO_jh1BkkG(-G6aW8Rf5cEV>Ij0G)nt@(B%m1hR=2`UVrA8r?Xp6;^h-=#r`U zNGL8rc!VW#(QSrwe=mhDO_OlBYAkbz3++%)DAg{7Y6{v5ZTf*HL8nB{I@p18Z!+NE zqdf?TtS*FeF%k&!pM?{h5d@bDX9zXTZ_scqwnQ$RLr9bhA{cjsCc%dpah3TGMF(|i zJctyc``$K))~gwmYR-z`rGfkkzBS;i&AJQ|sTQBjfu}%%sbQ}q0iW*v8~_vgGm%id z(2-!(?s|H9LVRPPoj<2uJ>CsE&Z!| zytPh`S2|NIA8k&?l&Up~Z6?N{U}KbuzhRV-5Q&gJlKS0^>W}yXt2WA#X@OB(FxL|A z6Ls1scUvO2$9n^b3P1#-T%$>1>Hor2g!GdrItb}mAW~*o`4-F~J>IU;)8vcmz^%=%49sjYCqw}U$=o6xl|snUdcm2dG7kF&&%u~ z>p2!VG~GU4ZdBbqxsGCU``{X`qaUMGc=sS!gMeFi0gw9L=>iTy7trU3_7S`|(|0(9eS~&?$rTztXv-=d9V~O0c zDNu4K4DbggKh1j|{{e{ty#94KLmS~-N43ZLS1@X49DQ@(40rw7 zwItpj^;g!fr5hr>^s6WB=jCHK8v5%d#qZ_RczGK}Me!Pa=80eqVyqX0D8){OVt8q$ z(bN0ce-?~z1Z=cH zxr&dhkyLv))D~=qSt7U2Ws_)kg{`|KaqHZXB-wf1(WW&4QIkF^a+b>CY8g{kIsQo% zeQ-Ss<-$!q#lUNnY20NaMJ~n6Lt0RFP()}vP_G|oX7jFJ=LM0&kp{X(m{RDmz5>(} zK&^=Rq!+ZEv~+Go;?VX6?O=ztw@ZuWotfOxqz^Ue*+P>(jwX=|a`2mA;E`QN9<2pb z6n`LZ1S?P31E`6V?zhi?nH%Q)`ffzEA2oJG@=%FrtVoWowX2X>_TMla7BuOeP(9Ya z!i1%-Fuuv6zPr@OPRvEsD6$E2df2HVl3HF7863vGAbROp!!2*gT}Yg#oDnEjSqSB3 zVU2TP>%NJXSBRO$`MR%@{wDl;`fPj+xdykfjRlN}`O>1MQ0nbmj0O>FFi~kiJc5gg zsT52G#4N}w-%9#e)=1X7Use#r<6zYwcD0JQZRA;0q=NzR%MBW-22uAZrhG9K>4ny+ zJFj8_17&39f-R`2zkP0S91`I8M0DpjVz8WHtEoUG@?9jAgF;&{acXf{=hT*2Tnje= zR|f`IEbA(aZ2Z20>us=VT*n!eTdqH!21frNC??M7Ylt+iUcd!V9n9sHvkGsJ_a{WB zvh!KcM~-9@`MIr|_>bY}pnhQ@RWH+5bJtBeW_PCv5ST4?+OK55&yD8a$G3ai#{4WN z=J(zVe!Pzx@gh^bJTTx@|5|?3Rkk$3Px4CKu0W-%ibSz52sWcflE*13GB%@+Vl#RS zk8qrkx+Lt+8ZmG-&RgtDvy%fu6q^;zpV>w!IMmA?=jF|GUTngHTNEVKQKP-#F9cs0 zgVdItp=V+Bz*4!u4qZ6`kyqNL9KawP0Mk8O#?j3+OhMRvYdubW$IHKXsGx>HrIN@? zJIU1g9$p@vImpIArFzexy8#Ytkz_o9h+*Iq<8DcuKbPUxSj>Oo)Q8l^L@IiLHMn4; z@*Y=W>;;%UB$L-jK1!-N?o+gwIPSl(R$3XH=hMVTnzy^3T^Hb=-cZY_rRegZbZm6- zAo-ay5I?PL5t}nnoL{U+WyE~g1fJia9%gXw=j!`6 zn)@To{aEfUIFzE*Sa>o>TA9LVFQ&NJngWc}hp?_u>M50$^9P0*#|GEZl!;_9V(Zxc z06y2TJ-s0tLjw4=25&>dx7GNG&RHcVu?qaO^024wc`>1Hq3Yd7Ly)5F}e z@?w;0co!R9DJAZ|fc4c#a1R#Q-klv>OID1w z)d^d_J;CU|4b+lgOAV1uunX7F2cgIHHIv+zxwh6)EcOz1zJz33tJ&ITd3k2tks?np*_d%8!`2WFNh_e@r6kqJjlU zllR6}G>R>frgRY49|07$;6sY3;9c}LF)g)m8%289axd<$O>{dRo{xH$B;UuG%^9(x z*E{2zSE#^o|79>Ff?pJ#pUmBTro3yovox?2O0ciDI(hoi?Z^@U*`0=k=U=~63+lt% z%8$v=Tz#m_Js%>hLJ|{t55l;-5=AN;~|Vzoz5S>)NWvKnL$r0lnU zOAJ(ThO0Epx~n87Qm04;k+y1a(ROe$F6?8+I!L_?Qrl>!ZgWr&+171?_=s(5g%UC# zBD5_LUiQAY{oSwIPyi*Q5chGb8G_hDbsgu zFWU@-8s2|&8~3?2X562QyNO&5HCi_Ni(q+^lNzo=PAWl8LO|usZJUsA3s~ae3RYC; zS;BET^HC{E?7$K$Kv9a!RKf&P%BnL$4vH$!?8g14-e(gbsKJ&~f_vZ)AKr;t1!GVe zdsc5r0j01%i6}{?uK)3Ka#C5PGzgi!e?*#&W$lL_e@_aIhpQ4PHmR|F#dcDPRVfWb zG1MVZ>^B%lD^|5_#UA+yid8AaZf+38?!=E@{GM>n2MBzz4atnI=M;M|IernsR6xT% z2T%6roj9HJ}F-r(VFVl3c@&4uee91RQCgtJYIB zC1{pm{g*)CO+LsUWa4154VDaq()9< zc>>er^D*%{E(CRuu;9a@rp`BB-J*26v=3YM(zQBBs9B49ye{wZ_xM=wal{wv-;3Hj zRZnd?2BnKy*%{cH;QD#$c;9G6xtaApQ<4LVLX75)Gup71q{_xHQ-+#x|5Oo-^B|CA zEM2L3Q4~>ycqU*lxiZDL{3V;h9TRt--@gtOfmteqy0WH#6`ZeES zz%z``Dq>l8_{eSX=Q!ZG5v(v1Hv?^$7z}v!0gsuo%L1cc1101!L);SW>JS}EqF1P? z7h0f6V(DZ>Mvd^tjC#sJq((iPp2GYRx-rdl8=;mCpt|#^qF`kI6VhyV=p+HY%r(kX_`l0IIkX+Jw;L2T-$gEr19)Ns2|YGk({J*Gdc}sufPdDM)Cd4D1OFUWDYi0o!)Srnouc0A<@MP zWI|xhT>M;&;j1vAHpsU)i%9~V$9Z#v%o(4WX#-7>n}9-QC%id&enHM;dP^o(JS8An zTq{MGk|sur7pV(mGtVO$Yo)6Ded0h;B%H9A;px-1?6`jp_K#qm9Ef+NG09OXLg%O- zT{LQ^614&=Ba{4J@#e82^jtdjl!UAkKHLl`kXh9pWh7o)1ybcHQB!qo&<4kN0~J+W zE$odvn#{F+U4;YJd7p}3i$dB{Jg@<#v6Y8f%FJGhIdaES$%=2mbSk{;L@h7ds7*mzw#l-P3cRp#z0c-~JmAK#Ubrhne*V-`2 zETO1!D4D#_ao5?YwRy0c%H{h$VW-ySe~Ff+ShX~{P|%<7BwL!EVAL(mjB^G+6xxKA z&o18h0>sy#0?E|c1Vf~!*7AS}<)5f8$L2Irw^(LKg`Co3BDmGjgOB5`iRoZY&BD1_ zvbk6^Uc6ApK>f|uQI~sjcsT+`C9~B>uP=np3?L$8GSgT}#WuoVnsnxri&}VU3O#kw zSMXF!d1^6m!c&*SPX3A&ST-u}6g5*9+>MiF(pV-liD>PLO&+0ysIE0ZlIlAB=x zJIo!*hgEoi(G3+fvaLd#ag8J!q9OAlHn4dVUJx8dNAX{;@>vGOMm6dIdz#W`QL+-jTBv3iz`@qS~iF zA3{xB1Auj&%4h_Oet2<)fR-Qlwlm(|L8l|QEGFSxgRzdH*OQoIPMFw#|y|T*X#T$d`gGUYrJM_#mk+l@w@MggbJ% zH-~pxw(Y25Nc}<(lb=}LY-DAzk&0uCZz@eu~#AD0FJc;%Rc0eC0PcouSo1mKC5$PK_*BpSlR;)V86BpPEZ zGntWK#^1+*Mk#mWToZv^krw3nhrs8i=6G(Qj&nO9iTV1BBR-tg+EWabIe)3lCaZ7r zO6(~HRAOB81 zlofNao)IPTZ6$K5D>6M0**$?s%zGm$LZzo_)c;T_HX+C{+4QuMH_(;$ChVwCzqTkq zJ&35I`5Q$XP-7aM#=O9YJyD5$T#3C&iCxVzgZ!F6?8S-2Mspe=6_UkGU5w;2mE@C@ zWMzlU8(qo01IgWXMDp`F+7y>6$v;ZerthhA-ouq#w$zwn;VbQ#;!GoUiV}Oj61z$C z&U}k!#uOI?V$U{WgH>5v`^n17M2y^kG~rg-H0xlsygxTLdfJ^*d_e+GAnZjBt@XYL@7^3ZK{nVuZ=|iivH>*=8jmF9$onw2$CX`J|bb{%9RMRV><{} zWYbrXSdZNz(RW(0MPj*Kc5G6=i+m~tA9agh;;Xe3CMwQP%lf0oUqLCq_1rrNH25?s_n4wywn+Y z;2HUfZZMHQXW{1N(XpPpu1QY9$7T3~teYl3={w6$W^eh)>W3fIrT}1XJjWn@{13eo5j27T;QHVjLgpdT zDD>fIh(zRC9>4}u-Lc-q)I-fWbkIWbOGoip(e|Yb-7W#N+Me1fj<6Ufc6~BG6g47u zR1|Fz*1TnH`q0=g>jl`5U&snCK!h%c%w>$G-u@mO19xl$9o*4`9~k&M`APp$elo9@ zpR5h|QSSKld2vVZ+Tuca7$#5Z7mkX)4LNJcf=|#;hs+_0e^tQ$GWbVFH_V1hIghHQ zL??&*qhEsD*d>kQN1UsY*NUW_Z^CXB)I1tGax@ZkEb9Oai~Xc;VC7h-FD~KhoiKUp zuM+Bq%#V!vn}Oq-N{t%SX9XXH`G<*6CJ8?hoy{6Ad9^WhWhj0MrphXk@k|l#9853R z!tXOp8Hna>#!1ptaQ<38HDK~I+zbxVksqfF`DD> zsns|xUVJ1IIQ~P2NQPGk@QX!L8Jsy+_f%ISq%B&`ksF>ha(O#wc*d%6vZ7&kO-V+< zNp-o3NIG$9G2=bhijVZ~pqjCFd{`Tb>orA*P~?Z|u%MGA1wz1mC&5Pnw^)?IK&7an z1G?t9)CP+ZwNi_pBbi>RIA@%5Q?2nRTFz?#a}Uj=i-<+AXi^P0y~SD}$uQr-FpH(H zsTK$-KCge4aWrS5k}XB3c3@qtten8-0#*6Y8v90KQkrJ3$0q@U%clXTy6jwhh^kxj z)+;1K!CSPP5|XebUeR)%V=d-`vMMO>#bFR=s8S)sc=tQ_$dmGs0a|om55b+F1DnBi zo55OMLCZt&7&XM33jA!vOg3%)yKsP)RMgZVwfIi49jIk{A5c#dT~#a|sFo;g^uikv z=12s%zZXr0hZ49ZFGS?9Fys)Kv=~br^$mGb0>dYDJMNzgwC4CG3UPI;10!^-?<;$d z@8Tb*HSCq&CD^Ykc%MSQ2qVSD{r5o{phu2XFU^#9A~5LtGj1bP@59$#D+02>NJu(w zzprBY%x4rZJdJNknv5tSs+tb)B5%OW(Rxe;~rFw`)5ID%YYjsHCr!|isD<4Tv1m9 zWh7Qkf>p|@!~>a4?@HYDUIp6@e@fyGerH7OO{%vQ)rX4eJN)>4NhK{@+x1R=_P)}%i~(fcPnDfOX=C870?Wj^wbswfBP8!DKJDGsnZZ3DJ{n}t0a z63V|NeJtxfBH7y`?A2!kuumMG5cUKx`a@731oqyBNW<<+g}hKVeObZ2OlhmY9spK# zG!g2k6cCj;vz#W0MZ}%zry%&=LGYp1SCe|1hISzIv+wX)kM?w+Eq)u&>J_wCsqKkK z5SahMY^nC>mB%H7HUo?j?*i>aL!_Y{_g{f_xW25QJqnhP%f5C78__UAWgw( zlEUXn{Y;(7AtsD80SZ|x589sy>vn5GWvG0T4;eJtxos&^Bz3%&zvu{@TQ5X%xU z`W}K%u-s&bG?wcZ2$qY?<&|Yzh-SKn>&bf>c$Ge-Gtqy|fMdO+x-Ug_1*hN%w3TuH zNyuU~)gHQiY+_VbLW)7P3sE&GRJ#$?f>}g$23WO+-aa-Vs@gb+7ef_6wZIT*RP+8L zsAA^w$})H2ayO#-7kCw_uZw`{Wcbues^>ye@z7s54;p9HhVw&~EnhWb`2kUjWGL^P ztJjzOGWook>W;i2p%a#Tx*L^5zok^}AB=K6c3rTDG+B>6W&k8VCLbdg_Xe9h27~0L zE|w#TZjtWq$ZKgx;IjC1yebE$asNen2cx(!G{N_4lp?!7uDUn9c^ykcQy9GdMyO;u zW>M|N3e2Y@3eE*HtQ$wa1vehS0FPx&q-Q=yE%ZV$uxdAUI3}SR`-0K`32`HC{QPK5 zq}}*2ltlG-%UoVr=DtIJ6?tCl?0=qwdf9v)$m+2t-dE3 zQ{X&yK5oWP$MLF1OdkDKW`-7cO+Ew}5(vNx3<-&9-}wZ;8OJZ{qs z%tfJaT7ETVC()J5slYs9DdrIuwi<0oG)Vi|3sxvQPfVYA4;GWd1DBvUf8Aq{FT2jO zm9596vhx+yRf_5qQoW+6bRY0dQcYA;Cn~B#NEK65xqCD&153 z7pX2(RDZo8dVNo-5u}or0{Ng4K;;2s9Gf|_)s`J$)EobBd>B(Oi9+wdLK}LkA-jB% zl#>;rvKJz~;QP~H)uDI$(1fA)C>Z@4P&Xv>E;K|s^v2N20o;8meF ze;SI!hBYB+z0i}2hJ6MHTLy097=0fOkANIEbXPWty2#XjA?8{wMB9v=2$3d9{`5K^ z-k=~3V9C>vvAodXVAT*89F-8_Yhd(eBRmD-G()5z-uS3Myj)+FWx1J`(^aNpQVdpw zu>4k3uKiJKkootbChU|R$jJ%(@?|OZ`cjL#pc@b1K>HAcJ8i+unC-AIZ3}lkp%l$4#ABFc!wPK=JSv zijIey_)|X^c7!eY!tn-YPLA`#n2W#^DO#n}C7n88#F!l3f+hg#w3`M_dE4&Hpq}O+ zv*3+|pa&j8DlQv^0?<+H3ZTdheTjEDG}ju`pQwt??i0-Vph_m8t5rLBK%!e5Dj>46 z`(Q#pKLiEp(~H7eFrymw@hojvc+2%KY-5lR#k<00D;7yzw=s38ha#C*z=u$823@%) z)B^}TK$u9XvlNJtis}qfH9bVXhPkqKSa`jxP0J+dI7Ql5kseLb_dqIdDkC&yQvG^N za9}*d%$Q7`vlY*`n4o|X`;+HEEnayAng=tb+AC~t`5-dvkBYA5WKOK-CCnE1dNTOS zRim%pyPWqRLuE@*!Iq?3yJA|7ul(N1(ug_7>MGfh5!MgkfgbA@e>Uuv5eEHX_XdRt+e(+TU+UcmPWyV)=67iqpdbxzBX8^ zCkESC+lpf4|GsPOea@N5B%p2m_j{fnk7mxib=D9Uv0#Mb2IwWHfo7~mijKJmGMJ3 zI<2j)O|zv71mNg2{-V`8@RJ&GU}GaQ<7O`#XD;srEy;@h!_Py}TXzGnc^m%F`>yeA(RJ`7z0__0n>70Hpbw4-~+i<&J}I0?c(-( zc2o3OJi#DFe-nxLt`gDzun_SKiTE1GFOrq7;vT=DVPPV+#<{XMx&sRYTS+y@c?1&X zs)V(>wYy7(mMH9KD_EEojkA#k$hTGG>!b2uQu(k_Ia8@Thg80|o}SNw!y&_YYsV1_PuYuWx{^Yl2`~{lnlwqZe+vC^^&m_1 zKwM;A7e@~$IreY9snU>D6z&3u1s}jr-l)d+;bq!iQ=vc8E=0dfqN89JozfniwTcl+ zXBP4vRHy`goP~taVVrT%c_j=yQ2#U7Q<*}bj3^O6vCRQ-Fxyo|YDkiU8hh01xJw1~ zBE`Ia<|)bq4l27SlUVZ8kb>hp#qm>vgP*5=-#Gp*Eax>E9G7@F*6t3e5zxg)x4ewM zDT*EoPQhdYq>W)L{`H+3TTduBP!_RD7az5ObwDaxxZBvOV#0aCA#Cz;!!`)c@NfY2 zr?2iyKh^4{Cs+6JG}X=WS67lDeJA(FN4NY|c1Ew)ZThljn>LTcR2i%nNc1nJHu)L# zF+;=7e~?Ex4N!^3#Lxo)Jp*+ZzJA%r%wrTJx`2Q8K{J|S7FG#p809wJDi>hw76<|qk+?y zz{)E=>P%2Zl8)fD6`q>DhodE)j_2dhM-OVD!lOl1(c?^Ui^yu#c`AEj8Ha#Mfl1-? z*Pk26sb_A5(e>J;$JwP1P&~CYiK;XfUJTNgP@kuhWGhbBV6h7h!3Mjwl$54iou7pViM*|4+Q#mE9@=~6XJ{&gvEV^) zwduy)uU32G?&rzCa0c7){5N6DPN<&a?k-H8nsImJbo4hE6s8=W`6dcF6Cg_&cZV#H z8Fyzx@SxyOo4lhv4>Z{pzL91B4w+~;d>!afhci4c!QVcOIxru8=E=C_RX1qcIrT$9zQ;KinYE_ZmwTM|{0N+nR?go>_0DN^~qzaojBG`=m1$1s@MSG2vus+{Xdt ze1+xnsU&hpXgF$aKT#$7%J)UGS5jTS7{ib`xB(qJBvFPYh@L?nM&IDmz7@c$%shNM zwOyt{IKF*Z`kV%ox*u+%eXOGYl%n5*ALk;VNA~%Obc_$_7#Hc1bV#WUvxxL8MS89x zy`M;5L4ic@#q&=N(2WRXZdBk4&9y@)jIQsb6Vt(t3C&ZzW$;cQGl5|SYn`ICKD=2Z z^-I=Ti&}A)wg5KVYPE_n|WEZ^8CR z=>>dcTA35Yl7eP5V@sLY@_rZzU!;UT@}Mx^L>s7&vK{qYoGBGQA4}F|*gZt=irEv~ zh;&7UxkJx#Z${#VPw5m-$I&Ng%+&tmaVU}7z`h=TKr23VuC(IHgp5ofia_6$vGu#9 z5A!wDwKz@(k)3a|Wb|*v6Cbsjd_%IQ;(iL7ir1H(N4Ghwtyyqm9!wB&Qc4o)zMyxI zB#l)@d4xCbF2PV_YYNHmX+NiUza|Y5>A~iSE|Q&yJi#BG95Xv+R}^w^3qroBz#QzN ztB7>V?Ic*$Xa6X(Uf%!!6cHOepBM&=-GVAEb6D7Ekhe(PlXDK+)J{}4KBVYypUOHU zySKAHk=huOfZQEN>E#%gb7PITPi1r=8~}Ih zRCQ#)Nn!po*0c-?&JSI*${qabs6KLck= z`)YvrFS#j*G49GJJO#o+qfbQfiy|-9`5bn#yqq=*f%?=F9dLQDzKle zLr5OA6GDgu|8x$i+*9{?$Tyih&Kuhq;R%@3EtH#OAw*szfQx0JUQ1iy<9E1uxQ4MS z`ipfC@4J|tPA-6``5`xrfY@|pXGx&&(KYyls@bK)eJ9j4YH6%#6B`em8$P(1k=dG! zW=BQ`nhCAnkmWvOml&tdjS6$;ayKT#yAN7^CF=dM&aQtJY=?R#9#Tk*8t!isMpvIWTVT&V{^|T2pi>LqJD>bV#C4s z8hLKxLM80wFm0}T7&^u#l#&W0N?{6X`@IuBPCa?z>+1Q zFXZ2z6C4l!hW;P6-pJ2)MxWf*$5t zCRlp=cmrgDr8k=4R${@IY;yAQ%zN;;&aZE?Vd4d#$Lzi{o~U;ixxqS{Z^N{?tTiZG zc!RYP9E})m=l(Xs^x78*A9+92uB?-_Y`T?;BD*Q8-rLD?Z1gt7;cNxh6;U^-U+R+J zHm((Ii!}x4s$$q0x~%+Qe{o z!@RK5$867z2iL)fV`#UG~6Tuq*z<*eg^t1r$Xh=3; z$gdDnw69eWY{tG6wCMlh$NBnNiomE#j=(p7rk8vFLLmpgftVZ^%x=9GSRVII!u^cJ z_5p73&usBjr*#2T2LS_Brwz!r-!Ap#U(T3m`(mn2HHtzuya?ux$EH=C{_g_#$rhtJ z+bxh$ogaf-l>MenPF9^$$kClBE3t#~ZpHgoojfp%YcE{YSr1Kazfxl2xtXNVJ-AU3Nbj9ECW5J+jYc?l+~hrO_8u9Z(M_) z;Jc8&GPObmC7CV1AQiD7=S#fN@;8vEV2@nqjh2r=wcDT7w)hU!eh*AM7ThFlDpq`g zt#(KI7@)B7eC68!J`~0(r%Cr5?Kap^KA=Am=w%oZsCmW%8S4XimLNL-qO_d}+EfwY z1^4xS>`+VetV{Oovw>D8%Y0@U0)AOB9{BT>+K1F9%G+B4e7 z#pq}^8V>dU+$Qg6Kfx!9kCD_ZD66tK5%eU2hr;Q=SaI(_u#Hp5(O|s}un(8XguF_; z=@F8Ls1B`gj*tld2IOG#Gic%Vy~0V}hE1YtzaowC|ABvNZ6F-}_0|C*Ca>;AZ}H%2 zv8BU@$JP@rZFd|W-7*$D@)&qx-%~>XMsf5eIFGPC=R1+anK_`n-xT8VNnHPp96JwM zso+|af@?RV*Pfxn*#{L@HgSFJ0B~gsuKh6jrBgjd5j0z2=@F}VkmK+uP^6|mfb`f= z!(+jVP#*Dh@I3rB3lz>0lSg#(YthYH^}hcbp!)JD6nHBpl%RIQPy*`P%7G%(XE>VN zGi(NvsxYry?HP6$64;(8(#U_BVmJw2Bo=&9{T#dJX&*JZnQYjjP|(SRDv4pAg5eg@KBB>6 zkP6I4@`rpIhc*(#dwhEi?ax2A^+dry&KM!?xPKQUq_ZQFzrgJGW^dh1?1){Tl zVmw)zi28-^(>eHCa^uHT_Un+{V>v;u)xozMOb zN5=j%{@9Z#mZOFj#?AH&nE^Ny7YFaJWLQdiK~D#WY(G|QrTJ@OcN_5ItXfH@u*#6v zTO<+i=-bCYgN0~+)ZDs(x;eO!#z$X|ax%sdPz-YzQODMkdvtFs9&O7Z5&GhOg-gLP z3;%U0Qd^HWZB2|d_45r8D^Eue1wzrkDxBn)L>s+}RxMaft>P4z6o|HAuP`bd{e#c| zzIG~~(ObuXu2_7PRIq-d{K&dZfj!|BN2STDb+Ja-9v3y*dM$iPI&BSOt;24Q`{MmT zlB?P}j%L658fxnqC~CCz%b9F*u#v2-x3INt2VbJCt34pUw%$yjN-~e{FM1#+`xN>Q z1epR5)z4D>#3=aWsK1N4tvP!X8kzPbwcH&^Q2rniC92TsBI@)~ib79RU#6$xT3_(s+HD z6(AfsZUk%=vl-SbHifGqq)YS0eQmTXjQb=z^VT-RqNzQ@s}OA>cntv27zo2F+^5U% z#D6h~Q&Vs4S+fG9R!w=lMf z^E3F(;Ex{s$I+(U8CPJ}jGXCx9HHx-P-5l2st$GfcF))ij5>L_N3JWyR-S}&D}hU@yQJxk{IkKQjINp1TY$DOz!?N~88_psF`5r~L;hx1{|_j7JNMCHGPfGf zkar7yoL_&E+R3&7Eav|jWz&CQqKTNLwskC|q)BZA!e%-tk}WGsu~P7&ds47B1!>?T z+D72MSuSq50J{{eBmk^WJ~(i6I!ugtMHW(_mb6uPBBEfObPefC)J9}Wpg~vw6>~zU zWTJWQ!Ly_%!Wm>(k`v(^vW{oBHodadef4g>x)T?*D6S0s5p*t~Of<`PyW`SI{P3 zXGQV6=Qm25WFpDA5PmGFh{)DOiM_S9k#Gkj2@Ui`$l+im@t|g(x z)ECtgzOFX-DDqY$^rB69oSqTx7ec|i4rf7 z9vTi!Ha{E+V)}c(;wqmu0{l_mHGbORG8(u`VGm~Of%AB}H@^B^++~Psk#_{s#W@fQ zf-@Q}eQg9^R}~Az23_&;UltO$EEI7pxeX|gL~a|f_Kjd^Z@P1U-}Uj$y86yDNHC)= zJpTrLu(to*C<+@ejLjjM3AtvWD%V67d*wVE0JUu#n z4>rXQq3&+$lkiMmm8-z`a0*n-?#a)AtEGaVoOKTXQ0-zGtc=RTv^2_ZP)ZIUTu78C z%}K)ODZHmLS>OwGV5hmXglfUXjH0L&*h&qudKvlhLED_?tor4#LBU6v>_WM@rC-h! z>|CLFZ@{Y&-pvc6&s8Um5cUk?&c)FJ1}1Mhxe52cp-y4pcwY2NFy46nF8nyX*Na0{ z*h!K8Mhb^oHW3{it(^aQrFXRH#-9*)yplp+Sq2oYNh<Y!zSuv@CiZbtCCKcNjf~!g}D|o{df;Q zsVQ)1Is7*B#QN8f#JY^J^At@FRspdgNW*o+syQY&_UJbOrC`CcM@+XN2ERfKSQBFI z#uaHdt#djBEUeHa4NA-s&A{HtnB64f#v@r3v2T@^dt0jp@ak# zdrVIbMhe5o>_!~IZY|9nT{`H6b-71EbaxMof8c>$_?M1=1R5Pu4}e%PNNF>@Dr(}> zq@8BpixS3}l%iOhqa1BDR6z{sslqlZj9z24Lz0e37>!H2C3Ptdpn4S!FUJUX04mXcr3)@skL{cu_HJ44zw`{uT z(uEQ`^&<^E6WTI~>&^q?I({+sVM#NRBSfN`!41f1>@SKHXPHg((RWZESyJAOT)VXf z&@*IfYYp8-W~c!&<3_5%YJgHCoTI^;owo2#chImH|C%|1Hc$k4&E@vE2o(P* z6TBy!v)OYFoUO52hOkCJlEoDD9BEKUBx4EZXZO5^T?%?elv=>H=J)5`t%KCua)kU_ zg&h@Z$?Z7BmMSrOX7&?WHe8s*q|EnnljsZth3)@CO^xS``kcgxWANkbnD17RS`q~0 zyoFqDmNLjo*amg$-KqdmVrfi-3r%~V%MF+C+0a^WMM0TfUP&(s#iK1Waom7*CeM5o zUFL;3eAVk3x5k<_0%&|ct~qGlb3*2)-Wd&V+Tq*$k@!5mMvJ+5c%OK%9WM~`z8;|H zr1*Z0Q4F4e(oDE&B_?vd@{5oNL_mq`Z4-%{`B_Nh!E%vEYAK9SiefXVLinA6M&o(? zQpjv1lkk`Ub;s|=;Vn@15tN;k;ef|V>w?hQ|;N>`k) zA@^-efVX4ym(soW4w3Fyl6(1esnV5FD&3Gzx{&hKl(tB@2;XNDDO1=g_vW1tI8rI| z6?k-oUT}FqNpbYK;%FDd4;wX2@M98zCcw^J@^lsGbB-iWyKonZ<>?DgrSi0gT55P2 zOP(H5o__ur;b{%9I%__W%9E5*O))%K%_*hkfaIAV*=msC!ml}c_hTv#V+;?EDG%$v zfXZk6PDlny?J<^Y08gWQJU!Z#$`dF;sjsT-_0-?}!HCn+U7U0q8a ziejZp^>^lc{hcz8zlHIu!}1=#K1Y8Skmt_*T8r>Jr=XM#IHx$;TO93?7R)s*IF}+v z3;s^I{{qb2DR~y$o^+(wg3mme%5A@o+l468{-Sbwh;n-zxqaxGRBok|a%;FN74F~z z4EIx%`}p;S+XaT(Iv=M*bo0sKr+lTv3!8tk$d{q_)`;3-+&y*d`P$!=MNtQj6W#7*9%08%NyS$!(Lqcm4 z`6$zVh?LLUb-PHa5m=q|SBa#c$?RnVvG9o2j$7Ed9?k+8T41vlxQYc9X@S&gL?`y+ z0MPmpd1_51xy?I=>8w~%?W)WRZxvl^i)RvGCmwyYxnu+L~dJpk2 zx9yihF?Sit*mF65StraLOy=&tGSxOb=1Q}b_?gBpOi9a}@$_&4gE1%mPPo&n6OwrQ zDccjgO;FzMXMl1oI;q~XaLK)GxLvP%yF&`X3-qK!Z#mex-70YeLvq0waM*XVS5?;YteG^aC_ zA(V1`>n?P+?MsxQ)>dI?E%Kd7b5k2jN(sR;m7&-aaT`U}ZNwRJlc7N@i}3_l*ijtq zE{Z;t#KS>h%rD=dr#oQ5m0FFV@M3CV3Ks%6P z*1kq-f1_Dw-ifAhzFsOca{zM|?Fub_vkL4u1`fYPf6;q?h9{J(9v?&~yV3o$H){oL zTEQe%FwItArN{}WOMG;rN7fsWeQB{UpfX!-V`$^!(9T$W5{Y2lj`%WsQm!N!pHeao zRWfSXHrp;wZ5t_dU{dB;Du0Ddz6$?_KBWB)t#HGwBG}_tVbOpJrPP5{i0Y`LXnjl= znE)iliq>mI=V(P;6!0HQgfk1z)fl<(0&LE-n|VN3jR|%;PFNZX_bHlBbAb;Sf|d+syphJg=NAHO=e0K25=F6bzZg z8xI*YhHL5;lb2y?={w1n>(vALJTh!@3~|^S8WRG&1?}K0nC+U-=V;e3p)UzkemC9tXW{&N=LbC#I{HyDp{-EhtrYE~NT+N? z{x+c7H!A*FioYEAoZ*V!r|{W6{cB*UuV|@kEj5{?o|u)Y@KP#PjCwh3_c@s-JqHim{w*bK%`GCZ zU&ADw2?I!zQiGBPiXm0Z^=P@R_L^-aTAU4J{(us_2$eb~fau=cm^K#1Q1q)i)NPsT zGgt$R0PD|OZ+F>O{#+Y4l%RAc4d}Fs8vy1$a_cqgp zU#4q-I381y%h<&nL6ZNM2^1N`ac76yZmW>ojD-~t&LvDzp-G(7ZsdxrkFZT7%=nkR z@N(_Hl3McaTO+M|3C&?nQL5reDOVOQ1BT$^4Z&SX@Ym=(KY?DxuCxomFFo?X2;S@? zcqR#cP6__=O+xU)@Qcn@FH0p@N)1Zzm*`9(;TM(QH|c~Q_!$V6saGT62_C_mX1BI( zWcLWlwC_?<7Ah$VNXo|skRl#JNYPNwjRSN(%r)JQ8=_uOqOK!RA0tsbg=Cxkp6#v_ z4N)CF`J73j`jn_&gBSR>f5M?Sx6VwJkCXyYm?VW(Q%@~%Ni(D_aX+VDg2diX;&#wK z-T0W$g0edAeP`=?`?z^2_{%?z0l|TSt^W|{QB#GK(O)Hwc-}pN%3LVXU2MPb^y?Wy zqj;&WlHNpwk_)uq10_B9KuN(T3a)dvC~}6nyZ2RkDp@jtNuqDj)#`IVhNdDj9OfcL z?-mM4R`=Qi&|=Uf?`*RQlU~8uJgxyIGTxErx&)%-qL<&rO(bkqEiyv+>1= zhD_ih_40a9Bf~MnObD9VDg4?4o=7P}=DGTVC=?xZ>~@7g)AQslig%;ViCj5o{vAUlek1y9_}3SrBL8j{`Ns=sarwtHlWpVO-C`OKQn{y4q_T%v zARrR*gH7)3$!r~t%};ij1!vV|qnL^w2%)T$2iHimcnF{0tss*CV|7SAYJ9FNSd7U0 z)MrpZ(t^cjZuTu$ti_96umJTePAqmK8MYR6;;SoWH9MaAbMFejFh$xy`JK$AS%iAHxlEBNM^J zc!JINKHigM)y}Tt!Fy&pCXKg-amLerfZ5`vwxRZE7Ciu=*Sf5RMA}Vl0?} z=jJ`PWZpKJ_9+V7a-NuK@VUP!SZ2$~YP*Wg_|zCYL;H>Nweh^nmBZ-1ve7L$k4D5b zC@d=(RhS6g4pjWtcN3~#Yw$L5O^_x?Nr{^l4bB5#-%OK$46(&R0HJ^>2#(k) z1F-a=a}8#*A}!@d4KIUB-lpS=L`(1o!cryW-ooIv-?IoyVI4ECoPC8oJM=s>+-aPq z+_ldKH+n=0$TE@IcXBNF(3=ou$FOMd2YA>{5M0TL?Rxtzm3lzsfE|Q(aogR!9bAC2 zAgaHw0pL)#43?5R^Cc8XI9~xaG{Ow!+inzw6frvBt^`zL_ke`4y0{a=6HN@^7YM^L zjzF9QNH9-P83A&MSoYqvirLDz=22Kw`$lc&(;Fd=czzYS2H;J# zRd|G_5uICD16=#GSmZIpd!1M<@0Z(n8wuSLF~BVxbw3nKQ`QK&O57SbZ8ITFqxibcw0O1Z`yh&kJ% z{wyE$pC$DpNd1#x>Sl0hzt^NQfmUTW>twG!$Prh3blL=@C0kXZP0)ZJ5(h%?|lUui$rsc!n&6zZ^a>m=$0Sx zFF(7PdXeS+Z%(jxrJ1Y9^anl5MA;9lw;VTb>i}v*z?+~2YCvbp!Fh8DvMCEm%l*XQ z9GpOWkg_Lb7blbwt^HM|RF}7aVq=_0Rz0MpVkA0`|Ho}?N zcj|8AA?=_#&==HQT3T7OB*#L5*F8d%xkS|Pw7y3v&5em%0WG4I?a7DiArpH0o4L*n zSgyMmYM+o)DmqFCv!Vt{kZ8i_>90{IEzb~2@O-8~2hYTFj^tAJECe2dM@p(*Ely3u zkjm0&BR)0`NF(&|c@7iK*41DHG0<_z*;46rV3y zyQV{sWuQ0f0EP^yCqDYlS3y>^Ems3N%CZBm8vrpt3nyOWYGER{1m*Y-$}!hGdRwbQ zP*DdJz{@6_2;Gr5%%%`po7TeF6XfgrQv!9G6521C$UJHW6f%P-4mFut4CAn9o7by( zkI}Xg7E;_~0p&5SmYAI=yP1R@bp}z z`XVhPRd;z+yN@{O@1?qr(KaIRhC{j1bmohPh73^-GV29Gkd6%U@QVq(Gx*U`$fjH8 zN5mk-;>G8V{G{lhj_n%ig#{ZXnFCSg_^Nh4lq!(obLv?>ScpY>W7cfau{{pXY%89BF2WjTOCB~Pt3&Nif1oXuMTrqvd!$>gk3EQ(FH+3kTr8Ng zi1`{|R_XwJ7U!fmAwwcbTo1MO!P@uSNvBxw>X#W@^QNuLmYoneRRSmVkM@G==c?h5 zilwUj2WnBrYPbX3e}IC}6c_e6;)0StL-28rg|)kL1H+PTO+y%xXzkv|s~+riVwrftXLFF& zyiIcOktuoYJx3gMFz-npvs-~3%$nQxMk&Nc0Rg6tF#Mhheh|O)042mPKk?RnzF{vv z?~&1-5v*Q_7LT^Rh^)n#f9ZHL^CPXll|ot;0B-{zCIE#S9&ZT}32HLPS{((JpLpI~ z%ZJeloH7x&W;SnQW}smKblHG48nCm|fL&A=UvDTd&@&ZU4eEtl884W2`RJROSwiod znd3UlSCBEQM14A3!ajVE{adKS9aDq{HU^aC*Qzq@aIXkAQangPFTW@DRDAMmcI3~ZT%2foeUF9l) za>2cCMadMm1%jAb7&EsB>07j|ON@8);$K3$3H#IkPQVY-k?~FsMAov)_<*?4?j^WYP#~_E8 z{KfbW1&%=hw1vaANK!ikw6Nck4T0QG$(*X(sBOM^CPih%Ajni*Ju#&8+Xdjs>$mO5 z28)8w;8PUo%6B+ueOF$1$93k6$h&N+h!ZV2N5+d9Nx0=1}lMmVEhU-5^@V&iTc+7u~)n9|?0`U-vn&`OcQ8*8M@*k$`rXY5`OkAy2P-H#ER4-J$*qOy2J7g zh6ehX6`2yjn%FeYrVsOe*53G z^}-a=y`$KA#VBf8Z|9D*t@r#Q0DljfC9QX_1v0I-UI-4}W|Mccf6UizRF!9T>35l=6c*X`^F_Cm@!W_i@Fujd)%H9NS2z ztT(~I;7u%h18cCB#VHF!fG~OZt_fgce&1oHJ z+h#HfI!D5Qq-};-Ak#K)3!%Z+9&yW_2%4;A9mI;Bg*Iv1TmpK)$EHO1C^n5Grp6>& z(b&c_x~o3nFbCA!v0?8dvsv-cY@Wg>$7NVdAH9Toy>)`0uRlLqUYDBOBhQgnjIadw zdIg?uUSHGJv(A$g2D^rf@^O-q;iVXw#lLH*E422I z2h*3!QfA5}KKgYPREEL1;d!*1Ov#H_FeoH&$mFio*KG4D^+ekyddTm+L`d4;G4gFq z*=SP|^2r3eDHn;R&3wi`gYzp2PlYilJ2a)krX-resF42zAkkEq{92OyI?w+aAARPz zC>L#;k5q$yN}i-F^-|88C@HmG%BdGg$|^7A6-{aKQdV3nFzb+#GIJ_6@(9<3ooO^8 zoo9qfjheauDjgp^4?ntYY`w?vCyQ6`ZZ`_qKm{ASd5(?LJGc@KNaYb&x@no1GnMcf zT{do9!Q(0qAd9s@sVqQz^axGq2hH2j`OyguLy~jEYwy8%$>-+f_}p>?>FpiFgr2B^ zH0K{lxUnE}D+{h&^Eduv&f@m~q}=L5_yrN9@zW^saSqI+aN&soh55zqI`gi-dIKKw zCrY}#WhxQoM+*(h(xA%ywV>OivO&!wJdu55qncE#2-rt9qe;b%9P`-JgeUKM-)}lr+qMxeIcaG2@IiBJ{BByK{xt96HQ#LZ^HkCjJ4_7eZ7byTvsofFru+!SNu$15;9>=L7LYd zl(xjF8QWF{dmEUEe+IUn&m;6T(ey4}n(#j}6HTPgzM-VFl*zyOHrZ#37Sh%HZ`Cq5QdYi_Awk(v^{~8}%tMbZ5syBEIxm^$kWeMl?J7oyW0rKiY z=n(>a2jQwGcd#3duEAI68?o$M)@ioh#DZu2lu>AA%cD|v@F+|Ic?-MVNO8}*@44~p z%izm?jAaDF%n4XijsNxDb#niHj?Hya>FZpDnk zzFzdAEP;!2+`@3ET>i`g2I8VzM`CJ0o)fZYYFbdTw&2Th`o*R|1Nv9z55Ov*u_oGp z9kcu%eRKQQnGnyLc{Q{mp8uO`z|V?fC93Gc+NpqJt-lGBI1|e-2P5+apv7F;7Ym0h zx;uzY>=O5|TqWr4C%V%uIuQMUeC-3iM?uSgYu|N3^)KD4#BMb;~`_DwE`EkTR&bQyggjVos z6g0!CCx4Ju4acFN^ANO6hE?CTKt>I}dW^J5cWhmb=s+-P0C>(To_95y&qjsc01_qa z4{u|d)qMLYMw;ZHPhnWTv(r^LtixSQ>x_xLf#4y5N=5*M?*gxLhla;;9#1@Ewu~GS z4)cNBeo`A&KR+u_phr)`I?%>(dx$C-9G*#en%I5s<_9flbIZHGUiu-gtN0*SW6l^{%>dU>`J zU#;8CP6#YxA8j~WK6zEai&~-D=AyCluot>BAX5;Rmcy?8S2)SL{|exb=Wim7PaZ9# zbV4=zdtZezLN0wj^W@^W$*11(r&0-WKeN$$OOnKqEACIMz&6a<7vKa*y zx4)sXc?x}-^Jf@#Ecj&UqyJ~-);6_&8V?of#qcNJlWTT!&QL(0(4D9#>USKly3Iu34V!zUN; zOsF1%IRRD0=8%6c=EreH%31Em%ukGQbW|AKmd*Y*x+M!g{*^R*a13h_Of}mc3gxj? zf3VFZ+FGJ10>is9qpkCp(kv*|Jrtskwbz2Ql!}UMg{C;0kEDvUYTd1}Wg%vM)=LeX zBa;eZ?n8J4KF-r2+bdv=UnYjA?7~R2;z$C9sklAiHiVWg*-cGIimhiRP6J>EM;Dr* z&ESOwhD=_{){Rbd^!fK-S}e2m9w6}0brM|@qfQtcuiXZ&te8}emi!rV3A~imL93!! zy*zDb^X)x?njb%YxPBArcOM}e2Pra3Z2a(N6xn)3hJ&}qa#LdyQk~gF40I0aWt4p& zyo7juto3OW-47{6eo_!rz-yhJzKC9gr~zQ_;X(&xfif{@RAeK#!T&Tnqd;w^IkF)=EpGE=XG!y=hcfp?mTiD8z=3Q4f16?MTivb9kq*2 z9-sPP`0iUouZS^(P~kC~eIF;rf0n-u3Bw z)0i_(SrWGl8^a!C~PU5DN3VSuup-dkB&qIIHq@4E^wx%Op3QOukOjuW6T zww_squ`ToDNA~PN`PboCZ?lx5GHD+6%1TPz!^mP`FAGFWlBBvjkw;2=dDuKDNtw6e zBdD+katkhA1s5@45HI7V;w7>fzWr4ExT1!4Dxvd*yTR8NVJb$JK7GAbT;Tp)k?mH# zL+K>7ukBVcTMq@UL99%4pX#4*!iRIKi1chJO78c~LqPz^-ou7KV!Zo}@59SBoDHVb zX!ql#GFyMFA~+!E2B00BRTaoNL-7I-WoIZn5XfsI_bZS-M3@J}E}w{nwV_ zW?Wx-hwNWZ?p&=eeGQWJ=_bPxL$VE4#8akK8uN|xDm&{;WYzlf{$)7^fliV!2Mn5ea%-lIOc$h zLl=tQBjsY60}I8wObml!=(OE{pJ*akCd}ucLgq9k?Pxedt6<*w90F*b3JA0G+l}Xg+D(`V+K4$xL>9$u7;CJn50~Wt_EpF3=9u;uC}_sCmuwspkS;%YTzb!?06q%_BxBlREsz=0 z9(f2A7=i@5MPOGSLF+_$jOF?mDu{Ar$MRATeN5hBf#{=numUS^-^g%*9EPAE3-~EL z)FUEdbw(atlIjY(Yew{G$fN!~?f?}`GOY`Wtf3ffr;ySZ3IxHTR=L1xB*!hf z(KD2%F4<>?aNH^C|PeBi9C{|!VpT?zUFMY) zKs?4!W*Ra@`^vi2P;#xUWDhiyPeMGdp-em2Zz!w(D29?P7E!BgQE76-P(nz~6+NAvYVTN;{q1>^{Gn7{gU?|OMD96o!?sxu)hO!C; zjiJ1LcUnW4iGt2^um>@eP77oVr6cfPX((e1nMpm$P==B*)n_Qj(fZvUrKIOWG8EcP zw=tCN;Rj?WgBybOD1#f4Ybe#I$@U!|+)yxW+Q$Abx@H4Hur`EX>kxu973sV~Q8ZpF zF{vAf!LlPw-1itLe5)}FaS?h6nOyLtnFD1s)IAxQE%5FEKCL_ne}`wbe4d4y_l(GF zc~PH+HC)nr2Q|ETPbjnHEd>v)%*1WD4E&PjpyDnW^oeA zOmaxH#$%M)jpG=s1f!e9Y-N4~3zzGL+s=419A;D9^S$E*jh!a}#t1rjxIYBY7S$Le zZpIu8Gy8q$eiB$5O~Ln!xf{^pYX!YNoY-k$$m2%|iPHi&9$tiODOi8gE7!25&gNK3 zdt9tMAxo?rE3w)h4=WvYD&D7h&(Q(@DmM0x9&=BY5dg08HN=)ABHRfT=({);dB8)j zlTI9`V-_ZU_>r-)2RNnaPWrNYCS#-Em%(1e#WN=xayWO#C!~i1DEhiI^x#FQ@QQVIUVB@2HF$FVleY6am zzM2G28_zGDjBaJ;+wb@eP=w(<;Q!20zAh3$8NwN`o*rmlhqS#cYvECn`!zXC55lXt z@aa4nDxuY~P$-%u@kOs$&1TWuX6PomJ@fhEevy+c#lz7~X3MjZ7@WPy>sh~sBpqes zJ@56b@e9$jj^gGZY_J5QPWA8Evz~~8rf0qLb7_0len`T(<=uC*XRWqCre|FY*%Zct zSDNHlqoZqWWj%V>_w0OMk5vUMX8eQi+PD(XWwbqzhGY7bCJUIfkhUMGhjZaVhv7&b z_z&m@w|uQ3QfDilib$b7B!bA>e1Wv`7P9!_TjYezm!{3!#!41@_czjseLKDw^HZ1> zL_PXwpx!=C#s2Lus(I^0iv61?XvBW}XVZ#(^+W(?>@{M4tIYrzvHwMg4fdF1d_xOj zhdz}#D)xOB`NaO$cdXdY0%hsNE^Ca3qB@bDEA~)y&2H#AH5LEM$Ie8Dxlbk*4soL9 zxN{}&*u&?gB+I?{4Mh@Cgbk7&uW!531Joq7k39jjqHI^Xmr`V9cAiSe@NYC~yadfT zU7K@P9=ML@UyoLGM*TyYlT;^dGpd<;wLO| zm6kY2OMIFo&e0MuHYh8uYG^!DtcP#0woNKDletsA9gIFWoPqR!TpY=o6pGQp=NLPH zDfy9>l`Zj56lMyXw-wl}kypMT->c1DrU0JLx{FhL^nqea^m)89^CjP%nf7CDs$77y zw}#3-H0vj2oz~G$eC6{KUvfLB(@yK!E+&TB-ukiDmT3Adk|mX->8Hu@TL_`JuI0?h zqOPovEhOJ(Sxr$dgQse*QBiL=PZVhe{la5!O3RCWXAQ}KOF3%?T`ysp*PDNYvAEZS zvi4NyA0z9Nq|&%#c_S&(X= z4|_Lc_7nsfO-t4?H&c}^MazIxj)zF4R5YNmibk_V{nR}u7o6xkeUYm?0GC`3OHz$X z(LH+@#7;nhtMh=eI?q(Q=VNdRlagEWkv~ zo{KnF%`leX!WzahxjL4~!g?#$%YZx^k0CtzH;Q!1MiIedckr79lBNz2hiMDUX6qz< zOxErg-r%UWPTOlRY%^%=W;kR(CFE&Bbf*;fN^*>4QpHDX@LoZ2WFuZlYEo^8@@=Y= z+3LNrOh;iXIQ>4aM@E!m0-5*@bjtNK* zmpp0xYA%3Rz#GUEL!|{WJ@O~|=_ZusX3ksZcyAd+v5W#dVjMxcCefXXs?MZEn@ zr6W)v$G#zBqJ858U+~3sLTyoOn>2q+bs}chW(y(2eOuUCcHT|CC3NK##nHEmG>u4WEacpFWttU}f z8>UWzY^p84)O6+LIJCGKe`Lgs53oF?-!;OFnGUUC0FS+bBF&HG3MqxLPjq4>=y-co z#d{QYyt3O|&0SEg7cKG&l52MhG0|n%IZW{pI*dgV5~l!e-8BN9o46$3>EmztXS}8C}{NQ!cV2ur!Slh;M3kP z`ZU@C8GRb{XVE7SsH-XF&1eeWR8xcvS5wTJ(G+Eon(~9&jHY~@+!w~~r7Up5eX0Dc zujLOuhvQAun$OKqS9alJa~KwVj2)xZl`palTwQsRpG#}rMx63VV6)^O&geS?4KG#6 z{0ZmKBTa}B+n^)?{BAJ68|61Xi=F+5ES4Dvad$n)6y-JE9a7olPgulNohR_c~_z#)D zo0z2>XadjSTWQt=Cg3E@_OpX%&3Jw*yoqxpKF$>j?nFUj0vEKTHG#X%0`Mu&baAOi zS|DQrBm4gCCh%bMzia}Jp&M{b;0u(#YXVR36J-C-1Y{oM-)jO#ze?Za^#w^L@YmN; zOaNXiA#|xw6&{58(oQmguM3``pOoI)}8b^;i2Xi|t&*a%T7<0OaSIwbFmST{B zg~8B?__$#3JN!4bHgTVZrF(4=YjQ}8+jc9)(GQs z__B<3Bd?tfH`1hTId+OrU2k}z3rld?<_W)CsL+J!!d zO8`CWFnXKt2QS@x>6?$IE_s>;Y+3B_0L=wDhtHU*=5s%vb=Dk+bQ=qrtPDiRywDpC z`H6IKJ#rJPo)qb-dk`tznqoRx?Afoo1F3Q;iHKWadopjm0Z~D+G6T17*A9BQ-<;&# zZJ27mGJH21sE+{LP$t0%(qc!r($(DaK)p8{D?z>8{^?t&=vIH+CwJdcO43Z-cBCFF zMg#IH9888uPrY?U^ydSE*3bV*>>{fT1SH+nR}3vQWZU~BV&O2)kfgtA;_HYG;gE<1 z(})qSSa?@WT(7V5B{vbSWeXd~4o0HUlBMSLQI6=7E=XwQCvGu=r9*up|EZ>A+Z3F| z#G{Kd5nkmzH#JFyTipdX*9>gykZNjNmLVyO?o7&+Bbj1G$??&ZhskTm;OGGpIJ}n|w_ow;TV$dHEcpPr?D z=~m$rPynLs$9SUOosO=6T%7w^n>$ghA8Uc^mLYj7+}yri%)PTk`{6Gz@k33!*L(LY9hUJtTr%|5) z{p}fwu1e8;i|E!^bf9eb4-LC`KK>i}oaWH1U3?hmoqMcT*3J_Ii2ANpHCK9oyNRSy z`gr~o>-P@c_M|RNu(2?%I?7Hk+zVW;>d!}~fq15IGvb;}h-)?uBx$Qh5|>W9&@^lb zCg+N`=AO#PW^)8H==}3V5pO3+=cyRIC^qrGqFd-<*7Q*8h^2R%q7u+JHW9^&0WJsG zIsp#rTi?;5-#zFdr;h@&7HrXisFdp)4Pg)<5W`f1(Mh7>7^5?Hdi>yBBnttYWJP-1 zL1-Lh9?1ei=TVk6>oHcX-F86lON}R$l)E^6v`6wiqXmEP$u^wSA$u*oTQeAtVNZ)IFuBqo*rYz}PchxqzpEVvM5jMwaL7!ye2H6J()z>h)k#cTf1 z0vWIQofp{D!Fz1-j`s7x)ppcFA26CR^*WSw{_zmJ=B(!tMDc8KZ-X8t27e{lXjo`v z;~w%UM#gcA>ogCMGq!!#BG()}cF)lQS`OQa0Ed(kBTOjLlnlipM{|SDBhL+3se~Fi zFwq{s;eVNl>DOnTWQ#b1v;zWYjsUe2?KkI8xt2jBJcsJwV%!o`ha~VBAe$BX3Lg^A zfwWRDs2J!R93MgbjQMD>nJo};0BAv`tVI#^3x9W43(rD!`$}o{ypzU?W8R7%=RB?5 zmWp|+g>R$1+r=*8d9R-;CBDZJ!?Xmb@K#m06-UW(S61{DOF2pXKU@pN!39K`f1nRL zlsS48vKh~$F1fgwn>ABl+{G6^5eR*OJ!O3djcBNZI9^(b{uKHrM*))J>3>2YUH=^N zH9ji%;7f@Qd{KHMXTVY-R2|J9FU%5AsQ3ND80lVEA^okll=yuJz5UbLa+Rk@JIq3J zIIDkW)CipYGHJOlm4Vd7!F%5qbWIX;l7k(no82JaRL55Bf~tZV>PDm!y0ybO32ns1 z#V+b+QwbIqzoB~TxQmNy$IRB4CJWq0-;@yaBij`fgX=NwCr+sRWc&NS00pbMqyKg7O(nt+xsZVv?*T z0S$DmrX;E7#ZD#;g)v*R8KpYG9K9ll=Kle<{V%A#;wZVIiqJ+k?hqVpE;9v*Zfbbh zRwQkfCCc*%-p(%sLj+0?9om7Z;-uW1OqW`&M3=F35xBDHs52Sa$wNC+7 zf9+po?Z465e|(bEz5_%!zxa)qt7ZR}&l>i*nL%#PsA9oqwBQ;ocoz$PRtlyQl0^3JY-?KB5E8> zKE==O(=PhzDiQ3^J+~{R^vVETx$s>Xum$bb{+i09>O>LdI*7(u^E8ENt_+AYK_l?L z`St>$#Dpv>(tOS8iL8Zk;RJ4VSQoy{fV%^5QVM|ckf?ycrkXKD{Uc>KRH!{RgNX?x{3<=SnmgR+p%id+oMYY%d$5zp&CUIc$UNeNso7ZgA zQjfCK5n5`cmU@__VknhpJzprqC&IV!Y4|O-fNLsEY(3u#7vf~q2;8U?6Trd^(YdW1 z10b4r4lj&N>&i$1dm;@OuJQ3B!%Xf7Ana@wI`NzehZ8v;gLNc@BIePWmTWFBWF^fydyVmW{!scri(mN!E2Z zw`n#!h;sNdMW^K>8FHF=2nB9|oOSIDI<0bVLUye{@q}+Kp9WLz z%+@=SY{jqO4Om`{Y>LT#6Nq6W_SbUzcJB)?d%NNf4H*XZb5KWgxc;6c!iaL}Kr?wX z-Da|>jCf>@nc`tS8RM_>#ILA?`zK#7%y^FWXf_`tkwVH%$K7~FI=Z^rN1&{`4JU|d z`_{On*b|~_WB2yHZ^&S;i2r3wOd7E6)MYjvq$30Z;0pf$0rjmsKrsw&3_72G;((=g z5WtrRI3$G41OwXFdY>f-FJoJ%>(-&fA{*SkeG$w)+Byxn&D;1lAa_rH`8YU<8R{fT zv&B1o;utuI#Y&%UK?vjfdJ~D(e*85HoSLkOW=G48UARV~Q$LT`B!P+asb2=3fwkta z)>&HXiyxC(Uq$6k|1ZU%Y59cn)UVSO?!#n2`&=!2pBDZ$3;)npIPo~hg%M%b9rR=% z0pBE!D-_2yieoWx)Cdmkeo^?L+tQ41jssOce{^x?NiERFK%g)-`ne@z0u%nx`#BU| z+*TOt&0|QbmrkvWv)#cSS4ONR*hw}47v&xE!LZ_V;YOJrUD_>O6P!SrV ziDv}H){pdRsjRD8ShJ{7k=vKR)SAX+i$cH_s;aJPsH#|2bz@~{QRRxNg_S6LWkKnz z8M7|+kZ5V8M2c3Q-Vj<`RlO)wQN7xf3)L(RHLR|!42@mZcu}aXvZ1lAx~h6fs3Nqe zaryGqp@lWo4V9}JLMy8pmWFb@$}E2+vllL#T31=oP&rj0r`Odip9_Qrpfoal>g=*v zbLXUzRa&{MvZB5+WQv7G2F^bFoMlxD&Y4-ZU{{d>`zt z%Ew1UvUmdj0sf_i4{Fb~6?GNM%U4t^Ypg7cut541bvXPK#VIW9mQ$W|9Lrs_UNeTpF1bDJ>{UwPayH zeE=GH`SJ=lm`^rVHdcmes;!r?&Fz)->Fa^`(UQ8F+RD0ys>=G%^2Yjx(1Oa)#rdK0 zLOBgfE9)vl75KlVdfDpGxo4=bJy$6X&#(eD1iZC#Li+uekbXHS_uAyYxjjhbf%5sj z^?gP)l)P$DNL0N(RMilwb(;W!ONa0BvPfB^yrgt?Nu+e{jL4h;j%ffuAdn-PqNX(2 zXv_G?Xs&Y2SYEM8GS4tg;&)!Q-|^b*O7v2mrl8xHCY>Wp5q+s3L^m6%st>`}F0Wly zxx5m++alO-{e@!}iRR3$3DwtDE@XEt@M6W|LaS>URqxPohoHL3$?RF>##o?Oi}XuSlf=#V$@W!a z2n1$sn2?OYZBN6F_=`0B+U))GSAGen#*P1q{I&l~BmR_T{13?=+?Q6GPCi+F{;pnR zyQFvn8{fJk+kS@14Qe0jd zDVSD%W$BE$5d`Pe90(x}fJap22%0uI-r5__{lZ3_2VZC$>l&-UbEnO zJ5!-@lRD~`BJN%!00LUkP*qc1UbC_qyqn1m*N3F-dIlfWvqx55J(r_gYyC?7qL9)b z8e2OqWVHf=OT1}dM#H-%_Y+JCiQmL%ZNT{4FA2r&{T<35(<9BEGBYxD;1JxG706j2 zGPV*?mt5btK$Gh^N~&63u>>O9%b0FYJ*24LE9M6Th9(6!JHJ~0B^aE7m(Kw)<9K%LZ2Xm7pI;9tJ(~~+89Vn;x z`vLT)cVF$eR( zm(GezE1y#~#Tv@&>C@*#jAy_&9RbWxVAkVQ*SH13fO)I%oy8X=c0U|Q*q^J z$nwo6x$N64`5mf1Nge@O(OYktoSQPR^V-3T&;9LcG59*AROG&_K#ufA9!8-%9ljys z{v4yQCJs0wkW*K=1mS^)H)nCxvSsB9YnDOJU8r>R?01|33YBICxOQl-(>I(LWVgB) z%MxND^%cwg6M&{%-I7Mm1u=>wG$wH+BY9CGQY|>AgX|gXuo*RRrm8A*v4V46K@@WQ zL#jyCxG{Y^q7|ea zw)(P^Q?EYh{r6iR=Mz%f#mbDo{}=4dI2P9iNVnG!i$2<(UBgY&#jwef+S{y49*Dgd z{O{Xf($zP#AE>QpXsE37+r3HANndb{S&E#bw8^0f=T6iaUw^tTwb<$Z3arbmtgIVf zvv_>N${Ow50)d*vi|Z>JQX!W&E^Da5d@sjb12Br^P}|;rsbu*N*};p)J@7cd?LDkZ z(%E;ca9~zmx_pKQKGUPp;Ge&JOs8EMnKQer6a#nH%?!x!>)W!5I(O`HbFWuQ4IjK5+w{i2EUmy~NM$9a zHe|TDC~0~wC9L+%(MgUQwGZa!_TzUjDdf3Se|rv4f6LB*j1O)X{&3jzPo)^Xt9>AF zV9bydsSFZ3`0UFoZ-tEC|7Tx1%(5c+pnlWntBwEOSAXkizf~k_pxa!3L6nj&NZD9ggvDFl~yinFjJlf zYKI@T|FHU!*8WMq0r-FR|L?JXfBRbN9a^v5*+~gh{}uo7VcVofVYG zN*+U71nacq}E|Gh7?24(+g%4m6dwS z*8ViJ=0qI`Ev~3q#-$I_Po$mL8#HgIH6v{yE(6V~Tp2=>k)sPkF5$?~p<@UuL|!){ z^LZwIbKBYaX8sQ4e|?i`sfOmul6h3Fuerf$(oSICtWfd{U@FSw+(G9D4e@?`u>S5q z{1953w7&0ogEV$iR*e=fu3SEQ!S(-Ie{AXh@Ahk)iH7LXsGeYzrP-)qnw?Oyjd&xfMi}s-Yq|#^G zlD{90e7LvmKP?{FKc|(5aCDEn@}E?{pZ)(B{~y#Ze9--ImtC-T-@=J|_pO<3c)QlNTak0MT0Evl+Bfd2Yyyf|gX ztODO&x|C!s=*2mW)wQrjY`@}>EhG6s58Zji>M9r3EUD)1Ky9o8v5P6vtp66fP^pv~ zsg&2>fMa(JHPu#pL-rpZu0N?-<}(9TVPgR#b}kp0Me-}Lq(F7U?0GE*i@KyRr({Oy z_$%!0IqwW!T7NL3=z#biG7io`>{wm7th^fg34FH6aPuNypH)*WtBsy5$c&}gA7JUM z*Jyb`V-?mXt3!%%3{}LhukUxfZqTKY=JM=+NGqQyq-RF;Wx`?d`IMpjr?Friy!ghg zHXW3fDjGlvXC$-k+{br;;#Q;nGcd#;qx*ErK4p;PcC z`$pv`sbzw@f6Vjv$=YDJzB`Ws+kT}iJ4^xRRb|r(#zmJGy z_5Yd_9Of>rJ?~tk)FHQF+3N9i>}4t!jbBu? z0*AWB*MG83!D?z7kXB!@0IU2gw!C7|_{wVcwXwRsVsRx>8a-ST<>R*t`s$B+qFT?4UjonMkb<;u`_fM1ET;OON&Arkh$ z5CHJsA_T8)KCylrro(20<>Ob@)ZH+C2^y#tw4=lGEyBn#XZoc@P(sQdEgV>0vj{C& zZeP`*Qz|#z6vDyl8}Jwiv4+6-l>x)L>9Ab)sLqD|*7gWQz%u~E5Hh$!*eIg=z*Hsv zKla`PKC1HSAHSJ|eT=B6qtbRjq5+Z+7AYbiAqfx(iy@}bwx{Cv~IPwwN{du-2eBSXSvUvxibW+w!i;tJ`CsH z`#sOTpXWU1LDNRZjJDp0&zR$2Y$25|c`i>l>dk43&s&vxO822_rX068;W92177br3 zAozBvQB~GgkVKLO5T(>=x)Vrljf_BU)$)qwYP5^`)+G(HepQ7RwTilyi@d8EUyoW& zTFsJoS-6>6*Z5KRK%CI>$ZerR=QZPNsuo$fMOJQ!#RWGZm|YhfivBQ+@)>O9wpo!T zIg?UR<8@vbiaj`a6U=Qxj-za45^4Mzh;QU*ph~YeO}e}mx&X{e#l%W^5nco!w~0NM znim=u-0RnYe4L}}{)j10E^G-jV{$C>YhbSXv*Rfr8#ZDmYaSvb>Iyt+Sj>F})!Bhw zU%TMhVY-Wpt*SdT_o=B{7H&u}@(Ak&^UKQ$pe9BW>&c}BQ)a7rs~e8T_#D3=IK;Tk zUV8bLa^55(;#%myh65SJ@LUmj@zcU6-hsBGAsl!tx0Bf25d2>0=#o5H4SVW%25G#= z$pEzj1(VjMK3XQKd<18eXrfPN+A#ufv%oAcSGW%H#4;~<9!?%pL!@>_1}TCb>)T7j zf?<_dPp_IJrjyge^zBlZ{AiA}$aP1dI5r1Q#PnIkj~ix_oSsn{pop$oX39BOY3bbQ zNT+Vo%8%t_Fhq5~ju5tM{idrs2~w@st59*k3$=IhtWe?1vND{$DLpS#1{)(q(7!J# znOjm+9GZP@S-I*kXorz>vf|{aGOH5LXQ=X}^{U}VO8HpId5$R` zas*p?AoR*7HQ$PF@ans+@Q#0asUQ;;%$8%fYQq%~v5XX_{k;1b>3^tresSTPa;I;n zJV~4;nrxOu(-YRelXDYSx5pEY0m1ArW~^L@x~7WBxRl+gSrEms6pL;g>UH|g?7=+# zQ&$sdt)#YG-CExp>pd}Fa)u8*g~Dn`&A><+t#gJqrX#gvLru)Ynwr85d3kx9HD-An zro4@-j+&K;Nf(Ay8Hzj?9i}7TjaZGC;i!IoT5;Ko;?mHJg6Ynn>Vxm-JOzuPi7?KKZUIOEpmo4%lI!< ze=71t&okUv60~HJoW?+n%R`tb0;YtBVI^_6piS*$SV~Evk|GMndQY3hg!-Ev_w)(+ z|X)GI2UpHMX&L=_9~TP)_1f?1JBFsqg3Q&{?8LdJa>Rwwv?@1mu~^J5w2v{s(R zbJs$4Pq47*o%Hak%ERGjL`a;5E#?A*QdiX$%o^EBU$Vw9P}+!&JD4@Rj=4x`mo*xq zZZOOx8+TL)cpl<<$!@J{Xc;+T$YA~o!PFSXrb4p(@*XQGzXYQ43yBrh^<-nIXH_$i zL$snp^?(@-M7g4>su_a;7KRm-;og?xPVOzlxud*J=9a=v7H`i}ixh1JEDl#|0>_1U zxZ%w%m_7^3tb|&nzEeDtrxTe|0pUOh2V8N|tyy;b1zfi%=b9Q(YT@Q#LT_^zALVv;8YC^#i)YOCI=mbQTiLWP5UQ$Mf+J7E#VW+I^tW(ZC8S&G0CD7Wr~$VH z38hmWLAVMxkkneL8_@cU3``2Ebjr#Nl#pR2ki8^V6qa%DRqXDd^xS&;D43W9ABB-8L zQk$gq%3komBWqKeLZoB)d4>D)3i(<4Be+*ux@D@86Kzy|U!hA>G*$K8ENN=fqMW_j zt6ZmH^mmR@yB8%P#>j_q2+o9#mdsELmo5_%n7xsr2igqVkHDy$DQKHxF*9Q;SeVIm`5Zr1=k=l*PSDj~R66`m z^DVD=lwyELm@L^t86(n2x^`+x<<)*Z@A;JX8bhuxvf1Q*b!$VV>auxg&dx@|bmSl; zUCgdrL=ut+O%a2G__uhp5x2<{ydfI2P`JRBYiW6~8MW!wuC5uW~<}eoD+Cf)HCaR@UKG0VyJM zP5ac^IouYmY;6hirb7d?d0@0tbhdKsL-8@|FSAT@OHESMS0#5<%}(|8>bn-z=-jrn zd{^s9$c?%GyK-5qg1aVyrQ-6eKPlTayHe|$Nbt0vxwoWFhKI2k4OJC3>574ux2E_- zYpRQi!XYrW`a1xJ-F=k z4*Rx!9rm+tblAuKzQZ23-*rAnlz%~UO?(wdRsLOxZ+26CMGNJGo-@!8O1*@%=1;Q* zZFL*tsKYNu%6NVrhh6zMdyX)(ti~PnPDR4g1;!JIEENEAZlsudbuHNjE{BwJxYke7)Z9)?JoL{fnj z(&>cj|I}gMaiGI~?5z&_4{vwau|Id%!`}PiekZ-_YEQcUpcpy7)PJeklddL5P=AiJ zK5+X`O_7=bH8(M-jL^`kG$CAi5JoFftn!nZK9jzct3E3}ZC5g(MvEMh?XQTJ-wCZR z^?K;=lKte$L90~!>&vwDhcH5{Ku6Ws>-BhJT@3^!0r|8LSSBBhiBTUzyx03 z#Uh8725e;GLYCgpvI;G(Z4Om8jUI)mb#UtJ@-otccD@fEty*rOZo8zM#m-o1nUsl* zKX-Y=*Jf27y7q~#uc#GzPnB4W&`efdLxgzH`FiJ|7w^fdE)Dx;Vgfj)VQ~WtqC+8o z4TCBVR!HYZ-0#W4cSa$|A88a)^-_!gdO3oQx~uolvHmcO7en!_7#fzW`sYLw^HfJm zQoR_t;B_$_Wxw}vC=pwsPlnmGn2oD@?6}lsR#zK6t*9UJm|Pn^o+ifU4T*KweM&GZOqR@)ZB zG5(kJAcR#2>21l%q+uSf#r(Zc)EEcir${Hy$&#@k?Yr!Ugd?g77nu=HWU73#^={iEiQ=KMc)k2EDSU*UZ6_H$1$W;0N zdCRv9qYm*SssSn6InIoX%Eipz|28?NnpSpYA5+RVKa+jT!;wqLa7l$DwLME^kIKAq zCefUwt2|WtQ`Yxvy5z)}m6+w3AP!cwBLVnK?OD!i#{S+UZTX678SHR((XOT77VS;S zmks|Es>Wk?{Z;w(WqX69N(>^0vqdht9sUpe0|3rKGJj>lPy-xR$Qoi}$GJl|YC+^tL-G^&_ z_G`bm0S+NY zm-?MCzYKZ&zF4A#|9WlBOCTp=VqNPb3!0zUQBQ|WXz z)QoCa%N5$JIQ}AkU%XsW%f1aQhIvr-ohzmG!4 zWz;4M($eexsGW!3xCf$k_HUwgYxaOtclX6jtiCAAk{6L4Xb0^S7 zPe>fNXPw@N?3!>$M-STY(yLx8`E-1zr@p7sv-0vUWjhhcvW`@4tkX*ZtIN!9 zWFYqUOJ~~XZGy^;jwGV)W7CJW+|8=Eat>1?mZXSdEZwHKFXvYb5R11>hT-(HS^ z6NN#>MjXMK89BD8K%421uE6*g?ZD%(6e-$f^QOBp6wrnG(S>qzCSX(nM5a60tS4V) z>g1Vf&PvWns$5BO(;K23O=^dn&t`Ms!Way$_*EPAGQE`lW(?$KO+M59%AIUF`}}#O zTH0u2?_CG0MS6A6dZ_klSBG8R$Tcs2QuZqziVC#Sz>#0Jh8^T@cXFUZ-3N&XJ6DF_=hOlbys;N=Lbs(5ISM_AIT(1E z9mUvW=uhbH$|=*&v^?PBVb^aNn`|)^gEp<2Lr$Rjt7@#)J2TUZdZrUKnz9C99^EYrJ3IriKuA6*wM7ZuMg zEGwB+K2x6emr+7)scBI%c6mW}NUQ;zun!S#e1Hid84N0js&K?PO62N8McZR>9x5TJ z+!PLaOyqgU1Z-4KWGOF$pjm#P%;TN-63u42?IS&1Yl~FH-j)iYHnN}YN~6&ML$_V zSZZGqTC}1i9KnI*2ow;*8q#bj+ih3n)n+NgZ&|YbrdH-s2`HiK&x6B@V5y0Z8#cnW zY*1glNmG4siM%X*;c4y!PUSdGtctjq?{c50>-UnuK!Bb?LMZB*ST53U7V-gioOyRF zh0xcb3(>i;p$BOSV&)i}G-vYUVp2723icLVimKl-!P#hhLAsrT)_^3e;yfIz?=O?B zS@b_f%SbsN_;1;d5;arjY|?%uWjjluucSJ-L-wx-S;XbAw_}w%eR@S$HX$R=RCV39 zN#uSj-LG3hD0OIP!h9~9*>zP)v>RvEUzM)I={JhLJeS=}i_b+XM6W2i&fc>8w2-Qj zO^C_i9wQfZZQM_Y8ysiOC_RL}9r`LRTXjJCv+|kd7xDE+H-0>%o?lGo3D~)FisvL+ zeslK*N$>OXkb9|mcv$_v)06&RY45Brr5gN~?cLq}y!tgUA@#JBV?d(zlN^fsPBFES zx=V8YkhHza*~g(9XBV|>#rSLLmvMO;4K#K8JjA}~Vb1>#XI${QKZXplU=vJDDb-|* zvssE)ok@-{y-zt#%e=sOf7(*0hq0b2*uidWhDj!|Oe`;(Q!IA0lW|NgD4ks_cecCY zk2KwesZ$77K!mC1L2L_(ipq*-&kjv5DJz><23y``#j`Ls`^@}xdFRTf!<~0Z3u|#b zrpQPd55#UNx_sN zR7yu#acObE?Bbvd2{Yv!nmHHFD95ZBC%4oe<%c^`3K8^k&kqu9e!kgJR$N|CGQ-G; zV9?0}k$xi*?&Nc6|v4;-mnuQD@cFF!hF|4-kT{exp-_T9(E%=;Q;2^bqs zG9OZvzk3E0-zwbb=Q%&}(C1?HUNOG8*GYOdNZOY?*eGiyu-HjLY>a($f?h44#fTRn zQ&9Y8HfBQ)lzpOgNmunirFW)2i;j`Pi2f(@|MOh`dDZ`aXFe>O1CjP$x?h3yHsoR88br#Y|&z{R)6#khZpmQ z4#=7OrwB*JlyoI)8=+uL$LBDirTlhH{wRHuaiz(eGG_^HexsHP(JL$#HfiZz3V|ZG zc%BWR`P0edu|XX?q=}8y)e+eEVUvrZhIvoSIQEHj;UKP7KS*`8#Kj&y#p>GYVrEjwoaad6DGhs5muIWhap+?YK#FJ?!E#q4$YG5fCJ zF?-htG4D_rK>35MQqCB2_F;~*V!l4PxpB#q((-UyOKWp@L=o?52s@5ipTd)|YYG*I z2ybLp!$XflvxqM3jZhw>D*r={zuxOx_0=T|rG5lYJltihD(&x&@OlRl&(HHOSVI3Z$iSR&K?x;c|)*q6X_~bQEOm zA|ZO*zVWrVtwmTgR=!G4kusGYQR7pU_aXFfJ?)8@^;WLqgvgrKmZED+?50T5DH)b> zWy#7p!hiIky^))^t|t->>QA(?l9uvCdX4p^U=pA8|L*wHI!v&AF431-Hco7fg`kX) zvmW$}Zp!w0sPe08kmf&}L0w9RkDy)BH5Af1h2x#eY7PwR41hf+WpV_Ylmko)B!FG0 z$N=5WFmn*;3C$`fD=#UBC2<~yu@|Q%f5~w2N#$|GSYB1PHlw9B2QkL9)KSx8%~cVu z!=JF3S<$e~k&PF?nlts1Wd50q>(ea?gPmL^cmniE zWqt~q=A`HV6PF=q>a}1S*x(*)25P@9C!%5G_Ffu-|G=r_yG z9a(97JXB;k<1tvk;E$>!i3yCfE>a+G3CsL$4mZJS7J5-9TUm1@zb&gxExugBWa?C@ z$l`0LStG|mbZSI~!!zsS;m)H6;KFE%Jnhe`$M^#|CIBUQRWn1J8e{Hl_ES=R*t$q$ z2I-T!0`Tl`MKwPJpHX2XKlMlP;Yo-|vhlI9eojMuV?~u3eF~K&9Vz2rg zoq#SL$6TSVx( z9F=GGaKRjkPt`P0x2n_`vS6g8xwWz-DD=QMsoWB>=1aX}Y(wBy?+F~qc?CTS6vy?aCY%Nls8WbJlsGnRAQFN(*M`30eXm>{ZCRhpR$K1&)g% z$bU3rLUJ){qH4s|OMWs;WjOJnm>c=#?H||=$3G(Hz#VW>4&+C6yH;g zktD5O*DS4Nz)lv~zte%BIdi6$*WF5Dblie)!Qi*LM^~_8x^N>8^nOWi= zLoJQUnc)R}6`Ul+l@*a5#mCkLdUlSFTT3dMVM^Mns9f5L6GNezdUdqjY8ESR_a~hO z+?opmJ!V407c(l%(h9Y0YY}AOn9Ep8!~t<50uc)b(r|2)wMfOYh-qZml2CPXIBd}a zB3#+DLOqfT@m$fwlv8C%yV>AHx$27_>ISJGJZ4h6O#iq6W}-R zxrrB~&#}m+mpBO#^G`IpM2O}m(o>$&=;??%B-arG?3gZ1^a&pEAI^dWF{h`ywzRf= zj-0O2oYcfgd`{Nnei5>dUSD zmrt>*)no9w+6u0|+&;^P#|%Qt@M)x-E?;+~Sr+}|AFmpCxd6Qu=(pf*30aiT1L8^GGAqLk&V<$Fzr>qb zBegEq(!FS3Az0sTsH&_DS1xv#*#87PG*VrNZQv0v4_r8@f!Cu|8h_lJr3ja#7>x}E zh)0n=ij&AbXE?Et9c&)hYHn>H^T8Iz+a+}^kP29w4ID*w-`a#*!o$)72cwV@amN>L zT!x0oQlTR-*I%N4Dt3Y`5r;j4x=1b6zDSK%e5!GOdVDBOke6K%v#-W)Eq*uRcME>E z;+JZj@rCZ|WXpNCmStqi*`5Bti78=)2f=$m+lATVd4;3)Li70ubsN6YvS+;9HO1w2 zdVL_=%k@Ws`{9RlFQbX2iwt_GoHgn%wBF*{3aJ4_HnhC1s-;$NV?HFKK0Lv_`jX(j zHe6Rz+af(s?nflJS6>p`SA{X#P~m&cdk#mRP|DR3grA&LoYeR7-`I3lB3U)1G$2t| zMfq@+As-aKDoamyXvoG^zxUpI4&BJogo!p z-pm)8tU7U&DE`joF5K}I9jb7U%_*nSmAOI=Wsgg%M^k~1dk@YvCFyF?!=%XPb*M^<&xW_t+4gN{PF^0@b9^!%_8f*wVt2(K5 zs}k&04)N=#_(l_tQ##^TJ8G{b)jH|3S!Yq`-tE4t;|KCkMHdoqT8KM+zE}RFlz*|+ zw1lyQm+L~Xqy0`8U??6^^5k{EM>eV&YIGqiBuJc2S{;182X0fG?(xo_Bj|UtzPxu4 zO$mjhx((#J>>4h>PL_Kf039lVx&5p5W|py1E}A*%oZ`ZA!+3FAeg3~>H<4OjLK`sZ zIq;PA+-Yc@FCy^5luRvC$ z|5~8WWmPUU4oNU)OLIjfmXsI@9GL{|FX|n&IC+H|gKUCtNhvQYC?xYzurgI%TxR8{ zb*Zz&REtBsmNngp$r43Hu3aN}^^G-duV>CGx0WL{lX;a$drr{GfQ=|5E66T!{a|Yg zbOa!hsfXb@*sqHOc~^i|SUFnxa6GBg&~!8Lv-2GSO$e~ZlhVjT55(-RZ5O{&@H`j4 zZyVnfb|my~@JAI8mj(-tLShwPWevAhE7U@s)`T0v%@y@RzGYQbU~*~I*DqTF5oL8H z-37has%)*Qu;`K@t2R<$wN!>JhzzZkx+T~shQsD&E7>--rS9ixXI{+ac47gD37$d9E| zRMQno+*M)<&ll+6a^T+d4Hl2ir2l0WAKGh}+luoxm6u|pXTpRDL4-c?j3xY@HL|`H zvBduzC5i_ASU1Vwk7qU6tT;Zm-@?}h$5$(!U;7;lI&9@7)6sgZ)`+!4-->61;|_y! zxy$iC6G=7Vue}#dzAC(^6=&bDt%?;+gzf@rC7~HfEvFREC@w27RFpYwPz{_tcX|Oy zFMCTBF+IU%4RY?0`H2p~h^wabgqbqh>pUUq3D3!t1I!-~N^WIEQ^lfstV^@0zo0oi z6g$m*PDgcXdck}?id|4zIz2PFcY)4nEK^$jk9URDrF3k~5mTx3Mk3yz7lqBaw^1j@}pkriwe zga&q%>m-sPMN@U06Y|1vE^KOo;8;BGj6}rQ0=_nh8h3p~jXLjn`2HiOgB2}}OX@0d zmCd2NXH6Scw9#3h=IRx}rpD#aP(kWO^tMnpWm!kWY+faYlIm#5p`fjYluh-l0h-jw>a?h=fTjT`-czkInI%{!&c?%w*(loyV$xLV_(Dn z1y;qAXkA6hs8aU=Gj_}lQ`n0&1VtZ!0j)A9lb zU0Wm6dtj=L4g?|+c^k5vcZXZ1apDS^YrsCM5eH$yQsd_TuldDRNJXlP+rpJ}6qS_- z(M0%I0H)>gR)^yG#f8FPJ@O_rtGsMBHJT%JZ!DQ-pEtX_csdHB&ZwWf?o#EUVrJkZ zpTn&V$<|}2?XdGCjq71Sh(nR$4hYrIXW`Q%2+pwRgIG60YQLx_(uL~;4~rt$hiqCi zE`iz%^tHfBG1lv8!#^cjr~+>UTh*0NFUW(SSIbZ+86wLeiW!SlXk}}25DJNPWafyg zCkYd)>Tt>e%W0?OQzYb77HIMHkPFf&lPZ!Wpf$2ZPS173VV@tueG3)26R@)8qxgd@ ziiTK{;}+F$Llrc@m2RXNZJgPlJ2&zc;n0Zb1V><8ZYced*SwztYS|ZvybC#|^of`L zy!u&r5rvRM8S6*&o!k|LRSZ$1D`X`QyBKvXK`rA5!(=Bq3D`oRjT2)>5a%cs<i!j|j7?J|_2P zlCUt#hu#sHq~VpJ?uVOY>rvcs{5VKGrF7<^i?L0lJ7AeWm%q#>Edj(ay7=V4Um(<{ zmDhA6RnGyu_~ohQL-TVy3WcnT;xTP%new}0Sq;Wm%i=Sd^i*Gg^X9eTh$U*FDmNkc z&K;SLjiKTE-x+us2~jz{V$*13)!<;3!f6L-_!;y+Wc68D#8Z#s*5mabKa$@`{T1F0 z&(F`|D7g6?|3`6r$aC>mcrLYUYGKXjsJUO}J<<9)sC@FRDA*>0Hl|QAj^s&aqQlRM z48s=9^7t;Cd{cTYBuG=#(#q}H9 zyV5jT3}K=;$S*Y@Vc>K1@KR`wVG-9Y!7gNNs4fy>(L0^2reP3jT&!&vs-poE6#-QN z;|AHy4pBlNl&8Uj6sp`G$BDf>Y~B{nD41Rx0+~`i)v|_3k1@VlxtLhg*Vi=T9BFP1 zoomXs5W+BQt>(%fg@+KS0*aO=$C_a{>kFshdh#YKlD7z2OvIIk?%3jNz+sIh3^W+~ zaqg3*B+vrRtE{*3B8_>C_`j*Hd6_k=z5=Qj6?~Hua8c8dK7JU65umcUuBoN5nH{S9u1mufi_KOl;$i4q zxKUaRBlJ9er(_RnS<*yQOx^VaLNq0EJQkf6x+Yo()&}bvabSjqeyD0e6S8he>yjYV z8mh54Y1mLhTB0~5ipOkrCbNkYC@~`_Y;3KsqC>4gp;V9AL=$dLqMd)*SP*RvQww&Q z2kYH48e78H60HudXlxalAShlKHVC3TYO!~N*@*I~78{$|E3xGQ?F4LWU|{6V2s_D* z%{lalxWlLrc2*aMarHVSlGPc2sOn4Molc4!%`0fCiC~}$z`BLlj-`$aUmTqjIX8y# zLp3~I6ltld96FSqnlW=mGRjGJO3$;gdq_KKX0XlS(|HyS1EDp+ zU1%<6KtmWIFKR?NXvc^rOeTo7MEqo@P8{a0;z=6gkrmV<u%5rXOqWcc>C`p)I zG?TU*rHB@d%;F3v88VU{_w;C*Sqc_JrgmrR*Ft2i_j*T$4W;i*Kl zTIzBHg6^Q93RAeeJhVyN{7CC%UdDN4mdrZt_|>5~k32ISD@h(*PzxF>aj1v{;54w%a#a?6Z)dedKKHO9+b~0@A~QFbWk64CHU%`NfpjdW2Nn8F2#E#{ zQaPgj4ytJ!9oKr?DCdH?ICEDpsnoGq=LtG?a_WM^f|$>G7WV)V6#vf!069O`UQyKz zRQ7Mp;na9iRNS{(S&PG(kO_{Zw#Kqti*DPW>hqlOr(;@dnBiF?w8b1w> zhTRz>gRxeSWg%ru!5KwmWNJYA;ARa8V*}E~3=HMV!og``|40QXLE4^SD|_lYQ^Pyj z$U}?`_UVYf>o1O*Gs)l=DK=fiIiT8O@FQ<N@Rq{O-88)1J4q)9x4Pv_HacWmBg;vboa^H+I^$wRYMMEbFwJFA?s@ z?YZ@x_E`;`_Ss9sw@ttXgZI+Ko%X{mo%XYM|I?+NcH3p0_7$r-?Gbohb491U9=~7U z_XvKk;+I`n=Q*%c)mPRxMjV-qSW)qU6N4TEbv*0Qm6_jYbij!wvT4SP<5c@~b9g_l zLad9cg7c;X)fzx}Pvd@245u741Wu$u2_;0i8Wi(GrPYV!C9lE7LNQ2&zJeuXyqaEu z8K!Vn6&|3(d)5@v;;ySS1D2Zvyg9&gE>@w$#F75u;>(jHw{sEiIKD_zxKhee%%B7> z&uXEAfXl#O2nDx>>Z!Sf$tuo`V>VYM=9MNFZCFj2#1+gu#?n-~B2ouQubLt9jyCHv zg4s0d$?1U@0+YMO)|y(ykEtI?1h66I-UeZI3*!&Y>$Eo0iIw^lAw5wF;zmWrgmFIp z4g)O^k{-ex4m7aHQ>4ra;V)QD2L6H-%W$@UXQN`3uOdjsD@pzvoHnU@L`CGTGP@qqD3hE^65VWwN$b5Id1x(PffIq84VeFR+RO}C4E`aS0sH^($^$?UDAD$z6tuj_>sRv$zP)6 zFH!QBDEUj2{3S~M5+#3$lD|aBU!reFO8)-}Kk}a_`Ad}iBTDYK;YT=8!if@2l<-9r z7(A@zx=7`+kt1@Y@ghyb_0@ud8bWRZM9o+kb4g-Hq88@`tE|G(;dvvJxB-ubxPeY( z%$r#@4M!zrLViORc6ro4j=?bgAC-=b5-hLbN7;)hF zJ?{WP4kg2{ZW=z?c^*AVJtMHuqda3QkYvGBH;o>Z^sIsq>eW}W#I0y%`Ap2O>d`>B zHHoPfSwcbxO3OoN>d_(tYY`WsCw_AHrtSc;5Z?RZepS5F3=XfVXR4^DWhEWAkYW~c z*4xq-5-4%Q09*kru2Y1%QE!WF{Y{-YyPUL-dRu(rRk1eVxV(4FsFq-SipMc@LS|pr zvO?_NOe&aNQW&HVAn7bD5B8p2R!GvFB1l0&_;NXjeR|V*R%s0YIvnC39fFPjMvNiH zbR~7T^u;_Si*LQ*G>(hDn5HX<3nx%v4h9GMTCoRRLk5}EBM$NsgJp^Mi!(${0w}Xo zDjQlbmu(9w6t zEH39i!^ra%?!Xdx@JjCI@PIhbq7^1`ZEO%%cTgqAf)d-`R1*Zinz5)~L$Sf8Zs5QK zoGO8C4XmW7{FyVu<&(k0dt|UALAthaKB9i`cqpf)v{lUw&}GzCv=d|5A7>-ZF$71P zE~iq9%Y8^$_!l%97N3ll#Y-s^k`Ba`UXVGMbWzN?>1d4d%D{+K*3%2}bB5Odb(|DBY(uC!fa266K^UISpsn}KhjvC8gueQ1*U{LRmD+D7xCrxV@8+a zkSZ&U=*AVo=M-HL&tXA~-=*Sm>tJskLGID11{`VNO?7RUufqpk^ip1l(v@Y#{Dy6MTF)qf<{kkw;RWixBGt0`0=gS>G9%#_WX`Tu@ zAYQXn$VIqI;rghW!xu81=95%@SzCzAMl2HJyn6~% zOs`ptloMRmSXafK(*xe9GAfB$NcTF~$Oh?{hKx{4Vtm-WnL5!cWs?q!DmI$y4Bz;uLHkqC%XS~MgD{diVDCYDD(E*}K4{m1UiIoh`&!W0 zn+NUNL9_pQ(B1`_VIQ>L0sU9+cDrXLWKt)#+u5KizSeF}1^pnW-L3^acU-%DE$E)Y zcKdeF8;aZQU7%-7X}8}2J$pLbA8lFVX2Lz_!wcXZboWJY4_Z_M_n^I3wA*)ro(H-I z^mnV;?T$Eg)4#)B2f8k+!`=e=bY6$O2Xy1`4*Mg}=CK`i-{TPe zgbq6&bl9UE_AJl^PjuK#puczy?m;u&fP2u%Z^1q2um2ABpl91~-w*kd5w-I{AMF#h zXMs*SE^0S{UUo{<-T=B2^nTD2`bX_oK>c5f+Mj|>91yhw$6MB!L!(43s8y#Vx) z+^D?@^uUCuy$Lk4Flz4reQ8S6-Vb`t)TnKpfbuJi+Ck9aGotoH(D7wadm-qk^P=`@ z`hGs#gN_TqJ?P`0`$2D98nt_#i2B$ZwX;DBB2jxP=;N11?OM=5YoqqHpuKL4+FL-s za#Pga1A6j?sQnS>EkBIfeFK)YelyYyddshoZqWWuAl;zLK-Yop0o?-n7U&+LPs2TE zU^m>KWLd{Q3-_R3c^>XTFM0v)L0^3v`3CyaKO^5jcYwYETK*354Rj-D;AG4C>buA{ z&O{GLzHG(pjiBY-WA=8? zSNg>4eW1N?mvWTekH>w7U%~qcF?$?n>xnUY0q995#q3p}n@@pz(5A1!J?OVV_kk7$ z;U4s{0dW6S#BU(ngWhy1+=H$k1oxonS#S@!689=@2kivi2m1A)a1S~VcP;k+nq@7= zeTx%8e-FA4v>JCWt_FP*bQ9=Hqht0C(0K(hdq3z6lVIm5Xjvx~#%!n$Shs;r1U;h& z?m-_1T@Bg}x(RetG2DYTf$j&r6Vw`j?~~yk^bydBpl3~id(ds5t3mgHZUXH&74AU? zf$j&L2x>t#@Mq9LpchPw*;7HEE{)l>pj)QL>}x@L%!t{ygMI+I3-tI|G5a0Rhnr${ z&r>by$)zzn8#EY!d(gju)`C{Fz&(9m9<#TAj&6(Ddq9_hegt|yXx~AWbsX%+=Yy7m z&I0`gXcOpNH{jk%&>r7{d(iXN!9D1{Z^J$4pFsQK7{z;_bev+uO>htTv+u?17SN|a z*MrXde$3tqdI#t$pa(%e1>L(bW(Q8QtnRnR>@lD(-Vw9sgPyY`X19T!vMpwB0Dbf~ zG5dbdsgJ-t=(OE%5Bk(|aDO_=??t!=Jr#65=y1?B(CK^O9`rrX`$6w|8SX(}2mKVZ z^pG9MK4(LswQ$arhtpc4AgFm3( z0o?>T)7NS51YHe!0CZq_r`>a?WzFq|eS6Tc-8=26pyi;opqGGN3;Gb~?V$O+I_+Jc zfy_?(9nkThJ#)~``@%iwm7r5WtNXz{=x;%<1)Xy|+=Fg70q#L}fxZL!DQM4J%la?? z_n;4-1oxm5PlkKYCr^QU(Dy)Z2fesI+=KoW^c~Q&ufTmCY-oUHgFXg26?D{B;U4s< zufaX&)*#%2)(?Pt(ATrz9(4QZa6b(FO*Y(vmJEh_(78k49`v7}*Me3Lg?rGwIdBj9 zS{~ek9zPuJ^O64XopuiBK+svBmw`5cZUbEhdhUcydkg5RlRE7^pidS;Cj)d;QK#K^ zIL5!?PCFm;;wf+sI%I06-2%EAbOYhjI_>*GXP0)`uYfL@(P@7QnlrQ04vfHj0CWuK zv{{|@e9(`pJMGn=-Ju7v33MQIL3V(S2i*_a2f7~CNVHq%dIUlDLN{b0Xg2gk7J^<4 zeUa6mJE14C3G|#cxCgBP-48ktdM4H=%jyQ5kRa$Kpc6soK`&$>=yjLEJ!mO(MK*!H z3jLBDprfyZd(eWb;r3^>7dR1JM1Tr+p9Z zM_X3zMz{yv2|5wSI-19T_o;5$(7K`*+q)AoNI{nXu^_9)OT z_jcL~K>xO_(_RHybAPA35%kSpciP)QNB^eN-Ur(AflfOLI(B=f-G2I>+Y-Ka01^FX(N zUIw}cbPMQ5pl3XT`Z5;%1!z9#lIP$abQ@?B=zE~+KreU!?m^!I-2+;%7w$o?eF^T* z!u;uFxCg!Z6}ShT{3_gowt%h!{W0hk&<9?Jd(g%E;2yN#4Y(hNd;`q~ZF&ptL05y; zg3@0`91(=VHzClOFh>{2{)ZdyrTB*B}3vg70~(g{spHzVwQ8 z72kT`Cq9)tzH5R1dhjbM&D7b-#&^@GCqAcxTUcdm5!#-z8k@ZvG;cJJ;c-mSZepC9EmY(l#obGiRiyUzjcpV)3+?MmNjoxW1wOR*O1 zgK(ViyX*Q~4}1aEsBNzNi*Wu0;bblFt@GOL$B!}GPencZ^)VDhi+HwxXKr1)JrmD5 z4Bt77(4eKr{0r4{n2j!xU}7V)Kwl2 z>hc%|{A#SFljYX};EOJ4w->=L9S`4y99Qyx74Xf#&pg(^(?=bv%3~w&-(KHtk9Xzg z7@eQnfxq^xcKan)c|FhNH3(kr1HSIt?e@zj8~#%|pE}uB_E{n%#U z|9Yg|Ug>JLt-9Ur1b!}L4&%)DFBeIqd_Dkt3Gig;%Rqa$5BOBmy|0ixpnMzz{B_`$ zW#dJ)`x!T+U!SqI+g07u7iWW$!YKvc@PDDaUGcn-<4OM11CRJR>|4!zq<-{!?tXMV z_&$Iv#P7<_9y&j_0)OS$4*M(@K3d~@f&b%_4*Pjmz1gkn&Bwquf3?GY$<+>@*Zo*O z#P9sm;lHcAM(gq#1-x}=hkfN5BR|qFU8Bmo9Qez3ci6cu_u1Ng3-AN?b=Wgq;h&?! zUl07a?H%@cu70Lm_cL38mp<8HPja~*uiftj-tc@!(th}3;19pqVPE6w=daNHd_VYm z`uiPrH`E(l-szSeheiSSf7Fq*pDqW!_+Q>Q)q@t`Pjz_$RJ<@Hbr#qQL#VqxL8lK1}2NQNM!z zsD02?&pzgQ7DSli@crQNQTrce_(+HEU5*0x#S6i+>x8I%ldF&VHuq8F$7|aGj4Fy9^$G`S-L*$1U?xuw_Ps$QH>t}{^9Vb-2%UKe)}4AK4tXA zT6IL!e&01-yshUggMc438nPo-8k~7n5%71v9<}|h@O$X+p=N3Q?yRW&FSI)ye)`|_ zxVr}Uos*(=%oV>+b^JC1zYa3QKCb%MUDwB*z*`_w{HDwO)$E??+X3Kj0)NKLV;To` z=xpYE_M_jr3Npu+UH-hF;=j3Qs93BezmJzt=8?T9{AkasC|(OKaX*W=UU+F zTcY+`W?3chnMiQ4^K<#n_!uf4#FFGGI1%FCI@d<=ZxYRFj4aMCZ) z<1oGPMp1n&2R`Z6sC}iY|GHH7UoF5l z|1xTyPYF`)=^20Iem(FvQ{Y>H2kwsA6ufpni;Icf?*)DoaLZL6J9Peh4E!(mMr|yO z9rq26`+gMf`;zD1DBy2wg$&x2|LNNQa^R2sDry(F@_(G3m$U$X`~IkX2`#=<`l+0k z_7jo7XUd0-;5+k~sJ$D{n$PzH^ANrr_*=kFG4Z)hI`#qI4}86e`@W^!M}e0^hCS7V z7izqJCgy0suXN#;YJ42hQM%AOBp`Zgk-nYkVK@wZKCz{Ctf^fj^xB?~ip#?>*R)aJj!gyB`Pq9N<52;Ttr* z0QeKYPc`w280PX_1^g-Cr@QLOK&~fT{=lEd-hvk%grD1izk)pnS39SA^bhue!`Kg= zd-kG?Tw|Owho%cHpM5E64|cVcLAvh_0)P3nsQsR+uD_-0`b6NjzKQ(@m;bZ%7`hPn ztNXEkV&;MGX`M%_fnWEBsQr}7{bSnwCg39vMD0smeNmh4i*^8ChkX*7L+dt@e!0f? z1ONELs6E`3z8sxC3u8jJe?;x)T<)LJ?t{R$ehT+y{Og@EoCy3e;PtLDtkGq-5co0J zXW8t+f28r%z`xrOwg1PJ{%f4{1Glg*v)h&bPw4#L0sPLim_5LZ-vTFo`+?sD{9Y6H z-KF!N_8-so#q29x@w-&VkM<>RO^?|xy4*jj-A@Ewo`E}QUHCzbF9bfKTXK9g@V?k* zYIM1G+VCdeA>hkh<VCa(}rFe?Rb({V^NjIH!KJFwE`4LgzFR`1>aA zdz%@8_!b1-34D$#e`bjW2AtYy5qO4WVh;w-x(@m(bsVaIF9-e&7k;J2*8qPLc$*84 zXnZs9(MMws0`qq5fBHZ4`g14n%oAhw6`1EZ_^RII0mbhC@Wuf#`|GYWjL>DAfpO>> z?8RN@3V)3be-QA$0{@l^|E9)^fM0|?ykvM4@MnO3+vR?(cE1Mrgh9B!*u=&3l;gh{ zco}erM4j}tvUA3F0E3shj^7~Q{;ZgNgA2b-<3+$% z0$<_6MJ1#BuLAxe@Jn3yGVOj1@VwJ-N3@AoIO*FAd=&5;6R&aboxmpor?7PS&vWnt zz{e%QgK&~@0%Y01e`dO;b<7WhAHE!Op{ z9{2^=%YDlX$G4vYp}4OF9>gB+JQrT3@jHRf1D?$NZs7fMV)kHH_=9x#9{|t7Uh$nl z1fb?!=|2ln02IFeM2wZ#gYD^ZpRV2K0Ka(#;^)H4G+qjP&&-(pyes~@b^PmrUxB^c zCKtY#@j>{u7WnhPA2Rcp)+zUjJSJxp&#mCAogK4JaK+;o9gn@hFDj4O*aC3UDXJU0 z{}}iR;8RT8H%Z5{U%;|90l&b7i-{$LKMMHkzza-#6+37D%YjFMk2G;(e%%86=sBqG zsFzMT4AAR`^}vt6IObj-_~z*NZ3R9Pc$q8x)1CAKzhZIBzRHDPrtyz~AE?J2>Sp{z z@;UzfPQtt}1wIP+y}+ls<`jiIrwGE&a^SBu#q3ryd}=GADR3BV;2GK+OFB2)06Y)) z)vj@WHIMrg#{Ix=Z1v6~z#PUt@H`2gS@c2Gci%Lfk5S+Ufxqs;U($Gg$W6B{!@c!b zFKYMcxh&74dN2<7<5$G&XUue_@6!3a0C?mojNPtvX0u*rt^)qlb!acHv3<85+cyHg z1iA>fyYl%bdhWIzc=~$ek!xIBt;fZEz-zxBv(sJUVyBi9MuAWJY0SRdmB%af+^YX6 z*uR3V!gLotRpaA;zx<1sjpd}1KU17`umJd@z_HA5@Dc}K1^gc1{ayKYqRzjK!0);< zmUMlw9r%#DV)mnEf06zmcNSDW`+z@lcg)T+!>@C~j{<)k_{}EnTkn)VJSm56Mx_fE z3m7hc{P#WJmKlFvhjzaJ`0{(8yJO<%FYENJ0zUFS%!^$3l^Wj&{FbfIDRGtmx190^ zp84xo(zVS#;6oqqo_7S1rYP`@z-u7~)b*R%=|w^g3D^okUuplNF}u_ihbcM^6M_48 zp|5k5K^~U@g|QI$*PcQhH`7RAJi*E2cDe>UyPrmX>S_n$xE-LYvNi+%%bvKlj_-u+ z05ydovTGjuup;A49wLxNO%39WAzNMc^-b|1MB&McU($=O-_Q<0$T$t z-cQQoHNYMMHq8vrH<{~E5Z^Wfe-HR?%`%v>HvOuM^n0iv$d6s%xe9td!%aWrI*t5z z2l#s6KQ?hS!NE83!w(&?W1$6!?3<(XBXTA%-pXzaIDj z;HR7TB6iOBTHx;kFEVkllwtf%;77k6vzNN+TD@-XyMZr+4%0hkKBfOj*VPYzXa6x~ zXPN#Baf(QP5IP#b_n37x{b}7EbAZ3`CyZ;RdtZ~2e&8bxAb(u_7^R}M?FLcFkf{x#A;Je<1Zkp*{Os_b79{_(P1@0e!dIJ0t zm;Zm${^tOH@cra#;ZopBKfrimhVNUc<6jSa5Af?;{;$#guLXYQhsoFScLE;^e6`E} zOSJ#Hf!_k$)ff1Lz>Vtn2f&{Jo~(ZP2SR?G0?z?{{9luYUkbbxc(S#8J@B2tz4k&V zerth`{aeg_&y~Knbo%ZDp7D3+=DG0uG`<`7M&OOE`hT%g|AD{%NzDF38az<*q4a$^ z|NW;zmhx%L{yMbpwcd)w;%sPE(x_|fp_($m27YaZ;Xg(LVj%zb0#7>@I#4e6?`!uT1AiX)Vi#Vm@qXwa z`yU70Js19>#zz6)3;ZHiKAxxZu^jlZ(3RX_y2qIB+s0mSKDL49m*ANj&r>RRC>9iv*TrA`$e&c|LfZyrDf5z^S#nuAg?*qRB>uw$YXU_$;fSi6NbVFUZv+f@R z{C(gLryJ==->%2CBH(MsciQEEbvk`Bbv{-B-vRtWSNi7b^sNCNI~%sVT=-axZwB5U zx~SK<@GCUF6Zn%C;i!wkxquaFw?#7O&$M1z~2DA z%!M!2coFcC(1qRS!e7>S74W|TAK?l=SBJj_cv?xP{iw_RgWCOO;G2P8?{a^wcE1z& zG0?e9mcIvp&zRn6_i>e9cU^uNgE8(x7dP3sH3;~%z)$Xm2&(=p{dm1jEdqY!oaFVd z3izeKx4X*kSGxSx06%Gdr(NPof02`Z;FEw~)!hvLGQE!83H+xQLC4c{Z_IlR0RLa$ z*SW%9qr=a@2HaOGI_(~=^!ar91_AE|9p&$t{`TH*0(c@ROmZJs;j{|9!J{+3W{i2K)sV{*1W4V{FoX8@$}7wPR`ooJXEHmz!Umb zXVQ6KIq>7wb$YHf$$bm(?*bp{YLBPs_P8GSrtfsxSgtu`Dz>e;u5ShYBjCx}!d~FF z0Uu$yU&2Mk?mq^8Kk%zf+_zenX+IR^S8hz+pN#?@0R9zM`~y1v<-m{sZu0)D1^5Ku zqs;J){hsx}%YY|a=WYf56Pzjdrs>{ywT}N@;74u1{L@vZ=IJ{1G4PU`F>i9kf0B-W zzhTgo|31cBm;YC2|3?9T33#$LSq?n+2g%z^3-D)wBN|Tm8gjYy!1txVw*r3;_(`t# z_tWv;3;a(%!kGZmf8Q^3{67XB+0<#j+x>#7_xs}Bcf`Fv z9rylP-1|H7JyUpVeeEdm5I^UaY&g#;aLHx`7n2YQ@-Xq)n)nQaxA;3nzW0=r_gL{f zRpPWRp+ANHQ;PFGk_i*OLeEV)6uAcdatZVn&aI!yi1d-~0ZB#f;$@GB4=uguudfV{ z);;t`OCtJ%iWUEnJc0hy_a?}p=ugFSlk}*U_{@9y^oZ?h{!`&}+Cn_J4zfJ@v*o)= z*GKaGO%@7ZA43xw0NqOS(+bYb3o%(%U56Ch6mnz9i|}l71p- z_t7Gx6C^!N($SJmmUOP9)silg^cqQTlJqu7w@LcAq%TSOwxpj(+WqS?d`VA}bhMNZS2OnSM!6lXSGClO>%iX|<%wB)vw`n zzAfn|l6D^}!aMS<<O2Vk z)pslYD@z5&Mk5?H{UrF$cwO;lmI;WBM`$kRLGWkwNR#Qk=RAS25ebJ)KMDS8u$LzC z=fn#IlsdgnU#R$x3kiNUGNFBS9)$mUp`#-FzrIRfY;?k5(@%o``Tte?_qPaWUwloL zE(HHB=wJx{*RK%(8>P^`IuC;XcSrdo|2NhNjEz({Z2C#?Z#h%(Ke$mqY_vjiIS+#W z`(ef3>!$)^BNh&ueiHoeep~Ucx>rDK)IxJP4}!n{gNpwT4-1TqTsUm{N$`LAuHs+# zq=486iso`21pnIO(`EjA^=X0qEM@%<7AXG5b_;$sis5*xpM?KImMH$`cqa)P)+zn3 z*r51#?-gFL(G2aY^C0|R@|fa3_m2W&BN`5yeiHo8ex&#p91sv2)zDndgW$ihe}>GT zy&nkd_+uR&^GWc(0b32Ce!kl&P&TGvGRkMcU)!qq!#gsu^*dJHda$-HQLhbAx%!q*@B;qZ6xK7 zb?+|IJ956@Pu+h`8lm`yoiF&=7)Mh7OD|IVcZ3Cht(>^WI}!1pdxPRX=39cFjcCL( z<6ZDS{~N_0xkd1^QH`Yh3*J`z{eCR?*|lX=rHr|nxzu^qU|I)>RKlOODy+ZMq zv&#e*sZ0sW`|0lmz{Cio81PVnd+wYlgEB@ISDd{gY|Egnq$^3a? zgWw-0y5EEtiTu2Lg5uA-Rq)GcToV3o)+zpS$uFm6N%+6JUh&^1`Pqm_0=KGfuRWyr zH*Oa3VI}gU`~~kT{ynz|el{|al>d_xd&~TJa*N>q#c>|Y_$2b@zGB7SvQzN0@emIu zf`8mn#s9-yf`3=a_O<+niog09!7rzM2_Xpo?|f46zb5(F*hm7mivRjg6#rwgBT3yq zzdE3g%%6u}6!BqWBuV|Rov!$wd0FtYagwC`FRxJiJKq%iF%Mq)B+~oa+Z6x9zZd*$ zyu`ze;Q!8xihuV3!OzMKN%`A57606~1V0-$Ny>lwP`}KdQ~xFSU-saoPa-}!^Avx3 zm-u&IsraA&RQS(EP&~pA{^#DU_~&*LqBS;(l9d03*A@TPV+8+OVqKcxLipd(<0zTl zn*)NMjin@TtMqmosrc_YMewJdUyZv+@&EiQf}f44B=vvsHx>VoL4u!+t0d(w-=_En zv1St#rjPev#wU^9p?^~RL(Ufdv+)%VCxU-+-%Oc5caqgQ{GIQ?OP>V)17|7z?$f)% zpI@W+7fSvl(U<-Q7c2f&$|6CEDksiEG{MX&0_-~i|$;y`m$v&&^ zj}(8rw=(=6EBvRkMfhwCCY~AZg8yI7DE_YlaznlLB;>!Ji*V#Vv_PN%{p3^ zXB}A?#NTcYUiu{B^Tc4qe+E`|^!GwBlZ5{Sf9Dd#-{U^P|HFX^to%Xn&$&nO|MYi) z|IT14{^@Tj{&PPO{GpWXdxF2OOz)Pc;7>i?+Q%sV1D%3Db$#hIUh$u33;sEQRPkRj zN%7a8CBh@ z2Y*ufKkp}szjB!HpAYRO_5al86#r{y2!1}qo0R{r?TY^wY*h~%Pr_M(3z0wHI_(%) zzOyF^#;a4#He{{c8{4e2t3Nc~t_DjKkS4#e$ysP-{eMIoTr*;72f)VlG z^moO-agX4?bVMAZd=>n@p~uPioRlsy=Jb^7iBr#0{1fFwjg|d9f)M^sy;|{~F9q<6 zCwVaAli(lnl;VF(3hGBB=}*222O@<%)BXoh_dn%_HYg(RB{=s@or=-wmGKBCoi-XX zdiK}=xobvR&r9Jn!`EXNhTV*e9zWoZ-7m*SAC33vSw+O{>ox~cFyBpJO3%I&U%F*< z!~H*2cY5~C#U5z-U|J_-^z2rR7oQJ(T6zwJ=6fGLrmtO3kJC|;(yyn-9zA<*2kF(5 zWR@9e-LFA->Ga(DeI)6XjDONvxzEXS0DeM2SbdH|tIp_n6puaoj6{veh|+W4K1&f& zMvR^VeZGU9E2ER1gMBWf^zcEEZ2vuoQihdAK)(OG$fb-lei`H6g&1Y{_+_Gh9m34W z;FqcXm#`0=(T!hb`QL@hjPCq0-+u$eq-Wao;9lrIVGv$=r`?E`T0fbW$?&I9gq!@O z6tTYi(&Ar$UE_>n({2OO=BEij#tCWD@Uq(99jmj96VphRf2IFz3N(;*Gkm+sKVSh~ z`lsE2muvl(P`PBK-2=9D{*%!HGIG->2RHZ!&`Tb_Z1QK*%P@Yq-9L<8^7&A;} zNXvzr`~AbPH>91V!|Ww7U_`PU|Q#shV+1?;wgHkgSnj=`0?r) zm;j$lamxG1$0}1VQ z%J=E<1*q%yu3%>?k>oyqUJDo9AH{#Z=aIfX@BEk^Z-?7H@7;yRjEsyEsrY+lTnA+d zG_eY*&wVr2;LZ07Jo~>(8SR^lnTr2=q8awM5iWZ5q#?a0%>(-R$i~T0gU`fsubyY) z|KrJ!SI^9I)6c`p33XLgW?4FU1CLHZx{exBhwt49?A4Rb$(|U%*PiK_*ZCepW%j>< zh-Lnd??F0x;IG9OysW`Fq{wcrI``<%V%e;+Wn*2Yfm!I)Vi+>)4b34DZ`Oih(X5PUstNb5R zpg-rA)&2qG<`?|3#@|j6y^~+A^}k73br-*^^-o-gmtXSBI{$P^+}-@L-amlYw)oye z_HFQAoR61#d_P29Z}KlC-|l6$&HgZ@=RSV9-G4q>ZRS>fxzoRn((}KZJ6rreBHy<0 z%l-aysT6+2FFX9b$blBt1wN)6eTFlBK4MJ; z^en$ENDEv@X?Tu9SeO>LmpJz@R+|=hjKh05mlJ~G+2IxX-7Rkr=UPw;kaTHvpv@b(8^9xC0sw7?*I z%={y#XhT{ckHY&C$81wtU{M%v2Yhrj-tB3DwZ!=rV_VV!=TnN_X6*j7z+S@s%-D{! zz$saHd&l=EGHX{_poB{HU4Gk>7C5N_Z}0o4J->oHqf+^R-}a{k+9-Gb!f)@u7s5W| zw~x|zij(g7aUjQ>HEAt@t7TLbQ<#X}YF8U5%;CyP6QC~jNp6?4(Qe0w;jqwGRQrgqfsh1h& z3mijfPv^IZzQBc42Yc{akuNZSO6(|}P)&t93O$fM8h+051^z+xD1G%_63$vz6|HLHNF7$EUe5C{C2G`K({+&j^wwszCe^xG>YHW z`2zbW&(7et^}fKVlrN+CZG$f`igM!X=~T})`2w$yFJt)ac3)sT)z7i%k0O0re1Z2D z;ca~SION3rzQA>qq6z7xczefpQe#uZ$}C9#@Kms-TfXbi0sVjMeF=CK)!qJVfw|ms zhI?6bSQ2hnG_pnkjZ!}2+E}-sBDkPYQ3Ikxr8QcVu!uoWpkl#2xF9NO&@QxYSZr0a zirQMN)>0SL;(~RpTmSF-J2P`H7%STL`@ZMpW(x#yr zF$f`-x;XE^J+T`{+I;tYfC}|CyKI42t5ovcyoK&86unBxWONA zmj&chPuAlV$+n*` zRap-7kKO0d-ec8fj;&AKHK<{on#g;{=k8prI`wK0$F=P}ijW3%E{o%NoE(knefE2a z*Aua)swUQ_owp-Ele#Ab(B4Buu&us>z!$JUJkE<@&><3woqhy^rf^E=6N{ugM zvf5q6zy?L}=U_VluUpPa#MXm9hF{A60@v+Z;ZflRJ9}eL9@Cjay@H7{)H4%Bnt!-w zMw`ryU8Niug_B9lVDB)rL>DukcK0}+LY0DFFv8<(&Z&n{gJ7h93e~`|TkTzkXq9R` zJ7zEMBQ$@NB6lm;Pl{Wuu4bD3J-0u%W2Z6A0g|Rx;q`?Td{5GhRoAfc2TPhdwUm?i z5N}-tdv+;9MoHZo)YOvz4);>9)~GU!Hd;(KsWibDuOmX5!wcD9M|l-JF>Kv%2bSj; zZyGv1P_50CjQ016hbVsZ!{Me4!JZAf?D*MXE<<>metiRZ~7c3flElcvJ=B zJdN~6$h!@>hw5?gyn@TTPq1v}5$YBcB2%>o+K z4>>583urXU*{vRzvnJ)Ut#0?$v#-^|TrFBX-lG?ohFj^qf{-ODaS${o%WSja&iC}yUh7xY2moQx9cgC*_t zEYqRloQ}5Cqa?yG7Vl_r-p+iE5hp*Fq{m6z#mwhq@$Ez3Q{}PsN-PKZH1X}vT1^rs zzZ|Pimt>uo@f7-YI0?yo{ln)`$~0GLJ(Y{Jm2SdCpU*Ygvz+m?eZkKFTt@8f>qQ-4 ztp}G*{bR12J)R#2=Mp-t4mk|L8a-nUqNI;tTh8RY%Sv;t*Ruw}>8H8W>kH}5q`A`T z*>s0#F7)~$x^ro+^O_$fv?|hE=Jg!9E7M%d^~EfHRhmn=o=f+4dTv54)s|C^?De%Q zpvxkp{O#e7hi{}!`D~z+Z=R#yfK8|WNE|#|xi11c%tu&#ro0b|lRh44GJWW@($}K; znZ9&~=}XacnSOLvrf)+=nL!zJT}^s2CUj;nkHTuxBQ@MZc87ax`aX1Frjl{$cn5^w z3cTf@!$CQIF6CpWvkMGlhkXyB9Y$aTG-h|@?KXWh%9P!WQ_f0HMR~JTtcRcGtv|av z-I+A!SauIa57S(=j60Y9C9G$w7a>kX`bpFwyVnHNsxtjVHQf8~NxdrlUznxYed(@E zPey&R`_X27`Xc7FKiv%|=bi`;2;PMwDt(7v0*BcH2P0LQ4^r8KIsdIRxAxgX==Rd1 zS*t@?d_R36W=*!1?u_N!gFo3(H0RukOZM=6VWvYpS|ZGj{yt59!qSYn5LYXGINf87 z8L8n>+;L>AQU;fC)RjpSrF9h%ZyU9x8}dUJYL_R$np zctN@f^ECSdx)-H4;F>+>FkF{dUGGI9b3sp=$<4;C)aG%LrP!@OnE+o6?*q_FP6;z= zCoZeyaxfU8RxGkJ;#3%IWMz7V*&klVT6l<-Jzr{(w&}irUFE0m=UA9_E25yK_$O{8 zrgDPG2yHqG&R)6fOl|?w)$FYc??+-Q-4!`xXVcp^15YMp#tb}}lq?cE-?{y4M4fYw zu{7_$wnu8dEX`h8f$p@@cVP--=NDL7z~V)g7SbJB&ReY3k4liXS4H;nOKC$&u$bv0 z8%vChMvk>BjEy_60A{Zg8>VDe(VerL*=Hi)>H}!6F?(HrCt6dxr3JOS-q`cll{at- zWYX=ih0WebcZHc6H_=_4uHzV8M%!c4B`8t$X1eRrCo=!#bl0bkz%_dd-3^vA>okB{ zuQ2)Fekt>pHo3E)O; z-QxDVub@5eH~F_#BkBVt|Fv|lF3A5ulmBTP4Zkw^&t&_rG5KGQQJsCrDY$o}DHj4R{oITKfw#XqtP(Wrf%?o9eo%);yo?E5gif=l6_=#O!-%P9aao=JOk z*}wG1PRfkCm!rHnBVJ)T-{kWu{WED9cN=LVG|TC0Y^R(VGq2NKm6o=7gK=up(l&pk zd#vUB@mv_)^q|S}t!tU5)ZrbIr;L-$94Edh%ey8|8TWrH$n(8|Jl{8YNiOBP#;mE7k*zDimPU`uo$y4(GjBY+Jc8k(J z$JyOo^77Tx;{bX{tc*Gkt)1;@%kvhNHQrIm@A?7!O6^NvrgufQm(3>`W3N22$6b83 zw*X&t=gQy5=5n7=WdwaCNvKX|!TQ-XNS}joF4Uz2W!(Okaqm(_+wtl=81C}HT%C8a z|HRD0>;QW%Jk`O>&%9|e_mJ%1s4_ej$PTf2f6rLub>{(9+D9WzZ$G<>eMgdbm?=4; zaD5tXFJ_c5yPLfUj_uAq3pcynZCRqW8-Ml`>?EX@e7Rv|M@VL{e_#U=f5-kM6X&x3 zWe+cn3s)`iqr&YK6;3AK-V%~gGW+(CkkBgcbPg=-YkveweOj{n+sBvTvxR4U{|qZz zW1ouA*snX=;Q+gp?jufu`#}2>y6@pF`XHM(n|>osg!^EdH;sM|(tU`{1;5|3bRTMS z@$Gjf##y%3=0e!7BggJ2n~O)kjhu^z+no6QcHta3!sdkR_Y=k$ZF8dZ`#aMfDMP&P z=_fQ`Ct`C!>vs&-qN8jsSpE8P039QPuOCl`vd7xf(Rcms=O7wqKSlTTEYI=wA*gJ> zN$jFJ>DGRe*cT^AFG0@??h~au`n}40Pm0Y0$ zxB0**50BZ2(u$V6`N^JU^Rco;9?G(lB-V0yD9fHMpjDM{9-LwGae9@SygR^TdnG2> zYB>zcHrU)OKcY5po}MMcd!5?68^GB%H`Bkvh&~N@cj}G7-05s?Y4~_yb&?H{Pci6^zry`Q=!Pn3Ho|mcq+|d#g5*)h*qP>T@40Ev|95tJ4l`n zu_lwY6inj2t^6#Orl0+LmYMEMaDn$ZfV5Q5BnJ}FrgnXPcHgEJ!ga)2)C3x@1&w*Z9ZHQyeRJwty;i5kaFw!_gv!P;i0$9HP}IOa&{LB_XwH{7C?F?Dr8e zR?Wbq4(8jP`(mJ|7Z|e89t2RY+Oq_U1T?5#Y=y=4-Uw+_H?lrU#B`I&(7;vp2?%Lc z{h8((`y7A;igPbmYF`4dMBPo(*V`)qS`=quaD#*_SDcE$jRIO#HKW~ZKaOat6enYF zi~TOZYQ>c(Xtnw3rwEQJr&^Nuq#b}Y_|YdcKD|gfIbuC+u>3g_np-U%h=txOa^J^S)kAk z_hpzCl(WO{vGqGSn-ErEhfi=4c6IC>(MxtH=Xc$l?SQK6@HLM1a_2yxYCAl?9ng-> zM4%cw?1Z5e40PrI)!Jb*n|+A09B3?F)v-FmoDD#Ab~u}8AE$Q(I?E1EBRawv57c0X z&$DE84r|nimu#%jDT12pkV|VY$ytn;&35>b3)JYW1X^H+w_^STQ=Mmlme^J)2Wc5s zIk|0O)!}e1d zVKBkBBxUldB;|A3ru;uMh|3_yY#HMiQ!>3${wHxTM{zzF3A@2V5^@yB84Zm+Ie?9! z!e`qW_?B`w=YwGBRQhsU8{d0T2Kb&2j|#du$W6#d^kx~ig9yHii8!!L(Eac%<840< zzl-4<>jmLVS|%|gBTq9D=YZ^7Oc3{e=7C(IM=?KQ%;UZ)rUc|KNkS=1T%sx|cR6g7 za&nn0rO0h(Igv3r^V*D=&rMuyXSs+bIA4v4d_H;CmI=|Ye_$F;>#t6;O?jtbqLeGb z)^_qa7WUWSh$+K~vux`md~`z5X3!+p4l|2*ykUBmcRhqJV>nk6lb%xzzQ2J-#Tq!d zmPiOZUtm-&Cnjn%=H88?a%mCMh}s@*8Hjr((Z#hNp^WaEa34d&jToLOe-S{+@5w}* zR$Pco9)%NO6oZ*5S0)q8!}qetQbU}YOI1N|RLuEtFxRdE6-YmsOJ_TAi+m zL->*>A5un$(r$-c3XUiNx&|KZ{6UjbhHaENrk@^hjqc&CWrkq`s9k-4nF2CuDmFgB zECJZ)(?W2e^8&1;d|SGj#!^1Z5^zNDg|wW{l~@6viTL_B!YQAp=)qa=;s3;!htcK7 zaT_$vor8dOjkt6j2ain9x^vhcy$iRzcX zz#O}I&%;NK54q8lj4KeMGRJrevH3~)pG%s3rO7x?QvQ=n^C+CT{t)CG3CA?YD*ps^ z3Z{4i;n$V!T=0GG3;=ZtoA4ZOCft_dNoUX~?^t}*#3bi>oCq1S;XKcqgJ_{u%Gh!n zD`Tj19&)C=l+P@{n?1WHsBA;au8>_yKu?0ffFoy2uF*vuyzn%r^e`rLGx&}lBIAKjvaI~p2_T;*;dZN zg9gn#31}B?8=Qr8gZYisp2#lc)=fe!@NY;rB(tagZcjnhke%_@zTo5kGSDhz;mSC2 z%gE+4_o--gVFiPAh)9bvV7lQY5Zu-dxOf)DYa@p6GTbZ45bj)U?8Z_Ve8E#>2p1W` zMTT&ZA-p@*mwzWi_--uF|FaC?XXRXG8rHrdLl|vPWC-Vq4B>CY5blNTsm0GqW5Lzw9QSBCJ;96bp`crTzlL-^%BxN&SNL%85BvlT{7VesF))xYgjwEyogrLM^#3SB_*|6sTQP(S3b++Rcpp5nCJf<00GWRqL--Bc zuD5|9ybkyFgdx02`XgcpH{mHRVF=%kkhU4Zq-rG$;oboM#~H!}o%!t;!kkarz!2uK zTEY;1Q-+>}r_8Mx!XG0fVF)u#!VqSfwi&{|#*t3j4B;=3CSeHEbixq!aE_2LgiCv3 z*d`2N{D8TDA&j3t|9cq11r5E;3}M!+ZHDlXm=6CUL%5(o+sqJNhSo?J!tda;qfLe| zKdF^4gf9k27{UYzLwGgb!~J(Kgn5Y6HbeM1gd_}Mf|hM$2p6>7HZz3ztJH)ce3Ud~ z#1Q8A%l*$Wg#Y)GgP%ofMdV5gf^bhkwdCgCZj1rLV14O%dx$Y~*I!7HR> z1+-xDZ(~|8@3G&27R=}|Etu}MXu;#rHZd)jkLNKhnC^c@3m%4Wp#^V*BPxAN3+{s( z{Xq*ab7J4O+0VXK2Bk0x>O^?wA%#_qV16lc|RMZ=cEhzf21*$bV%){&yARe|JIt z-<%dqb{uKJE|_bkJ?|@M&-+dOt<~UoJz(-*OZV!6{2w&=e@j|08F{1y4`Kd>7Hsl2 zv|uh%2`!k6J<@`YN#Z_T5cioVuAv2!l}B3eZH#MZ!6xpvqy>}NM_O<%umB@kaFjP* z6KzQgrhi+sV760C3#R*PX~ASABG0!jW1fZS}?bd-;fr}Bnd5e zq-;MUS}=jIfebB}5#!al58N>=_-STNT5uCQzn&J%`+J@id??ZgE%=5c@z%89d5l6@ z@CG=(DJ}R;CMGSoe`#E}Z%GS&6PAP)d<33#Vp{OA7>zM4_$Im|TJSq`lYR$UFmE<7 zEtofrm=??hKc)q9@r`N0TnJ-YFc*)Q7R-qs(}Fo6V_GmLN=ysp5RYlWT+m`#Fc++t z7R&b&5h!E0IOm=^pumYD?Vt!cr0(k`S0cgt-9Etu94TJWVv z@%6Oe3pul6TJQpZgcf`iKtc;<_FqX09*vXTHfg~pBOsv#{}3Rd1rsE+;2#4dv|v&y z6I$?n00}LaAfW{_T0#pZzcQf(GbEt}zX6cYg8jZc-;HR&y#W$hFhN2K-VGq31=Dmw z3qBGd2`zXMKtcTIRh7_!tAVN$TJZ5eH3==4%7}Sd@HIeV6I$@^f$9=k@b)|+OlZMJ0p)4I ztV3f$3npqxXu%ICCA`M+Zj$wnNrdET#3 zh7kNt9L#~64@O7;4@t;T9A{J!dvXAqG8M8RN5Z$1!#N)WOUKfe1J?N7i&lW|S@00% zBnP<(slq}LlWd!rhy&XM-H$X|(1LGfILCTHxNsCDCSAzmVMgK{FqA?G;@-a+rdUDCzr`m=#On@c|2os=Cv6!pPRV0%q?!DIW)ofYE0zw z$-A~ph+;m@G@RC7oo1WzwqT-^E5g=x@;MfAKWh+Eh7;%2)=Bv2grc28lUzH@Ecy~H z_$r2T{V?e{)sX5QcnB?+Yl(!w^C?Eu)#g%%uHIyp|wrK%t}D(0*>m}^&o zamhitRxVq16AE=hoQ|tpK{|<@ABp*N`L3c17M_nSaAn-uLVo)E6APtCC=J6Eb88Fv zbcI9X_&5odRg)y51^*}x;row#NEsnYo5n5$N0a~wEx0qbQ86vpoXvkjTJTe_7Sn=h zETRQ-MDVSyoX`^&Uo+QZYUT*=UFHw(^$7JMr}+q7V^hqt5!v*_)9g-gn3 zvXuWbdQg+5fEJv!@yOMsx5HO{S(om<;_k$AysmxO8lBeAxy$)-VN0zQ+Vr- z~2! z3gfKkKP)3$WC~M2xX2X#l7MiLDU9R!NIVY6@OYe< zXs5^&?gJ8Ikttkc3X`&1WC|+~3X4qPG9eNdnZgBpV30qHOyMF^IE`Jy#ojkz3it0v z5^oPuY`5mK+E)(&^>u(yY8L5I^qS;V?EBwEH05^dIUX~f!u zi)a0|T|%NgEh5qWWPgO>-xCoJ`1$sDF=G4YlS}KgA42H@ul+SpL3aH1OZdlbHw|I# zK`5}(kL)7HDH#opx%*?rtvDS%o>wyAj44(L-R_ZTc<(fV5&e==09+>p_dv3i-A%MO zZx)>gL-E+TgwA$;`xW@(`@g^y`OQXtDZ1Sy$inS@BAtgIe=n@1b1aN{-Or`dgK4kF zTsofyL%QSyFN8wWjuTjp+i+=rxs+r7p>QrXg=~Kr3g!DFKv8aAiAx9H zU(KZ7fjhm)!*AC74<2^F67N15@jhfFE!7##=6`xOoW9~m4gJsP&Zsj{Apdi^L-ivV z@V}rtr^cZs{y*rh&?`|6-?9lR_2sz5__ly5{RrB`cLY@H1<2F)1k~tfGXNz5YV{jP z>9-RwR$t1J`1U^#yH0P{7odY(g2t-X53yXzW`P^@K`dBCz!ZHfU!8Wce~geu?IW_k zojnYoNw@0^u)Tc%zzn^SnTB>65oYT0V*z^F{8W0g{vn&JpS={(7U=F8V35r|T%yYf z1`BA>6$C>BEZ0K_Dh0IaT?uxv**&ZDkUao~O4(NHhgs0!b~*BS2wy$n2);6%LpOwe z3oEI<%SKvCr=^adnd@0yU!4F(xxegmcxTWcPq6j;@1hGjawxIbnZ4nlFMCsbcaD8K z<11XqsnbYizNbz9PMcZ#YU93@>Gl$L=NdG4>LTXZd2jS;s#%;=SW3+i=Y@x05Orrk zI_{197b0dCD>a)5e#f95^y$d%UkQhl%Cy}=R?1Q;RBwyFV2Ve>^_w;4B2A4RLJNNbPP@~{d$Ay86ejDWo<_qYe&!T}J zIm{r`B9ecZ!`YhC&!NeKMFRTj>1c=G$C9Q(|ALgqs~xt=Ks^8{gKHf543duIb~)H; zw8pFmu5$=#^#^F8phXOf)lV?ZQUS;6br=c3^#bbjBD8F9gMjhcLh}VT3aHnQvD7yS zn56%TF%T>h(4cQ6xLLpyJ%;%#7tp9jp>2a(1WeWMGt*lIH0g4txlO<<{Rs=YLO`>A zkxg~GfO)#Q4&V*}3-rOD90hj@SftAtt<~Z82A1fFl>jRpeuTV5pGj+XNwgdF$*j-a z0+#EeHNZ~mZS!0I>vY{SxevkYPvcoPc=i`z?tsTD47X7U>{8*Hl z9rT2tW9@Jp>+q!HP-lmCG3Gi6tG7dbM>cp$&?NjQnCNLi4R-hj^Ltj%6g#|+ZTXy_ zMmxL$vpaZE&{R8YW#9bS*?^KY+2O++Y#W>pftu~`onb(KaR#GC3+#~F`rsw0(Goix z%=})KlC{|3i6;ZS;`HCCP;Qr#rqvFQW{oyV*s4Tsmtm{zkYB_JUU%*Wdc+P7V9&lG zXq_E)I{|2u!yD^*v>AKlO+g#%u#v6%mY~;Rg<)?C+H8jivVwO6eQbwkvqqZ*Sx$Hs zd-`2zdEW`CiW0o%@K%&@!p-c>_a$cNgom)rJ`j|1!nI8IcUdheoRDAb4L&hzhZ7!+ z<_SJ?DpB{m+%DIZDknUXb+=uj?>J$WE$9lWcEWKSgY5xAX(G40B#gLKS2ba#c# zyxcBPUT&8QT%8l{fTc7jcZtS3VGVn;x1f3_?3M!RCukBxd)Z?Zf*PFgT8^bbE~onx zC%l_=7~*aMYIMR%TB&ro(l$Ba57;g{3!3GGcd}%=2x@l1H`%&F1k1->rh3!^fkY?5ghIEiz*q-uVe(rN})r2KBJA3_Uoxe^G0S z-dtGp=BVi0{RbDjLT=N?vD@Z~{fw!{JZaNVj~IlIOXbThIn7Tl2J_wbF&rxN+w8Ih zVy#lQaQ-cHXQ8xJS|-OLcLi!#W4ULt)dmejY~SbiU%EEHV{`C=`xeHLDd3BR1$;3o z;AMywY;d{X@pX^U0Dp1$EBcIXVVPc%1_<>N)c`LG$myP}$19R^g&xYa@>S`AN-fph zDAldf{dou9?7ojFR;{OVkiI8%uhC;@-~)F&#&508a-e_g^21JJ^=6K(Pu(@rACcVd zT-3T=58}ACy+;w!pwDG-Jdcy3QS(#p_#8PU9H;6g)~B6E#o#8*kF*EvJSE^8?w))g zR;La_$OwK;3t(K2hR_gWan7zD@(EL@}VxR9P#jVy?GtK^<+n?L9 z)0pM}NmHwzVVdtrnz8yCR{mf~Q>T}55+CC6<4pB>DMLm{-5Ruf(C=_B1#68u!)T+$ zbdydKjPW`mB$3Lu}%Xfm5B8L^J9-Xa+ zoQPib{d!!xo(hkuV7z=6(=_xcg$;d5VMEtP4Lv0Xn-e@vw2a<^V4~D4)Rn^kCV9L= z=JYfS$)LgGH`6OLKl~n?=`rU@%bkb_y7Fy+6vaGzpWiJiA@0f#87&Vk@pyAL1)5)2 zp!tObS`ZbekVLDyvi?8vsA(GN$BzfN%;V?KV!2%oi3S?t~`<#V7_ z`eMjK1y>2E)|YSry4K^@h->tZc~81d+Ok#;WSZ-}FEAL!>eU?1H+kG?)#=9wmIG@5a%x+M~j5 z7v0M7_n=4pu~0{HyBvQx%bkF7=%JkcE+4n_FxK4tE>i2^{En-80B3ZSIQz1cyGz`? zP=CFLICrLn5fY)5z9YqXFUQ56;=Bae>b>4Y8@Oj;eCz$hxg5tpdVjHCAs>C9IH#a5 z^g-gBjNaD=iv|7!TOTUU>1a_sN+JwnA&(ZP;nRwfUv1LkB<^D7cCz^Pq3@~kFiIH; zeVX|8XWb@=b2EKUmt_2IuAV~Q^hrqO>mO45LUFCuQ@K=IstFf;K38hba>vv51@{7^ z7?H}m8Cd%Y<=u1+1F=TWpp=F>f-O3ecQ8wF#n-dA;QNXTzP^y|jN*E)XVV=jF8BH( zx^s%Fy>6zvLUFOzbLg&AT+#K#EPj>Zg0AP%{hglgBA05*El2kHS{5+HBKSU2`97P* z_sw(k8?ff~ABm%ZD+eJkJs)A<)Am6@)bX&A=|iWbu0_Q&ed!L>rD(KFKe{W`ZO9@s zh~M6>QIoOR$PDJeRIM6`>696=JKST{edxSQCF9gt9XsO>qsR$_gK|*O)%O|d?g9hZ zVc$b&dIb8uF}o{ox9Vt=DZ3k|oTa9syxA(LhxtPG?sR9&_m%fx^w4~GS=>4GOIXiV z&qACE^(5+$-HZC>l|~bBA3mv9nJ=a9OLwiBjQV8vqs@AC5%b!g?go^Qg1{XK-i0G7 zefpQcVfMhmNTv87l|7jA-%{M#XAhybXFjq=IALQ95fCR#7s zz`H|};_8w;i_x3auI!^JtndO=g}%)GfbKST>l@i_C+4RP@>)E?99nB{m zvN9c6BzC@g``HMdbC0n!k7~C{)I%@b6^&sjQT3c(U}*u17g<_JcWAk9v06VWL0T&B zl80(au$bv08%vB0qr7Wu+<~Ppd!^VgQ{pPRbCx^%Oaxqg0PR6}mkP9|c1sItcfGM^ zly^A=GO9hcu-O~wt}s*MCc3Lt9mnW0+8(P)P@?S3bl0g9ng4RS>(vpsW^bXp0czi; z0o;0p$^UjL(3&>6v!G2{3-VuCkpEo;`QKfT|4$0?|7k(~KP$-p=TZJC)_+wuG6kRwL>ICjYf`uP(^{L6g5Iy8g=KKa-inf$9cOdscx?$ZTvpNZlc<=y=l z7s|Wjq?+;doQcaPqU;}8hG^72Pj^N=dKmm)VBd#o1((7<(I4Yvms0>d*!gRjL=T-V=l#IKLv=N%+^fk6q&WxGY>8?`JHg7Oat&+C+E8Sx)_mAho z=%xovo^MgS*4Tc>n{$d=e* zQl3Y%?QF*P^?zYAm~Ag0rzt+4^=-Cll|C8QY)als!0ahp20GZE&@7dAzfZG#jLvqH zHPO<;c~dj5ReY;_?IfVAJqpoc6r?JXf0EwgVQ3Bh-IJDmed``RDDk|^&U zkH?-^d6%N_vGOiu-DBll$~wi$yS&-N%DcR2#LBx|@MGm&F21qyE*HXBd6$bvth~#K zA1m*2LdMFwoG7vKE{AxmyvqeGR^H`;6)W#@@Wslz)9H?scb}p=Qrd9u$u=!NGN>AP$V6wdulWesdhGiRUZk8X>8(=bfmJIK8dh>1oXWQIN z{|+PiG+6E28#|&-XLC!_87`}nY=~T^1GX@GmgB@QQQqb8p*|A(;-FNHJ}_FaQ3=Wf zczQinhoG07Px$8XJSRs38GUsPLdwlKf&S?Z0KL6UJ7H4kCy`;$$Kzv7g&u&p7xeYG z@Kl<^iXFXo5v@jVLV1G$60O!e%?|Q7^ROl#&+<&-zO9^UyFowu_bhX)y!$!JT&TQj zo^q$lL$@gJj$je`+kJD}pu9_KiSq6hNTIi9_QT}`GmaUC0qiQk*UQlr!EQFib~CyP z>rzl2RO;T3!p+jffYZPU_Sy- zsYhc25S%TbN?%0KDA91Vh#?eQU_XawH5yX^U+R{ST0Q5@iN2eeUT?1eXwj3-1Gqs#mg`Aa7K0lFwCZX`yV-sm(N^hKY3&yKU4Ye^8sI^z z%~vmv=m%-~=k|Djb()Ic!5aHgfc5%WhOD)p0@$EO5Ik#_VBEg0?>rgc&-TFpn{~%i z0N%2v0wl`2EdW-cyi4RK%Dbz9^2)o<0)>h4E>SK~-rWRLktpxlJEE8DP|okVIoknM zCCa-80#zre3KN&8JxYg-8Elkta+xfp$Zcmi zkuf>*+KidcOUyX@;K6%%c2~og5Fb${mSEt#gywfmI$`xU2JNX<7`|EJT zl;OmAwRI9cI-zJYXp(D(nMHZ!UEcK&zKr2qKTLW~HTeDp9u;@P$+bj6;Q0chayc-vl54Q}&J(K9-+K*61_f5EuA>x}Dp1#jhOW*IwM4VP!h)f=Z6JZpC znJHH$6D)+;$WlX`noCtda8%6saWL1e0^^c{bgf*r>?RcIjyN4xxq@^OJ3kWh>GEAg z7c6XyEpTPr+Jelit#ba7g$g8;hT)32wS|1ng+pUvoP^7&NfND2*Tf-w$&(K$BSdNW zxda?h0wl`2W!OfUWBTb4*C*Kvqk`lyHNYU{u#NhuZmM>z>vGVR51e7%5k|^)4 z1TcHH>GImvGJCceE(3fRW$Z%bT~wAKzU%^DK#oB-?|Jyh@gX;wl5quMROT3uANBJ6 z&n3+uX)?|e-+z*6egS6=PrW;_3ey~`{1Xi4V2U>oeu?t#836hgZjsONX2Nah!?~eq z^f**~-NYp4dYlLuQ~2||Ifw@3UB))by9|}iL(a74`^>`kA4T_-kSLod@BS1(^K3Ji zBd_vM`U+_gVB3eoN8!nauYeq{oZ6^dF1oEW4E1ZcS~quYPVo%%?5o zD^6!&&46ndy^LS-a~AG9;31-39M|r`RnrEnBFwS2?!wk-1DXlTufrPPE?hos;99~y zjO;9|8$?arH()>IF5qc9{tf=qcjYhkKj!Z$1P>fB4ypQc2ssOn81h;aC5WOJqXT$5 z5lP%3W#2PtJ8cnRXA@y8dU7?wnXmMICo9D|S}Sq!ER{mj*mF@D^?Q_+nz=I$GUn!) zB3hf+4CKc;>qjEQw{UzhF$-rlYHxa$SkZh$+zC8YvA&KTki|Hb7_)qy?@YMp-8^< ziki7a&D^49?(SHVQeR2;<%GpN&C`9`@#H1d2Hn?)-_LalKfU%OxbIp5qN@kwef|O~*%6=9%?=)UIjM$@qA z53Y5%wAHAH?n_XsBDyaPj8#vdI-vU!9IMu0=>XlApiV_}UxM)}qWcomtBCGPFiAyp zUxEe|(R~S~sEF=M(5NE1FTqq5(R~S;R7CeBn581RFF~`4=)MH=RCOK7c88R0fr{w9 z3|XYg+aaXYc@bcVDx~|WGqEg!?#pO5s)+7Ouv|rSUxF1XqWiLST2(~%C0L~*x-Y?M z714bO9#Ik9mtdWW=)MH&RYdnC*q|c1FY|d_MRZ?=Y*y#8Pw$tqeXJt7FQfg-bYB|D z(|y@0xrFXZgtLbaQ3B9?SrD8(M08&woIPZ@Uwl+rvpS*s(k9Lx+NAr^CQc%@f$qy3 z>i(C|eOaR=3Eh_^Yf0$7Ma|rzX6`VTBP37vCCbx%W%O(l-FFQ}R-W#=^G=0yUw+pl zPxqCtN4b`hr~9V9O!qZ+nK6aJvtwLymx<`U{7|K@BD(J=_E6$>3E>0=uaN(^?8;&oVr7CSWzI1=5=f+MXAvuQQTf*bQ zS-7Nprb_wzseej1zA2v^U&nBK_eEfbh~rz-%q?o>g2GbB@g*0#sF{m7l1U4TvZ$GR zGnbRPwBh*DU7tPz*X%8HH&~A0_!c#Dg}3`CKSrMx2Iymaz_8MW<4Z9o-*ODcm-IVw zeEG3@6Zh$YxX(m!4ab)hJ92z)V_e~2ZW+#`WfC(o@-QQ1`oWhOnILYD4RuqZM={@I%)`GbrUc|KNkS=X zT;eJz_ja&RIx{gOMQ%IG;~BH4nXAwpMa|rzW-cBwmVsYuW-3WNSOkiixm$94Q~nCP zSx)(6F_lLg-*xZ_7Nhm}aRw1}tw4-^ImVj}>P*W2o21!ST6B$EA7YwE;p_@Z>`tU^ z6>xmZ;g@iHPXbU8$F~t~qh?Q^7&J`cC+A2IKH<6s5Uvi-$XOF(}!TQcHV`J)ISq~PFu=f*KAE~wXePoELbC- zD|BC>^io30mb;NqddUv3dr_r~l->b<(11Cz{N05u(*{nk?B1jYI13LNbTob@YxiNE z&cfP3$6zGeeJLLW7VltkfA2(QDK~d!31V2*kZv6i;po4O5@ZcJ0p9j^SWIW(SfkO) z1k#<`SuRUzy@`uw9ga8=X?I7|jilXxWx&51z;oq43gQ$sdcpcGYV?9OSk&mngehwD z{+l#4pB;Z=jox9{{4KY`dDxr;uZn(eYogK1 zuvPzuHG20z{VJW9w0k<%vZ{YsqqiD1YoV@-O4tqN2JkvIi6$_joxPv z(zZtLK$JSs=-mzA|F}kPL1%tDjb6^D@ar{tZ$Y#~qu0h$dfOVk8X<{BFViF%y-d@# zM(-c-sNA+jZ)c=QG}{xQRyZbpVM*FF~Tw`+F$i{C8;dzQn$6 zQ=|87gd`fh1TEXB(Ob}V+pN)h5=T<3(R+q8WTer{@t3py8#H=Tat_tDQ18t+3(_@| zkV^GL;oSfAYQ39K%1Eu3i?*ejaM9;;%}&&Me*=(WM5^_6$8z#DYQ0w=N~G4yd)a?p zt@l&pQXQ-HvVbWT;Tx#+4#EvDQtPENR_moZR_mp^Ew$c}@Q>Ac_kp_&wO&S%-~Cgq z_dzLHfm-ho2#wWxdE@;CYQ2metM$^|mRj$*Xq#BAm(T36S})!IOs)3-gm?T5ZSg4_ zQR!o~-YV<@zO7m>%|&Xxhrmp%);o~Ysr62UlWM)(47Q=xOYeWC*2~V1)OvqyEX}LIL4O-+y#@cpt#?o4MYZ0KlX`w?@|65PqdQjXrM+0Km+n}tm+tCBt@myi z61Cn#vGa`8dc|a{*30;bS}(!2sP%fVCThLYX!h&XdXGW0Sgn^yM6H*b;jdTgWvoQ4 zSDw6Z|DbSJtk%1j_Ndm&J>@r4>t&Ket@kk5lSXR21O;lnj2N%ZRdB~l-q)Bp)p{?7 z=hv(C^8TJz>m83YqSm`ANxZdM?@~sgTJHyNd{edFhnSdZy?d6%h5MFjy*3PpTJMCi zyjm|m(-o`r{*rELWM&VvJuIrRS}$)lv05*08nIe07yMYQmy2(#*2{%3R_o>B5v%ob z;>T*eoRG0vFDFW@*2^IttMzh0i`9C$V8v>^9DK1_?_9bgwch9Hj?{X`qS<1#UUqA& z*2`Xs)q2?-v05)%H(~M~2oS6FPDf)UYQ21PjMaMCiiuh;A21WOUdBq)dI{QA>%9m2 zoo}Gl`+em1Pt|${Ag4sFmrr_Muhz?xifvHq{Q$>KZL9Titx42+KSi{*)p|Me+EnZP zGs_&S^|sHU%x$Ul^6|S+t#>dY?)VEFJ6k(`7e5rVcYFZc0B6TnP#D)LfAS2RKo7BL zEm7;efu_G!t@ldK>{zY$CV)h(w-q3a)q0uzSE}_+#Bpz%YQ5(nAW`dG0FbEl5+rK9 zw*e$-z4rnnYQ0YbBx=0`iCQnCC2GACiAdCX8Iq{=egTlE^_GJ7m#Fpb29T)r5+rK9 z2LU8%y)>Pu^`3^1M6Gu^K%&;W7$8yW{TV=_*2|DYt(PEC>wOj=QS1E_AW`e>*RMdW z_Y8nUt#=7PqSpI7K%&;$5t5dPTJIQuM6Gu=K%&-rD}a@#^%D7sTJKt*yjt%Dpgfb8 zD3_@9egFi;oF_V9*mZT%JEE5owcZ?1Rif5A8mKx^>zx7w#hgwUTERf)G9YN=L~6Zv z0gX-6djASkm#Fm)B|9Yd{n zatdQ|=Cv6!pPRV0%q?!DYiWY>)tJcVlXq>I5XJlp({NgUb((F;yDLnTaz)tMPCm!N z{u+mvGSE1$wobxFClqZSO>*rpv*=4|y{!!A`eD*@sv*@nc!*jr*AfYV=SD{5a$=%J zWA4*9Dwh@+IEb2sTL$6*Npx`?N+_fIPTc7c@k0zx-@lQ!eSZfg;?RayRh*8iTtPaCogaz$bos8L z3l=uV7PvBQZ6QB>{)vTMkWd~C81hx7AZPT zMGXFrV);Igp<}h)%Meg91D8at_a1;Y)p{8~wO+>FQmywvhHRnM`zn0o_>db-$(U-r zd*m4JDja@cVd4%@bRvBJZfUsZnC2I7_D9gxYQ4L{FJbbMj~lD?UIOlK2o(06-Md6ER(0Ycv`Wkh%3l8C-r#+mCbTp;w_+z2#; zyYSv=hQC`*Mu@Yp+VFRK8~*OTgEo)_+=p*p$n8CgW$J5U)(kq8sGrg6J!sI8L_1I+ z8a!U%{r(l1r`*+Nm%wt&`yGGzQG!_49XZ-o12Up?dS5H`N4TwVxOmo;AoE6i-)CT) zeBYH(>Qk_2@m~oGRut*A*Ptyb)#VD!W(%lNkDzHhM?ke&fJY_I6Hud`1<~6p5m2k% zKuWKjfU)Y*_5i+p31Zi&?Xd6gI@p&1)T@VZbMusaK4LehgHiw)0aMhm7=T_Udl^C+ zm5+Y$wzCfeXj1Jk+Pv*;cG(QIk(q|}6|go_l^+Yx)4m0uS^W?Xa9%%qC88}*-O=aX zAp2f`C90fYuz(g-K`=zXay5jYQb4QPm0%Zp5YnttL-qg|DrH-(9%ey@+jka+o5a*TPDszsp8iN~e`Rf@ZE~b^Y`S^>8m^Z8Ko&9}B;f|9c?$tu`&QWT_7Zo>UkBjwCm)?^(Do%4G5bz?W5p|J z7AHTwSu#hQ7aoG&40LCi@V$2|5NPVomfH(|I{l78J?ImxLHPIJkOJCPCksVsf88F8 z^%VM}eG3hA(wLL&moB2yVT5H8;TcA_Ln3r!ggY6*S;Fhh7ayZ=x)7as;EB)Bg_3P=W_8~xt6ba7^4k2-=Cy)oaHi+Y~#OY|Kl%;N4BxGqt(6OO~~A!sjXUneZ*RMH~aS_7Ui z7VjW*2ke9+s)X-Ll;`_$ajr}FzC`1lum(4Qptnm@?}XiOqX_y5nglCY#)Aq$4NiD1 z?!Lhwm;25sPIx!g^KtD`}-t#CDsU@CVp%1Un0w<%D;#WV;AzcEUGtCkTcL zn&*U{u|`FaUNpjFw}|{su z4!>7i?nx`uP;9+|S7n41MS3Z6PkF~6<2{4j+~cYXHv2(Qq!)~|Yq&}s?D3(bPA%oK zbcpwB9Lvj6c-G*nDLL4j;Jt+fJEQg>m?$+1m3;qllE=rHoSKI61P$JiIH|5MzmGoC zW6qV9GZ9tOm2U&c_nlJy-{EXW+;swimIs%3JZLlpnqOF;`Go~4iu77~=OJjQqDU`D z8zcZb*JIzH4;ANhtlD}Mm!+aeFIqxWK`YYFVUiU^da;Zdt<>GQK4;P)7OCtWlwt|f zH5f<6olE}`2O`;O9%odfpTw$`-D^BnwMwHZxsOqj6!pY?>8?#r#!*RjKiaHMUxb#< z?oW3ECg?DP2Lv18kh2-b>hMe8FneGhq)I=@=m&EvZl$Lk3HKp%d+E_Qh{+zxy@#JZ z5qt7%E!`Q*xd(r;qiD{#6_@PcyTeR}dQ5;YJ9;8b8fx!(a9U}8o-RAqn2{PD)dU|9 zRvBzw5HS!XWzv*C7*}TmDw{opU&|Afjiqo%(G9h?3mPpw<0M2mO_Ju$In~NeGFec_ zqA1dfHkkbeS}Bq{y~qroh9moHvt&3!8UcQDDPDY# z+FKOqW!wCfZ8O$#{&+6Hrk`~aI`LbVfjwvhfZyS4v(kpzOZ_q52nN4P|4iCYdubyQ z27XU8Z;deU`(`;e)LzD^P0!?-{9%;m4if=B>W{og?R}Yf3U&Tdlc%Bfn%Ul3ji{f~ zUM9Vk?l0)BF!}t0?&^fvdo2tJwRevm*zpQ^yTlfg>Io)oXEVO9iXy%J?2$e3V1b(x z?=htWhT6+LUQwhsqV^t9Ks=+UsKBiK)Fa z>Av%1#ILnoOuCrbONH;4+DmornA*z;8B=>XQDSN@hj>iw<$@Mdd#NfOQ+p>OPE76n z0o@U`_cwG$)ZTs2^)a=#N!+KQY$w<^(Cy;TFMFcBf$mpX=9BFHr5G^f?N0?5Zx=;+ zJvkguKivWQy51hO({k!bv{2B;|YMpf}hxT+}9i&j`{lP=k)ZsZC2 z68nihJax)oRS2%Kk3>kb>W}FYTw_lHSfDuff~EEhfFc(XtgO&`iOdvrhjf91+Y$WT?*FN)Dc~; zo@K~dn^K`0)ChuS?N0z+S6q&QKihj_5N}o;PXTz#J`*6J_FfKPCDdLbKcV(==;x`u zl;zD+dy68y7zjm?UcV^Pi+NTQ=@q(aQKYvh((4vQdM&eOo8d0QX3)oj*y;FNNbN0( z^jg6@k8iV5KJ|s${R)?qPi^0n|1)|#gG>K=@H}PrBr9%fKC6B8fdfG86;0fpMiaMY zAT@N4fp^NS{eB7ex7MJwc!INY+-y4wj~&F}PQxFcn~aJpfI()}mEza0Zp{$yOY58>;(!5oK+lzFc|*SQ-H z@s_HGPcYY+1$RWxxy1Pi4iJ3xJ1lF#r4EnxGU{#e(dG;2qRxU>@FRyAgo<>7;4+8D z2RZc|Usf#=&{s|8lmCw;O@;aeR=414him3QH2^7tYaDaJF%64paILULYm~;JM{u2h zTJ-@Rja$UPSoH+cEERC9T9*R2UO=5%M1s%_0>&!~i)wJAfO_>9OMR1oN$RgSfe4lf zXi&Ej+$>;<8pC{+3usiMc=~dSfT`+zW_qiDCRNTfw+Wb~K4C#u2xwL>vZ-ztFi%z2 z0o);AfjXF#yHmg-^Y!*t=S4K%5;d_BAuAm+?poBDw04(7yHTCY`rIvGxjI?{{6xSC zwSe_05^OPs@SENu!L~@SO$2qht`rHjRxn(sk&B$L57+2j1ub#HVLTGv&E*ftTAXk_ zm$)iH%bjp!8fXu94O+0(2{-YSZ=}039xYZSg1UPFsL{yekrHGwH`M*z|0}ADVL<+`{O~rMbix3AUI{=Ckfq zY@s5-wn(rQ?c7CJQ`1H}_g;((D{W)>&0b)}$o-f(*=g4y3aH5Z6Mrm^shnUkLYq#7 zliIl?#igrpkd(dfelaBUyCT8%CLt82>##Uwm$6yLri%nyw8a{>MUh~ekX>KnILR3j z>g#k@8S?QPY^U0^kdKQ5TWKU!!O7&?TxY7($+%`yqAvp8?kS`ZcCg<8uTivfNm!K4 zX11f~kyvUt9#*nO9>urH*G>Y;+WR9~tewjwqMf@sN!u+-`y5V!v)v^x2!dmek?mnK zRz@9&li+Mmn}-%5&O69Uh_!QP&>ppONyRf|DUa+;;Ck6xfUmmas3Y6QJ`DBAD9Yhy z`%02fosOMzwn(sLe`nMRyimyQBYhBB<(HbcXP-`yU~BYU`C0Nb29N{mkh)#gYNb12-`6GB#kSIy%6geA`kTOC9r@-Y3K44fvbzeQa+8Pd?6SO+Yziu zK%r5VSOHl{d}kctl&|=T;Z*qWf8zTrd}Hn03lPwbl-Wc(mpoeYqp0bkgi<8f;x&w- zct9{m1ai=LFR_y@_U0p`P&@aZ5^T4_4+`xb95-9@S?#Nb42TJ~BCZRuS|Ql(3GbA< z;0JhK#=k-Qq?KL9S47UjNrptr7}BrXSuTxOB?C#K-Hap5h(tRG*^)%t`FPr}tn-X9 ztC?a7wtqgLznxD}_v$MZ@i zoH4~Jq1!z&4ey;sFrr^_3V`dR;2yX)12@s)JTe{JgHbl;5<1)Y?N{KB@Bac<B4d;@9BlLbW+~a>wYesl=t*{%%zj^o+T%UX^QT)Th9zrpW%XXP`cBl zW@r1$r5x1kY`@qPQZze#e+14P+E?Pz!S`1)DJ8Pgn>_r~%m3hE2YugtGz$44D`~0D zI1BMVy&Fzn4aI?s{~6sGbtVere@=I(egp&l7j);;IMl@d2i+BVCCcGjHbJGPF0OA2 zsM6HM^&J7#n!32YC!j`C7uPQlP^;fSO23_ev6{NLzHk2nvFkK-as3W<2^yWIM3||mi|hBa zdjK?R>f-wS?4^jdKvNgjA7rx+muTwZ`hx|uXzJqnLj)|>)W!8H1+;4F;`+PT?4DJc zy14#ODcfpIU0i>-U5EDUExPG;9-wLb#UgGXd zG2GNe%(FAaa8u3Vq!?~$jyNfX+o3xP(s6I(zYsCISgF}eKr!47J?PVs-M`n_kLM-I-#z>17guVz}u$Bm%{7(|0n0OI2I-+OOd(c@Jh> zs@kg8pJxzNZPi~l!s!@QTgRx{I!4ac&8yV<{#TJ`_eN@%%9ChI$Z_@CAki9q__@yg zB+=@KL^}m;&wQjNBGKxIL`#+KjE+dO1YL9?iB`)!?lOn7HK!vIEkR!$k!YEwLPsQ8 zw#q;qk!b&dDNv~+5-kT?jgCmP1hqOM(bB+J9g%1Wj@1!~mY_~YBwB*;IwH{$)a!^u zOE5`CBwB(79g%1Wrs#-7OVFqz5-q`09g%1Wnsh{>C77in5-mZqj!3iw^K^9`Zaa54 z1PgRTq9s_Q%P~fRR)^b#CAyGAt0NLEqur<@5-q`U9g%1WR_KUC%hqYt*U{R~95YEr zVbTOY7qD8VFw}xo0v^%FW6}h_5U@_af+-RFQowqBIhsGXN5BTX7{f8RS4#c5z73N& zxKBbh>vNgrehK+lui;+h0Rf+d&oR^0&I5>T*^_(v!9!xCryX*d1;3V7$=P8qEPlan1XbAKhp-$xECs2w!yycNL{OeY%hs&6 z!zGNlR&3VT;ej}n4jyxUkNgg@!!9i6<6^Vc4&T>6zZLfKSd<#?27@OA9czc_a$cNgom)rJ`j|1!nI8IcZaJ*g%eWMD)>auKqovJ%@cg) zRHE*c35k|5^CViLyh<%mb)r&Blvk;hRVPuY-4!-#6O~$`u}+v{$#O2&w7Nv4mME`M zOH`kz)Dq=YYKa=QNu`!^yeUzsCCaPR5;Z3(wM2Q9TA~F`_yXH(xJ#5L(Go4$CK4^j zL~BB#{SNBBDk0Gx1e7PyzTF2=UCYgrX#FpfXw6+_j9YM*8RMF}Ohlr+4dwN9M4~+w zIb?K1qGh2&9g%3+em!+WqUF9bXV%dPF8i)RM&ddkW1ykET_A1ZJ6)Aj|p6%-{wNNK&(~j7S6wg?ktqHNlN-evfQcHC=N_DGre>|TAo89-Zyj1JyoV@Qz-D~t1 zHpB<+c%-S-Srjn%*nJ-DJyvh#+V`ouM*3qS?;W4Jb5ZMhJ&3c)_8vt@gFd$!!1MTn zl1BYLSF94RH)2oKO(;~*&ZE$7lfEYf(B5OIoAn(8zJLXq{Hh=&V2LKd3M5)aYta#j zmSDM#NVEj4IwH{$tkMyQmSDAxNVIH&M|7l8OYpcZRH@ZRunoFMsn=_Z)$S^pZqQQ* zwiEEW<*r2k>A@ev&-cH;b^BI$RJg&;@}O!ubEsD^QHFYEqDb=(H{z*gZtUu@92tE* z$5fTaxzR<-eA?aPdkzF{uV=^X<$Z+auhJIp zkNZh+tM%1Pv%lx|=XUHgra3^;)ar;t%QRzkq*BWUtJ9H6?Yau~Y@tf6j#O$>u-2#} zm0Fr^(veDSM}X$=LN?e@UPVu|ksI#7@*Lxd?nH6N-gV`SE*$wa#)e_Dz*Oq zWA9zSDBf z1lS;#1foRXV3H#kkV^p3D7Rox0TJUp2yy}{py&})6czRN{l0JYOea}19?$>xod5Z4 zo+q>Qc2&JqUAMl}XTFncq*AL5eL}IJPbfCDSE;pg8YtbX)Y?61oD}oS>ej2&@(PLH za72BeQhPL}L#L%MqRUlkOD&b!GUag-QK@a)NTpUQbVad3R}?GcRcbAF;@!fSdjM5C zmK}Fxd3rtKvU)phEIxx>*mn07+wOipYwqPqJs?@Cc6W~ERq^2*Np=NqR33~e%UQR-XRCf$#$O{S zorpfQyKttJ__$?f@RUk#L2kP%b&g7J<&5r@;AGZv4@tW(CZ*j|f;-W}UXox1kM1qO zdr_p_M}n83SiA4bXoJ$JSXb?vCHVev2p%XN0+eG9lHeTlg*{k;EuOa2609w$V67yW z!Ac$>!CP6{dnEW6Jsc$kU(M2vm!sS8==g;8e0EfYMY!P|H)p!O5I%8q~X zC7x z3)kMcwcU8RHAkRMwcT$)H3D-M>RaoU^ALgh+8zv71yrx?$>en**frcRDp)%YX*!Jx z)>0O{OQi(&=aYK3DhVFIaGz1ZTKb%2RIrxe0n~FJ#8+s%jDW9w_9fU5tfe@tQNdcy z|G=nVEyJ-7F)CQga5X61gFm%H=&q!KwFkgW<^^j%M3*X9dm(~>QNdbuqk^@( z;{YYfXcerLCQ1@!O_DwESyI8;>kyP5le+~0sk#c*ZiVS=&Oa8lI9al)V67IhkznnY z(NA@A0a~v%z^lWc;p$R5jmd}1ZtSBuJmCeV8+}>(FvE+?I(*j7;01kgF!o-91>H>u znO$$(CWg-;3UdwJ>N>FPKuR=Kp-`{8shPiCun?W3RK zStw5{XJM45WmhFedD@$i1TDos@_U1D#9SriX-`9tJS}eljq^#({S+ zomO?=$#i6u*!iVfPe=6pd(_jVZ^FxRBchv^^CuAlBVw3W6g({`cv{GCJt+N>r*&l; z@@~~x`}pPbAvL&~`Mi(C>O+J(KdC-$!_rr~Mto?^u4T9plrB0I5!bzy{^r&`)=Q2lMGkQBkx4Er`Y#(CHj8E;}|D9pMZ#` zPo=;9+A|b%)pq)^FPp3KPna*!a(>F=RU_l>Ir`9_2dO>Jc53LDd4b_>BW?3Drs*@v z(=v?TJy*fn2er&!Qu9@P|4PeL!CH=!MCDjzyP_-#*8XSnJpZI+s$ea{iIMT}XZoue84rJ9*w2T*GThS?ti1`2M6mYFxZkwW z!)>9sl;=?h)-ru!y3JS&8*LEmKvk=V|463(FesU~-=JGWsJ=%O@H2 zH_7|+JS{7hSkKe$1*2*`Ps=QI>v`H<7!5dQ@`*H5u$Ff%9ZZ<7&O0JJX~z%ZoVO2u z#KP;fDdER((7uhMQTKiH8dBR)8ctse)TW2LzE^`u{U1TPuJ8!t**dB19NyNql~3WNWH29WuU;g^`X zQF~Lk>%_crJ(Av6ZeL$HnSA?6Ox1dx_BAv@i>LiPJPA+xK0NkJCMZ_x4f$1%T(EWp z!(OoVj|_XkT3&3nr4(fCZ6Plj+fqHU_V$nqelA$c#WxqMxH!?AC3mk_o|D z_EIib%kIbpYuUO@!PytXzgyE?l4Xdc{BZ8jOde5-ez0lGTMGRZ)r9|C}@`(qEdwFxqT*KUux`&xY_S_M8}hcJYCTVT7rfW)-ETm$t>YKB=Zf-8 z9Lfc2trx82TGOR3E4GVYMzUU86s*;!*=h28h&5RSYq_fAg0hb?3x?hM~V} zJSabp6X;X!dGgRLg0*ZI2-Z$+Y=U4dy)^}EKZzXH3)b?~@MAbL;A%J7ZBDEgtX;sF zZM|UawJ^rmzo3QO9^s8J>blLhrxcI(7-(s?m-N--V2jHw20-Zc3HxzxX@AC-bUh(o zcm#Iou`mt{2M~d_rtyyOA{bR0(>PR&x;-C@v^zXpikOC7`92uOhIhi~w2$MO?oJFJ zhS6mY!_AO8U5svfF^##B46hb3gxopd6G+x;F%Xf?w6fo%Qu=tWqaVf}GV!m&}f9eOFO%lo@=(dMwa!}{}_dy}Gr zVD*Icvq!<&E;;E&b+G!w`bD(%kGAea zXNC2XX&o9J2Wud#KgpW)M?8nQ*kn5z)(K(_hV?%j4{LUGHBt_R^=C`4=0$gUD3>+)&2D74lu;bF`GL>y z0LN}CEt0L|QI4m)Ac`S(a(;7{q(in!l7!PXN&bUTTn0I2JD5gY$@EH+KjzUK#jR*$ z9ESsvk)t>-XqWsT2e3Ngok)IT(2JuJIh+94^ulW#K-=Yal>y+rZePanu7xlj3frhM<~ zrId))M>C^TCa=+G)DAah@G+5-ON*2$4`1X-Ov#xyY|2(?5*oh9MRdXWsxDgPv_k7= zLYYl+0*;8RS>OO=n5Mx>PtKxWOy$=h}h_pNVAqct6yn4XMb}R!&0- z{`aYpgs-7~U}J|M*q*0wZWK)VBZjk^6Hmpl zu?#odhvE;wus8AQd`5f$!hz+RPB%B^P)+P0vz!@oB2=~VABpE9Sv{D@)N&c?V61c= zN~XUgVG&942)eJ0pzPRZa6g{73*)KcbrG+ccowAbVB%W<) z;fiyo4AI=0xBjJt%g+@Qw=@-R@JkEMXhRyHxNq#2zrh#`8~(cl1@8DLvu)2S1VwJA z^&PEod79grX{KtL{$Sd1xJBW=Jk7V8X{KwMnZfkA$7&kq%hGao*7!d3@hZf#eljk$ z2+BPB;1BqSgXvgvJqUa@+KV9YWyh(zDR}qAe~a*3I-;gKqNW?fJImLLR~llu@h;)n z7#yn%vD|pq@b-vUu3a<=)-`E^t7*LCG91i^<;F`H3^HQ5@v^HBPBLP-@r`s+#rGAC z#IbVnJy`A$eB1<6xOE;q42B$iAV0cUP$iaIBbFQQ6Y_YCSZ>^-;hW(i-dDoqWDSfG zSPC|Focph=95q}^8 zQo%524^WlSpW2hDNa&7ULI>?6bVn~;#2^XX(Kkv061t;rlLRDmN8io_5qb7x%hMPn z&t9(gGf1Ajyybib$+MToU&|nQ_Vj4UMzA+~{!-)^#qSM71bed=mN7`MH~aam2*wKb z#tQbv%Dl(Q_eMnD&BynqU!w2k>USa-CxX70lWFM2WNUnkiCQ3V`E@~Hx`Cq>2t1;u zJEEqGR}aR6z`XfbV>}2}aoqrV@oK?^C0Z>Im{v~{1g6yjf!Qv-O%QkreD*a#U|KVq zATSr_{w4@as|5nnn$-k>X|+ILS_7K|0<)(Fn;Z=5SZU%Y=OW(-UdlW)O1Y|1XfjG4+5Ja2yBWVu<;=9JhWh! z@gVS-Xx(m=pIlVpGuzp?j>7r8c(<5rS0D(E17Yi9SqNa;=)O-!I zA0RNNcBkdEydW{ExN7QujPO7fTJ+ouEMvbWH zl4lxG(^U|dm)S@`UU`qi~fyT^lzl} zuOv|VSAt6aO0Y%$MoRz6QKf(7iB;)eIjZ!p1Y7iPr1US3rk?(#IzguNFW=S$*&sgb z*(8DEAX565FhPk4N&mKCwRs)-H}&-IJS54K{^j;lkSYDk)hNl7{$+SXO&6~$YBPx0 zrk?(#2ugMYx~H}~ZySOUHC-7qO8*Yxu@y|~NAO4Ykfe#q$w@d+7AQ4R`j@Zh-PLHt zr3lDtC8dAI;8`L&|5&u{$&yv+Uj~zGBl?$=c0E)2_g%<1m?{0sIXc>0%)tH#s6^hS+GRyk7omnTE|cM;EG zL`|0slnMEHEf4EVG_PJmK>u=ZFph~8LE{~AyK-iZ61 zRq_Tbr+>{ldOI!T-OL)}>0dr)d>1z+{qWkZ^e^vX_+SD0m$xmE(!T^rn)EM!fy;RM zmxCXp1^bwwe`&0kC$ zk^Vhw8??2jf8`CnS$ZrQ{=o2fM4+u{yd(T5jH>bUFO9nK^zSENG>oTzNltbePygNr zqsw^umqxeo^e>b37*GEaqwF8c+WwlW}WdJpH>hj9JFhzcdDn zr+;^cG1qwdm#znmr+?ptm?7io-`Ox07*GG64`Z?M^zTv_ON^&~8MEAY`j^HEbBg1^YriGFxD7P|6TxNt?~5lS7EF(p8owKj2DcjfA@O>x8OYe zdn$}3{rhnkL6iQal{D$!yJ5BH-w`!kWf(`)bOq(S7vly?@@W{SoeXo3YXea+q=UB$ zh#tbxi5%D(bw3Vv@UoxBe}?fK>xFn`?U0n-$=8^Ob3m0iC5rcc`amwpeac@j<-fjO zN{MKFG&4$N@)~tZy*G!CiJV+oq*QtMB1hD8n>{h2raPjhTN+W*9Z}N-yZg_p>9&u> zo%v?l@#b=e#RP%3A~wGv+8SQ>{1kw|myTirDr*r0=2L3epqNOsuxAS2*M*a~+6xHG zH{IdZykrRo`~wSf8*X9<2z<)%rv%})EGb&pKaG3y;Tw3p1MWNR2nTCBdE8joH}%9I z>}2Jlg@;Z(sTrQR(1S*>&cC9zRR} z1k9Ep2a(vS2gZ0LQ_(e6tvS4CR=IJp8-T`+W-Ey5PSn@gz3_ z|Bk@FBk=DC{JRHMvj0x__doH9B`{tEcpk#Bz98@_z~;MHmfht7eO8TE0p{D6F{Y>j zZ1|GET@`UPZI~yp<>VHLG1+((VCLyGUImy7<5c5SfNMzIWw;Ew>jm)aHC_dn3x1#R zD!_Cw(>#vTcT1%@N18Qd7|X=yH(mvp$&NE#1(?PN{5t~w7FB@%_rbqMfY4grQ~~Cs zX~DmY``--z_T&7z{+r?76X3J23IEdie--|n&C%0@f6s!|f`2!&R{`dQWrFc4z>mOam`S`comk>{?=&wS1LK3GE8(Ea zcoksQx8D?1fK3m!7@-2pJcB{hQUNY+tOBfT?NF&u^&ww%KB_pc0{q_w|9%d&{V&14 zg$n-PgMTLjm%z(d`z@*CtMH3P#!0f{z5ru>bFpUMG3iU4#;X8xZj3Qr1(@@xZoCTcYQzi=|9%t6n(*&-c-qH)(J=7uE{JKuzs%ET zyb3V$%rssFn9bjByb5rD&YWe6D!@imME*7219|2euL4ZhgT|`>?*pT$0z7j9o`^R| z1(@g8QUPWy8d#B9D!}E9Re*I`pAZ)s`h;Rbdlg_ar-32oRe;T&G){_nX2Zk33vu__ zDLbc472v3)0xUw{Wl;ehwUG+2R_Kaig{~-8$g2RGq6)AnssPJYE>wVbz@2=q0?Z-N zX`W3{?W^Mw-ZOL=uLArPv~;)eD!?>)j8_5v6L#Br%_n$+{VADHea5Q*KaQ1nrdeeX zb3@Ggr+(vAfNA`r@Na_Z3>mKi%qM{b+HiNoV-T}gww&FaVl2TgxtGC}?lc|SzU7To zfVJ)JE4JNz#kTV*z^14IY4X^2TWCkzsCVn1-P^cD!@4hObOoqs~oVZ07oqq z;1a8lK8x3JRr~3OSRImE_6Dvn`0XG3u{ScD1ksO?$bN<@1o);d4wVz44rNgdE+%nkn<{giXVyqf$I+%U8M z7r9|p*>l4@8FItlrmDdOPYVj37OJO6RfBg$UaAJ)Pamop z%zT0RSgbx&HCTP9YOweijvGFc{=f~tX?Si}{V6xhDUfr+4CmZ1!#$a*2D6-*nW_de z+@GmxFvGL5L-AR=iQ$1DQq|x`wESD|XZg}5w-?%EMWOsV3gzEfDF3cP`JXG4e|Mq$ z&lk%7f-hfHgWrmBsT%wytb^K~_Z8anel34R59l>gRW*+`BlMGigRSo9Iu4kfK`Xe51VA(wZ6?u9o{XsSO2wbJLoqp`g#?DXe zC(M^93l}c=!v$em?w_;hv^y@Dp$-s=<^?&ADN5nRCNT-{gjAYzjBL1H6f9@C|h9 zRfDYAt{TiNq8iLwX3aZJX<6e{gV`hym3}X}c6`WGRpV8I<@pTD z8uur2)!_T+kE+4E^HhJ6yg#oREY~IDRfFFN=T+lXgPEmnylU`V7!5dQ@`*GG+%Oa7 ztMhvi&beWWu&4&#gTUYChSygOzJ!^n8vJxK^M>5;*VO;+;rNOFI5+%rW+pd$JThzL z{)^o3c5oo7!B=#&RD-X^1tnJvUdJ$Lz1l(H4j84mYA`Q0xoR*k8o6pP7yMi`n2T?& z8q9?-R}JRkk*fxC;^(TtoRGO{Fegf`8q6V{s|IsH%Ti6ejvHQrh$c7u zc^FM@m`0Nu{uYcTH~cJ&CO7;lj3zfsqsa|3S(6(sPi8M*T96xNOp_a)jF=`jyg!U4 zH+&R~CO1r@$qf^YY;wbN-QtFNgV zycX8XrfP7t6MfZG4SpxASxwd8MX&~%s=+*mxlPqzT7ym1;IAR&P*XMd8CVONs==f1 zUXQB59HbpwvQ%TYoNzc#m2~ z74MAk!FwZQf0pqa>xFnmt;Y>Vh@Z$gAQjXo-urFL4R6PkBKFJbZJ1IbS|3u*`X8uK zx76zpI^yKgBBjd17kLd+a^?-2vQ?Ud)-Nq@goo&Y^Hp86%4vnx&xmS{M)HZA)~}yu zlgi$Uez+oR=%-a;vA-@rN*RZoR~u&GqZ6ujCtY&w&{@<{4dzu3@e~Z6$n`_>bE@I! z?{KtpHG*7ABnAf!!V|fiXi`7sCgFf2<WMGr5F5L{moh_i&|0LaGLr zMK!pb9L!9sOF_VeNXsZrg!33ppIn(VT2zC*rzLrAE>(qSU(E;dXs%ra=Td@nt$eKC zO{m(_c|NXkg?y5_H4^z!h^t53Q1mL*tq} z3zt>R;#a3{Ck7_S;kqiVcr zFpZXKuz`cJs0No4I>TzqjV+D{ZV1c!xwgRZ&;a7FC14h=@_k z@zGQbeg=l#vw78Ey=ObW#E1k%Gj&ll7$=J(< z8K4@>iBQ$beVd&=Y~7*oE2_G zY0=Wcd(WMc&}?jti%^Rjp2Qj5;)b_jN#urw6rRj}iWVLzMDU9!ty~(opbf`zBKX56 z&J!z`7Q6+%XS{?olob}>ml>o#pw(}GmiQ~2t zKQJd~W4QD#IF7d8iwTpq6JV60GQv~$W8{nUcqyw;dK(^3qRSW@m5jaxf0E>j_(XXZ zp}aD~C5ok%wmgPGilxT&J_ae48gF?fgA_}R$A64Lilw$4Ev_k+I_mka;Aq=xjG|cT zs284OkYcH$e*PSSk&2~8DwbO6?S(|^T2`{$6(Ux}QzI2mEm1r*`M8_{#Z!~3_54IU z6~FL+45LrRM_QtIYI*zf@tGt;_{=1j9#UEc!<=|rkiN4-H>e85Q_DNtiQ{dI#Lqn7 z)7Eh`DW&rxht6_qan|X_qA&6q=EzUNn1gWauEJ+}S@dVH=7}RenO+_lIH)@Elj#*= zjB(^A(<>tuP{(hw!?-G9-5ZYlWV%R<$&UPF`U%O?>Bvu}*G25jsgC?)`ft%wc<}3T z#X$+V$60w$M{Nb6l1{MOye_R%yI8#Im^YE>kdIZ(woFM%l(#x-YmwTo5Vby6=RY}Scs_Sa>#T5}qPNeBvCBtVZdV#j1vt(`c=ZHbatnSlOQg;~{Y}A*|fN(exE*l}1?E zisSLCVs(a<-@$YGHK|BfSfO}o`mk8tVTIzU=_8V|C#)=H%16a#Z&;ytYWnr41LYkY zR>rWNk4ehDutM?F^c&LfGf``H(Bonq8CH(sIeb$}=npG*GUXbHn-x~LjhB8)tl44Z ztF*o?)<9VK8O!^=SaZV4eQe7o#F`scK8b0bJ}uT+VPysT=0BqCk$o_%e2s%`U9=CZ zp|J9+8L*y-&cMM1VTE^X>9cZ1i^Ix}Ebqrsvn65WnB!snB%*-m^00C#Q~p%qR)m!! zct+1j+{&=>UB*2xajU`#f5s$zA-W5V^>A2uD|_~5Vyy`))V)P?aa4p)5z48mO z)`gY1Y~5dq^#Z&w?mxwPDMY6fMDlc>UX)#+~{uFKV^ z@)CRVx013RRo>1vdqu29RN=Q!(m%**(HT{^X`22~*N&)i1n1;y5v5JLqRO$X%bz8# zJF1+@a}P^gW!@N7YCQLnSUpkYD2~C=VjU1wCb7qCNmd=?W9ju0B}4n7%5OMcCW$s@W%ii2ttXWZI9H-j0V$FsZ_E@J_15xFAj-_cOPWL%c z|aa5VXme{?-akeC?tfiN3v6e@by*WPjEOAV%h$=5018eWn z?r4dXQH4BW`lixbV7(AkKR9rDknUUJ_t*pcX8C+9K;&B zy~@11RJh8#yEF$GV@KXAJ-oC8^-Uc4$@GZQyHP^bk)KSDluFkf`N{MsX}<}M{A7Ae zi5KvOuA?VP-*q~v@CQpr!9kak`VL5a`yKhobdFpk=Q{F}=~DTf#a$D-BS0kN3d%u^9NZUY^HK zq~uPw3&;LXr3<>8obGdSy4`LEoOJq9=~tLyJ&ycj`Wrd-UPpd1eWgTTr_Yg}OkXWg z5@)6(KbiiibU)6p-;tk8|6IBVXFbc2pG?E}A;b*0Gg+ND=H!^`sLhwQ#r>%DS#FT$ zGb%nA#-O9BUOGDFoE>tM)k_mG7C8Q@c3Ku=vE$Ebr>PiA+`H*56Jxo10&Y?x#tL^K z^H?!fx;Jq0I5Aear;mhDi8&u1b|<_CMpcZ*9BjIwkSaGn< z&7rZm7%v2+JJ9Fl9rs03B*|OwX;0a_vf(`dIE&_Xt(dj6jn)bvZp6V!`n(iC_8qMGB z0`~cvrMf+i;;HGs#D76ducLTs`c}!)=g3c{2TGoqj{Ic$Hp$cP$WNwkkH6l@o+Uq- z9wO&9;K)y={~E_TuxG1Gc9^&xbmS+~cgHDWhAJ1b!48k93Y3&8Z(x1i6R+mDEmf9r z+#MCKgf*sAIf#|$ms6^jD!*s_j+R<9up*J4EKmO|S~W@7>e>5opgTP-=1kOvKB3sq zClnicmT%}e4fs4U=0vNyJ!zaIXI6Lo#lm!U%qwKWoy*ZY5Fd%@(CNtCq^HI#xhp7< zpDcInjxx(EI7#-yXB+M8L_{r5FN?YDsTI1SSfMM56c~%~SH{0W zYt-Fi?}Kqw{0f%MhCBx>k|ELQ$WNwM$B(0NyBzt+^qP19jBZDMGQC!e9!GvMy*~cx zc=k2<$@EjwmVNj+JQ&Mj{s_!Ww+b~+Z-{x{+V99urZdr5*7 zJi508DV|#1M}ibjE${m>+Mq=7)bg7pNb%J2LE?epspW$uNb%J2+a%jER_7fOq$w}ceZndFVAPVtDVbu`4U#YyPd0e`C|;faqM&y*b|h<*Ojkl1@|j~?Wdxa*`FD% zw_k}evcE9gXg>-?WPfG2)7^o_%mVqj(=PXMw09PY(d`~a{j*4n9=8B(n8jlBy6>Yh zS(_Mr?q_h5jS^#~yPP#i!X>C-zuSB=j8sIhX1Rx0FB7uD1MXl}tSZJFcVr5qJ^Tb> z=DGySe71Qw1IC~mwHb^p!neXW-#y1d>)|bMeSw>VHq0i31Yw8VN71<1w&7AFTi~|D zI*{qFG%t3OXzVDzy1c}7(wHvBayOkuml!MDZZvid**z;Ae+DSqMe4T7@n?XtUBfS< zoQHzV7hynW*VG#521s7=B-{BjK-p3TF<9tk8TWRR_M>MZeB&uNTtyd>@ko*va0XlO zR*}`N?}q@7vNz@ExAUW$1>^WLKwI)>fU_-5qG_LcB>{`QZ#m}QRV zZ~vGf3GxM9_K)3?71`p)@Ub(RbOP3Y{29;R{;}hEWQ)5H|4sy?R$(wcK>6-d z`!N-N`^PPzgYo?BAGdTKgZ%9uccUcWZ~wU4BteA{ zuU!dV`kd9S1TVt_sNtT7--5<3SO~{U6x6hYirB61-IS4ce99RX1{mhw~m8Tq>hGxLD@D ze8;RwG6SC_RsOvi!7bLn$!8Fds;lzvmT0v0^KtW4JDGk1RsPi?RQZ?pp4G;{vG^hE z`_NDIc2)l6{mfvyD*rP1Q2TCJDQa_g!VB6}`Iq5E?d$MaJ7X_=E)KGL;jNKQ2$@|U zH7177AqwAFL#L!2VkC~rzv))88dM#Xf73~_0Mv0-ta3?}e|a(_c(37ED8b9R1nnWR z)Xrg7CGGcPMW~&71CpSn_$O~9<|-+{dkTUic=^!M-h)A0yYMz~B>e0}Je=sjlWR#; z2cBF@SS5CTNeSN1tEWr%z#eY9P>7eGifRPyO7IpuEnxM$r-cmHgVHbGkD{*(ke3AS zeELv=m-)Pp#p*)|UiEPsuG_V1#D~`GT80}zNtJ&Opg$12WxSEsvs+p?yJhN630_Wt zYWrv`Vzo~*+^JLJ28Mgu`|(-3k-lfPE5XZff4dUA49{vm6cw%A#PC2+Qi6ApmVfJf zmM?8`d!bEM6w1G&Q2w2T^6x5?|G7f>cNfb4e4+d=`0|zD9fNX7@RrBr?Rj6JJ@41@ zSM;FX4`}(1GQ6r#{)1Y+kfC4J@|ED#@`X};NXr*W^((&o>3AVl`zn9hkOc3pEMEy; zEx(7u^f6I|4N6MzjzJm{yhk_Fe!GzNJ3g%vygf_{g7*%lRf1R3%Ch)F*1?b3CmF7` zE5XaYueU3~%i|a)JD-4xJUyHKK=5vhdw(5wKlWvFM*M{N5-sPaJYH>=arYd3)O9(1 zp6%4oG4le$-R(;7GEHB*61)uKH|CY#y;sZp zD|B0}*>Hev`QoQmku?#R8h_bAop*3$NFvgcsqU^UA-n(#tgj%D;Lte31p^UtZr^ z%D;ypj}W{!H8ao9nm3hyuVfM`|2~I+IsG(T?Dhy{i89BXJ_mOd(o2aJwwDyvQvSW0 znMv?=P0TCTBkA=5SKHTDPA1=e5>s_D`}UU@Z0xt6fqEYh{u-Wy;5`Bl>XT3V&d*^+9x zyhf;%{L5SBhuu1QJ1yJ`f7Up!{QDY=?_xxsfbzzx z@-J^`#^XT_%D=n`DpC12-Dd)JQnME^sru9L@k}%yTd=Ks|9SPpFC*)H+ zo}i9}abS2dBGA?}-VvS$qv~QBhl){mRQ^p553fT^!%_J+JvO`*MyI3lZ+c?58b+6+ z@^5;&7~PJ_zv)~_hL_=3Uej~J?;=^R!&C^;c@op-jyo2{{P0(Zndzwfn_dyR$rvb( z%D?HtuoK2CN9Esikr)Gx%D?H=;ogXu>!|#jE*95=u1W{jhDRc1$nC&9{}vLFT;MqO z(xo94PZv8X|E9}A!jMZGS^D(fC1$y!@^AWSF;=)9Ci_hIH6&Zl~GT)9;4?#_bD^%D?GCA|pgw-EbE~2%6G_ez%6;@8Bb!hZHSOa0@ zN!F}C;u+1wwlL4=1hEFg${*Mcv!g4Kawx1kTY@z=x&_vPuyQj7c6wIyEm$OYIY>LW z%E@IDs}6_rM2^-Du2A{WQ+bplRgZF6>);16T+Aqr+x);X9^lw*rA4y4c$7mmclijy zot)p?*XxkYy(HnZO_ConipwC!YzNb*E16zN@=6}fQQV3~#xXb`899pcf_BUgasaEN z;T%W{{f${H8q)bTTZ&ucSW@?b*TG1r&cdGjU*RCfY*v4n{U~Xa0gtG zl4PxXZoy2PR$PcQQ*j~);7eCrnKYXB*d5-}$$4%rRfT9@%}erVu3ZJ^Qi61?e5~J1 zsM<|=KCW_we3H5~5?lFNUBwVQJd=Ci%DABinOPf@ype}(kWm_jE9QnCS|t~U#_@R; zE~}cwuTG2e7;a0pVmfG|wl&3EuR==m+qYBnM(8N)kFt64qr4jtC+Y@_w!@*n-bQ1RlJdB!?l#7N_6{ z|2L0v4`tGOc^hK$=tJPrAr1E^^L!COQoq~p zhd@s7rgLJ7>tuf{u{}Q&p9sT|;7!kn&p|kFeAAiEm0iKa4I){3X3U9D)f>)_#Pg60 z1TRy|Wvqj-(s`%_{o%c~d_IKkYr6!&>>2b#l3p0EfZ_OVGo3G+&p69x*-4kgA4iN3 zyy>O!ez;gB39H`rFg}um*^=a59(V#DJG=+aMB!E}c0;N)ypBS+Q;u$90zTY|r0?Cx z6gD`!1kLSZ_DSS6iRMmuya(pi9J-~2OU|9b7X{%qYA!x^%2`Z2nZu#9aM8I__;?a- zdm}oyv~cL$DSUJZ-*AhVgXc~au6KL(9&q7l$DVRr5bnTLEP@^|-#LU+xB^5ARRwtT zRBUFI))9Nfzv%-k>4GB`h!sp5m>Yygar#9I`@2?NqDK!vfAHVbci?&!?!n7_wD4eb z_9}$vPX@@Cpv-0net?fS*c`XJ9tOS{MGywQ*cY<9K`Q(^2kYklHW;|K7sT&cj{P!6 ziU)gz=pEf6dPlEM^zs@%nvI_Ny~Z~poXK8e^2*mDyrJM;W1@SFhI@^P?ll_jH72^( zXt>vy=w74YUSpzrjfQ)TiS9L8?lmTUuhDX^G4XqimV1qf-)pqoYfSuJqvc*>;`bWu z&u~(S-)pqoYfO^xuSnf*x!0JaVH?KEEX%#d#P2m)?lmTUuhDX^G4XqimV1qf-)pqo zYfSuJqvc*>QV%mExWG<262^p(bm5TYUSs0-8ZGx46TjDJx!0KZy++Hu#>DS6TJAMs zJM0!zeud>;W3qF|?pbNM*O>UdM$5g%WY=&K%6SNCucKgHlgVCVvViRQE#`9%XOJ(Vg<6o8dJeN^0j`W3o@k3ANg zaJjw%0jX6OY{tFD>{CmbihGTj>@{v?u|j0B*SHz?8nYWE0rwg+*=yX4dySdwHI~T0 z8)e{s12@9Jn-&9ahGF1y*qsc!-JTl2NkXV%?D-tK?vT>qFg6Fn?$%@2?G7j6cpD?J ztBcPq>ZnFia`U6FU}#w~?9ManmJB)$lkItE2loka&}qrAJI}D&DRARF!*0C>Fb7+&^%}r5`mEOg zW}!3fG3Hn@ z>~6UjbL}B$TX&NfXIV1r?q)FtEg5$ASuy5WGVFL`$wG%L8FqK87?;|fei*ljvA~jH zcejhN$dX}qDS`_!|v`9W4R^6?mj2REtU+syE|g* ztgvL*-RH$vY00p=FNm?ql3{l%#dz3~VRv5?V~r)l?!F|(T1$rA-6O_2ONQOuE9Jak z$*{ZoB<3Z1CiC1cF|S%O?Ct?EUaON~cdMcYkU9wKWZ2zZXSk z9z{xgrI-x6dq|v22J00@gJ<_OsYq8?C&TU@77Jf6Cd2L? zk(51QeKAu$Dn5I|IvIBN_2_#j@8GabhTT0TDf_}Y8Fu##X?T3ym<+pnT&yF*IvIBN zO(~&2tdn7PYb0(~Sm#Ez`<7U<@pWTb-xg~itdn7P-xq66SSQ2oo)8OPOeVwbo)+t@ zuug{E{YSJ8H5&};WZ2!h=y$M&!a5mt_e``S#>axNPKMn*D`&Jgtdn7PKbD#;3F~Co zUCyxA$*{YhO5BRDegw}bXV~jx*xmCIw<@fYVaK;l?m}Zd9M;LOyPRRKlVNu+M!c}D zMVql#ej(Pnus)Zq`%AH2fEUL7r&uqAc!dt@S7N;y*2%EDm&6L9`f2Rxm!;*CsLtIQ zmow~jGVJcRlCmDv$*{Xu#A-x!GVCsA*z3dwT+Xo9$*{YeVXu>6cR9meC&TV?hP_UP z-Q^5>oeaCn8TL9Ec4ten>O^%i>@H{6>txtn&al_Xu)CaLuajYSIm2Ej!|rm1y-tSR z~1Hq=0$Zf>~3eV zhNAi}*t)xjb!k+8jb}7NtOZe>47=M^tVL0s47=M+ti@5C47=OC#BsJHs*_=N-C`|| z>SWkm&al^sgu9$!uagOPIm14V47*#OVYgG(pt?!&eSD4;hTR=j8iYr^%6NuduQHxt zx1w3<8FovC-Fb%HdJSMUMBRD~U|vfmSTgL+GwiyKo+y3SX{ExRVYgCW&#>E~2C(hn zVt1D08B~VdPW>2f^)}W3)~b7kU90XHb}Oo^UIW;YVRs*si*QxX<5FqUx+TNzE*AmN zh9$%9t|lJ)mX@4x!iRIRxd#1$iMOH2MzumLa0CoF#4~!p+(XeFLooCoB8Fu$m88=;e zy3dt(*U)Wu;CkyBc3ac{wnYtK>otJ+W@)DN8o*IF{{Zmc+1 zXUVX;&BgExyWR0s97~eF;ByOQ*xgPszbdbt=^1wIOwX`u^Lq_oog2GJJ*t)ryYmdY z&L_{XTQcmDn=elRuEg5#_8TL9Ec9%2kbu#SkJ@H34 zZcFuL9Ct^>#1qGq>SWkm&al_Xu)CwB77fp^+v&%kRe>zxbF47z?zot09oo>IVb_NC z47)b8*8sM28t~~gfbE_%Ji~6uu)EnYuaFH(hTVCF-I6?OY5)tvZo8=YTV}zDXaKj- z9xDvHyDa9#T`S}ncCCu)01Lxzy#_GnP@g5k?v}-W!C;ta$*@BMnD?#y)@uOMm}SYZ zyU$3>fF;B3mWwf0mov|>TQcmBH75W+c`X%3Bztb`h8SeMB43H)MyXPAU^EblxX)1 zyFG`xU}YxUGO>%=;B7otP5TKhn&Zi^+r>fO%cI7~$8 z-CoFWRfOK{MGV&s*LZs|!wthF-VQO`X}H4M`3!d%F5~tRR=?YD6}KN__>B{uLn%GV zu-ofd!7{6mW58@0fVW7Qh>&lRa1Iw>;H&@xn*t1M3NWxKz`#afU~)gbrT_z*0t{>v z24}}7E5N{}00SF^f!PNBce(dqxT?SEtT3?3wkZs3zJ#-{ z^~{s@Qy7>(6W*mliwXnl@3SimY!n8j&sj!cV1{!TxI%;UuCIOeCD&K3~UN8uqnX6dWP%4z#Mh?>+oS=;K~|QmHez!y#)iC^Klj17zP%}i`pr? zh!Ft91Wz-Ap{a~OE+y{IICt{ioJea`}6O>z?xQJU?XW?9|qQQc|!dv49q$}uNCvE z_9VkqQ-Fbu!oWO^ak4QCtnH*Qu#OppfwdfkfsMkz^ikL4RAFFkGlhYT!oW<^XA}lz zcvu)%eg8_&L1AEylSJ#HFtCpMUl+<$7+A|x7+Cx16)jU?U|;6OFtGYo7+A}HjpZjg z9ux-F@t`oUmh-n@U^@;=Y|X>K@+g|az?OS_H4g*ZA`EPgN1Ff!W;J_;hk@mmGlzlg zKL!J9UJnCX4+FET#1>&-y8#T$E6T7iu=?{bu-w4cwwAq>#1>&-%S(C=1KZbyfqCcO z!F!iYgMn=k29|3GU|>BNz7YTn%z`&aMZ^FQvdl*=jsLhKouokwL6!tnWu=@8f zu%_SFSFQ*HTMq-X+9NQqFy8+Z4BV9S<+GwZH|8*~yfOj|%qPkv@;sKq!16qn!@$)+cih16#tt&cnc#FtGD5u>B?q%;kJ7VPNNBV0};FVPIR7 z^VJ90^j#OBmSYFz^-%13QI* zt(WuVyNwp}zl3~UJlI}Za}!obeMz?Lwu^DwX_4D37%YzYH94+C4mz|OwuFJ5hk-3&VCP|AOBmRB7}yd9b{+<{gn^xhfo+uz zJPd3J13M1`Tf)GQWFR!T*b)YI9tO6Aft`neEn#5iVPH!b*m)S(5(ai22DXHO@nv~| zfh}QR=V4$=7}$9j*b)YI9tO6Af!+5N2DXHOori%fVPNNB;5uPom&3sI9~})Vhk@&a zfn5#*k0T81au~Qy7}(`7aQ#5GehvfI2?M(v2CmDiyBr3t69#rU3|uD+>~a{mP8b-f z2MPn%2?M(v2Cmn5IynqnCk*Uz7`RRt*yS*AoiMP=Vc25zRs(H0Es3;KI7Fqgp= z3@ol>dU+U_(Vde~4Zgxtl~WG`Ge%%wUy#7SJSZ@*I4VBdwqW396smg+Tq_JLM{hwP zI64R?o+-l3@j;I z5Tk^e8I^PME~vV(5ezI<=3T1_!!~mw}O)7gh z7btaBoP>x zr_+LgC8;0W!@$6N@4g-k%-k&)SfYJyfq@y_f`P@kd`Q>I$NJrbN(l_id@UHbm8CVl zTKSs8F&`WP1Jgqb25xzfIk-W|8+i~Im>ya%aLYrh(vEOQUMNoG*=*oUg*bp>(yvz$~$n!@%->t}UqW znMl;n_QM!zL)%l%x01uaJ{2~naa3SnSAc<=a=wIt^`6bk`RYB}Ffg!tvc$dEjbLD> zFtEHnBn&JCZyF4&_#2*j*Motb!oW>AU&6cA%lQ)T4J_YuLe7^%HL-)}8_4;xi>g}r z^6s_ z>%KB2hk+;Xnnn#@ZW5^EZ?v#y3ZDf-`GSXl!h9N3uvkE0zOo4$9Q6VU^KDKzNlk#l zLI!Wmu@Nl{6b#-*!C+v+0tQc3FnECngSX?#7A-tf!Qf5>gB1n-9x7Tc^kx~72O-RV=cARQ-d=pH z-5X{J=Mcmy@f)lBZ>;javC99(s{0!qj0P=jdjM0b?r%ih-%{teG-G6Ze$ z1yWXcz!0>Jt76PC1a0H?@J7VUH3V(r&BKFW3>t#A5aYs-49+(MZR2|QNqD=!Oga+A zgzzR9Lx!Mjylr>~k}WU_(SG9zdkFJ&;u2-?QWc)CeO&^Er2 zXIn*29*JY+G&R(*x5A%xGPk3~^N3rG z_yZA;3Wh;DncLBy+LNis+>TyC2km5TM=#}YZ6|X(`bJ4W=63XLl7P(Z=-ZhfqFi;d z*^t~yq^YD}@CIi6LXI-)$a`S{-S`!Bm7c(qPLk*9{TJGwF18Xse#7TQ~WU9^{O z;E3|niuR7;JrjOB6QAp&y(*#a(O%=x-g(Fz>+kq@wAXmF_d7U^s_|$qjWMQ(_8N9B z&|cQPVV*!+0_~+S*?6>4x(QiE3OXE1>(Ow#}j7NKE%r+kFr7>VU+Dl`O@n|oNxyGZtG|n;}?WHkjJlacR zp7CfejUnUFUK*F0o_9_?kae;VzjlNQ>`R%tZRURs?^w3ij>YNEZgx|?V(Q}#5`Ui$2P9kiDz z`!)&fWeNTNL(pEHQ48&5&6d0l+RM1tLwgyws)_clhSfrQX{`x!w3qX_h4#`~*F<}1 zwa{K#FE!C#S}nAfR?tLy+43#4mshoFl%u^&*+P41HJWHISBuUlM|)-Mh=xad8P^R& z7mx2iduhEf%F$k0JyDMK(mEi@(O$Mo?2O|+L*3+<&f)I@t}wa{K#Ewqq=qw%-JE(IM#AW8^-wq3h_0ax>g% zUOWad9_=+nwAU2TUgObT_V-{AQJz}S-txw1uU6foy;}7lU-cr|Yl>*Eo`*+!O%d%i zMYPv=w3iLhWr}F8{vzO_5+_G*5S@xYiuRT_Mtik_9_`f%KJ6=b74kWc_8M;FhrVGXu8b|w3n^XFdpq?$(?2wOhBN$?1C;Mr|Z#Pvjd(wFQC0N76|nPw3o(W!M=d@(pVzg z7tmfB%LV)b+Dl`F@n|oNmBypJG*$@{2DF!L@UTE(KznICW{PO9DWbh5u&C%*alOuX zw3psq2qFq4E81Hg2JO|(^k}bk<}R^L6ls2s_Uhc&P3loK9_{7a7-M8Ud9>G*aSUkh zYQ!|m*HCGoy)-&a5$!cawATde^EXS~drT4SHAS@76wzMe(O#ZnzbT@n2)riR8&RIx?!p-#@tc%(22Uw^3omzq_C}PaR9D57dY&N3|BLLf5TqHa6MDBm*GaHXfMN^nWDW6cV&w9 zvijYbqP+~~Xm3P$YDIfXM0@eXja=n~O;Z-Mw=8HcetHkHI#QkA1CRtiHG!dDokS7e ztbq1r1++ISpuL%*y;Y2)-mHN3W(Bl2Q?!?9`uV*UMSJBPM}*o?=eL|NHfrhorUkS& zI|6m8?aoVhkSW^Bvq>^x*!Ez!niX_@GkzCAHGdnKqP={n(U}#{-t6%nq*1h2e_CGA z-b~S6`ka+1+RN|&YDk@5M}uguuYLL@*dFc86z%2I4>Co28IChWds+P?Q?!@iY7pIn zKdSQ^wRC>d0@|Au(B4eZUUeg9xG~x*O;k>Z_LfEGH)`qp%1`YP?Ukyx(B4eZUdgID zzgmRq{BDT$W(Bl2Q=MNXAIcQ%m31#uw3p#U**c!%8C4D28)puOs8LBZ2P^%QNa^Q$#bv{!v7 z+M6lbt3DL%6(3r&YZ-0?(Z)KzdUi_-XQyayRzQ2R0@|xnL($$$(O&wVnJL=KaDS#~ zFT=C4L-AR=iQ$1D+F0jT+vN5_n<(0=k~ z(Ozv&MSHb9746Md^q}5~_GXVVtZ1*6uV`bWS|t7G7YtV5z>?Ma5Knb3t#vG41d zz=c2Jag39V(OzvQMSFG3{Dk=uEl1JbOwnHYsOxg7Xs@=JqP>}-y-d@WDcZ|0eieRW zonQ5>Xs?cwmpD!mt&5_)I_`g6C{xj1EmP56?Wb3?OhtQrnH!_M>RZuXEnm@I9S@53 z>UdDJSIhZZXs-g<9_>})+3Wl&7VObppmCzEeKiK!}BzrVzcpuPXiXs1{OWhXyw0!umN9gGc{9Ay6wzLJ50<07W*xc}XfKEN8spJk-co-TcLCJ- zZCA9HcQJgt0NTqb8d2wW7_`@Tw3mY)qXjpuKznJ#rik|HlX*j4bX1K;dsib%-4xMY z^GzJe(Oy$Td-XkqM|({X?bQd_9PN#$^Xq1_%A)f-9NMeD`s~qOeZVcCy=<8OGTLiA z+RIZ5^rUx_t?k4V(Oy$TdrcAT)g3;M_8O1&vPzSK_0eAA(O$mm2+Y!BQE89%nl2h1 z?KLqCkM^1(+G~nvuPLIvrik{MBHC+;Xs;QMl5@1z9LI7z+G~nvuPLIvrik{MBHC+; zXs;=vy{3rvnj+e3ifFGXqP?bw_L?5n&7-}hi1wNy+G~nvuPLIvrik{MBHC+;Xs;PT zdsXN6A3}S}!=k1PP2&Tm3jm5S+BoUKXTLE$UU0dv`6gces=-BvC@m zj8d7rM%_~Hmd>v@k}3~hWDD&bF6AZ_*+P58MXQ`vX#KjPO*m>{vRi2H27X#4 z7W+%kUXC0=dpF1;Xm5E#o!=JP%c~x$zVr>aFBP3%$xjdtM<2w|&eaHt&M#wdu%+`W zN&QjL`DIek`4zOctY|M2h`O&NZ|VFBmMUm(IU(9x7PPmlXfIDk&|b+TNqs?r_A)Fw zzY^U-d&`OF{4%$oy{%}UThLxc3)(Bu;#@wYYvp78ZbH=r?PWeedt3P=b!#NH^0m5( zA$Sn9mmUP|-N1v)tPM)u$b+E0^dM;O1|C`^7l($Rz04wLuVnG7lc2qf5wy1%qiAng zbbj%QvJ)G?+RM&J%pkLz8MBM3TKQqnUdDDXJ?cWVw=6op<%BxFqp0({9@;Ci1%DUqEsM_Y zDC+!{Mdue!tq6}2o!?i9_I9w?4XM`fIufy}^DAGq5qwwJ-mN&h1mFERvp1-lR9bio zcwIbqgp)YXMdw#&-mTSKeD2hNIj05THaxr1!UehPFGoic?tMMg-)Y>%4?DREf!Ezp zRDZWuxVLYbC<5=meuhr(jwc4;6qX1D;OTo~IQ|qTQ7)~z3{Us`=g2zpm~{Wxpv035 z4xEaImEe@8d^M-(cbmz9TlLqT*%sSS zBdBi=HtR=F-x1Vz4!$%bfNnB^`qthR_Fyk}@+n6T1htvru2?X(eb0%QD2InfGdvla zIJNhL14y$izXM)7GCYgn`#+5EQQ>0@6F02AFMR6+gb5hd`bSV-?D~wLzQG9UyA8j3 zJc9bZI-=sci7LJ$s4w9ufCC$-_EaR87sG1=_3hvgK}(FFz7d6YM^NAY$5G#%@hlT=Mbvjgsx`c>8vv}LzW;~4cL9^4 zI=6;vSJgl@y{qg-&;p#H2N-EC1EYWrAaPV;#(2q?h>k{d5JXUv5s9KPG9sEm5gA2A zMIDS$yo2UKVo(ew;w6gLU^IrPF(?`_USf=zZ>@J%RX2#|dP_?2ZP*9(%OnO?)##kWGB_9ZWfu_R5&;l4z{dA zRM>-GI(tF{o10SM;9#@84qHVk9E{(_66w@bI2d2abT}tc;b7j(nET*VI5-s!PKAT1 zlXH-}1j3a~sc>-JNc2W39E?u5#pM_MQ{iAM6%K~_?)UmZ;lZ_1;a~_i44|HHmshvl%dtc_41cCA79@=qi{ybfVW8UABbZZ7!M{CD17Xi#G#J~omQC=3_Z>$((o z8LslahdN!m>u_jixNg_$C9%s~B-)4Ike!iZ$Nk3 z0yg7D_rnS3lx*(_$oz;^SlOL%Fz!D{N%68H(8KPhv~$_hQIq=_?J#>eNRaO5vTJR`v+24fm!iV0+m~(_r7iAUS+x=2)aB=is|{S5bSmvjD0)exciBn@uSe^p2o88+Q|Uj zeN4)(%Q;=U+jO`^9G<1a-Qutl9qy5-y%eqSde4HdeHg-I!WNp%n0T4q3uwAH&`h7} zXg-6R_->P=*f}`l?4K8+L>Jjap2qQIH{S$ouRz)CTl_gC*L8R0vh>^q__jHg*d)&9 zoI4-Lz5}+tavb9xI0EL?W*K(90wwUvrx-@BA3l267hrMtgHi!vD_AaE;8cJ(6(CLp zh*JS#tIiH5W0ws+v$?6)+u`Z>u34ZQqJ8WzkF#Ik2x_pyi5jS@pz(HCjU70p3|lMg za2!rUDP@sSGGd)z-3!zpDr zr3_N)tlrjv)*}so6{AXOisVZ{gwr91fWxpO0Q--S&0b;!y{QD*X#EP&$ z#rvtjxUikQB_?R^2w%s6e=f*gQwerf3UKN>Vvs^ucPI7j5hH@$9Of3D}@t$2+#*=K?nBEAaw0xL?2~ zeKn}*!7ro}H|sk{id-W(TlLv2^MK@htk;2yA3P}F)9^*|z}DIi!rM||C42rML7oZ& zGAVy4D5t_%MCn*6TFTNWS{8~^^g&!eu@Q5^| zPKCpnw_Z?v%I!_Ly(zaB>tUquFc;h5%Uo5X1TC?{{#;di30i80Be|gWc0R(NPCML) ztIMEXP|EG~Ik#eMs4{3Jzbu2H|f~~y9n59*{k5~5BnHt8Sis^?z$2QMJL$9Jqo8xBR)OC z{TaSCX6b2+Il`R}+tmxntR3b43Td7`tqNc-X-7`q#BV0lySzv3p@(vDk9Iq;{zIKX zS}@jKhn#|bgqy%P0Y%-)XbmFmTclm2fCjlr%|86 zGN~MJ%I&p+ABqBSi+-GQJLUGK++N%XSlKpwq;kM1x7V^-adsQSJIY#2iIm&hdMv(X z*p~ep&a)}E*Gsv*mi^cKSn(O+cTAomC6wR;8qmgNBx+E3;D08y2`k54dNd)ZojB;kD^cV z`%6wv--&zD`~i{^TGidp0vfOWib(xC^9QP9a5Fq$)^|>`@{Q^QoM>x$vmXvpchEj! zGVFuZhqUjX0{aj(dRN$EPk?=>T0r|D+J~u32>S)v-%tx^-*Y1DCY9+0d#9gHzu=hL}_7UnD+CQSt1obBEzq9O-ssUp$;M7U2R=!z{V%cv`NBYrf672!# zv;4PJD|~8talDUJ=hA-QOxP3E6SQw)dyZEJ;b3cKaEMxDSZii*EGEe))i`*BkUv3& zqvlVnce3=ZRo(R@fGKJpbanLscyN$ENzFoM^`yNqKTYw~wSMAwWSlI$Xz433a`{u# zEtnIXx)IM3@-xJ1g$~h!`BMd~)O>xOKTW-YM;5E~%+UZd72mC|)zr+&w<^9oU#~YY z+APKCy+Lo?8{iDZ_xsOb#!f}u-TUE+sQVcdzUdCj>Yj3Y(W5E1w|~m*eWHfX4!KJO zFDPqw%i65D9R+_-jR0FU_oLt)mC6CXip?YC_M#Jx9e~RL?z=_;_7~VsvCSTU)XG`g zWFhqgrnV=kk?$NN6lvd@TREZ}o1o;h} zcERK}{8P!=0SOhJf2F6e4%s9Vq~s|Xr4OlR+Yf|D<$zN;;P?vp5Kww$a;MzhXorx+ zR9Zr*9B|O)+=(|`a&?<>dvOc*@8kB4-3`~Z!tLFj)vA`AH)I=bFL<^?&c!X1>dU)a zyJNiJ?iLJpcXhYI|uD2C%5WxQ|{J?ll$1%l#>hga>~g~Ik_n(*X0Fn z%E?VRxx5issc-Cp)5}kUp}JZhZUg*Oz*?OlNjc@@K5jpcCya-vum?Nm3E}xRsqh0I z=r=a0RLx)#w8UF)~Ui1_^jr2y9Sq&D^$3Uo_~_Ol`1@jNA!l|tybZ4%=@#M z7%J?8JL6!py$%y-y$TN^+xksG8&ue963`Z#;^-UEXMFDQwxCTaoPz?vJAyVN3iJLV zXsg1LY@l}qeXPPWctl$TS#~&!Jkj^0=RG_8Y$(wCHt)A{cDNN!iGvTsGql6Q*k>OK zD%jy;EcbU&(Jk8H+#`WL5j4~ek3shYpV~uk?5W;v%E{frXP7gciJT64K?b1J`5Hir zejk_Q!EA@mtmfzj(nZddAFODz?BkH-54{8{Gm~<1cjK1yy2E9ea&q~SDdps*oLu)E zocwcoOaW^n)!RiUq?}w_Z~LP}WcMJl>{Gqnl#`o19xt#`yXPwM$ahm@1c8%!fBOAB|J zDJM7O}TG=BQ=B6uPd)Z0T zVBf+ZIb6pai?odQJW%i`K5hdP3OQ3J07&YCaq7-iE`yr#<5>B=is|{Spmn$n#=adP z-2KGPcpCs5(!9Dif^=2!eOBLne{jJn+QmE!?=LDAhlG-G@oF)QUO#;FurC15%^x%x_P>*pdtXVI?Gk%FtCp`!2bbDE!mRQ1bLhBWk#GWY z`Y&j3aG8J}dKQi>xZEb$DbyU?;0l{?QcfIc7H9b6@4iuz|Bz%s+D(?d`) zxZbAtc%7b04&e>L8f?@)l7brrH0ck?)9aJ~&H71}SuP;e$xS)AsZK69xqSzHIVYES z|1WcL$w&Tob8^Y^ZL-7nxusP)M9p?s;4V~fxWTp9;qEwb1l5A3*kL2-jQs>nv%_8) zpc+9l5QQx2qM%kgya8w5pw=N1e6}6l$IWcGvju359o8{QooL#&+2NVwD(@j^z8&7f z+V>RHZijD^@jOD%LOc8vw^{#(baMB=v9Gp6;kbUq*$-&5-D_s+84%ZWUh9vpa4dTP zP7wZ(4QOu0djX$4+mX;7OmKLaZR9_Xa>~v!M>+H5EF-#}M?2K@^z?6dM8`OXqlTQ( z0zFm^FVx>d=L8d_|8~gaG@XmO9;>oP0j(` z*|${13I6ER0_5cNSMaKITBx6FK+bCd3i`js$$b;2>jr&3C+Yig?2Y;;cEpFy6in(S zo##aV*x7&+d9&V%nGpQLSuNvnGTIS*=Ct9cr|DYGYvn$GoK}4{o8yY&>>T|8$GyVc z72fCSHXctGw;w>8zCQ!dRrFlj_1y%XfW`U=UN&R|EY%B+1L!88Qy;}>Sph5bi(Hag zz)F1~%lHCT>)m;+5(xMo;^daiw*PxNxo@NUcdV29F>-dSlgl#A`g%@)L#4x7^l~oZ z!`xrt0njwPoH>V!25_rBcQU}YM0IzL&e3gx%g}8)OK_Cy4#u7lUdTyvv|EYWV9yD6 z=l=U`_Z-e!C%lPQjuYLPKs}uBV9ux(cOB+M=!AdcPB2MYQNWHg4TJ3uKLMkh@uuOk z=SfJY52ms%v&6S=Q4cLE_m3#GJk@CznSS>bjB0nc?z^x1i^uJwdB` zB$h)_@Njb~lmoonfmOUL~>W`4GZ1XFS{>WF* z4ffYiI_2cz&Xyare=hgu|3B#D+JkY2zSFhiakSko!#tRls}6DIh}gI^t?Uh0Ex7@- z!|Wn1(;C`!**mblaf*C0N$S0XTO4_nIBKPDLWI# zlm9AXPRo9ubsb2XY}}E^7lqt6U_`C&_H$&0`GfnTRF>ap%pb}{Z)N!%yZm9a-RuM& z)i>CDFM9&6l=4lqbC!KS{^Sp5IQw>dTlSR8DAY6r~VYV_iA7}E5etiGN3={>LuWamwW%PG>k zg|kkAgq*43wkb$Hl`{9aLMucAXZ{pD8MASDO8DPU$YpVJwN|P449U_Q)}Ts&r$_Y% z=qoygIX#GbX|+5JB=wa|w#S}?w$0(e^bPahUBaVq;gH1jHM>V9L}|COpLp6^^m_-Vwfdy9qoc;UvxS$mVE_r`p(JU=-{iR=IEA}9oGUmc)c9RK|eL>x_j zt|{x!2Tc7d8{qn&ssAzBYs>0?$kcxdXTvW|{THzR*O~fn!p%$mVN?GO*tm;We=h&% zaMs_8F&;PdH*lIh!7a

>Ex4_)P#G_-^=i;`>aQ@3WEbH>Sh)xiR!D=6`z!ePw>V zXnbXM{*LX5X6;L~b6FATe3@e(W<{g(_e{q;*>fu5y)u>YTJnGFi_0g|Pp?IFb49$) za-ON@PfX8cW!}BP7@^rt|IB`h*|@apv(h(j(x=HVmEWS>Y}vm%8$q}H#MJrD6|7T^ z;ayXw%#*F0C!T4`d!|mA_kS&`^Zl|qKQML5IDKg9lyUkfs&n^~QRm+VqprTq`MO4&r+edyGv8k%L=%Nv7D*Ix z#{m=&oEvo|L774>9pl}ZYPqpO?lY{M3b}1a{I4qHegkDhA@>JK;q4W27t)0axtn18 zR~2&aVPPud4z7$F_uo{=eH)QPA@_)?l0q(}=wpT4AJUE#a;XLuE9CNI6D#EMq!BCR za>I`ma=H1&3c1_}V})F99fW=E{8Q%$mJ--3b`DPSRt3an<(V&1rRIb&Om1+3c0*Xj1_X( zi#t}xrB|YmOYm<|$ZbKO|4<=!7rcz>xdk_PEkPf-snbW|q9CZ0-vz?u5H3`LDgmzE zh}|LRD?j+-nS1hrJP*j}WsSTXG7kszk9P;?=WZH=MWvs@@do`}extIehhQNF16*!A zb><1hK=%!}HR>(6DhY;&Ta$SrS1UJ#*prWEduH&WwR#p?Q==YZn`!5Q^W47z7`3%F zdD>u^Tif&HPTR9~?<#lZ!C=*^U|9oyhKp@$;MZ`T+%X%M(RyBo?kEN$)gqM8yR!OG zB2HzQgWgMkr&nOV3-(qQ;$k+Z@h}HJprjT9^w6I(XSBKwAT;mx#z^&ec!AKtSlNmO zSi3IrA(5ELK} z6>s%$p8z~o5*R_^KjiAT&BDMm?-*X=5(lj0Mm3=wqS{XR^6AqaJAYSIdk;QJf5Wz zx=rU8;5v0Aa@zG^mbqTd09dTK_JZYV9>7w4A4A`ymIHKZYE=h6ken5oV%5RT0#@n< zy4|WCg4=3Mx$59H^#;IN&7CM%sd6>cj;1Jg@KZ%D)CNuE?qHp|0AQo0M0fC*`ZYkJ zkoyThqL8~kCUK&Wdpf|!VNZtsSX~KVsqpucfIb!Esc<=idp4(jPW7UYc#yRp2MSd< zo_W5Yf(pOR-tTU2LS9jYPj&<9X@3M%r$TvX*UQch#6^k<|IFE5Z8rclsPKDTfCk#f z12w9!JEm4J)IJZWNrmm~_Tl#RK+SkR$7365KM&NR!i$Iwuy-wDuvB;o(Gm91K&>i# zi7jifc|>y(HZD-Ac~&vV2}iZljP( zh22W70YeLvAiVb5jy8%mQfs&JTVLiW8Kn=YXWI{isFyCF9&aTVm#I>kyyGg5rzcn5 z4n0e?iM4HQaVIQb2(DKXqEt`G+O{B?N#=bem-UyI`IqXZkai_^gze*$YAhcwN^Q$@ z;=0thS=<=+GeA4ABxto~sTxv+Y9|^^EFu9jV4icZHE4LHl z8qK*kVpnc05*n_bGLa4=nMk8btTBW#yRXH0432-qW|Q$Q1IT!JWXj=iBQk}`7s7$e zW+?7VCYw*(tD;CJ#-+Jcm1RfGq^zz4=iXHoTxyV^m5*(Q361(eT#mb3Svm18&BRi< z(ooStgqPw7+!?ozP+C6!L4-;al(uun+&)67T=~>EJ}$y-)f9<#Co*~^7~g-Ca;gZ? z+AOY8@Q4ziXW-(@AGFy!<1)%Tn?K(+FIw*Bsb!v#<2t9k2lEBsr!W{H zxX^wY(K4Qrp=Pj*$F>BV5&Hqk^SKHu;KO;oGmdb^(Mrmn(Lg0 zj4r3*BVps-0nHc17`*9KMCc!6GH&$yMOXtg)B70V(qMkQbU#aSManK;OnL zJjgM z4>Muo-VUJoY%^FO@9%K*uX2zsb}vItHD6u@3thg=%6M#gmtW!|x!4N3qYH{Flayr`Q4t)%#1XaaObviod)=oL`Oep4(U1oPQ59KRl zRWQD*=hjZQRP{H-bJ^UXd`P7FarbgMR?HpxF~bV9JEjetg8-^O9|Job(AIsPnF8$& zqo(T)MYEl?%PJ7gvWD^{pc=?m`*uge@b{jSRIA1Ov7SY{YfrG$Xto3#;@T5u&9c;3 z9;DN8^IWS=n7(j63Z2A%LtkTs2bjZXLdR`DBCC`+(!+At6V?cPxYi+9{t**6Uuo2N zpL&KtEbFr<-0cmhwy_Z(8#!O()jha^OEDI%^1g>-aP6+caj>r2^?FI{G8c*VA#7j` zC!cFqOu&@2uVRlB+1nLv#q`r=TU}_|N1~sdLF`)46sH2%b_OX!S)08D5uJrgXda4v z)VY*qS5KZ)WIWDfS9Q4v^$A0x+ZJ^FM)yNrAoC+uVP$v5QgZ*X4yKnKfl+fm zrJc*3j+)%hXouO$alr29vsN3R3GNcGP&c#y+$~_S zJ`^Xp;2r@>bT!>p%B9azeR3VZDiLk%)TcArz2bJWJ`v});64E>^f5lbj|AMQ7voWO z@MGagr|P_UUR6FSy@@9jOL4jg9+Q5;6N-b`QUC9&^QKJTaI%Au{!G4MP;ZB)bGa#p z*B$%VVV=*h96=3sI1!g{L03WJ?Xa3N#Fq=IMwH{#ekk1ElnHDFV}2+MGzws@ZIDLl$edN#o>0ybOrDr`Ldu#b_J@jl1r zt}BsHbb>wPZreOJ8Bxw@OqsywzLW`^GJ#VjFs^RwnRo``A1boIH{pK7|Av_7<1WEJ zT7Sx($=5N)NYee%=5p?C#xwFm^9y%35DHAwl0^@QpWdf&6;FJlRGJ%E7n=*lM zUQC(5=!AV#$^=F?9Ia9&aLNQunZPL%xF%%+r%d38T$wU~w-MD%nZQ-T7ZtK;iLfbF zE#799IxA)&r%YhssHRNdvblj5Oeqr>Z?01&@c$?i_%m`~`|usZ_Iy^gta?by1ny%v zwbO=C}@tl;hF2vha z{(EwNyxuVUSljSpZNrbX4R_X7LX&k!leKHOzuJZ->yRdE7f(fsYiP0+v>no9?LlMd zXlSwyX|ncE)MGiM$=VC&(BC+Z_jHbdK?@~G4M1e%ATem88Q zN##+u_t`Y5JnHtjgeH|oD<(;3vVgm6WQCbe@qums1pU&NMp>Ywm#@pl;j&`njKv!?kEvt3%T!L9xwY*eMdyEAJ!CJqfb?!`^-b}lg)J)1O5R*E+ z-FmYjJMEA9JK)*F%3Q<()amWkhbcR8_*cP@Rw*d?qW`a~B>~hZP zovG8Cy+s_T)0@3p9H`Tqy+@`!1$XtIe+A!)_YuaS;I7{M60k$TUH#S@Fl{5aYa78` z+bHciB>`RUOKG#F_ncm+&>uV<15!cizUR%v=dK}AJttUT^XmcrMpPeMV)OeGmOqW$ zk4x;?uwDNOe8vL0{&P4Y2j=pqQ{GC;E*3Fs0W>ug8f@pV3w8efzn`O=tKcda@t3pfta@wpP(Prs( zvmeoB30C+KZI<9pKcdaDcUJm0a(sSbn?-s!7ESO|0c-sXJ7l$h_5Shfs-Fqi;J?oC z`MH3N{*~zd;C=y{{HxgqzmQgM_U|CyaE;___0MLR2PEfXe;xbnK>?qJFS63L_Ji=Y zR9MNLe@Kw0!T>vG@Jm5C70x1BXFmo{e3kbAERf(~39_3Cxy*uJ+42=E753$P{I#H> z3O_>R;1Ov^oeGCDZ@nOVu{Wa4vRsKa%YOPY+AKYrRQQ39D8CWDGQQ?piPwI?lY)*_ z;Y1$8Q&K~V3h$-o2FaVILP|gdPYarXulW)^BdAq{Z*ro&AZWG<*RU^N6ofAXUxU>h zydvma6|Usi{K4LYo@i6yBiMn0P4-7X?J9g1yL|9Rdl=feScUw?U+}8*(oz);V|}kl z%Q{tf!ihky+k*#{3+T$UP~kB=qBkUOwF;kOls`+kwJPjW1GL$`58b+6g$HqD-xRb# zg}o*LZLxV`-KfGI9F?~PZBpSJj>9{GHX{o2{vv3r3Xx?6?+W@@g=g@HwhFTBa27}U zJsC~U4n-sMeVeDEoE>iEXnr7`p&cH^KKoEm!44l|xxd@oEsAzX!L8sEK|}5E7<5nY zsa=O-ud`#?EImuKS)vkcmZ(IVB`VQo*=Hr%>|TgjqRkRDCjz?M(^?V%U80hJF443^ zK$oZ_pi9*HFA3;!?zSZYx`RU zivqglEOV4oc9uEHF=v@bK=%%`*YhI*-D6Qh&OZ%b$qkN`Mu&bRpv(T-&5s0hd9hG1 z`{;CsV^{R0!84r`5TMQ%!QWPg?QQYj$5}6!Eh4XT{044z=SrD2%Q+5N{?JQ!spoaz zv*$!4GzAwqyp%UhZ!d3pd(`xD0bRdbK-U~cB%te$twm*#fUaLIpzB8hy6lKLzmw~) z!&pvz7R z{U;j$UK3F8BLQ93T=Yk9Pydq)L7gv$`-bQR*ZUD|_5*A$4Suqi2*FQLDi z{YXG}os35$pnD09dYT^z=st>^R{v}^Clb*0%LR1(HZ&?0(Dfq$T^>Ta9|`CZEcPP- zU4o^4B%n*s=|=*(1S|YVK$l>p9|`CZto9=TU4pg#E61YJom{S@_5Rdv1LOoe;rBQi zAn$UPZ1BrzvwlRIWq?h7M4KhpY&j*`thWQ&tQpJ^ZrMT^;hKda-9O4mftt0km$W12 z-^BAxz00-H!oUMFBffNkG^8qJXaH z=&9u$J+-`}BLQ81b^(VO3F!J`2u^l+WT77k=<*C%@FM};Nmvd=pPG${fUZ*#(9KYp z!xI7BiZ2N0ng(4~-k{6M8x+xIeX4N90=j-Apt}ma5&BOYkDM#qPq1yq0=k?MMgP@q z$hg|&*O}}5NI>^WfO+>NNT-H!xxPesmR({ZcZ*O9Z- zr_Lt?bO|~wrzD{3eNjNy^xc~BzFSk?caeauUoN2Q@6Fk~Ry1>a_>q7v6+=Tm642%R zD_G8Sw8J04{unX$V1%*au#&0c#QX&& zj=!&%m!evKzxU7w&gmY^uZn3QqJOYNn2j~*A0lRnHtUpVvyP$7ioHhK{{G+@)dT>B9}wzl!^3pO+@WTuRf*7I02z^yg6=Lm$B&z2E?pvP3f7 zpU)}jiDJ5cA?=(9ru!Gs4n;5B|32-4$ff)3w2Pva?k}KSr@5p17qj{Gnj5-*3GID$ zdk?iV;GrC<_itbWGi-wAv6SbrYdp`~N56@M>=-RwoJg(gWyph;ZhtHQeLSM%`qQ+u z(bA zdCYb8#Ey_3`E}%GBQ0H?ZuK!}Q+{tQIU~B7ub1O6KOH@qc1}d9^JC~9isFK?3;O4X zo^O~BpQ1Kex>PK$Gb)D%@J_wnh#Zcm-K33{E@Mv9MoX7=D_Tg2-<=5FgAuhp`*UDu z=~A~=8!cU~e@h!JUD~cTTDok$r;U~_?VRP@k3adt8O||Uy5kWhI}IZUE#2=hq|ws7 z5T;R>$TyoXMoX7h98id5wozn}F7iAU^}Nyebc~knGMGDUK#)6ONYjm$ZeJ{CJ#R8v zaf%c*TDqo+FKFrh5)y4Ac5tP<7O}L^(&bQj+Gy$C4j1$k|5S;O=dqMyv~*{|q?Rr(0d)iC;DvWfAfu(rWXMjU zg?U+y=0_XyvK-kY4!&cwbniEj7E-@fiyr8rz0et!Hd?x6kruOgQKSyqq2(AY-3n^Y zLQ9t#wAyItvRo8nsfl5(PEnRBLnxk7@c62wHct%T? zOCYC>mM-n0SsFj2-Jo0WnZJdxo3+u>rQM>9mM-mS`UrgHZ=>C6IrC2excwSa|6SCS zHGOhVS)Z&dtAABl{r8sDe_vVsKPs#L$7S{Zq^$m*M)eyl-Cn4dTDsJ!HT}7!tUn(x z^{;F|Qy(<-KSq0PS^W>0`VE8D)NizOP5nko*VJ#cbffx*&q1JHU&H#LrAzHwqqO?C zslNe_O!7}~%knJ8XzBKX54CiuYioR;Df4|c@-$TC+rB9QVzIlswv*j2q-G@w_?@*4`#D3S*X|!}XPdw9>_e`Be zOSi1f_si=1z|<+@^r5NKXz50E?tU^3s?}gnUU!f$V+>b&_m;Rm~Y3ULa{76fey;|>|h)-zg zvY7}QY3Y8#u+-B14#P%Ty0Ursk(Ms^#FCco;c$z!bXi2Sba@GE%J!0XAeJ9#>9Q`* zkF<2@mGckAi3eJ`a=(RbjaM+SmhPpDM=f1mNt$@oQ9NG7Lra$p^ZZClcPv28=P5lu zK#GL^sR2NZYDD=0g44Z{z-a06s-=pt{v_p_pF>+x|bl)Ka};E_dezvk{>1= z=gDJ%{BXtdd(NtEIR}1q>KK#}E#145!Xr(~;lllCl)9QO)Y9DoBi7QDEozr3XUw+p zW0cH&Ibr3;N@a+Da4QP$qkhi9)Y2Wri&be{gZM{{+b?RIEWZ6EC+ExRJ3w+EvDN)7 zL>jODhDf5NdpvG?22dn2->4|_9&71Rs6E!wrQmw3rOT5|tfkA7My#dF4L{b><>nh} z>2f2CwRE|8#9F#s__3BQ7i6rZ%Y_na>2iw4TDsiOVl7>6Sh1EaCts|kdp_+*OZREo zk(TaZIM`TAm%|!s>2j1}EnN;rtfkA|O|*3P1BkVB&q8M#~?lmakM_Rf(H2hA_NQBx;-Zp!Fq@_#w+?*e2=~6zo zhaYL_%J1%&*L-87dOXL#?H06j*`#tU-Io3c9%D~)a^dl|Z^#FB#q@_zx??+m?bi<=Xj4ZTtUj%6Mu@tDK%bX^E z%4C2A>H~mgKho0Oc>o_uL|VGF0Mq^J zx}5-4qNPjZC0e>`fl6AsF93y!mM&2t(bC-lR7|vV)j*6=qNTeFP<^7MdoWN#qNRH> zP-CK{y8x&u(b8Q3)SPJPZUSmav~>FwF<6O~?i8TbL`#>)FelN{C2C8wbgzbId!nVg z3TSbnrTYR9wRAa2tGIs|bb<&*M`|&)bcKxU9GlnL5fB!r{zjrQDfJwrJ@_ky_)@+^Wj5qh?+fXLIi= z3obRt(8|ZQ!-PiN9hc)SS5{8EOEa-lt~6A%5aEqD0(ZvkBgo3yuI4X9D59Wr40p`! zBa~_`pBg8}MYye+BGK-2eVoHrA*GxuLbR4YfPhDo0Ew1v6)vO9WBT(Wu2H0=%ctp{ zzn6y-*#$xk$oY|$E^|s+x-TOdxN|bp4CZ-k%TAmTe8DTv=PIn7cqJ&WkM~DQD&R#e z-JNE^ga0EhPvn908qOt2X;vlgE2<{#%*&3^G0~R&C8j zlNb9um-q}ZKFwC`w_Y?p4N;tr6Q5zmr^%|l;&;Ypm&j)~bb)El2;fb6>utS zGEQ-`pG%y+Vw^hXTEqAHqjBmH9h*6>2IH`FE~fcfIBk9-XSM)GhfA&mDjWG1^xtwZ0`Yci8vTR?**Gud?oG-@)F z-3kFs`b{{yT?901GLv0TT?+3OO=hy&O>Ka75K$Ds5?xt=7XxC&WyESSR+!kvxligbN z3xK7%nqZiKPEBUAJ6ymDO=hxNCt#%}GdYzI?vhFfW1Ig{F7!CeC~OlYE_4?@mdfma z3vD#(E{U}MBQErO6n4!I4qa;h2usV;5f}PdO!=ISxX=VWbU7DVM_lM{B0xdEh%-L8 z&;$c?#D!*=qK>%G-$Tw&9dV(}uQ|=d9Z_(D=;Ai&hznf{wQf0}aG|-XN?d57e~k;xSy19a@4?xVaH07#E+sCs{8*M_*(EM?=8IftbCx;EDbwLS z$}wk|hzrf%<@9vKg+3fLOJhIUIhUW}d z&QUtzLi4BJi{^KnPIphhtzMmFm$=aKTrDG9=q_L2LYoF%R^Fh?${UnQ2&WRlI1{E4 z!WVLV=d%35i+>UAFw5_|_}`axpXJwG{C3*KEWhpIFQ8qQH3Vp0Ow?x$0h;zcyS;&( zq`|Tc0lI=uQs?6%LxBQ(Qu!bw1n7)M0<>)i(BqNWEh0dt62jKBtRXE8Y%o+kTFVn58Awbi1vxWf8TLLd@2+(qSVA=O0DStS_*@gg3 z&T_Yi0DUq;8Upk=Fs&?K3*?(k7(;;OugHPc%WU%+jhSdEi)MtEYa0SI-%`l00WOCj zO*aJS9@yEl^ClziDN@uBpiLEDAV8C-9%c;znx}-etRXp1qhgb7LxASNkN~}eM_~xi zauiuZfaXwnSwny(+ZjE@Kk;u4vXpHI&}5mB08NFXYy;jgxg{`b1Kr=a&5N^mC z0yMj|Ico^ev|F-<08M*Z_6U6DZ=>C6*@gg3P96xzck%b^vqEgWhrjb_Wrc9M()6wn zuvhVESuQIC?0ab!vqBoZk9Ji5kIL%*aasL8DXagdQT>JhO&%Z#&`z&-MAxv+sQw2` z{VN;L?gvf%kI`ORR{uk$enWsZ^&0}TsoxNwP5p)d9o26L(BvMH06m=b3qAI6Q@;&Gdq08v-;rj3hw!1>e!k{MVwoxguU?xl}?}=3^=$j0urS2%{5H3E^)~M>nJr z!Wl1>5Kbk8@pv{OK(FbKTQVIHpvf_9&=CQeOwvZ(2WL|dpqbO8r%VP|pxy*%))4{P z8^Gtg5dpd%z%(5Zpb1)aM1bBKV2+Lm&xcloKPZD+bwq$Z9UvhQzZ1d4x_}19D$!vUu5SZpg(w@_d_~BACl2ZSVOnY3kNXyLUltm0Vn=N@?pD!T)I_7i#Fy*<_ka|B7itAu>o1wTfnQS3I zN0Cm9OLMC#%Z{2kKhEafRTf-okfD{2ZHEbs`axWdyIfg0@h;88Qn}JlMa$r&I0ARZ z?IXy_+OFm=L`Wrs@pdVd5dI&P5T03yD-)L|z3us|YT3b{z7Em5(Sgg!?r0vu=eVkZ z4{Gg>rXhTitGaL{+8vEU=eR%~pXGwLTuZUxZzDj)>AbN59{49>!%UMg?`JuzzMJ742g3YXubx#H0#vm)>j>ge>tr1*}hqbN9uc?oqu;vV6DzzH9~BdV7)#b%M@b61RHcDHcYTlM`FVSn{*^L%qu;v&up4?BsRPn`&5gL#D;mdG)+fh!w(>*RiE8}Xpz{k2v`Oc?yk5pJXg1&QL)&t zNKXb`h1A(D+LM7NV6li#1{ncMMSU{pCZJQ~Cxfhj6{0^GXaOsABsR=GSgj+mVS=?H zO$o7K_Q875ri9oqK}xWt*znsJvSuBL4YR{if^AB$+yG%ooLm4LNyDIXQWaJ0Brk zvuQM!hz*Nb5*xNlV#D??@HK2BHf$TQ;nj?5#D>K*V#5-_hz*Nb5*xNlV#Br( z8y1I=I4=JfF^$5om?ec_+b9f6s!pTmHVVTOEOY2cg<lyp=BF|VTwmm zVfX^ZFbcyg7sXg=Vi<*C6T>JBON^|v>^j;7%Qgzb6riNSutS2Y(1(}vJysO^CKJyn z408!Y1lOBsM+Da&(oPAsDZw@+*ro(qd>ca4j#GjyE+A5ZZA!4ky~A_33P=gIDZw@+ z*n(P@5^Pg~t+0Jlf~_!4W7=s-u&v^~rXiG;7?@)5hPBjLagU`0+mv9tgK3cxZ1H+3 zCD{It5^Nu-1S_`c9si4bR<-ONP+y1ey|SwM72Y9(L_1`}89;sIY;zNc#N0n{>-Kf9`SUngi< z?R4l1BLO*0LyrlNQ{)RMyW@yqWbHnPR%M*6op{8Kf5UsBW$OHUw+O;JBeEFjBJ-+` z+}IRq8`ha_HSJltHn>u@|Qb=|Jl z_cz|<bnT_IkdZN!DHEt?uW;qXR^H~AoC+u zVP$s)htvJXdYE38KZNLhN;{W59W}Y1(GIhh<0Y5-IqgDrB9G^vw2OKbn&euFAYt+n zBvQEqiBv8@B9%*!NaYeFQn{C-g^5(|Qh-D%mkmy&atRWt+&cggsoW-jL@M_n zzZ#iH<=zdDNag+nZi!SbdoYp8B}k-l2@o$itGk6wq3ezN((7rg@2;H; z(A~$R?7E!OwYyD+Tg2g6I@~P|JJI1Dnc7P+-Mrps!PhfHeyx?%> zIDlQIPqBh;It+uDMk@CVq<3Macx1e@VeT5@kUb|@U_XU@%Df4`#9o5~vUH@Ey8w2g zmrEIyL@#$EjwR8{B}nvgSxXq}GbRJjQuOZq}eR3UgR@p1i*PZ%wM!Q$s zZq_GadIk3hSfP)>sVex9fIIbK%$?xJMi}+~44s!px??&oa}vE=fqILFj$O~0?02X8LupCoKFPFViNc3`vivN;cEa)6!`bgT*|@)(|y4sJ>4 zyd0uwDx~65@U(c&z_)sdo)Ls^{JzQhUJx`}g=?@M1TPB0_kXX!S`S_kbgl|la&Z4( zzlE4>DtrX1IoM=lFXOj?-yI3`M|%V&9lj0BFZczo$`O4Top;cnFQ@Y|Z}pex<#H!o zpXlWhZAkQTxnplk^m2(dC3?9;n-jfUqOFNuF44z{UM`W9=;d;ZN_x3GwUzX8=@}+^ zxkQCTFLxe1OM1CPLleE+;W)mMUM}-WbY7x;>@d$BbR41vJDkWl*j3PYJFMo2`9f%H zgw8HUEObU8W|JNA928UvYPQ1y^9m04v=%$u9b0KoEoh1zHgYuk37Teyy)r;Gf@UBJ zXGl>{s~z6JSy$_DF3q;X`?#+RcRmD~V~2H&Qs>AnV~1yAj}G<_G~W*IVeNYgYPZ9; zIbTKyT4;x#@`y$XT5N|eb5)HJRHE}T=2ANx$)4ET;XLcK!;OqmFKC4wj^q3s<8T(N zw8JeY0F86Ta`q&8xd#K4^m5@0JX zvj7EM9qHxXj`k*cx!*<&iC!*?CVIK-_e3xENq`;e zC%y%Y&z=)8tWCj1&Jc8|X?lBk)7zt_3%~VZho@0bAID+4M9#B0qx-v1`ZUyIait$D zayDZI6m&1nw9A~&0gC!B9J0j{txk91@+0VQEhwk~`u*IGWa?Sm@4hO{ZP3eD=0MjT%#l5X zWe$=uP5N1u`MQ+ZF`f6RB1bmT%jL1P#(KFPq9uB{44vrZ1^|g(?m*BfOM1EUId2oa z+_Qm7dbvElFVoANftoYkEPVDn31d?qOmVpqO-D~H@93%J9X&1T=-CAv=5&_}Ef?$M z^2idsTscR@dburF4vAhaYfkiXKL(I3AmcgMDY_8%oQ|z`MR2J*3=K04x~#lGmz6hY zanvCG>TuBEa#zjio*abB-IeH#P(N`zz!mP_@f@KbHv>y#N)+|0-H>s$`wTj_PS5A? zUh6IasMi-m@GZDbK!d)N8_*5zV-Tom)K~GGbfe6ICOwp8ZW0oEvtG;T{6m*#@fQ6! z!7T!&=?T1Uy;VR$=cQXh=jEo7(0PB$v5x7y^N^F!d0#_LLgyvujOo0i(NXvkBR+fj z8FY1Uzsm(@`fg2m->oU{y9c7ai|D*8l8foQ&74Uwop-WyB*iU3=jHq>#B^S+w202j zLyG9U_aRb5=M~e?dBxYzdBrqzUU4vVUNH@wS4>0aUBkGB&MT&&^GXCm=M~e?d8L@4 z^NMNcyy9T!ykZ(Uub76;E53%#E2)OgE4QtN&MT>g&MT&&^GY#8=VfY4=iP+fis-!D zpRH^gKK$=-dv>je&U-(ALr2nicfxKH(RsOP_IYU{{Fls zkM(kC@3Y%h)Y1^^<+6ben-J^evTHIPPiz^FmvB4K%k76*pFJK?a{XyqSw8y74WJ!n z7jc=^(5}ngf%TQE?a7m5b|zMFZrGkkZ_1A2-ZH!%c5`+Ow%A-9eOfGh3B|JLB9Az1 zwBRSwGUi5f-h+@E(|LK0jp)2wXa5tF^v>v6QC=|(TN3OjqG zmn%h$UaqOa=;iVh7twhi!Z^ixxjZGrdbxCu^>TT@v0g6iSTC1n@iM(!7LWCE`5-CL z%Oyzkav3<$%VjijXW8UPFP8^Hz1$mk6h<#sj$#LTxwpXuJ;gt9C$UtdmwP%)>gDpf zFVf3hhw382>qSidkMwdm_z|7==O)s^al4|lXu~e5#l(*3yk(KToX+c_Ea|+LGKQh^ zvRq8(H8K7RblyhB1D)3|(Rs^`?j{q@(0RE8VmdGFn9fW4zfI>|YU;o1Qr2(ua?AQ; zWm)~J%Id$jtp5AT>i@6n<%X!2dbxhDxIfpF_2&bo{*?__P!F2=AEUjttp0~g{r^om z?^4zez1-2P-{|F<`WtY`lz)QTRHBy~!iRdfCnmnnl=(gz`5L|4@$`jW?vLqf^m2`_ zP`ZCtiz%}syXdQ%(9|iq#ves>?tU`r{M$&>)wenS_ji)x{D-Mi>i?9smzDYO8RO-$ zG9Nyt9j%9d(r&P-S4>4bORYelevNrYjmJf%mJzN{5>h|e0FN$;{+<>pa905ZT|`pe z6A_nseIoiKpHXZk!cIL2sGIsb!{+k7n$566q1{Qz2B$}1E6(Q>_e9UCeryI%UNyn3 z+Q(f}zK0a)SIF`@3>4VYR`Q4P066#agup0F>x%|w? zOSVdaDqizf@v5bYvE$vj7IyWpnJ6_5PBR(|6h^dw}HN zcZ9p21vFlLgh>56^9QQqaIZ69)^|>`@{MXLW@Aln_QOHy9@ zHZ@~UfPJXqNu!41mHERIH~bpPRp!5;xcSylpfca2xDnP+pE7^A;^tBF2G`=Z6c>KY zo?IhGC@#pFAJJ!m;zFtUJIfv^Q+&XwlUkwNuehPrM08$mSTzGUfxa!1uZE9=^2e$+ zj9twGoJ14V2HMo@%pb1~#lhB4r8D0m!&*a;&io`9r5Xn_FMomzM-3%9^OL1_t?I5^ z8B^MXQH!u(%zV#rg)bqcZ~Uyr57#vdBprFiuaP8^2N&h4DnhaU#!fZ zDqy9q;5s->@g91$o;ey|rdo+bwpN~i4GW& zuHJ~ky9-o)D->;`nhl65l2Bkg{p%7E^lj!dI;8DFu>); zQ)iw~40N}`tx<15d=Q;s4}2Ysajfd6PDAM7iqU#r zC-=J})l!tuyR!OG@;2Nu2fdd7Pp`mG1beH?xUzLUW=Bx3t_A3!KWENp^+SNr{1)aI zslK4+B6KiT#%h4I>mnZ$Kn44%^%3#O|_01~}if?3 z-vvnYa&H8%61`j^FVV|=5U8Y=`#eyX=;aa>6208FfQpG;t~C&&l<4L51gcN;a=#AL zkm%)32Wm|8a@&EL62078ftnM&+&=)dBzn2kc#t+N(aW6-)SBq!@)+hMdbvbxiC*qi z@N7@?a#sQ^PV{o02U-ffTu#y|?s9V4#ID2XT*=v5#T_b6{SH$(Q%x$jwJLs~z$MJ$ zw2KpNVFIUX$ro-vWh&=W9P&4?i$@^@UyW4B`;m;tWt;I{VHUSR&esU7xrC_poA8wB#C5fO5#Bn%=X{3b-eFb|A8(k^ zG&h3u`1@u;Gr#yhr3t#T#w=FvIu6+KVl@j;6nFD z0Q$Bmh`B)CP2wnDR5yn|8w;OpqVJ6jd=ZGVj|f&bL9 zfmla|WV}0D<#a4P%QS0uHp}T)a+Yb=K(@>2Xg|v|tjLBr9c^csmKE7Dr{m)@P16Rk zX->!1GfmqfxcST>N3bP>If?8J&rp(wvRzKc`m@M8uH|I{=*nl+p8UVqI}}=Q0s5pb{GF-YYi>w@Sc&$ea5f402P}EgXL5ZS4z^H(T3W~xiMxv;T2jUH0 z!2}V-c;H36;uV7N2=NNWBgQNL=lNAvP47C(WnMpT{_pVl&|AN%UmaatRegQ?8Ef1z zK~u;(FdN9`3AHJf(vuG)VvWlu2$kFqyOD@FH?@I}p^~57`#Z6m+R@B#klvx2CiMIz z%}17g6Q+K65X`B&poCcCstG;sW$JIM!_>NWKhbU@F$DN;O)Xd);js774Z3fFQ@89> zoj5pjYJZ~2|EF%b0tIU!J=%RNivl%G2x$vZJwXz7byDj<`OUR zOYoO?)3A4zpS~}Js*^93U@g1M<7XAPt&mcG!4s7)3E$B4a-l{u>3R)%TLp={4@>APt&mcG!4s7)3E$B z4a-l{u>3T|)hs`K9s1hx({!`^G!4s7)A%v?Y1UJipJs~Xr)gMz`eE#XWBF-XzU8NB zRaky{B`iG23HfOjlIN${D>=(g)2iMmewv>3mY-&sgDgM&GV06o)AYnso{*nr$Kxqa z$WPO1jh|-PD9caN%Jb8-@B}F2r)lN+X+9DF5`$9aCb8}32syv*_Fo}wJGljo;7Uh@33j2_ERbDT9>xm+xt#4e9wveCZfwBBF=Z58{IiD;) z&Dm`EX&RQFo&z__PgB|$uiS8-(vqM45-FCSW**B=7v+%AU3dkFSrv?p^Vi`mKYbjI zr{$+Vh7scufVU*rme98RG*9mO$j^!%`B~8;KRZ0~AwSJk)$-E|P`l-)`NMC9pXQLT z{PdGGJk}vUeK8EnPt&mc^m4qrFU(IrhtX#FX+k?;ipeV zIOM1KJ9UPi=4#_>!RO)p)df(&Ox7YpOhjKYYr@|F+mN4bL@>Ati`IMuq~kR|y(L!m zke`;UnxEEH@Et=@4jFxLAuk8l$4?jKkkLyE@df}2;)i0Jx|QLvjyLTT7`I)vHD01k zOx<}t5WbL~jz~l=(!DGE_Tq>f^F0wc=6fS@%$v?n2dJ0)bS#Vdg-3sgb%wm|GF|_o zdNlQ6UH?jkmq+SR_Dr;oJ0FGPG_41F~} zJ&?ZOr*EgP=BKsq`uXW)*!6<^^ocAF{B%d)lDePX4(rCds?(Su3(*-pVoD1ewyRN@YA}^@AK1FZFC@Y4fvk!kp8Dbn!M^tb#p4a-lnS6kty|IT8`PoKX%TLQq7S11hPGI=ysVtBDG=ELQ@YA}y zke{Yu`DtFETYj2ZEI+*~j2sH5dnFC|nei&#@>S7Met;P1T@W_>^b4$<{PYw=8h&~y z3IKG9l_u4KpXSdU~Qw(;R$;pB~R} z$WK4caL7;Zg`GA0G!Lucr+JhNKh48o_-Xd8<)?RoVfg7W=q$@m^Dfcw)9gjdPxD^V z^3(LP{4@>APxDsR^3w}&U26GhJ^{1*G>5n4r}<{AD2I%72>diV2K;n3w-NmGKcf8f zWyoRp=@lr%^3yadKYambw&AB|!?67HH83nc&FU>b%_c?p>7iXvc*swSUaR4!Pe6j@ zr_Y9A`Dq%KpZ*mL%TM0|!}8M)!La-^4a-l{4bKxXguqWf2gCByOtJj*-(XmN+V9FI z5g|X_8HVMjX;^-`9}LS+vuMjtABGgmPmh6N`RS=JEI&OThUKT3V)ADnFf2d)6%5Ny?+N12^3$iou>ACuFdWNI)AB7peLt)` zKm9Z;ymi}qB)YiVqm{G#^cq;zmY@CtR-NUi%fPJlxBPTHta{5&9|LQU<)QI?+`4hwJ3-eAibJnq9p%TLpqV)^Nr@SJY>=>@Rx zhU_-1NWnSY)3D}(pXMN~;*uq&O`In=oGUp%tGGg$*i#tGk*Z_4tW|M?4CgV4!_Gv^ zV+4n5-WP6nGM3}X6uAQ7YECA;8mW@^BMG0=HsQa-Brby-vsLubMHS_co59Z?qIW7q z{C6q4Xc;%WO56l};Qq)1juNw{l9Nj=ma2HA5-EuHUWO<>hMt^x1wExL`HjuktkpAE z1m~+RBH!SAsCh;-@EPXev~E35zFG-2FTymxD2H5>L)K%t*^n&CA>+lBJjH8+$;Myh zGyL=@CaF3fVr!JWnCG6<))%%Xe zetHtzz)#a#PGeO}mBj`vVtKfGmF+U})6)>N{Pb-w3_s0xli16UpPq#j!%y>V7T|fr zlst+}!e=(1<&1a{n;L#t;dbPk>XtmKJMYZyhE(pz_nK5O9zDi!b0pn}NY6U}c{_2* zqf+u8QHEAO1|r>KTn<(@Eq*+zhlm<)%R>R|TJYfuP&V-4o7*7H;KS1o^g^0fM2+bp z2MJs*o8s(O3-HZ(bRaLEE}9dg#)e9S%iB>lHOFqPV=0pN+Sp@wj{o zT|{v)s59{<^2VW_F@r|WnB=k1Gv+X+p7998Y3X5EA4m|(aAhpPYKeW=#J12gX1vie zmhf#T6?(=DC;U|~V!Z4O^^944sAnuet!FGjt!K(*m)jzC6+*q0bJrO!NOzpjHe-NdE5u_#`wqJ zao@nh*Z=E0?v3c{|8gGpG3qORHy0%dvXKM09*F!`CJdtmmaKW4-X7!BuD5b&b8Pm$^8PghN^^9rd^^9qawR*-f zLad(gIvlu(R?nF4U8Yz)V_JDVV_MU#o-wVwo-wW2R?nDLYdkK;S(D{)X)UyR#w*ay zMOM#vCypMgXS@%rJdeA!3tTOa`!WtAzF)@H^0*h2nX}B{vB+7b1&@0(9_d>i_b}A( zgLvE#D8TZ#Z0|Mho+}>{H9*zKt6f%j5Dc zrZA7o8^yvr?v8Q~*biIF!Suc!YY!7vLt<>KU&zeS4Wahb>RxXe>n&v+#sj9Wcp?wi#!j@9tZNCnS!L*p#k>KP}HV)cwW<0WHW z&zQt(Ue9+49dd8e+ zR?nDwX7!Ba9A$XiBQYH;kIR}Zk4rJs7%K*ajco~S%j0g3L8BWqE83tIJg#m~$m4QV zwLI?a=nc!`eun2C1$kTou$IT=BNfZz(y%=4@9{49$KY`(uWa>HmzqYL9J&j4t+|H?Fb2KJ!1)KJ!A3Jdd3o~^^Ez5&eM9v607x$C8+g` zC7aeWW~|XOegnM~>KSu=HhRWfo~@qo12AHAq@HnGtQ?`9F&E8H&zM2Whn9VnO#tiS$fx0O5M$ecHjIjwu&zN14@c9BS;S-@Rpl4i(b6coq%%IUTX4vQ% zGi>yXNz)oV;~t1NddB>LuL3+SUE~o5dECX)vIvj6H&TtBG0(A~o-wbfH>77wccW*_ zu+cM~gRDl+cr^OP=o$0&+~^rIygohS0Z11;;|~xBTW|D?t8u~)^^7^`jh->XM$eed zH+selTRmeI7wQ@BhGGnldm4+>dd8CxEX3pTG6Os=ldCx1%oAy5P58aB4S8Jt+?za5 zUx0u#UGunEtnBOOae0ah^^BL|I2k=-o)V0nF?Yu38Ow@S)*mOq)Od!Cp7Fs5NA!%D zU4K1Q>GGRyt7lBZ>KRKfCAWvPNYKe;Y1A`jlS4gY?hN&ef6cwnddAG+6woui2`=a< z{xO}zT%n%v7zC+j%1*T>`X;D>s~_v?~o_6HUf>KRAa(^-*{TGuoF zH_L#Y@pP7<^^BP>`g57Ce^EX5;bC3>N`{w5>VHJnznMJl6|5gTZXedKd0bt8Jw|rw z87@Zdc%UA&(o@jn_5J zd0fU9;&ItehR0=igFNozMo;d;yC-iU&P$K4$lnTE%eA`OpAf6L?2*ccx7GZsr8_cRu} zVIFrd+zgM)EW+dRx_84oF1;*|D>qqKX?aF5Jnls-k323fA2*T5Wfsfh?g=A@!nsga z(x~D!zvgl2XjbQHgbk1TM^;WA_X0$2n8)S$Jhm0-MU? z-p9=3aeG#p#%(5#`w2=C9(OqIbqtStEJmZ@ac^TdvCF-dS?@O+!IhxsAtTpm{8A{mo1^5@iqidjh-KU(qm(??-VfBpP#nT3>XUy9gt7pu$#_Ab=AkRBOJ!86AJ!8&1t7pt6 z8$ILa*=D0>{7<%-%FoaD0I|YX2acNi{_Zk?M$GroF<#8W{VR>8{mdB+Vo+siS z2|VtLFf5PD6wBj&48!uc)aZiU~dEAR& zSRQu)49nv(#qzi`ERXv*49nww0K@XQTXl=@xJSdVJnnQDmdAY>hUIbp4a4%dKLxmF zdED_ZERTCN49D`gw0z6s{uWlA$6W<0V|iR!Im_d|3#;1lxL?DnvpjAENZS6E$NdSc zdduUEgf+^S&tI9>#J!nTno7Sa@8%8mW@^BMG0=HsQae!{|1;kE3L^Z=48Lq2h%y$Bk4@iC~fHU44pU!ja;3SR~gDJ&X8wLmyq9^^o4gbgmyd zKc^aEmm{Kj1%g~lBn6SL(3Q)Hb`8he2ga34ixdr47hxHQ0~krqDhcgQQ%3joIMc)N zJq*u;Pi+uj*UZFe#f3;`icW;VOlDDBnRGIrxL1WGoosS*sfr|r&75J9xpqYgmm1{I z%BJ~YLZcR%d|c%s`NTUv67%`;hblZuYfK4T8C#YhbFf9t-z%XrGD^pA#cWwZzUJuA z7-_O_S=CwO^?ky>%B1l9M?R&BCib@iS1EWz3B&3cXK)#%pUs~a0!E>pu^<3O&zOeQ zGp3Q(Gkyi7LE~BuH497lY)ilq!MD2dd=7fXyb|O)aoCc_-4=0{#~lU3@VMt9yb(O^`EUb|OK&-iHREwv9`0Uc zy8?%=WCntk$Gsbd;c+?pvG*a5%OAruJTBj6C44r$nK-!bAGnvji>HVEMxo&0XJW>imQ6oOA`?CZ zHiai<8)T4mabg1zcGh<1tC#eyy!;cMm;z|zi7yWuwxU!Q`_W-2t`(lxEA5GqYJ_?R zBSWb_8e91>Zlk$-93tYbe=c@E9xuHXg8(U$VR`$p_q(0Sbg#`&OgWFw)MM2wC{+AC zlZk$t6Uz|eC6HK)7CYVtC?z)Yb_TWLFN40HcoBa}eb(r$!v4e_Wqezzp~eGu4Z>wE z?&ag1J$7BG|2krlU*W={O;-u7V6fL<#!~;iO+N{K$l%TlmVbc$e6{5B`*Bo*_Cqml zzhJZzY=O=y`I=?;0bkFQe8X@>a5|b?@-4%e;Br)1@*Tsu;3({8$-fz{R*TCJc3c{D z>dGVxSB(DZNt}90JTdAOdF7J07=zR+c*x;h(&qokYsPR?xA9cm*2cB0Wsev4{}>NyZbZ)w|d^#mK**Hx(JF{ffq39PHjb2to{k|t5C|0z3ZK7;s~ z92Ro}ch?V&9fj}$?rjB*^isr?`h9T-QpX{R&F0w0zfzw^Q(^@iX6zQu775f;wks>& z-DP~)I_`bF4&Mf!l077x9E1)py@+)t_ry^xoi0H_%B3?TNJ!al&xWLq!e%lG+tP`R z!=L0!OxlJqDIWgo5s+57P7*`HNnAgRUWAkrO)MZ;j%kyae>;O79TtcK^@0<3i39b5 z6L-_Wqh4^^_E$1^0E#KgoX;Tjg3H=BF&M|*m2GnmgA@r){`D=mm6W4zMI<=6_Mfmt zBslrLhu95@1eagQ&hz%^jhS-QnFz*x5eY8!Cm?%b3^rSN=i?Xz+n|e`Y|=bQ`i;tD%z+n@xH|rcho2h zWEfm7lmwT)rqj zRCC1Ws?I|nt6xc;YV{!2Nj1-7kJqa1$f>UNs1jADCS$Eo*9lTNNR?y8sq4iUqCUqs zQB6|7F!dbs%ok&XT7~1FZV;nE&B2jSH;OS*Iha@KCNV~-r`hV8#TcXB$M{kU#2Bk? zqj8HE`Kj&` z;|?{O`*XjhPu2A-?Ex{CsDpSEej~)Qzj8*C#9-rTe@v6F#mHtkQ zHR>w%!BVMbt-2kJQV&VWI&~KFER&Qk)e82(!(x1seT|ha_Y#P6+-xO#{t>Z!HydD8 zR*#Cs$0M{>cw53V<7NpZtH-2}4sLcaN7L`6S8{H)Bgf<8VpY4@FHo|2LK;%%W_vR2 zNwNC7*%U|AQ{q|gX6Mp#rIb0y%?@TqJ?(WwefzoDE!oaz#B+$7rAV-PRyuwdTFnFW zoLD2=>`~l@=cR@QH+v5~S4r9^H%lm4{XwiTZuW6nFNihP%@RshuZT6y%|67wd`+y0 zZkAB8S}oQ&Zgvrm&7Zv*v}}r-C6uhzc=fQRyIDfX>Md^~#>Z?oOC3S=7uln^ZgvOO z_qMdG$;}>j0<3qu(c2SB&Js#i21?EnN>=Yl+7dVW64U-F`Ifs`-m+uHa^-x|&Hju> z_HSaXawMZMta#nJer-w8s%lP zoNC>~8iP`J#Hz&_>t(OwSn3hubRXwsxj?I)vA#I&6TNI5OR0--rJdqs$FpB{6lc75^K7b{gA!eTdbL0_8aa|AF*b8**Do|eZ`vNWxKFnb`oo@mnGVz`o%cTn!N0* zETz9#3%%^F9G?SY921MY><7oe+BJ4IdSZ!}oxwfYEp`d4wO;$v#{R-ld&Kx;%n707 zpnH2{FZFZSwwsO>X}TI3TgejTEOU4)a+Wzfb}%x=6-9#8@YwrkudkkETaJibgBmIn z!Amtl8l6$+((NeezYglcgJ2vNL*sCkk#%&m9J^{I4L&V49|hDYY42ERZ-e@jhiaUh zBqyqRE_UZgo+*xZG?Ieam!;`%u<1u3Vu-phb_%;xnm#?+^yy*KNiV94V?2%e>S!Le zOQie?y&p5BPcv#~3@de6tQrnE#b4A^vtql!s8%1d{Mk}kooeFzYm5=h?XP5V%!zSw zgd)K~kMZy>_0Pe!-B`r0Q*Xxlu{3Gm>SzO3hYh>}ZfZ@8%$u(WC9Ah$6JS&*zKvIZ zkq*eH=jvg+Ek;gt;C{R#HCLF_E$ArZ`Z}Bx>K(RC96+m-v_C~ zS-|J9yD)x-s1yhKm$CNPw_$1>N5a=JuLg5Ros4#FoRUc~7O4xEr(BFBYFkd8K#b*TH7?YZigP|bsZKl+Muiy9s4a)XNX0oy zR;feT2U|+3UsVowdn+kmjT%RzofvB!Z!x@s9rnb5EAX_OwKA-K8gV{SC znJB$QP$sUszi(WRHklhcNjoak4IBmi<7c8Lw$$@!K%Da_qY?)rW#>3&b51?MMPOGk zs?}JI-TL@yxYa5CT#?!%z7x8?zjAoYepE&RK2B}k+=Vy{C67L_HG~p=32wik_m%XdE6Ngp}#o z3)o@9<0o~%u#IK6WqXc{KZb)|5zF4dad%YwL0DVHvV+-(2HB-dEc;Kk?^tO?4lB|q z9PFUy9q83k{~m1HorH+~YGj-xJjvkkT@8~!uT7?=&<7C;hjH=`L zd5rv8YEBWER%7E$IO9~SK^$eL$60d-B?oo=QKu{crT$(Bme6j;->F)tE{&hc407aW zMH@6L+MwBCg9s(7#yD5i3bhsY|MK`c)Sgk#90TKu_yAnV=j1+Mjtq%v^%ow4tK!M- zJk}HmR#(TLLr?ct7q^FTjTrUnQcOj4UA!&2eUSPUhP%36`f`Y>Wu6=28N>}!%XxHe zj`O;;K|M`lff%FIP|l%S#2Bm2=Ac|C#zeiG-4=fl<8X@d*;jYQQ)td~^#qT_qWEB> z%+?*ZI9`dAxhli6_dQ}XX(&0^@ho&ysXrOpcIBAy)#`UL;dI|U6z#i*qJ6h4>^nlq z>S4)Jq55&OERWCSNK%V9{vL_nC>=>CSv@M_FQ=j8pf{(#K*>QL?zuq8LElf&5`mI~ z{t_gV91IX&Ldn5E2@*;Ub`}Rh$-%A?B$OQNEan=JI6#W(7`!epYaBsn{&B5hfs1b>1!AZUvbgw@CNSZgSiYka%M~eSP!X8+|NLa>doBBC(v04o0Oq9mj?ogSMu+Fz5u=p?6YU8O{Wk zq0>^`7_JL$#~!A7@Pc(va2jTDYKJorKP1?-9O0f55gryigoBr=qfY~V@Ed>VB9AmY zwBrkD8B;|hINfIkQp{VUxTs^{j@%Z!)cEhrf-kaee0fFB1Pgv%t z;3C$w55r^8&Pixiibfq>4a5yhB zDx4}NcjS2M$V9Z1Sxfzi*b0=KKJg6%Qy-!iKR`g5uAE#`di2qp!&8q(+$oZ^(}a_p z^cYzL3$r9(XbxEA+sV>BuJW;0u(Tp24TaC~4-oEQw%c`m!^) ze*uBV^sGop8cNnBHL|H0$NT6cI9R%kG z|DH(w_eSd1P_nN7e%9Hb>wh3p|8E!`>v#$7|B_*-7yJLa{c#(w`}3hle=gJYFRF*@ z!@B;J3@?w=|A?;t6!b#+QI!ei{FR!_rx=W^y9Cx{)+Td zXR&@l$?2zc{q-EC&v3~Kq2%y*K68W zmc`fEhH%ur!Eio`t)-Ih;uoigtK5vlXjNS&YQI^{ThuIrTJ^hH?bwkM;`e~x3F!_xoi zv5nc!uXUYL|2GW#fsBW5SzbjTa3?n|l%R=TJBo>M9x?dClLES1lb`wppEjj&R!@PD7sU-3|zM&ZN7$ zD-lrpvp)SINuNW~p-8Yi3rP2Ld48{O+BW{@KF1`XKr-!&)2n9+`ALMfJ z5GXl)h|7sDP;&ZEmlIN;N!Y8>8ptIUB{AzlX%ezFmV@#hcy@)$U&a6{h-b*&gomhH|crBDWvGmWy zSfq&BrcZTw54}X4HUP$HF7FbS%M-BlSeF;ePpUO6?F<>-tJJ!FFn;0kV)`YF=-bec zWM>TKEq=jEnj}Kb7IHx(P_o*+0gY=XP_o)aZtB!wxF}GS^1K711s5u+N{qOA6{~~l zC=VrkeNUc~=K&RJ-XNrO(hmpJ{oBIm9IwIkYfe3n3RRalZ)>Vmcg#K2HO_^nPCud8 zE?x(>L5ff^-cHeNh`xpGAvc8~l&r=KMmcQ>BCBrhmN~Rppky^Ez6%WLDK$l&HaPm$ z_B^@M7ATqXUe&nA!*RO@F~*(kev0#?yWPX+3~#%4uv0Oo?en=VQi_sW6A*cT^JetZf~Ge zkB1j1TJ0>ys;iT^tQ-TtRlB>_^V*V7vZ{9vM+@=74KqsZ>)wq7^fiqG-QUBgP;nZE zijh%Mu}G`o?i!@z)Dk|GINtpPMzwklovcQ?rCr(ALvaD1ej!GGbrFq;;)dsm7!_&~ zei@NR0n@@!(6x;}v&r7;DwtC&2i#I}^q_rA~zLk^4IsUuL&r(OFsyiDFH0v;Sg0 zjPb~-O?R_@iNTuat%Eh&%~B*-o#Sn_Jr0@cRB@1YSVA0PBLH_qIYFb2#UdP?Au`9M?MbMYI92 zOIZ}d9OOD>DvoAHUS}cs1;kcyVC$rp5!sQaeUna#xQb&vlFqCh#WO4iw-j%^3`Do*Rx^K4Yz`?4Ia2rbLW*BCusm%~%WA!k|3EWC9>(;i`w zTs!nE;^Pf{ba~c8x=_blO>}-vHN>_-MD^PvekA7eyqw^}bzJDP1a*P84GJjr2V*5F^;uY{ z&$gsEBKQ_sp3k*$QoJYPJLAwU^$$ai6p>;6x3PQ--S%i~)a2NcNGSOYHtmi=M1`6X zTL(j5vz;d|YQk%_sgmHMYI?W5pcGzj#P}8#JBt`J4^3+OCeMA@IN@A*e8>w;skj;* zr{(Ck3iGPe-vtS!J}&IA4d{)NpEDc*gCn>7Du$xQ#ZN$7JBD-W%=j%Z)U9o?nGj!! zFkYjfG&NCPsrYC;jI-jL0u}mF^Ox~Q;g$hBjz4k&>&R3&Y^a&#mHMor)c4W4k|z;t z_ZE(UuP%sx3`6m$rkWvd?y!Hvk=4a<%KoQwk3%EeYQAP8FuW4?a&FCFNmouzn< zhxRzxhKGq1@O#Ldh)ubr4bnmI&P$+e_;-)Com#%McKh(9sn^)h^Xa5cep&x<(Gqp-LC@ZCGBnBT!H)tZzv0 zYUH;d$h$YHY7X+Aix@)(GaN#_2h*`NsCN$iG}Ox=j_*?ZN%&7OlUs5jdM3tjTVZkI zpHpqNj4W>a3s&Kjk;RRFy#hhMj4W>a8-^>&$l}JoWjIqt7B~JK!?`lDxbc58T%9C~ z8+Tk9bxE?gaaWA~$tSVm#yv6Wi`v0pam&f##;IP&SxWUN(3QtSMGD_zPJ0 zD0-7+gF9|dLbtGNFw>U&e=Hl^4f|K;Wk~1--+Els`+FG@x`7*`wTqV_p&P`+s`oM^ zbc0f{_VzL)bOS@^W=QA;nOJv}ImF5a)A~=#2FnPsvcVsqfj_2fFvnSwl?|rVnr!fn z96eSxcn?@>y(|gcV2_ymOqG=lUWHQ%J`={)$_7ut`A?r^4v$67GA(3-n{YO^vcU(T zh94vwd^ifQvcYU`Lz0AUFiuXA6O$x#gJy(odF>@U$NP=gTG?R!Jg08@^k~yt$OiK? zT39xieQITc`O`2~Huy6bg=K@!LTd}l2G^oB37(RDB*ze64?8_c4uY;e2=r@qVu=$2r3oWE6@ zmks_U$89V_LN_=nej2QelMOx&H7ER0*jm|O&P3hOCq_HEg>10y=y5sJH#*LVW@Ur9 zXN6^h55sh@vcar5By`K`s5u&A#R;F1;U%=KY%o8+(G8jvZBPr@VBH|T<_{X;7sH6rk+Q*UuyTa5 z!CW*$*#vPqz?ZjwuNMad6@w*Tqf(^0_F7$dX!lc{tnoNvcZiAitfjJ1f=O&Hh4>{?CX~e z<|!_e4gL*|laUSPDd7jm1~dC+$_BH^p=>aBhO)tPxEJe}4Za>O=qdgYX&7`5bA__O zCm~4LU|#nXkPT+^`ecK7@I%?)MY^P!e92TmHaJpJ>$1V5d?_2uUt|wugPG6B2J13N zDyB4{TSh`Rr3u|K(wV6!p_`hw8_J<@2e#i#%SMNjFU|l~6-PAK&rb0qD z^=tqi$_5{4eP4+9z8LzF&`rHGkiL)&zMa0LOH;3DUl{|M&@ChRp3;PF86m;co69hi zGG$~0Q&B=UwfaPs2if3`?M*+u9oCJDv*xnFj4vb`%ziSm!3=LuHuwQu=SNqtPT7aQ z>pDs3rq=Pzrmv6LC%R4<_nOcxdydEH(@344={n^&X+l>YrzoMD`ezO5qHOTnc0a$? zbxQr;Fl=OlS)P#%X4uFEGhAma@So zvseJj#8X;ZQbsFYK(54d!7rvcWt` zMmCs-!^j4+cdcwNS!N>}JO-U*WrKN_Xk>%gi%#+iY*MGVyq9c}UocLMap`4cgK1dV zVBX4F+2943WSbxx+<=1CD;wMnFV?JVFdv0%ST>jsB{o7fn7^)UWrKNJV`YQ6)>zr# z_uw`tNkTX19;cg?4d%=%C>y+zZ8oyO|6rR#*591JTP%oHmd z{5Kd@HrVgV=es0ygGRSA3@aNVHh2sSD;qo&hLsJT55vj^ zGsVgV)3CC^kHE09!SBJavcVPI0Es0@=mx)Whr_V4!RN!UvcZqTu(H8l!LYKydu|(% z4L%))l?}cUhGS)eY2mFK3EjZ=?uS(YT^ta)L7De7EWC9ip&OKom9w(JYmioLWrM$f zRcB>`%eKQ|va-R%?dq*;@G-CkS=nInQ$wt5@U^gpS=r#1VKrFU;H|6WT^b49;81Tk zEWAA?qn>-lc{J0!qo?(biNv?lJ_GC zpVKzszr-X00vxk>UEnI2UJ3v2CYb{_pNxzFA|xY6v8kxqL~;P@Ht|ZtTo~+%*h&uP zd=g3;!B`Gh9lI3mM6AHR40Dj{l>S&KjBN09X5zrsNz35aOg8uyrgN-E(s6s$TsHU# zI<Y$x$hU*M9njT->=qYd)msA+32zLVja27LW~YhcYTTxpbAhNS1F;zhC7s^yJJd z=$WrgLWQfG!6G*b9TZW?6`^H0`5I;CX`CuRxG%iKHO%4|L^nqFuu= z_nvX((jrB}^&3Xgp${V&sFKh?nlid6B>=~_Fgz3fEErIUMWXBu7b2Z0IuV5WWl>z2 zbTXf~SA`{=U~+S*iX?~4BpWP+bM1;0E;Y!Zl}+=*ght(H@^O`m1Xrjg@6$W-C(LmabSNVWP|^J(jX-*hnj_jvcVh?e5)(Z=R(ao!40y(^p?|DGudF4hr3tVF2ms~nTDX14ZaPAkqzeT$KI3E4K9w) zLW+?M=G&}<&!(4<2~POTmhc~71bNr>k$-g8*toFvt4gBI-UgSgE2G1yuCn@3c)g+36k9tI_;ZX1N^wn?hn22CE{ z`3_4yhaCy#i!ma(3Zp-`L5zkZ)op_t#Tc2Sx@~Zi7^9L@w+(IZDLGGc4D5}#h8|)x-Dcd*tY4()g>_Q6k}$x zz5&KvV$4ob-8Q&ej5*0pbXz2s5p$DNw+$A1{4wDFa(4DH?1E$2Sz5S@57}8-6_%ZS z9v1H6scsuQCY{p3%~0Jo_`UQ>&dpHWHh5et+|z%7k^`e|o9W3kqi&m_x^3{3c-Fg_ zx%6BqW#XQm>bAkt-s`BZHFlOAk30O3ou$bAj-VomchRJRR!i8bBJP~A4@E!Ipg^9?TNgFa%- z_A*qr4f={T$IEo#tlCMexn73qwn4ub$61qQXKA&@&hE$2W7*jeu=4EecU>^oEIa!$ znw#+7z}B*}{B0|JmN`5YIm@(QXKzM(Ejv2~HT)oUmcNc-*;%%CBiPy5XlKIz6}Fb0 z<*(}KrcaMHy#+hV(`aFKmVIj3S^kWRWoLQEQJ9^bgVq*iXLpqQHU8L(WoP;GA-aL9 zqYZ4q&hod*Ej!x_#t&j=xx1E~jpGFro^>NC_*AYj1|_L(8+;xciIe4!B-L$$FJt@_ zC(F*hD90mYXZc&$mYsbKDVCj;1=Fyz9nfma&hj_i{}-{d93_^WWve%Wo&6U5lJH|V zG3D7={;Z8Yn7!kXiPD0d)uU~L>@4TgMzFKLhMQ$)OKW&!9o$5;WM{WPie+b+$Fj4` zQ<$AygXbQWo#nn+b~cMVmYrqMmYwYg!?LseJ7CzxGE}z>j*L@3HqXwEgSBz&>`AB@ z&poiU>?~)Z?&uSv9o>SR)g3)9huTNSIngXT%RMX1&hl#w%g(Z9%g$2WJjRMaOk-O@ z+p@FUW6-9a3m$EZW}xjKUz96WM?`4a_eVj`D?5pJ1fEUv9sc!*;xr{c2@3%3!_IPfw(RT!Fk*BhJKGj3N65}{(G1yH1|7rB z&VWnE&hoVNKhMs7fLiJeJIe;f*n~ptEOGY&>}(~@Z6Q0$pkZejHta0Jh1l61h&Svk zzauZe&eBC58Iqk{EG>($vwI`eu(LeJZUQ??cf-yyT!@|JPjVY}mbd4Ion?4^?Cb!f z3p@J(0%7Y7J6nwt{^qi?EG}eccSA9Tou$lf$j(kiun;@T%M1{qOx8c#7`_-`)`Z_1 z+sbR0#&up^3n2CqM1(}`9SF)J@udjJ4r!vcC)WG*6SX|?g+%RA96dwS@CQn?TeKXL%rMs{mCMAZm4a znyBT(FhniGhNxwDvx(YUb^Ui<$NHsD?vC`yqDcLVBlX`CssG+c{hLnIZjX9N)K&rd z3W?fCe=gJYFRDjBKdkFt$?)<>{g3GSHb>1l{sDbf(N^tVJUjg28{+oCifYA;~18zyRxg_|L2 znMH_NUJY-UsHK-BYUS1o=MP@j7^3!CmPewN7nPex)G~`DYKO^1X-L%4kl)|dL@gc7 z>bx7mhN%6Bm6NEw29X;kYI)Yr6SXHJj}W!XZ043k?Jaa6QTsIln@ZGDhgXQ&p8)gJ zjoVD3wiE>jQF|IN4nx#VzzM|=waXa}iQ2XprJ{KYqLwEcL)7x5VTf8T_=c$E;%kUn zE`)}t<>Fz8T26dJ)N(=^qLvfI5VaiQhN$I&W{6rYSca(O;4?&RBf}w4`xe6?QF}DH z%@DObtcIxNQ8Gj=4~HRY*}ImgJqU&&YA-@(S)!Krj)tgZFIu9OcbJx_rI#gYX;`9` zH@KFly&cy(n?Tf_jr!J0)b5CyEK$n`BpW7b`KV$eh}y65+{qHPyxFltE!P@L)VjD8 zwL~r5EK$puSCFWEmu)sgZFvrD4vAX!lqG6;{~aZ2`@peP&09m%vNTK7-pZo4<{PEH z@{Zg|Qu8+0Nen+p&D)@#dogFWA!@ILVTsx%7?!AI^{o=MWAVJVAW=I736`iOjcAEl z8kVSC48sz&kHWA-?W-^>QA@)TwRFQ%M!tTV^u@8UwDmR8OZwO_-kwnQyCwK_}GZVRiwC2FZMS#OEjU&0z>iP~So8e)msC9sBB zqIMmu220fT;)6ps6B4!KVBwuwNYrv4CR(DF))Y(B-U83*mZ*IM)@)1Ez6Xm$EeB~8 zmn=DL;v~)C9ARhqSPiikGL|D%(}J9=@`L3_5`dP&&P4o%z8tQ3U${NVSdJ%C(Q1UN z4@T*HVNxY;O%ndCm}wDYmSZ-L%o?J$En)?L2dl}Oc6 z)QvpU$*tsY&L<&u0%JK~b!_N+DIx@9?iou5+;xn4np5Vc%OBn6Ql(v{1J zb`8gziwJS$(jrB}b!$e_;XvyyA?o7lfpR_0aB$?8@c7slM#8UUrWG;A;X(8DSRuEPpP7b z{oNJ=AmKj&!xFVS;A%@hu|F@wjy92~{Sc)k{1Q3TEG#5yIU@M3SDw#>L@nPWhc*yv zt(^y6pk3BMdyfC+zZ>9Q5F{WJ4Cg&==vp(RmEiCjz65|1@R?G*@b1X25I zxPhppx17eB5w$EYAu7BH|1})GlIsy+iQ3=8Fhnh9KlVN(Y6;C6qL%Nt5B$M^G@q-h}sT(9t)zjJKuM@m7@32II{b*17KCr&1)Ri zeI$$O$l>TU4(Uz}1vkfsxsb{2P7PqUGv}<=SdRvbjw9HiH(yXp*^8G$Lf!rWB~YyP zzL2`@vLn3kFLgBX6{*`Ibz7uvOSY-OTn)H3?TdgcVlIBLssw!P^#c)8q;9cRWZOWN zsAl7-+ZTCxRFJw|hD(tjgSuTK4>T7 zk-FtLYqHcWt=6bpehrwXZjXhPr*30-YO>MPZR9M|g1Ws8H_Dc}JsmatAnJAk3b52I z+xx?*+ep(ln!075TIzN!ZbU3~%e#`o)GcrPHjBEAG_VDAyFZ#?soQ=q{&UoA0?)_r zJiIL~$ks>QzK(OdrEXu9;}KG~7vr|bQn#y-VyRnMFb#FfZ(J;OTMOfVD|O3OZv=Jg zfwztEmNMb{&CuIpF);KaiQe(Z5#2oWmXm5D(A(?aX3^Uu?uiSdw;7~Z^p<%nddob8 z(c5?NfW)G=+&7EfRw0i?Z&|cOZ@a><=q;t1^62d}4qhu;dlsyXLvP2RX2>#NYtdUy zN8QmUMmu_=(Od3WVf1!9UhZ1-mNi@S_DdKsRt(@8v}p-#i{9>lk)skWF_184osMQ>SLh~DmnVhnmajzwzO+Gz+DLT`Dk0d$nf&CpwBP5Aw> zt!!di6uq5|h!DM9jG#O_e*^*9A&uVl!Fs=b^p+>S5WRf_N6(1r^p@E-6TM}V zL-dwAL-h7O?#24i+lS$T{^K8cy@F0-t`NPw2tlH^yd*4u-ZFZ9=q-mth~BQ!CCxm9 zC4C>gjg-_ndfOg(iQeAGGBkS2dc1ya|Gkm=Hyyp*0re8S?E*S1L~kSgxlGr;s2*=B z9@h1*WO#X`{zr8En~C1u#rlEX9?1GNdaLW#=q(p7i{9=4AELKsTi+KVzAuKp8ofP& zzCdrErmseCweM!4w->TJptrk&vn%ZI0eDz7TrLelqAS!y80zU(t1bbT8}F z=&i2v`{=E%^ZV#+q|VQDof|-J_eEVqZ)3Pn)cg6hu2ZA83>);8TyN3a zWhhY4+apk-L2so(M)z2)IB=q-EKqPK^^ zFzD?}be2VLdGBb@TlS(wZ+VAl(OY_1^p=K2Z+U}j(c4A1-q{57b_(iSFM7KZYO?4p zACPPqz2&2djX-Z5++$kwmNz>Vz2#bC(c2QZS@f1}7QN-nD~R5{&o&$MHl0J8L-dwC zWzk#Se@D^V0dU+Pddt!*dV3d(-XMBAi!I& z1dHBY1H+=XG%R}i01S)XJ^{m`w||0R(OVi8y`>u-HR5&`=9=q-!3=qPJ(ku;}fLFf4le9t?}#wgt)f~cChL!dfOdVe~aEWz^b?C?POSkEP8t#tRWV? zeF)Yti{5??tHGkT{rKR}qPJ(k!i%*Kz2!bkwCF9ZDHgq@V&Zg*-aZa%wncA0fJO9{ zgS3iEmH|~coGUp%H9#1mx0f)MBUQ(8vR28A1uDfP4m%UElo1@Rd0(9TpJgn^lc|XE zwSwOAtx1)ImmU&o>(Xhdb^OBIIwlnGURE7-il5w$9g1P zI6(1a2zC6Gg>VjNY)_JS?e~53HVLQ7U$o{a3HgoG(|m)<`b(8Nu^>(^U8Nv~g{z!Q zPtLr8p847&)Vwy+Nw=~H&R1PTzMg!jc}6tzL+0VMZavRN)ty5Tm0S^8mXohB%2$qp zrwlaCtCm@K>x8CV#UiDzY$xR4a^mZi-gWhuXWA8)s_HLvY^p@|q@cV*@DftUF37^@3;4|V= zY*H?k_$@kneEXM*G2B(cY5o$`mR(n%*z^hpdvRS!f68D#34X}n&J2p0?IGZArFV96 zo!Fi*YIf~}Yo39OPsEx+T0xBeUa;CB71C{PN7&F0B49p?juwJDP8Y?(5F16=?Y# zm(Rgtjcd=W6>RxE`T3t%PzYz_LDe4|dp=$HwolJL~$pdnbCP zN2&_pbuJ&Xpk3p89QrfZp9mj}UYXEi4Klg^2=lHQ-{U5hZS0rE_Z;oGpSoO3v6tg} zo}9PVjqkCHzMq9^)sn0lDRow5P?g#?H2dJwA5y9%1@UOusnxvoO8ai#>J1YRT?6yY1jOJ;$7IDqwR_ zB#kxBo#6Cl;xMH2;KNF{E=*~f;Pg7Tm(Gt~k$;ouHJW`kM6@VljZcnudc6~R4NPdS z4%mqvM`PByM|s2J?3+asdK`&`)@_hq0gpAlI=`skt$4~zbzG|h2Gh1D-X^@m_0 z@}KefI`p|P^m%fE)8~}_&3$@~aopdA>B}cTvcBDJ+TqQxBHgk9BJPHnGl9o&*O)$r zQ;%U*d5WWkD41$Vq5IBANvb09`qb|A_juZZK=l!(Kl-3xQHi)HN5ti1# z0Xatouh%%D&(>Xa{y&AS=`k>eLaugsc16{Wv(u%Fc$$ll`EXzWPH6n+&WmH1^pi#7 zX`Zcd3@7zFDkuI(k2S8E)bF8)`Q)U2vLZdB7o_Er`VH;`=V$c#wRBRyc@cBTq<%7~ zpC!42(K4x@%-LsICl1l1eiP~ZoK9_;)K6yk^V*y{shW!rs(FVcy{OG~lWHDdlV8(~T05y`EzLKz`Qaov812!9M9c{fMt^kg zJK_UY}ef5eRyy@r_2F$dfl~kbC>d7+9eyh3_~gHwaWovJv)XjJBbTU4wF&LHqCv8 zhCaK6K6BwyRoUEUV(7DfSk)k>*F{y$U9JgTjtpJuonGrYHg|bAbQu-av;;M&PR)JZ z4t-7weHKl2`uw!B_A$N3emOf#UpSf5_F(LcWI8G*))rxhC)>C)WFJ1Wkndv- z#wml}$NVu|C{GN#sw-we@%tF3_n{Keydb}=t(#`w~x5UBU z#9HNMvd6;uz~dG5t8QjX%%q|HD3#?DU zI_eaxn-((~($rsDT8j(0R3YLwS*K$Sl7u|o0t7^_OpC;G+j zV-arP@%tEzk10tXC!gTXc=7ufjEmjn z)05)&F;<$s_Y(EHG0?us1s&Yt_c5vB_c8i= zh(){(_sit_oZ|N}#qVSO&hZd_N41WKldr#F`GoNmWySAf&^yV#c&wDF5X`}MI;|W7 zE9Dlyj~R-7=;;=}k9p)cbi@H}@%xzK_c6uqW3XO!b+*2&98ZkhV0X9peT>WR2#Vjw z;2}=dD}Ep27r&2*e*Y4M>WkmU0M;meAA?RPejiiC?@rJY#qVRh;`cH5o&rPRCpiv? z|C`^()a(x0RZG*i~E~NH)rRnMReQElCpjX|T7O`*W{spa0H;LzsIIIZMN~JKFJEPiNUloYj`0RD8j^GHC01~w7)4Z< zVi^f`$P&RbpG+RZu6j_6RmpbxVUQJ$!=TX7$BxOzVDx#80r4!dCZztdRkff|j zp2a-NB<0KG3i1{Yi}6k7HBgo8+RDeb5*yO4*-PkI4~_b8<{9O4;k57b;5GV@w7(oyeMVQZ-$Ce_VR$LPYDp>;XFl(KhH=kigtQ|98iL242|yz$HUbB3wOMJfBD zlzmak9yj!PLZ7B^@6NQYIRY{9#?~47kjfuC79(UAw`S}e%v!axJQOdr+uqaB|Zy)NWw!tJR zO4(!Spo*~k4gosk$B?qGISsU{TS?92mb|MwZwS!X?lbW)!L8&wuUO-f$=yZey^4?Q zV~zJs?k*zl9q99g24}~%VNNK6%fDJtC*NOQ?lgiTj>5$v4$S(EIPeIBo!0>@$DQBqi>JDpIKBiMJVj>NuxlFuY6s(b&cg$0qKUT!`I`9VcP` zT!iEC(rdxLAZ0QvZ$BQuZl^NcYcn*Bm|vl|STzgs4#V5b*!E{K(Qk7i49`Q0VzrbY z^**51(ahT!+#ipmW0x}M`-vCvr_^VS-YO_y#~x*T+ZPaw2ksh#%lNAa@y=chU0dqE zj+i8WFQH9W3G(+6+VmRCSpHr@n|>1H?^7;KZqCxwi_$7uf80`dG zfRiivnq~MwZ#?EI`G(<&;B+*(IA(!`w%e#%ao&!7_= z%3^Nd?)t&8qYz%ey{*8JUW&LNrHP*=a3iTk7*@N^D0HyM?nw0yUNG%F1_l z8DF-Jdta}^x1orVJtUkQgbpvgh;=6S#8EAsF2MsZnoDO$@B%23`|a6~)KS<>Mqyhz zv2pm5e2GchFeb&re?0=yD%VM3NH~e>XVL2qI0%U*7LY8*v`Ngrok5Qd3&i0?I@~1= ziVk|NP5_b~WN>|FA%Z^5mk9DVEk0Xvmk z`%l>3LS#t3?;$oGk8jP{>&IsV{L|)GB*iP@(_neGBV2x^OwN6JBY4%BC^znxUV$8? z{sd%CjKO9r?|dACVEgkCF7;<%+fHPL)j_cvVQdYVVbx4#IHDRJ5@Sns z1`D{{V+9$-lZLv&W7~7;HFThwBSu$s9{O1QO7c{z2eD47dEQ{S)vE5usjl^M@UByn zu~w+-gpnPj$}!{A^>{-}fJWKW6JxojRv3r%rcQKc`xVTY@F*&ktpERs~m4+K+@-9gO2F z{8)%J0b$EQ0k#|vwj2~-%K>4_K>@ZL5Vjl?V9Nnv%fa2E=dFOS<)8pt4hUNg?v;{H z0>YMq`-J#BN7!<(#!Vv6QMrB``TK?PRnEXG2M-9v5B`x_>-GX?q;h-FFdh^keO2yu zTGK-^DtVQwrak^ls5+JV7?OjBrCSXuH;iSE2-T=^AuZ}r;cQa5W#oKJWHzhZ7!K6q zZZ-7nr*gg6&L@O(tjc}J5q(kyz6Gu31U)6xkt#QleRx_lw5r@4fo zI#urd5ujdi+hHF|RBj+A;Z^C;GL;)jeZQ5KEmyh8$AEgxoxUBu{HSt^$@#jJtx~ze z*`qh4Y_-bqgyEog!f=i!3}eO8b3USSJYhI^Tc~v^#}kHwcU<0wtjCyfR^AnAgUa!Q z;ov=?-cmW9FdY0|sErDrkOlR=P@kwAPZ$n13gx&to-iEzK}Ozpb39=<_`rP@6*6v) zCkzK43TNczc*1b-kx+Rz#}kHwKa01hb8|dlIQZ214mZaWhJ(-Dndp0in>(6q`HPe_ zy15hCca@-*+0D&`?0Z6}CO0>cHdrClXg62G8B5F8T$)jj+M~oNkU7@P@fsBL6RO3{ zF^(+ACr*LPRyVf|Txn1v)D$<@%-QTO)HF9o*m6)S)C@@BjMWL%=H>`n4u&MS+-JEt zI_O|nVn@t-yPIpEl!gR7ZHJpXmE*F#Pz&AMZPdPlP@Qh>U5@T>p%%Nj&)K69LM?G~ zFLBIv6l$rP8^CcHDbzAIH-aOvQ-XH3+|8}0lt!Uey17xb&s`FjzJ=Er%yAhlWhRhm_z*X>=6KA=^Y5zrMja<3LQ78{@q7 zqtj*X>H=x-%*53Y&=5#_+oZj%!3UhGS#ptV51Q!gW=ow8M@|?v^}mp&zsROf1n=13 zoW$`QQfYc;Y12CkP3J>Za9)C!Q9s~^i-Pk-e#Z7=v5aXH>^cM`7bfb!kPmXSw2KnE zgQyFBPx(tkT0^j$>#r-Z7ENyqWN|D_aB(y{?uqzghMWrS3V$|!`?MirWAIX9CrXnB zzFgYCmkSNN1Z=^E1kbMYgRRGdcqMTfh)lqjhrz2d08#K%6Nujmkq`Q^AFqk#x?nhM z|8<#yhCsUehIF?v7({=&F)tF3EZ=T4)&*~Hv>dR za90vUg@=y7%)U>;7h*~91ZOuX#Ij(~H$hYiu{@YSX(=IA1}|_)Y9UqyXR}UPh}FS1 zTs%gIHNnfcQ4a!->+z9*ShpY}#1lcU!$5={tz=zr2*;q8w0eEuu-m;wz=mKJi9SNS z<+!(l+YJ30Ca%J7#&5I=8Fh(Zd+%ztM<#Q)SF%us%X=)(4*!mxwKiEBBc&agfL}cd z8og66620tt+S%iJih|@pDB0EHYR(4_(+P|cqAqBo?KXKYgRLQ0&xzU78;Rj>3>?n+ z*QL2l!4<5tx5uZw=HPhN*+=S(4W4D4eWgxI@I7{Zf2q?N@NnVa055iEx+6%DnBX;|q%(Im2kbEK*uF5^L~a|l=LqjXOnN4fTS2>< z==}s#uS9MP8__CViW0d$v3--I6?u51X_#y?>=uk_g?|Tr`y7jm#$bxam1qZgYUw~v zEgk4-g@K-x2k&%`3oR4uLgF~-Srjzz`aHwq6*3>pLwkZYZ#k|wbwM+&>_m^68|>l2 zrlAo!#RXLOdm-7Abf4+y^~&G^?^~=OGk;NOgDxs<(2_!fh*%D~JbKkkK*Vxzu}9Qp z6g+Vhh)cYkaVMXb=YXYR5_Q3=oP$d}J(zR7ki&VI_Y_9DF*t845SI(l6kLF%7+mR9 zV%VF5@4(!Ht7I(42KB77!i$jC60G6uT<7t=wKaI0#Pvc<3&wK|-5^9;a3+m%r4a4b zo!#U;i?Qkmc(`zIs~4g?rwJKKBpG?`@L&qApevC z@qpN0-f^d+9cDO}zspcjGlD&Lzk%9j#}CjFcOS0kMoA82D|Z&&J<)%&izK(Fgk6PU z6>~>P@@}X!dq|QF+U)rb#=t!h_HDi{Ne9Bs7?Cgw6JYj}@U?;usH`x@+=Ia z87B-xEStk6NyM@_LXt!*n~B0p#IiX?atAQ?Sb1W-44%LoFS&!*p&63g$lMd8T9%4u zF*kiQs`=*3JPYZd&20K-$8_*#4&AfoxKk*5?q?tpWZc>}OAo}~zVw>rJ9L_TU&Qmg zS;nN3&cphe&HSe*^AHZ>0*(qIDVbh41WDh}%bT;A&KNp*a}Lvyp^rD`GMzVc@urjM zIzta{7BSsm=*G=?Y<{Dm7dPiKy<5KqC~R`v8mKo{vVjRUp~7da3ZFw$;oFDj;TU6g z5T4L8mwgL`X>J`%3u`8`AxELD;Q%Hf1sx9uG98%gyKVeLq_n0qjJVFP(uo$x^XA&YEjxM;`6(lVC1J3v5o#3GcYcSXC}vm<$p zHHV{3*`1z6GcYOWeYTPP@C~o`*`1lr7%r^rE@Y1kU6oDe%}*gc+jKK{>de#VLw3*a zqgM^)sV1cN;`}t4-QhN}qnRFSX5u&db;_J(&ZVxsnQlWn=b~95i3YOBo`#eDDd;FW z<^*IJK0RgkAA=mn@P0mf0Mnis&t4tK=KE$cmP&Rk(}lx@v*Revy@?}o@B$>$(=ZZI zcKi=0lAjLEPIwT%j^SrRvn^JP^zbm=W@MZy7FW~Ws#%DZvTB9jj$e0IbT&KnMI^&_ zF^caXAx#gQ{POJdZ*mRKKN@+*OVygwj&-s#tcn|_Ao~PfzB4s#M?2Y>3Qdg60t#&7 zC85L6rDRVcd#4%6Ihw@|FERWIW%g92mzoXu&7O7&ewR6Y?nWbVGp1PG-mUdh+(W`` zEA#|aLioXs13*;ECSWGmj=r=;_WdICicNNRJr+XS*_nKlJ@aMu!UJ1&j`YG1o1C@S zWJ7FncHY}y!AS8>agbQcH8WA#aXXUve0Blv`%DvO>+D~kQI6@&;W~%ezBN2qju~rs zvK-kYPQH8V$zWZ?U1LaEJcp7F<&a!>68mTFz!Jz_R3gb9BWxvg(W)ZHeUII`cr@zf z>$2Z|k}{+Pm$F_##xg5|$Ejw&%X%5}eYn2tWg^4MyPWB~`{P47 zws%*T^lpWf$76i6*Ki4BOa<;+v)3|RXP3rxOgEWU+UWI^-C}y6quCpnZZ(sseM5^xsjU|IQNqKPb`v!xH^JD$)Pr zg8n4?zq$o_(f_;l$78%5&wEP7^IofeRTEh6v-%%ndQFM``>p=tF$&oSto{WY|Fu^C z3RrdaL971>j>SU-{ll=Qkp0d#~!J)Qb5O^wAXIzl7f45j*yL;} zHaYw9D#~lkzA_!RPj;MsThPrF@fz#-R?q9qFWB81lpR@j`XpS=J^YR7CZ}@c zRLFDGTM*d4Ih#-mAu*5{?x94aJcMR@D)Re*TxKeS$Om;?OuqUBMx!w}2EW;)VlyFj zD&0V(+8NUNXR>Ma1B&Idb2bp4=mb1QIBVb2_)aB{5zdBc3D_#ryuS33D*f}>Efw#3 zZQWd!UyXc)y zK)P}$z4LaeFVg*^>|pg662bn|XI~`QYe;rzp)=eI$PQDyzGs}u)-ymgsPD3}pWQ*d z7gru(TMicbrybSvR{l~>b-qPCoJ@+{m*$SnDC!O9k~$(3W;PA6ceWnlL)q0HD8CF_**A%4pQ@q&J?m8Li{S_}7wLC^Rdw`{vxdSR2rG$0<6G+Bdis4^~|GwL5T)9HO`&YkxqV@rnzj_Rp+) zsF?V`6DGCcPDIh6)gD1#beN*UsvSrJIzo)EmRmyEBh?z#y_ZHbQDrbxwJX@3qtpdV z&)^ib%Cy$b;9N|SS*lIYp-z_RsC}J!kCxGODl51$rl<~#ROMckNFS?ygu&{=^!n^H z#ivAhV$2>VqlhO*uB_t~pCy;elUR0!aIKUlvFr&#tO|N?9ehji8G3avb7v4U6`vB; z$PQSxP4Q;=kzfO*oh0VHF4(veh?5m>rk{gF--L$f{xIe(PUbC*M#|YjZirkL%?tKu zMdSLsgPZ-C+)7p#YO2&!dM!ne=ldD#!h1Xnbpq{eOz z1V7vcM1OD4AS|ljX($W^czmp>3kGBD1p_@go(8)^v8~qtw&vg++?C+%6xqhwN7y0q zPzaxV6x%an4CGXv#MacRUU{^c=}a)!+YN+_RL~*24UT=ZJxiXneP^2rkLx}dq>cvT zmtvDEpT?Cg7^Wz#&*d17x?qG_PtjXa{f_d+8hgbfK#UZ^4_0C-f}PaUT-iY*tRrYt zzXH)K_$y0xR_m;E^~)h$xs3Lktd68&HxDR&!V4X!QpWb-_~@Koxvd1`JVa_h$R8n9yYR`0I@8% zlcHCscR(x;xDtbFq-15lr5Ic*#Hyf)Y&WP>EmsB?V{oHt0I?>ZC&CuP;UFFf=yHM| zt7|~43+R`EwdzR_>jOHV;4zhfscs19rGn?xXb^7&bVtE&)M5}D1Nx)jJ#`m|PjbB} z`V;jV5RS_IdJ?G5h4NMIY6|z=zo9InwxXL1Qf}{UG5;zznq_IB@+!A4M?dQhLRp>4 zJ;g=X+ie2XpmMTlmvhI1YE-#5Y3()cd7zq9Zca~7+qyS`YF4={ObdHOkANDha-AIZ zVeXrtTJV03-5KFl*I}+y?i^BkxsyOmQ@P_w9pYXCs!ioyWXoDz_NX0i*4U$|LUpJd zU28DIeIA^hD)(vvRJ*$o)Do4u3HO=7Y`6Dzm@?&5(MYT5<>aynuS0XLqP14jLltv> z$Xr^g&81tb<_{`7&LY}vG2;zp(003d!S*NS(o~Bg(@58Gee=~wwY(px@VRU&{3;gF z4bo<-$zw&y@~ZH6E*8^@yNgk=rC35ME-E^ym`MY+f=Zul&qZz(&AGb>lCEbi?b_x( zi#8zlZe-LkO(VA@^D&#%yw5`MGpt0zwne`{W;HMS#qvL}oVH$4&Z^bISr8JUyozf; z?p$mU@BQopxv&>FN0Re zQkz%#EJbj=S`poPx>H}Qh=J_`_9`yxFR$~JbniDE{}Ul)T@?2xN$(<*#) zLeuW2Ncs-DinzUDXO~w!l)uh$dJ|ipOAWa_kWu$5B~ralH! zkqJ@GUGliySl$O{#o}*S?&$6>c15`;a%9 zqPPwmGxOwIhjmrq?}ma3A2)XRHRQ&{&p8ZO#XLt{QEdmS~f8+vI%~F{*Xr{ahpDHSR zKaZh!1j#|~*sT^ltn~`j4_w0YuDnrJ!%UkH))% zFpfvz$5i2@h`#kcTZ^QhBKp?*oas!8=v(hEOh+lAZ@s@Volg;c>-~-CI!*K~Mv6p( zCi>P>LNw|};A1^kh$c<+t>+2Rtckw$dI&LAzm1w+Pa#?~(YKzjE&z9{Ci>Q^RF{C5 zris4ww0sY$O%r|V*^fib(nQ~SS#>?+v}>Yoy*{!B-l2)U^|n-pp=7=$`qqoocOh+o zCi>Rvt8N6*sfoVzYSryvTcWp0gBYSXg3C0~x86`8mTRJKyNu36+h1Y_2O0+{pNJ9$fGkF7i3U5K=&FTt<5 z!2JQ1mamD)H5baMu^CNFuDM8vUYeL(bFoVWktQbBTq2;}ynX>o*DMucpe82Qd`Ifk zX<~BC6)rtOy(T8te9wIzi>^TvlZ&^SLr~JJiODquOs*y-*DMzSEt;6z{|Ao}z7k`- zToaRP?vS2dtBJ`qcM7pmACARuejvmxnwVTuz~pLTa!mn~tBJ`q1x)V$^ijh1p$m?R zh{-kgyQF*-5tC~k5Gtc0Vsgz|_c4@3Dk3J=JSctYt0H1@%|q_X_>)%=F}dbvLe;70 zV;W=;lN$|VSrL;P5tC~k70xCVEhFb+BC}aV#N?XC-Csc8ekvj+*E}JdV^u^ogOGU)wn%@hxQDHwD)c^cZ!nNpogBuZ( zYyKjBrO}Ou$u%lLFSDB)5tC~YLN&P&F}bEfsL^gjOs*+nawB4LO_Uf6nPYJXFzuzE zP%UmmOs>fb=CRd{h{-iILQQd_X3l1Rp}yKt!nC^XqlDi9)$T^b9J0)mm z%iV~WT+=AjN;e`V*X)v5i!oc}MufPUQHkv_606;a7+14r zd;yc2u0J2`O!{5;?JbyGb55cLLu#AeS=#i@LemLSHRmOG8TBo9dO6o$SK@Ruy;1YMqFI{YonZl!n;udR z?xep1etWkef1`OR@g97VZQ#qL4Sc!Kz)QepHYE1K^P;c$9?ray7y=?A2l|)-CRabz zgpvX#R}+(KUK7oAnwVVkx=cZXmhQeG-EGvw3g##Cf9tFn1X>G ztBJ`qpCr~{yjwIex#qLPYV@#G6O(KHlITFMr)gqxjq>hANt-^M&G9@gj`%3yEx|oo zcd$P_z5XCNw1Bk=m|Xq+BuWaHTrF^|0wz}rUaNq~)dJWmU~;t}whEYBO-!ySU~)Av zxu$^0)dJ=UJg%Kbw4k{%LOh`bT^4$@l69JxT+>ThyVsg!%-n(LY4(Ggp$<@TwngS+Q6O(HW@E)q;%o3Ap#!27WG`IK7!QNjXtz8q7YsQP{4oyt1nc#VY zaOsQA=71gM_3I0>O+>`x;uPUCFzJ~@w1Rdw(VGdXS0W-N*R)EPqD1s3w(pCW-1M-? z7}cad4ZpnwlWV4UT#0s|r zNufc7Q-tYN<5PsMhxSMllWQ*V-iO=F_nAHg{zFWnP7{-BF7>$W(x8dSHJ5qkfoRmk znwVU(%HuV9i5m6e(x|D zNCN2017d%9i^)w7=kga!Zh8cJE|}c(jyIwug2_!cN|Kn|^v=RdOm2D?NfMKr-c=Zg z$xV-vBr&<^JtRr=X?oAqluJx*`sLRJvQvbGmzdo2F~UnsZu;1@R7^~6`gqADCO17pl6>2qK0&GxlbfE!+)BaZrq6sH z%}x=Mo1RVo?4&yIlb%EOT)^a}=iUw?LB_58^wJ)Xwl%$G`a5)*TN9I;UdE(T$#>$- z>G=!6k|HKIy?~c3Cq*}(wwT-$y?okYa#M8jX^Y8C(Z{DPCO1VFpSGCX6g_;}VscY- zVGXt1(QpDtD1~MI`h{?^=GTo5689mGl$zal&Q^e$Eh7xTwHbqQsX4p`qTT;a2 zW*W%T>X;t*Ll!v;z(qT5k(RMkFuCD~Jy2RHnA~tAudyj&a>Jdt&YTp%o1w+zrU*U@ zEhaa`g%$2X_9#VHWz+c-F}Y#WQdF%=5tAG4IR(9HNIlhr^j>^CZ%h#^6^>?lY>JrN z&|-2^#N>trOm5O2fpPkFuCD4iW5w3c<{~;Qz@9-aQtx;`6*j7;SBsbDehN?Emn;5@G#zHWSo91 zuA;paPt0J|q(2nDg2@f1b|Gml#VB5lMBxyI>gD0|UKq60{G%cFcp2UNX~#O@46B0s z#o-COd}s1)M?2xnA7dn=6fwDB8!rhRDY}&KB(is=Mskj3iN{M3lN+AO^wQJ@{D!9; zgx_UO@4L~+yy>f0-QKPBQ@5g`qdBdnN(f&QlWVGFYcQjU$u%{yZ5g3gY_ec-!*+J2 zIttHR%3ctY`{m~aUj-J76#okFmCQSC{l| zg_TE4Zg>rsKqf^@Zg?%zb#`f7$8=MQnB34}a#O_Qh8B~XnoRu`lbbpOn!{2|Zg|s$ zR{yOFs9(n9wvsVfRigj)68(3S=)bc>zs2NQ{T7pJ^;=A?)nAIq4ObiJMgQ+gcLc8y?`X6I@O^N>dt$t!XLyO5x5$hRROm2#})6imaQ^cKyrI_6CXBSd` zCVX@l_2($#ajUSlWVQ^McP9qMOffJI4^iA<+X;dRO9x^+TCvpy161=qs{nM&+E+3Si7^BT{$O>A-CInq)%ih* z&JV3lnJ0_MwewVp$qoNB2)e3U!ax5u?&oJ#r|AEjX+I_Q@E6J}o)^q?VLkkf=_aR! znB34&S3*$#<}jgl!A+)?8SbG(q&$R%Jr((VEti=JA@Z7+z|dD5)kb{`e#0Urw}zP9 zuu{EGv6(Qfj-yyUJBNYzL`M^o8``%wzEeX?ZWyY)!B#^|ZrDqz^v{P|3MSIl%@yhr zlN%N=xtf^VaBJbpXkv0h1WeG+!_)GLQbSBG&I_Io+5PLnYQ@JFE3c*?kGJk&e<6HL zOl~+p(cNb>F}dMDsS;^oa>H6R3ib04&WlDr5>>qCmxGk5D7)yL2OwQTOm4WHdWOoQ zaIoq?rY0sgw6B-!H6$!xa%C?d9Hw}E$9cZQsgz%fWIySawv4 z$r6P-sSQZE9b-A1wm>X*ChOf#uYHRS{C2eq$Hz> z$qkE`+!|tX!_n$pNE)y_+*=(|Rlwwi&FV;4qhNBwebjYK6A2fNvG`nK-@^R_{wKETj!-bU;W$O-A(-6oV8w+mnB4FX#RVyt+;F_& zLJ>@Ec&M1TU~p}WgGIMOcb1sk?8&^P;e!Q&lz0;)m|U|*AH0m}Etp)h zwLH{mVscGC+3$e0;HK473E}DW@D8S0HYI%fOrDqhfQ%+4*VNd}0ZmM<>F;gW4vR`Z z4OM1<$H$sFO-!yC=+W^s*d2;(y*I$ttcl4rgN1FZeS{q%4}}Fxu9?9m*ARzmYSm+G zvtV+~T^3;|(e^BP(iTiE*FDY)&gK6BCbx!|Tr*5jT5rMRni1+k)X-aU zIChlx*pBV=NFjVpOs?5Uoz0c4iOEIS*AfuDG%>kmXLUJ<$iCa#Mbu;S0*_T@SDCAU z&XyLFYxYp%2S5`sxu!{Rm&4Il9}Qw(bqoqH)+7#8XM)IRkHjHDM4FgfbC~)LO7fbR zTywO#0Ysf9Cf7_?_kd{7#N?Wjg=o~om6QneFG+BGq`W|@fY(8T1L%hjPM z>D0vJn(qmYcZufOGgqtmC|Rb7$u%p~)gYE@VsgzjQnFGLlWVROVwG+p+YRb|u&vg_ zLDr|Fl>TD1T~d|vR+Ky1*&*X&3o!Z5T8W7Df$!jZ4i!%h{-jd3+1bbm|Ww#H2n-t!T~1Nq}<0rMJgK2 zvb0cn6%mtbvhD_y)v1V>T+`eA7*vCbWYaF^rnbf9S4G6+ni|3DHmQi1T(hlv6v~=a zL`<%!cZrx9t0H1@%`o?SpjuQ!=#d%W{sL61iipWId%0WIVX{iipWIGu*}C>{QXK2~h3s^`Mrhh?rb6+kG4qFuDC`q*e5Ca@mB} zp*i=XwN}wX6?2bgE-lsO(ydkT2Nlj@5$(2^aRoDIyWPBCyOp^#)uPC?NY@<%5x-?7 zf563MoAjS!5#1ndwu(Gflq|2L|9-KUR@_~TiUt`{kycz(R9DQT0b4<(&$gqG+i%7f ziXiDo=F+Zhg}c!Pm}v9ldcj@ zrXb{DGI0&aor^8vy`Oy`7xn_@yW~9h%Q&T=`zNmWg#&aOMP(nz=*PunRZ5dLukt8z za^-E7vs;^_Hm`CKMR2`Z5#4&aQ(vrzF?oV@xU9dt&R5dyKtw-!giYmiYb>3wW^jr* zag}Xag^x~X+G!L?-(gn~w>RwU@~VgO%UMouV(W9MA(yz|y0u8smq-aRe@<4q6U$n# zxi^ZebS)wpte-QJ3?rDyM3tm=At~0)jY=@S0`pAz7lBCnAqu5CbVRmN=|T{kFGbNa z*<$XvR}~~3Q>;x_RZ?7NCegqmoW83>xM+~6m7gz86B>0*u^zo#Nj>52w#4pw-BZOB zB)nLZK+m|T1X)>|X#P71{ZLWbPLH{%gl^5Hrg2oU3f-!$Qt(a}7fbkNr@N$zBwCxo zT?)1+LG%_(uIX^Mz-^S>n?I`nFd`<`%op&5uZhVu3xvpMVsgzwAtI-S63p4|&mk@8 zE17BvOZse!p+)QoQugP1IEK5rd}mysao{*=m}8N{|8Xw2p=*fAHS-d4QPA@Q{PY$~ zuIWfz55nHFoh2`73ioXDrND=3a@P=(YhFz7Ei5{VoTM*zfyCsRoVN}+vVF)KO;KD2 zj@mr=&cV%3(*L8>87)J`b&~WSWSvKm?2XOUA~Lt;Q}oHq@@kORo9Vnc#XA~=CNkHY z=Cvd3Xzp~Hc6qVl>kd{q-Q)EoV{bUm@aBRoa{7^5E@NLfFPQT1^eVsiV)}Y6M6$Oa zbIsY_O&~P)Hq9b=e}~=^nQPATE<#BSUtXET9^YmqeKx%(QNT%`)sp^?m_Y>ZpojY7 z&ZI9JwJDxeU2*1MAaez7+n4?Y$lUtJa79p6@-WaPaNFvG(9z=2yyv4rGO_Ni9>@c} z_U-X#1pe&>tKdI-v~L~X)&Zb9><@?9;!|y@Q$OYa2p?iqwIb83!w+T3RE1804RZFx zkLQd&5SM8S$o&9aARu>miqNz;(No@*e3rF10nlKwA1 ziI*UbSj?5VgjY-MeZ|}@oD7e{4S5`{w})bWxDnf@UXxAV1UcTGl1}YJz+PC^SkGHHiE8}G@?_jHAQ$sm2ITTee576n z+cPT!tk|k~NUpg?h$)(fL!$$)0&AZWKyodg?z-;T>h%fNXrxwibMm#ah zeD0P3xn)2uo;}NeTpn|8%79$QlmWSAKrUc+Wk7BjkZa0-T=xk)M5iZ~0l9cWD+6+| zM^gsmVrwbsmjSu31$#(t>RxOQhV#mR+%h1y49GnQOW`HH^Ndmg2`oJ%H+;Da$mN!Q z8IW5B_mKrWU{ z8IUX3*uR6*mI1kCK<@wjfLt>GAlR)5$lVmrs;(FY=&J?fZf#MrE%l@DqGAtZ19p42 zh20K07@?CzjP48zq9uo*5^<_6g*ZLyfirYwj*CIGjK3j>cG%$-J$pt9T=;kFDP<5X zMyd>=EuU&z2GN#5wB=K6ftMwd4!3sYv&DaBRP-&^F-IOd4o?US=%4zw?x;HdG!~N z+}4(^o>yNTlWtejkTyrv@vC5NSL=|r>5kftM-AhA+ zyn7d_44HJYqh_$7Zr51cL9RoJN{dKfj)c<_M=5&FJqO1~;MX9PNZ=cfv0|p71N=Ac zbjT?mr&~q>myy6_B=CP23H%crZ|-P0jPHDRA<~}x2F?ZU4{*wgub<-?u@}louo?Y( z9!z_Y5WVzC@P6iEmmdv@v>ZQwiHp-H@M)VDc=-BKAqMKRcqZm|q)wgw3A~%R!XAmK z2cxF>p8Jw~U}zpsoW0Ve%WT$Zo>F_25M%X6Jmz+}2x!qyvCh>(l#kQJr|9)noSz@b zP_5SEaBVX`7GjM~;$@#%EyN@GD4sn06Cu{=*El~v6=J>qHpludAvWksIo5Z}@V=#Q zh5?v+q-3K$optV&l27zn9w~gE5T8dcQ0W@?K5#oK>c@kO?-$BfkwH|Uc|fR)icTW6 z)_n||k&5=BVLT{8`l{%5TGK;v$Z%do)jS;XXF}Df=wnDW4@*NDR5Xlbj|f#p0^^hP za-{4^6)om;y)NUjN=1irJ-s1it5x(I%iff-H7eQ~k1XabcP)nX5f$yjnSEQRbt=kD z0`-m@XuDoTy*MlH3bjE+?VN}AgnA27SoV9NHY$7@4%GWXeWIe1aRXyE3gx)bNu23F z$ZYy<#Lp0#4_w}lW!z{ZXY)hhjNIq|+TXu&obF)UA~pPnk-*zS=2$oSfOg$4L8`@# z@@!c?K?m3BM%&=d(bNbv#f_S|()tTE&5d$gYPCYmfE2EWI-%O!=*l#xA&Dz79kbl% zPCBz;iFZJ?yHNwBG$eQ{+~G#2a$L3-YM~q5M(sNY)#*m>a&(6awb=ddJWh8f^nJA( zEn<&$PkaN^TW)S<+sTgEGr>=dISI#I1P7EJybjGx`p@IHcPBDB&G-br%4k1wG9giN zm6=dLtJc#b4@>Z58ec!j9vz;T01X-aEqpP@94Xz4^c=EHl=17U&lv||a^g{F&f8z2q2muXR+S?}WZPg!es%9m4nQGTfbau0)PKV=u6GiFz^U=IM-18C-p|`$#-Orpa@-wy{ixVHC(UIO2O*0qDH&gODCzsB| zhcJga{d+pXB_gdsFX#H}O3Xvk8|~NhmL|A3n(@(d{7Da~2Y1rvmsNVVA!DO?DZvkU z*ap5_+Q9$0<8GS`~k-#MjWw^HxUG%hA+l~cHqpXdQ@`=ZcUO}5`^yrg%X<1J@ zdvem#zla3pr+4s4MEbk0%TPAyE9l(!_Wp{JW_>*EeINOhB&GL~kajUWsT78`0{mMbD!|^e47&lC&ZZk2DRY^G}h$B?CRR zbfBjd26|Q=GN*f7Xc@f=iQ}Yak^QXX43Brb_(UYyW7<4^W3|qHTIfV?GS+y5;~s~u zr5k<^LR>)7=NEx`lJ3n<1g$g|c>LOyUB(xAB@Mc$v_VS>4dO>~O_xWnn$f-4|BF3- zlQfdAm6}VukKi`*eWp*rt+$v&j0AoPgWI4NayT#ZmVju~=iz&-=5isL^aXT4S9<)m zYP0?huSr+QSdP{8th2&<3dYc)*Kl^O^IitgsvjqDy%5v%c&@t}glN-e(kNF7(Qe(@ zP4XG04()TSZuR)_qfY%Wy~Qezn=VW2z}@cs1|`entE%P>A(rFw>R90E?T2BYlKzhP z?VUzOow-ZggdMwkO2_V=(y{yZj?<<6vdloHqtu05thG!xq;AG4%M8h2 z>Y7tCv6eGKha!J$Y7{-pun|bNr0&5KW*W%T%8Q@lkVW5Svvg+JjqigRzm zPk8Vi5K}n~BN2t;+bHr=wq`;Hew`G*{T8-ZG19}sc(ajl`mxv^ZzDsL^jS6OkHD|H zD>@ray%b6LOx+DgNYewSdU-f~E3D+y{G-8gyj0DfcB~W5uqybOw(taQXlL?m`0)44 zdoYqwY5@hd@xsuN`V;IRJc;a`sgax``Ka@fR3p}Dcq%);G_?W0;b{lqcbU`sZn&1b z=_}vv)|@@lPw|t`j-CxYrb-B3b7$LB%eTlgdOLd58u`Q)zrD^TySp9>q3!HUbrha? z8GGS@Eu160*bE++9|*@t@sE7T5Q13CH8WAG;{+tD^Wg&C{iT{XTW9kGB`4Jz8p3m! z?OVe;m-C;ohS$k7o5aa?Z#@~Ti+*G!E#4U?W~2_~kX*=*Ejpt??W5dfthHcs9Oy`Su;L()V9$uBi z!>sMy)g`@KVdZ(8%4@g;GN}sOy@uB^U1yiZbxb#c@w}&GJnyyoS2dxj_gVdqF}*#hr@)4}^(6M5^xU*1k$v9A{_ueiluu?+>Q{c3pN8I;!=zEX>uC_7HSE$HTo zc#SsWTRpEcKa&!>dxLr+>rUU~IOVO)yv1~5O2+1G@{CQ%*!+%ZU~_+UI)uJ+x7GRH zcd1kQ@V?b4cCwLn;@h_T!Ri#d|6_^H4@z`?Xm!dwePngYJbhfyY4N}f&{f?M{`u{= zpPyNsqW^QI{gl|lUnnn=5_|Y7(}nf$H>R7Mnw3-02S;5CLH(Piwy2NI|)n`cN4-UvE7gCBqc2?-_u7 z&j8h+#-mPuKiomx7*`%)E5~@?i^&p&JE=F2=yUQ(xY+Hi#6|U)a`G(PNyseua={9B z6=je=rVa9UQ$J+oe7L)6=vQo9lkhv1f6qeW9>pav{F;a)@mz3b+x7pKBG+O-; zk_Idf_g05jInF?SzCCPKlVOduTX7urQ8zQqZ_9^c)JIJ7Yx3cKiWi$&em_3kU-6<* z%TLaS2PiuH+UJ=*P|^9;^0V^cSVc!z%dg6Z;}o4o?HgQ+2P-c8+8vHV`VhqhS^ER> zj8|MJwfq!&c&M28z!N65;Z8)+q17HiUv!wF!>S!f13E&Cua+A_;gM=K=C1bMQ<0vi zo?v4?>SURY+SjS~Xc=9nrh+SDirNiBU9(pu z(#NWkFj&2rULQ_Vd{&g_#_%{9MMqxxgvTpBQ7)I~v2ccPt(51n@B|@N$#;OmZz4q;-Pv&{q70Bo-no&|?w+J*p*KPWHZ)}G}rJsgEGr;5X zQ=J}+wPyx;bUY1qpJH3@U9dIlchER9SlGte2iYOAH36S|6x%a{_iZ&Nu{E`79ox)w z#?19T0b#$G+~IMg3SUe4Bd{fP^XZNC9Nwe5Wv40qet(3pf% z^E9q>W|*S1-j`!I>dXkW6gBjgRKKIV4tH#)M+)KVmGF0FCv`Sgw#JqYwujUb5WVzY zS+cXb97JT_@a-b%v4sKAW>;}V1D!1|Ov3<_*+U&M0Gi&04>V1RdmWCx8Y>ET;Nww% zu_ke#ItxTbdn67KBGU6=h~_YL1xoUI^-&;>RzywK>8Ef_H`CQx5Dj`fZUD^5LNw}g zNwfOVucpwCiixpJgJtLuV-9a&;t1I`tsd`JOrn#1hT5XRcPAAeQMnDSCw> z=yAE`N(3I5B`YAGp9|%y=xPf0T|)FSDn~bIQZBzZAE{_G%hE#SRkSZhKkLeO=T-C+7h!LgADqYA zw%OE>bNMCtMisqDYp-$n;rON)56thxH>={Iy8JBsSQT}0*oV1<#kJtP9(HGh%X1=I zRdf!iz1)6vm@E|?PwEht-&b!_(Ti+ZtIHm>tLSI!(Nv*2R7BTmX1M&`dZ&tBO@L~5 z`C;`XD!K{l$IO-wqc2lVKN@Kjy_{S&aUG>O_oKB|@l8N6_eAE>Qf)35t9%U{70zW5 z?Y5Y)f*G{kZeFn6$y}OhQRJgY*BylU;0ux}c}tS?xongEuUJHA6m7POJXVw}ucZG` zv6xoeU5tvokRcUm#YII!i>xHaD!?s2Dvf;e!7t3#DIc>e9oVRFSI8^sZGI0$^18ouS{Wdvo7OnXs zIS>0XPATaA5j|i0frv)wR$s{I$Hiq;N|QIQ@)&Y*VD zGtVm)(|45!7Y#DC^7F-MLZfah)}xmzsVCgsme^gdd#adX7mE_;88?+6Yj6|Ie0w1c$U2}E?s=t`vyI6D3haGEz4X-9LX z)3nR$6<>F-%IO{#LdL#PIm25Bw#ey6Zn=zAu~a4xnkg^oQ$^B$5YyN5JS2O+f|>Bm z+1@G;ntPjOk-Wu2FJI*(o#%ZUB{h77WfpsUr2K?c4%1FU$C#ULMIG002i4CdCn z8c#DybS<7UcwY!shjM)+x;o|zJ_9P%1WSH0d+>wQ)ndtwvj@+{GoLz)b=@v!FrSFj z;n%~a5?yc29^B)bAdk33$PKgWuc4%gT&5_UQ-4bdxnWNI4@=1PbLuCu72mYXYv$JX zV`+h0J-2>pN$IM&^@B(rWtrE_sUKNFJ~F5NYbE5yIrZC+JjOC_oLj#`3Hiy~`rS*& zj(PRZQ13L$+&Qm)CQFawQ~<6$r~V<97RZ%zh5&Va{6SK7%bfbd@nEauAqg1iIrZNp z>j}L1N_0IkxBeTIAiu@SMxtxY96@FO9y66pG(FY>5`eg_V1-jYK&IEVes0hDsiaS< z7)JW7xjl#FDB(Ew%?s^}H;iHVNWm5+y6&E{edlSmU=7wR|7`(D_1ln1w$>6|pUi1+ z*qx-}?E(Sj4ZM+4l?8g)yoQ%Zrwa7)c@4X<`uqaDa$dt{q|a5>bXL!4c!l(NvR?t) zncMIt>GKP8=iG*SDQ|IsUILos7Z&JcbDiz~e3otW1UN_jTZBgMFv(HBQgkVauGRB) zm^8ytFUp$%px%eKEU*1iwMF!_|Jj(S7!`oxhPenx@e9GEEoH5^Etzmw-Zz}-2O z!{1zNg*4kg(0J0Zj@rxR+Y18R8w6=TTJU3uuH|!wFTTKXULztQRFL`O3JfdfB3m%; zoKo?F5nh5LZP+YM;fPUS@w(Q{?TL>-!-s6$owosbJ7(C({n)rMg%#agP^GSz1m2Mt zll^Fwfb@@?9H(1H9v!D!oSojIl-u>p6e|Wb*uqWdZ6de-5g8ZpART zGtaB|o@Dl%hfH@rOn#zvG^%)RkMX!zx!(a(qK+--;q{pQtyxY_Y0aT@k=t<%dw!3p zAY3vPYTpA7v%g^Bm|CgjVG{9v*AGkH+Jgo!V+V z3O}X_C$$9@r1#lcB>mKI+--WFGo4AD2uB5(NxoQ7{;+PtfobW(?; zkzR2L(tc{vG^DTR^ki`LJ`#CJ{}-U-#^gqjV(`r6Y)bBZ#oR5NOcI*HQB=N%Vt%+0 zZ*06Ko4yHhygenI^w)t%@GdUf3@hkyF4bqhh6(P`Daiw1n>`ju^6Ud}53v>71e7T* z@(H+bCsB<*+2>faHFFHjVqYYrfy&7`XiCLZyO8VWxb9Xgr+{o4Mp5$*mSK>7k>^tuJTt8QeBiPLgU5)FL@$ z6m!o&?^ACsM&+JQ;m7?sx|(`x1(PcwCiOe+dwQO?C0D5LEix!rjq2{VDG3X260JPr zEo8yXNb7Hl+`We*d8u8T6_=oL(%%b{>o?-3mrFmN-tIu8F-!REJs%mq8JCy|LU2I` zB`5|mbLee)&^+Y#WMR@j8^2pd$n_Iuk^3U9toFwJeD_!A;pXE5o`x;O@d3of#PIth4#}fWP$OcHuvb51@cJK7d3VA3&m2FU6#oYvc)Iigqw~=2{`9>Blk5 z<~ku}=-6=L0AjB*^0kddU3em2|VQkHfLd@15qKoDxAv$yo>)b5FLj5V) zZf+5xQ@;$$Gq(z{SU0tT_`VQJ^!~Wcn%jg}YH_Wr+_%x{W%{@Vl-%zA1Y^BipGawU z2-~̴B*Ay(?cv6jsbgt$d7VSoNxPYUOVZazMMC2@QJi8wysSLlKh#|MzY9%Ugu zfK(=q4|p9E_9zSS0Tj|5AHY$`$MFHA>b_EZ06CjfvT!1?^z8?% zJDiMpLdG5YmmhLOpOk^e{$)Q-&{IMksiKLro~Pwzr&UFFkaL}sO;ZuEE#~J!&A|R8 zsb_@3-saoX_q?&%)A?O2bMvxLvsJW;llvR@GqkKjMGw>1Hn<@yt5Zeq zj{xm{+Ao%TzR!`hF`dTdtzX$AEgx-FrK1R)0x+0LxbYFNqJ}9Q~)` z1NKAz{?qXR2SH|cd;qEcbbJ7pdv|<5Pt1FFd;r%&M;sqOsyjY_RCjy;sqXjyQcK+E zC0z5&jtNpr-Dm**n2|y)bE6TorJWMAv*m8Io>tc=)JiuRMf=<(u@(zxl^eY?8Pupm z3#})P4`8@zcYHwQK(NN~0dL@vlJwujZyX=+9bVYhvjGzlC0ChE;sfqNd*k?kc4&y> z1K5RsH9lZ21jO+HY;PPNz{}L;;{&cnJ8|X(e&hIno$wT6o8DR4^iAReco~i311LX^ z58w#L@d4aI$rs}T0xbN^#|JD&Yd0Ss@C~#k!6qgB{qY+I3HWd~wv{iJwsMmofp4NM z77}1yNi>7VSisq<@^BFeGQ<2JjL6Mxf76=w63`2tCfrI(-O+#q{#n>h;>;1*5k>tT*UcB>D*PmgC+I z?)1TO@aih$rXYG*r?4132;$;h2z3+16zY$ z&y~NYx5XgNtiw6qBuJndC2^1d>%>6pkiZ;hPWr??^*$DJ(`cs1j;0;xsigzGNsxdY=vjG; z#&nMhEe;Z3&o&<5s*493;R6XUFcI(y`kl zNWhNW-SA1~K3Ss~y%X=%*Lc%uNqQBpg!g;1WFU#4Qn>E)F_Jp~Ydw9eJi+o^S^9X%9Yhy3Ly{YrdxBIWiYGmbxs^wwnx8)N z4;ZZ!qqx$u>7Sic2Y%9X=$<{tokH1jp8$~{<5qrpX&%zHrq@h=hfZ_r7bhaQj7g_5 zj|)L_dj3^lNga|z{sLaMoD^fh(hG+m>8BV9mOh*5Op1|U>2sKlQj4$&pB6lFJ~e^u z5j=8T>IJO8^dj;!q+UJ}>GRn9#uUAH`h2E$>#Lx!$#H9-K7Az{m|zo9Fy7%H$0FPMc;fdi3W3>9FsO)*q} zJgp93QjS9w*#u#z0CzT$K1&Os0#i|13>Dz@_Fo7UAbT-Xfa%SJ3NQ?+7%IT$^{;I|Meuo_8u*Y^k#(sUatuq!;%KMob(<*pDa@G|D97%ITa!+#-EfYtxa zPysf%5GugVFjU|!_TnFh3j7Q#7%BcK4iak>LIo~FlA!{;2i#1k0JHy5r~oIw5GwFX zD{1lJlq7KH3wMWM7hupAl}P&XP=OlMWvIYSlwm^!Sg#;snU(P`gbEx(c?cC41hnX8 zLItcm8!EshPz)7dx)>_J^uHY{@I$Nr)|;qb#^kn=F?XZ1hE^qLa=_gnq{W~jgqsUM*Nhf=={6|nl7;DEy? z=%(UO0itgiDlk9heWrx>*#fT(6(Bm7p#sm4*MlZ@>n7_GD0gh8KRDkJ!8Y=K>tMk2|Ql||SusX#~HquUtp#oOt--im6=={*?{3oFT za?K(Ocz51D6beQz;rQGfa#_4i%6GE?hr&MX9;tRLp%p zbtC05RDidVR^C?*6=0P(RA7qSiWWizNL2CuzlwJ-Rb(uB=Y5ebh6?DY{ z0wh%6OaKjvp#lqVK`Dj`Ji&A!RG<&6v=}PDi%l_9fESHor~n;)F;sxgw-_owM_3FM zpz|n(3UJ{ULj|}Xi=hHsD8*0#ns_l(fDWw~DnN%-3>Bd96+;ES$Mn54qKWD~rVF70 z$6?rtp#q%NVyFOTsTeB2=_rN@aCGBPfr%iBp#qm+u;NewJ~0+U1vrXvr~scU<4^%| z#i0Tu;!puT*2bX%595AkjU1T~wkh5?KcY84WO$Om5!UIAJApV^@y7Z&ESGbk?;nN= zjDn^(RDjze#ZUnnKW>L`yN^%-5^<;iHz>YBs6YiCK;uvWKH|io0`xU;s6ZNQai{>< z;!pvuyv>FR{DEyQh6?2JXeMDorbBif;!puTb(e+;G=cG-h6+$x94hcbivFje0?WCw zi=hHHgNQ=~egq;86`=Yr4HcL@0Gc)zDzF#@aj3uw5OJsgi8xf?9uWCrsK8So;!pve zh!}?okcdMC$cDW|m=Hn*h@6f?1y~Y?3J^yUhYAb>5r+!w10oI;AQ6WO5UCW03Q%+$ zDli8naj3v0AmUJg8$raO0*`=*Lj_n8hYFC0Lj~Rf5r+z-Yq>HCp#nRBh(iTBK*XT} zH-U&l1>OS@hYHke!*_CpP=V{s@Yp0yNSpx-7YD z!t2nS`_VwF=%I?a7c-ZZYIEtZm-VAP6-E9I>AHg; zg6~SI$0i-bnFm4~@N-+($yBHOBESAuUi;4*O5_TG}ZByy9 z?G)tpqd9jMLDB-|(qL`w-DoFrzl#h(Q`5+8$-!_GMHKvPtVF}MMfajk6)*e6@~2o% zTQ4c+W{QmmU;p5o7WJ>u_0rd7ZDMds~R;M~|?n zoNkS!^EDHkVmVw_n^xhY6Pk7vc~RQ;^`IqI8R%aZ?Gh1~<|CcM?VyCD3DTDxq6*scD>3tU|YHs}#J`Pl_dc z7t&o)MG~#u0S16AN)U0Vz%F>VXZPvPDj<&Ts>GFjzMwJuVyFQ3*5gnC65XK!pFmpD z?<-SHVTDiuS_EJ7%KjWe1$ZaOm&gSg=y%jG7b1uM<6Le@7efWELqX4__=!UWo&-@0 z6_6`{McdC$uz;Zgjljq(54Q zY_0sh!#a;5xf_Z$4HalcUK}bwB!4kf;3}jyA1c6w5Qhp}2R4KXkXtTeRV0A_N7HO?_f*TRMqjkao@;%=aDu&lRFcFTw11o)FFYc^IMBLx{2ZZH%JVQ-~IQA%@lS6`{DT znzwUar6TNgntl*hRZk0|w@vSt1Yr-bn5B=b1d&zOLr%N)VMbmbH3me7?g?x3wp53K zn6KZU(nx(5(iZ5NBSG|4#PD|NGhr8At-2j-OY~N05cYhCWx9sMP(@=}uIoq)6Jn(v zMxsH8ReB_eGW7U=8T7aWZ@iX1>nq@m|0R&|5O@#2KYofJB#TNLi?h$8)VGWQ|%@H{6chK`4I9LWXyn~7-UQ$1{srxLB=FvkTHoEWK1Fk8Iy=X z#w22pF)v&($e5=R#~@>}#UNu6G02$2{{+aGdNvO-W=RY(CJ}>-%ZHE`LB?{#bPO^k zg*}o2$e2_&$an)N?3ffl#yq+hJ0=B?@lY&W?3fHhCP2m<>SbR7GOoqF>B=tw8MAEl z{}PZfE&e|ZGAnD@^`d@<9z^?d_cnu_>hHZZ{^6!FF6N&0-GPx|%|`fY6B zYzA3eK7_n{2sw7m%ZHGc4`#l$YDP*vX}=0e-&i$|5t~Q z%cB8A1A;j%)k_~pSL6Lp*6fhdId)=!_(?x^fR@CRJZrEu-3zX_iD z>(-rs9M7Km+k@%Ep($izzjfFh**Im4jNuK)aUch8ezv34B@%^9R z7y5YWZ<6T*k55f(HJQl+px=weGWmbldlxt1VY-GH@G%Ix4g$IY3#*7( zLF4*LHfoa9Xk5J}u8NAUxFSY08diKQ@xg8+5slG>_&}p()fh3pFqrs^5;X>+F(ke) z#ssg=>+|>ho>N`Zvn-0{-hA%;|Ci5)**aaPPE}V|ov!Zw*7-7gYI>8CnM}iUdc?U* zGJI;)!D5=o;_8*GF!Mfs&<+Mc4H*4&&<~^?jD9-kB5kBZKMlia=$Ana_!%6A%UE=K zq_YxtIDGTI6aa>dI~=~nlk_6S9S+~S8ObnW+~M$jrt=Zw4u>BwU5praIQ)?5QpC8! z;YUo@8O9wBJ)c5@A^11+MQAhx|Ar|Mnhe3eVOoS{L-21{B|?jN870GN5n2twzhUTq z1no9M@NYQSuR>?dFa-aGkuO6`XeB88iIervHl{cbs2(x!+M|BaG@diH=HO!k0JOsoFu{$ zL-23dAVRMp_&40z=jB;y74p*G4u@AAi^F+z$Rq5%@Qb(vLpYS!?EKD1@F=gQ9Nj8DIt)yJsA(wU z4u?DXJU*0hhr=dKU(0ekNqQKA4QI|_ox>PxIMXRf1{==IlO%%;58i|g8L~6#?}p|E zUWPH#hcVdj;1N7BgqQzDB&1coH_Su**~=GEi@}DoJv1=PU@l}Aaa0duu;J`gqQH zIb0_cS9>qec)x_=R`JzHC^3sFp}0mOF`k>c3scfF7K%Fy>9n~Jzq#{MKj$?xPvDGl z7o-B1$(w&5@L6uY2pgE0Xn*eeDOON4+@p}YFvZzgGEbq)a|=WmV@^judb9? z=({wBh$Ur7*+Tc)t{mF@M7tK)hcH?dDnvSBo&q*m%FpnQd&mUkaxh8}FAw zhq3W~DV%9+yk82P#>V@laGq&ugXtT@beFO5ewnktjAD=Wrg9J#8XNCdpt#1y`=#0y z#>V@lu*BGSzZ9-BHr_9Lr`KFgYrl}LT59&Z9PgLX?0*@G%QIT&ptx*VkBj#^Zp$PT zSB8aOJOJCIaxbQsx70y#nYYX@ju?x))v22y-R~E_#4G!lGpYgC5f@>Y~j z71!`;z9E{$RPieue{YIZN);bux&IPxQI{&t`8uR`)pw+d2cSc8@247YehsPOp=`?s zlGm6j9?x_41A3Y5Q^f)|0sEyHks@aVZwB27;fn>o`q6KO`OI6MPstVqYe3YUL%BDJTAml;U) zfsBw;@n)XGq~H~fkW{gORvH3c=?)j~mr^<2FQv{@@$c;2$)Z_~_shI47w?x+Io>a& zg)ZJNA>TbN-Y=ykF5WN4M6ZkYyFEvbi}%ae%H?>!{}>I`zzgQV0h#eDxLOIH#IK9@ zOZFYT%j_2z}wVp(?n-?s+8&Qf^Q_%w1noHylm%+{k)#Xc>(*hXr|R8=K^7u zDj7z1&CL(qz;LKD|KK3(5^D{nhx4ynD5@Hbh2nB@G<(7E_+#oPKsyY{LFGdI^2tRT z_-wL)&)NoFh;q4A0WnRXA^R^v{nkUsn;y35uhIcU^GFkf=R_zO8|s%e*BKk?m*b|v z$mzZ)r`u@8(ci8K-oO-VGN*I$zAoq9Z1!VEyctYKnHE#vKz}EA8vWgB)}U{5?*+@{ zdK|@j#|Oc=IO`dvp0mnNKY*Neb26KgPK(1dj3k+>N(&U%bf8hW>hxwP+F=+2GB+sA zIooL%1Tq(j&}A3}GM5oypX%Q5dNC)b6BA`}dKOBiwfm=}b+p+~zida|EasSMOw%WAU}QdRm*#vbn#|tFnU=m8 zIVE!+o#PH7)R}gU-KI3dS~eIP>X&qZzMIEoK4fV@&Yd1nF`n7Zh)@GYL)%F$B9VSa*zw{8~ zxKO`!Bhg1L)bA-Aw=UH0agfTPetCYyp!hc1cd)dg1dlWWm%>as1T}}@4E(xKzkJiK z9esGRqYqDZ^bFh4vr4deWSSE#Z>CZ>O3tik8n%IOOqzGdl3}2%TzmRpOouwt%u#lH znl(3g!BJ2!4gY|UVZ~v{z2jAsU8rB~v(X04Pc~?NvO!(8K{nJcy=vZU$cym(H1Uo_ z^YB3sE=<2$iw4P-yah5O>daqxbuLOjj?Qf`XY%r1B4loj=6eWDmHUwhP3C+$pv%$^ zjo`KZAx2j2a_P$!Gl69mr$5ACXf?|?rLRnL{nTb2qHvW6GYlh?0*cF=cJmz$$|WMq zR(E!7nogy|gzT&9(<^zc&3*J1z3F3-)1@7EWBPgIEHpOMFNGd2$YFw;ttO$P!f*^9#O+v1X=_OFGa{zmgooR&FP{8tPY)8tPXRG}NyoHPo*pHPr8G=!4*R_*Ju; zBs~~6dx!-M^(#pY^()0R)UPBp)UPOLs9#BHs9#BHs9(|5P``3iL;cDV=odCeZ8PMk zM*WqfM*WqfM*S5F8ugb)vo`84Ux`N=^_Q;Ni#qUQPNSzzdx1v%y#qpqibUn+;ds`8 z%FWuSzhwE0H0rOQawCoUOFtS$8ugdye56r-nJz{e^_S^Vq)~sFu8ZjG%{-%*G|Z;ig>8AejSfJY3ZLVY z^CAWYC^X7>C_rXArt`}2K9%Z4C4ZN6Df%U>7n;t5PF?gU&Y`f=bevU##$eoqPwI`) z=P@x0yE5Gp9f$KN>_(e2qH|c+7np8GI~mz{2!+>>u&vMj5^}My$3&EhNSspmGUvY+ zacN)p3e)LmU!K)h+59j%1iqxu!gSsXZpWX(UNje6i=V>Rc7>Vj3|zrtVc!#JQX~DI zg`~#6D72~>`sKp@TyY?NEwhzcR=Ow*Su_l{!*4JRCtEoDQY440fRXEvkfv*--%a5V zqPd4c-#>?yu03#pnzgZ0FsfBcgXH%%pl}v@NgEES_*z)QD?Y z_%_oEqE+}UoWvXYLT|%6A`Ir-NT0=Z;a20nh%7|dM&IRXMF`E5(GWJ3cXoNRCEe;M zc}-WuS+U8%v?E}2Hcy6;elO=)Xrx~`i->_U3g6|W3ZuK&Q**9`3VMovYDFguSt`gK zhun^tNHWqdmw-_duhv;NuvL-7**%+wLmhbM(5TW&6grt^lX&ri>rX(jc?=&6OXqza zv7RE0^t&xO!;2(}==_AGu7ss-wG_O{)B1iD$}-aL1+<}&ep$}iSg1BM(y!XM0gkqC ziP+F7@gt^7UU2sD$hh=Nw1-H)IXuzo*)2+(-D0(;k$yP^^3fnHVGCCGlGu;*)!uppmJtNu&zlCd-vDSb61*~5q{U-XPH&OqM ziTZC!)PHlL{+}o6za>%sFB0|NYU|JN{Fe?#y^Qpm8y@%Por(UuOY84#g6iE`|AR~~ zOVodl)~|S6t)GMoh2>iRVvOp-y;}dn?2BL9`X|kX@SBTSKO+53W&Oi3RtgVk{Y@OE z57T9ZUU1pBApCYXbQtOPaHsoNLicg2`_&_%`^0Y4MWo+fP*)@UsxF_13V&o9?5KU3 z>3sCS-Z=gYuYEDPj;`=eJdSa)_2CenJ)ZUu>31AfQabLQvvqSuJkN5W*7E|7=OY<+ zFVco`tQ1~iKb3UMtY*3~lD>JFIxUg(&EJ@A^@2a145P2yqjkP|8SB(Yzgnk8`sFwY zwJon{of_#kQRnN4I^WPbQvOF^~%WUQml8{j!Ke z`sET>%MKTR>KTR+Dr_Qkh2~T|QWi$|)XJMZaN{YA^yPUAZjBFvF&_7P+GC_&t|Zmo zC~J?a_`+r)gk}?5u)=768qOzgcuOygks?KNY!1R$zZvCAFwXO+p-{`^e=S!nwX_|3 z=ZQ$ik$(Tg${FeRTpToCW_|kINADqpiS}gpTA(n==l#7L>GuGXkx0KcxWe0L%e_%; z^iNa#i>Sg#zpo$>NBR{P6-WA&u`f5Q!ZfK2_V;K<;qCojvM?k4PC@utZCsOFRol3o zY~y6|?JPNYBeQQ8$w2_Hp(nx8uKwGwB$0j(!edVy>GueX#yHY%FVi;CFBzxfNWZ+< z#F2h^(}*Me(&5LEe(8MUNWXN1aim{5k2umVCw?61mlHCM^vj77NBZRuk0brkp~aDY z>9FERzZ`sVq~Ft-wvm2+$Fz;~`xTsQ9O;*rHIDSls}x82<>iPY{jzsmq~Dz&#F2ha zLT9;1zkFbnhsVNEK6}xVFF%E&eLhz9$U|A-7@t~8Oe)(3!d>!lJT#dYs!Dzuk1(AL^6VhfSyhCnNc|Q^A<9SKmcI3^a z&Bz%gLebo^IfTv9jH*^LkD|id=rkW|>dbh|z1)~I9Z!S4tk@#`8q}K2D`;xbAhzciuG>a2x^Hf*|9iGMj`aHh+iWBKvZp+K$~|2kx+T&tJ0>^IA5&V7 zNWZk^BK=;B62?aQy%|REnqeE5+E%_chh_;bMecKc7iYG?7HhmC@_z(j1M?wsw)3xo zP}I+SQ>A*m$G`(@Zkk-HG2X@(7z_YEx1-;NwWYC5LTIK6rS{}Fm6{H8|nAU5Z0I>ha=}z|5OMr(r*t0&qeyB z6uL;i%OI5_{XPk)=py}6D!E9%uRyAEk$(LxaFtx7-;E$Ox=6o!Kx%T4evg9G>>~Zn zgVf?8{Vsvj>LUHFg4E_B{ccu=i{&EyPKVU)BK`6lX1hqglsa6b-;1Ex=_38!2&v0O z`h5}-BmHua*3y5;Z4+LH!?}i|RpF+*nd0cTd6Xknk8-jKC>L3b6rnqa3PnMGOvH$N9~#B(?IXBn&xi!|>0{q8sFxt)-5dlIazO@5b32#pP@i9F7B0 zkfS)RXmWgz16U1lB@!H)oHZ{MwE=|cO|G0wE zn7BoQ&^j#4V55eUORJP7_h02v)a1E3r24ON0Znkes)=$vRQ<5bwusY_}%iZZ&d#C;5kf5&|+ z4EfeF3`ZhUPKS<2>qt(7y_ij#^h}z~m+!UKQhQvQt}2mjn>jzurteA^mm1{K%1`BG zLZfbo%hAgv%87P)B$msSFBMa;@M3I%p0Te5nOS{mUduuq3QEV&WA?RBt~oh0j*5%W zt!feLoqinW@FPz-rN?+5TZm~u-(l6hphi2OlH?lJX8jv@q z!XM|(6rmjH_Ze6Nc1|uejfElGGK3?7A9&^cT$MM3D?#~uyfa!-1+54rhRlQp|68q4 zAlz}J-+9QWVsu~^>Gwtmx@NO@TwSxx4VV$aD7E8Azx*JJlVwgQtH5VaV{Ul*X&jN) zhg@h%#dXkVC{d5BVqy4!l&P04<2(t&M_J}JBujX?x+PCxPRbkgF76V!S?LKl<|6%m z3xc_ZOXQQ%XCUpFuW>;&JI$dQnhq8@InDb^UK@W(dLGme>6hBN*@U@r@lZ4Eg(0g5 z!v}Ers^%l&N$U+^XgBzQZqNOI!`#A398fT)n9N`rOrD}XQJw~c=d~~uGATc=4yKy zRHxaie`ty7@a-`FH94Khs?+363a-`6jjWE^n8JKQmocQ4p(Hy)hGD_Wu(Q3d<0tKX z{TsMOiaS07mxs9H(ofaUv<$THZ_)+moB`bN0PYx1mjk%t0o?Hb?pRhC1GwV>-0=YJ z_<6w=FL5D&xjgF~z#R|Zjt6kZc$gc&9S`7+@ugq@cRYYQo}T6xg#p|#z8wwVj+dne zaK}gRMPTq#ymT^0@qsEBz#V($vH{%j0Pfg+I2gbkyU!B?xMRUI58#dg60kVa0o?Hb z?)ZIv^&Y?-<0C5}2m`p|SzckPpoPN$+;QRS{s8WH0C()=I^@N}0Pgsv(Lg{A;Eo4y z#{;-yzIhqI9S`7+v3MT99TR#vfIAil@LH(h0o?Hb?)d*c?)Wa^jz{vQ*B9FAU%D$$ zu!=h#>2Sx3sb3@CB#1i}7;>$`kb5i)dDBW5a_KrakunTa=(b<8D@+an_FJe@XM3EwY&B zC&*%iPGxs`%kVWP?OlQGeim8$hD8?t$IsQy?*Pu`zn8jk+6)1lTG*M#*%w2(4L06;6l#8PIOaGOBQTus;vNj4Y!Hrz4*YZqG8R za5^u-tSqAnr-%AWkTW~WsKV)u{2d{5WEoXBy|F(N!rUyQ3a5+yd{{dpJL*6PBmK)E zbY>Y*-N!Hg=LUc{s~m{En(i+Q@?U`7>A zU&XV{wzM1fI-2i+hFj4C|nXEKHvgFD=$ z1G*^&-p*`tE|Uzrof+|CCK+~k@JlD5iWDOb4_-YN$w0#l2O4G=vqU0r+i1g~gxszQ zpMhfdJNyhwF#vIP%hgC%h5x|s26J&BjP`;7oa>R(y=d>)=%(T;4W`-!ud=h z<(XM*y`h1rC}%ItMlWv;*Za~q9LWT$AA^8@8$Zs2uP=mZUkBn}dIJYWVGAm-npF2O z#lKPg4YnrL-Bx^&(wp37lNoI{85H*74`QEV zn1~Ooe)C-PU6wwu`YpEK%hCr{zxNaBW$6Q}-)B0Xr4OwBfazkEKCt>jrb}7+!0L~f zu8Zgct397WLqs1~?TgSD(FaziL}-fW1FO>_G)MG-)m0+2L@%Rcb+rhs5q)5F=r4zM zTSOmNJ=lK$!iRka%M;Lfz>v$dPE;sZ6m8k^nuky zpJA}hi0A{WNBVz;&>7JOR*&_&VW2Cb53H{Dd0iGp^nukAMd*p>1FI*Auq2`ntZopY zH=+-$-rDDdSQ^m>R!^3;EsN*_tEc!cpq_g@Lmyau$zbt;)jd4PEPY`0A|}CHOf!pl zx?z?+u==V)a5#_4`F$K46iRT#YjB9o9XamX;GlVuqz?>*4F zWrJI(D9W94iZc(J<@uX&eh&XCs~yd9n{3787_Fdp&IoaL?3|$KN&LaTk-Oc7B24HX z?|m5DM3$Ia{{@HPGQE)p|FTl2gtDr$t?IOTBTu`%QfF7EbC&9~cq1>qqf%#v)9F;5 zW^d#zcUJ10=XAPMrwO~U?^2z3hnu!#0rPc-TaM1^E|ipkgn`E1_PBaZd%NP&SRZ~C zdWSwdwM^|z!@2X{Ru|!zu0wZ#7}Vn@t&7pLy7qLuCLQ!+u6%U4nIh9hFm_jJSFAiw z!;vaw+NfsQu0r<~vsH&<#Mo6RYqP7+1x)HHlu4{Y@4%l-_yB%UADK1+rqvs#-`qEa zA*m};R-xWF{oZmU)f=bZXIi~+`U9rb8>c^HTD@`lBc|0GV?|0qy)jm#6x17IMM^=v zF;=7$)Ei?(NQkzNHsy)jm# zdqC(AZ;Tb`{t)JhH^z$eVpvmej1}oM5Y!uEMS3ID)Ei?(${tj2j1?&b^~P9{Qc!P< z6)6Sv#_@_&yfId!Y@2#xtVn-`daO52TW_51;Yo@&PA_6oy>WUmPglKh`YIa9<4PWg zW0~;xkaE0`Y_<@=@F=gQ9KAa}n)Jq4k@C2BW2{IeZC9jBXTlY*7m$2G9COt>* zRi`9%aVkk&oU%=b+Kx@R0UdL&DgKCKt{%Z7IbKLQYiXc$%!4kUN-bTS(ttSTL5nzC z#W4@MN)&W)Dhj$dm7%SR)0xmsksu~hY-3W_sq>iBb?T3pBtgvJgQXb#dG@9AP@;M{ zeq`O6UA>q|GG%1{c0H15UBaey37ghMOQ(wTKKYtRe~m6;zgEO<4mN}(S`VpnKhy( za7P2P#^cb=N0wQG!Uj>2StGK{8f<$hdJ4B(Fl$g46P=FxAec2+rY^F~8tnWDk!98( zmvKWhXCtUxmZEEGjx4hVg_g)NYtTSz^axG|%o-F9j8RAX2qa?FNbUb<@caxO4BC^aHEVCrC%o-G~i!8GS zd#5+D%o-GyMwVHF!m`LRYf!j9vdkJ3Rz#LrgTl(lGHXy+6zkutLed!^)pyk(AAV>zVz z9kT|d6^>bh^LeFX)}XY?F>6p-?U*$vt#Qm6l-_a78k9W8tihfSQ$-;Ucs<3tTHY~h zP_yWmH7J!Fvj)9IonzJz-{F`w#^d}N9J2=V8XdC+r82Vyr6$L$L8;8F!G39W%oWo8XZosL<9QkhwU zQkP@apj2koptNwkm^GH8oxP4(<8vH6j#=Z2kjl&&FOP<5;HApU8kx13HS{jCUy!)V z>=)==#xiSMh1)|IS!Rv>P(wbl%o=QTF|y1W?7xwbW!88ALP`DTk+K-Bi(WYdZs54! z8!*riS!NBkw=GICYeY@-u%}9y4lh+^*2t{Qtf5WsOg6pKHvK$$aLcR_C7Ct!JS?+D zlw{V3lFS;BW!7LvG(?tJV-8x|7+GcwPL5_Tbv*tkvqolZW({rNv&jZNYa1wcaLcR_ zF@$E!tRb<4!K}dnuK`}ctU;j^S!NB^To+Bo1kAk<@Demca=MmTBN|74yCxt5Y*UnE z)`*hK8j)qzcoO~H8d+wIyX1OUW{tCPKba9(W{rE1(;l7N1k;vTL!!0E%otNQP&?B+d!K^`Hi3D2*vj&CU$TDkCSQ>54 zwMtHMmPs6TFl(?6?w3I9xx5GuM@eRlD9Nl5S!NAdTNPPm4GOEhRGC>L(+{(TUd+kq z#6+2#)`=qBZ<#f8Zfq;<$VZl0gL7kp$TDkiJ{2R&tg#$9rRYAK0hl!?)J2wAV*%6} zqLo;C=5|W|rwl!h*L*iQ`KHJ+YkY{D=EyQ@uuMy2nKf9ZHL}baJjJ%iGHX0k$19s; z)`%>#MtU5do-DHlO?O0=Sz|DA9J9vgk#Gs?#jL?oDl==a6(xA28F=}m%o>@snKiVd z4^MXV;mMA+%o@?G5_-cjYeZ8i9F^vo6(fmac}!aFQITcVI1tmJPGZzMW{p&tSwqNm zGQzA;y%w{EHfVmbLGzOhvdkJ$l363N%o-9RGqTJYZ`R`UEwcuPL|tT=H6B6dHbjki0JTPzS%Y`+w#YJTP?!-}W(^AMk!9AP zFk9W(wP`w)j>s}=km#c`vdkL14|i$D-I)F}au!O||J+R?^mwTnW{uRC z432Imy2`8}$uhG>s?4mB;!7v9qkK|TW{st^tIQgbRAvpapv)SQEHi7Q%FG%mW!4ad zZP>B{B&p0Ak}NZ8q?B1hj*iA$H%G`5t8QzPqe`bC$ugZrO6fFsbnu}l7MkxoITTN} z2|A5z2d-jFr;$=R4Myh;s7N}EDtL}{&}j^|bQ)(uC7Ynr$SR!%$6=UNIt`}tS*6op zx|mfu4W>(3rPE-#E~|7JOgChePJ_*F%#t6;oXhm~BVR<@n!J?KX|RC-n}8>7l*)wE z$%O2njF4(%gj6G?q#CPjct%_%_^w|b=pWPg%9L7Ebll{Xva;`KIW#BRAV>f4z{Ekywhe6Kzj?H z<81S?N~*!L39}MCemkb~SrXS2rc%9_70L-om$FK#u>d-C*#xOZ_K_y&@4|=l#;lTR zFx`?>QVrUikyTO+rrXiZsmRY!_&XA|^@D#28KfFp;)OsBI-s=?-m zStZqAI`5@!$DhJpG?!9RjU8cTuqD-Kr%5H%=s?oTGSpn5Rn5p5?$5;rs3MrHp&6x% zG9im*!fo)IQc{hJkj!0#Uc4F!X}XeXY=Tb9&OH=%kCviJs-aadDqIDr#+|rM#jKKQ z@W#-QRZWkX?n}!byAMH$kew;<{$5@%bi6xl%x?K_QGRsRj+_ zBTK45Yek$Do19Wo4W0~1H7?;9J>hF6fOHz$_w4tOLEN5*jR2xdFp*ECMLu_cve#CUiODUwL zBfAfN3)e8+?xmDeV}aIx{XEvMq#B7n=}pvsW1{|>67}DlsQ>4Q`fo|p|BFQZx7zxZ zRAU3wOHz#t;=XHt-kIpnyR`n^Cbau*t^Yx$mnG`IN9$Ko4Xs~EHMD*u)zJEtRKwP< zq#6rYKS(vEu>Ro~D}{%&{wBO+Ej&z@6?!Qp)z|05l~jW^it0{ZVn3C1%&ca*F{`8+)M?2osRq-nUP?(d?$A14y_j{%Is8-WR8kF& zlTfeOYg(t0Y9#7>JyGWyTBnj~Xq`%`Ve3>V@5SVTxQxcb$y!{vjE7g8Y@{jNj1*K!N`(o+yMi64*{u$o($gztVOD^FUkn1 z#x<_+HrjGWs_}iQkW}MEBw|txaZxd;hKzkBESe^jl}R;jVPTSLG}Oe6YZ9=PZQM?_ zah6nrmhwtzvy0@ENj3fxmV{K}fZ8&t#vvGuF{#E+ncjzY>plE8nP%|%!k#{FHZiFN zZyGVF1|5D(szK))lWNcr#-ti_9xt-UR3oya8gx7j`krEo z^xvV@93@FL^g*^>9u47>l~jXGj!89Eu+1^4#yf0tl2k(R}uzYoIF$dYRO9m2ARo+#JrZ#0%K5-q95K@e6%mQ-UN zgq6{ghd}K?|6vHLB1@{_Vcf2cEU88_gf-ES!=d)7e-Z>os_`QTo+H(u6gpCk+aZ-n zHGT)F=twmvl^m%Cp@?;kRO5X}4USZU5XMGFs__L#O^#IKFi6dgRO3uYEsj*2FwUiHMNtZ8aP=T!9>C7kFum1PvVHYKIB4EDz1aZ_!9Nb!wZc}_>Pp>Rl01s z{65Gs4#&?lc`e2Z1aHxh+2f6{I8k`7uZTuHMxNd$8ThJHa>R)>0c1Xo?kot5}&|>?%p}$2^sK5@lytY%M-|GAAdl5b+Ukiwz6Et>f{s5_K_9Q&51TpD^S4&@1HBxvi`0V}yow>xSXhjj-rv(e-DT zQ3pa8>5qWWX}EPG9P2ManJ%-5fuQ853k|n!gcF7Aw8wDkMmR}?C5Br!!Uhp~4YzKD zTl>5`OAWVfgp>VaAuKc8x)DzCN1>j30n)@A3opr*(r^=D&qtUH5w~uHiqdALjpQ+w9zlK>ei4IR2!Ysa*<;D0_aRS?ZWSLLhL?7zY3Kks#eXL`1&NS6 zW1+jVkWMRG=J_c)&CporE;kD2jfL(~*uW&AyGHJ*7p6E{OXexur2yTfFveKuF3Z#z z3*F@am|!e)mtW)>jD_xUur(VC-KEfCEOeI!T8)M7QaEscoZ^2IImLq*E}mbkf!E31 zlcE&*#T=d`bH5TP?-yrMTAunn^6>g#7r3$9y(wPGGITcwspO!$ljm9q4Q>CU(A`(ivJSs^A3R`gRf@!K zcqPFFOztnKi8u$mlHgND?yu5I3mtTqE$ea6-6>f7EpgCY=Jh&q3g#_!&|T&&bI{$J zA>HqwyOdTq=q`!pRyyb|rBx2POKG)(?owLgpu3dbanM~#o`df48ifwJ%jHDgL3gQH zbkJQ&B?sN5x2SW_UGW_bx;qW$*WjSL%xiSeT}ox>E~O?1-KA89?y_H+9dvgm*lcmo zT}rJEx=Wwd=AgTj%Fta(GaPi6QW?5SseK*L-M4UwXQzq{xRd7^0*>7d2i>JqhVD}8 zbkJQ&W#}%YE(hJEREF+STIis=9A`bL;!1RKt}&prBvsr23$NVNfTN(-L3jCpwA4X& z`FK=@?*7+ks0Ln8hVF)ILw7Y4{C+{=F0)^tcNq)a{RO;0Xe@O12-J`_7P`wu7mbDP zvj0XJ3*F_qvt(oo#E}87U7dO55H$a|fX`|T#zJ@5-hZ8(BGL3t+w>%KS9rj4=LXy& zlh^Y&Px`cIlF(g~gzg#(-DO8K7z^EjK`?uKhaceQVyP4?}x zwt*L-Ty9mcKQ3(;lT+*hAuk~#0o`S%70n|}Pc5^bDW1+i-$S|On z>e1>`O$QnU=q_I;b{Jy10Nv#&bQ*%X0Ntg~Wr*qmbeF#zJ>fc*brt z9`BF4NryEV3*F5jr`cHOF3YqS3*BXzR%4;N?Bq6Mp}SAl@yaHlyUH{Fwe$ukGuv3` zE=_kB3*FrWf`jf(9*JS=pu58D9uyaI+k5U^1#Xab)+LY`*D87xyg51H<@)A5! z8M+&;4c(2&DH0uhc(S7{bl1!(!KQ`onyD0yO7qN$N1TJBNCLU)hBbf{Aj(&N*I zUMyK%}*y9G(XuO3*9wI=&rHQ-8;}5MPs46RanW#&|MCR zI%A=`TvVy89spP^(#H&PNlTy6k}Lyy0|oTTQ3dqAj;sAycq&LyEjupEsr1@j z)PWy!8l8693lz|MAA}4Q3FsXGf3glhZ`J~O`7$n2Kre4*p6uH&XL59gvTehh#dKbF zZJ4u}F3P42a}Lub*|T9fnXZ#98)hEU4YDu8e2-_{DBCj3xlC_A@_p3OVdRm-8@dp}f5FMhfNS>{HBVp;695 zu{GNSF2bH%KKYfr(&c~Ufw7=WRE~$Ce=G5h4P9Yj1pWKzS*I#zJ{%IBzVJm)43nD>gY$C@)WjP~K~K z77FE+vxpSR%S#nT3gx{8D(ETxiD|T1Do`lzX-E>v%hh1igje5%vu;3PFH$Hk4+Hgv z78YbW=5^r7bYzow@dJhO{zfgG_az{zB8BpjrrnDa%A2s%#pYQ{-Aos~K%u;OLSF&p zy_hx>%FA-r#zM8BP+qm6P+qa2E&CDEB`;7Y?>@8#DDQBfx%BK7CC+ZK+EXYmr$9bZ zC@<4>IyJ6jx+zj9FKxF*3guz!Z7%7yO zIxUexd6{nY0)_HEtaZM64eL}WuhywhUXGJc+wz*$sZicTov$bAd_(J0D6iJ3P+nW7 zLV24|7ooiW<<9dxty7`AOvgMGw3m-$JbcKsoev)|-Q=LWKZhZK^0r{EH?B!|E%XLg*vU4|LV0N^Zv;rci{zA{yeSwEDDP4DfIDX9iQn=H z&HhY`#u&cdh!tnP+mS^_Q>;D;TWGY*&JmyOTUHpP9^gw4#iMj zW1+lsJPrEZVvF?qP-`|xD6c-)*2|+Je6m7$+2k0?yNYd&p}b)U%}hdh^?~>FG@ri( z$~%os80Sxd;ug21AZ+nPteX5S?nY;%ws;Nj!A#W^{mqO84DDQO;O2$HY?}XrZDjtW>U@VlELZh)zUaH|OBQ7(byw5{u zHkb;8@-nBzSSasD5L%6e^45;w`w0u>ZGS90(4|OTtOdL3t^K z4$Auwq%xHEFOZ53%1f!_puBHEs&i1@2yZ(Y9F%tyq(%qjW!%sv2jx8xQnQ2dE`ZeH zpu9IjYIRWFS0J@HC~tioE|!Dx9tWx2L3w!&vmKO|Qip@`E{0~OgYw=1smnom{{o3n zUJlY)`Y#2(h9QS@4F{;gO$EvuhNtr=N2(qzLwUpSB4%;eDU>%1Z{h(C*Rn3|&&2lD za6H8oJ%w}~Clf!I)XG?e*(f+B&fzGI zEBbtVkONo^3BFKn_+j`p9IfGSE@#0KvAs1MuzJ+$65CtHGzYonFuGdp`#6L`m$48B zwr26xT+7>joPQ_tIo1>TEUIJ_*2tfz#5o`<7tP|@Panud-D;+wRCDCIG$o__;|fY+ z;ubNOcNk8fAxQkvXvfk| zuevvai5hx@zIMtrCa>2wp(z86^QvzVK02Xk=hGy8ht8rhl$UosudvT|S;@cRWVR$8k zFx-NLIIZZ2w2tINIFQ+_l%7em1ztH-yVV`I4-17;c8aG2}E9;D33GSB0{C4IM*y7a*hR z4E#7K?;Q|y&1Rvzx@MajFe5~9YA2z*I9cX|vI?|NUP18k^&uCUQgIzLrj@97DPCxV zcrC}@dg(IGlQ8@vR0a4p9$8!R6cSM0&*7MZ@}3OASSarWNFx$EYy!&5p&FVF%m6@n zIT7;O_*2pgpav)}wH3-McON^nX)g>}MHoJT%U5*?k`Btd41(zw%6lbpl2G0-WYeow z;wKDQEDRs!ftT?!?(eu*ej}^xi*ofZ{q=Uh?=lpY-?%@nVbHz$cqOLT?rwez>3;tB z@e)3GDo1wEz4G|+5+=Zl|E!=ep7p6#YvOy zwK`i_9X+vw)X2({aQbilPP3Y=#sjdjY0;m4DgMeUP=7j~e9#fk(e(6laC}nVLTdIf zHG4nJp7OZbyech$(hvVwsl*ry+NKj!sRv4P?wl}Z~;jH^3Yl{%qx=Bi4iX-?@>RqB9J^VeW8Z&p^flT%uxN(-TM##@z^4tGjFQKc>@-S&2+(z#CQN>%EF(m&s+tghE7 zU9CzTP@4X3rPA-6(lx5|&WT?AQ}0zOz3Y^Is!D61wC4wvZQ69JxC^dVrPWZn^TSG| zU7ga;RB08I8az08{ELV0kxuDGRayz98NMpT7x)6Fbh9e0fYN2DN=r98rCU_#ekeT| zR9af;lx|g}Wl)N$DwRHPO1G)fQYh_QU8%I$)^Qiyu1dX7nmwpe>5ES3SE}?5hVKob zD#cxJj8nQ-m6pKLiy2k=hW4q~?Ue3QrG-%1C0nWV3#as;Ds@4rC#qC>+9~~3l{%sH zfvHsTCdX&@s48_p>3|`sbdPP*zEEnIdDsb_|JWaK+YY)rW>4ftR{wD>je_nqvnD-k zi+t4_l04ymS1dZmUyy zH(jMhRxJjkbWlrf;RqBOOFtSo7j#{k67I$xMm6;B{462&EHCl}cMXr8iZn3rgW;l}h_LrMFe76H1>a zjs^c>Cw!_?`Y%=LfYNuyR4OfUO7E%C8qBPvV^t|$2>!+?eW*$+q4etJm2LVvl(v$^ zkMFD23TREOQ>}gNh#R_XtW{;T?uXX=EmbS#G;4HP8LPDnT2G9twDnD=Wvo^&w6-LA z3;$wUXF9Ed)mj3r{pu^Vu60@)SgobdI%}e8)!Wvua9SH%t%cC~=OopN=fhi0YZI&0 z1+9a&QmwdCM}98ui5jcb1FZ#HSK8XyX^pa4D`tB24-=@0fAN%<;j~6ut(DM9O{vtn zz-f)OTC1SdxNW7@&z;s5R%;owzV*3Etv@=gEv?p4XkF1*spU1s=Q!SK^+M~9+f{09 z?zHNy)*5K#r&Vh0>9i(Ut<})_!uF~aU!j>!Yb&eO1FaMINQ8f})*=j9>R?p`v zweE6SQ>@k!XuZCpYQ@v+d8gHAwK}2Iuv4YhU^sT29aF7V2egjeS+(Mk)8MqWw_5K& z>&jg!wZ87OK5w;pa98=$u9aG+I<1|o*8Q-x;TI~k7CWt7;&z?jP5SZ|Rck*x?zQE+ zS&golcvN48?&L$JJl+?)3?E{*z6}TE+5IIx=;Bcz?fvy|JP0ez*0y+$!F`^0H>>qi zBdFuw0ZZ|F_i}>TF?^%6fO8}B!pQ56fNEWMa$j+-2>c&QnY*vD^{Us zkxeL>a2Di^i+JG`2Dy2u2M6QT&Puo;@U$%FTls!@T5c;q{olaT!mkPcf9GlW3HrL{ z|29v{y*LHWZT6%Ty3L-H@@})|qmb}{*>3iH9OJOO*^_}fN^Y|!r8>9S6Zg!-W=~4^ z+`JwS6-aMo~r(S@~4!7C! zJxKUy{ZBkEt+m;cy|mD6_T;(uxXqrE@OfZ~+w94_Uboqkc}v}9Pv$Lin?099y5DW~ zq_o0q_FRo+$V#`_lhP`;*^|<0x7m}@8n@Y#(mQUmCne8q_GHh8ZnNhd$jZCTp42S5 z&7PD>ZnNiU(5!QtJt<9an?1RiwZU!nWL~4&>`AG-*^^R}+w4iHyxEie((E>Sasz3L z+w4iH)ou3N8=7ryvnQqUW=~2p+-6To<;|Xy+TCVPj-^?t;?3~Nxk_PPf^UQhBo{r7pMGlTvxJC#8jMvnR({kK61?X^GqHxg726b(=l8(RHcY z?70`D@@CK1M?=-|wEP~oluYs@BQAaR%J!_&e~td6JUVASw0 z@wD(8pW|s^d)I@fr3>xMgg?aZhTp&)vL$zRusK%W+Vsw3)BEtW@HQIu%hSR>Eyg@8 z&%sBOVxE@&f>75lPs=>Cwtt?MtuCp zU;2~aa}X3G{~38&c)E=-Ps@M7VK#j-Ps=LY+Z|8K{c=6*W>0Rka6B#C2I_cPI5}p= zJT0Tp>Qkc*oHjOlPJqzyzlW!Vqhv+Q)52D-{A8Y%4{+f!ScG9Y){EkGL2j$`ffy4y z+9szH6QvJNi;gzg2>o$9Eu2s5!P9aD)ErL>!Hhrx!s+(qY2mkM$J4?xj;DoX`sZo+ zJsxEpPYchjJ?3fQ{!Yi!Leq|?h1)>gX3zSO7`AS+=b0S0ZnNjfkjk4q>8;kq({coA z&V}F4T)qKp;(u>|G#yl+_ z0W=$L_S_pC<9J&5)!p&5@B_Z%X`#>*^R%o0j`H8Z)AA=?>wbA!hz4*xEfjiUo|bLU zQ6PZ8?}jFWQ_J0+=7iI}yEEB$eRx{5@9btz7RkpvEv+0$F;B~((vfzvC&ypu<9S+c zfF;Y*BFT^AX%Pk8;VH>GaPiHKlGGiZOL2Ej@U#R4G$y5cJ9*=aE={ZL{{SD|ZWYUYtS}uT!C2Qfm%ljWEYgvO@nqsmRHZWilGT}%Zk11OiSS}`O z;a2T_$Xd7o#ger!8I!dz9h0>%-5*&?BaX*pE!@i44_OOUBuX5~T8O02gbA{iuOc@l zYvJwoQ;@Y#Jtk{mx<9g(Z=oj|vX3+YtiKDN)MK(1raz9Xg}YUStmQ2vZ0lpPmdUvH ze^#;u4gETQ|j)zuxIyHgiC zJa4D2?(kII&q&ttZQ8>Q&q>(OrQ_~7TQ|o{1z8J^_ea*keu~LjnEphvmfvWdul|H} z>JCq>Q+IfBoWx`;TBq*tWdB-%nAa0^zM*x>b$XLJEqa~aVj5%wy2EoS>f#R1*WG!( zr*-NMPo`tC7TSx+T9}T>T9|HfJ3McIA=%-%JJy>qS&NvA$y%uI$XY0@4_V7cG)uCU zQ)u>+$y&Y+wRndoi^vX7E`dLptc6;RtVN!;aR1;PCEnrrecIy=Pp%|CC0PrLII@;6 z%SzOewNR+#^1qgAx>_p6-kG~S;~k!VW#!!A*@c6jOxD8tdwGZFK`0|TJa2Y|`;xU> zN)_(#T!Tcs!&6*TyuBw5B<;YqnII<6TDa5s zQ;@Z^!_dc)wQP>>Qf`MQ-->)PSqtA!tOr@k8oar1WG#HSsf)>4=xZEV3-S4mtc7YV zF|UcY25e`K5E9iFKY+H7}tvZuV5tc4HVNwStLq4ep z%Wok#vK9)Ctc7ZLwa9nl-}Rq`;K*8-d=Y{p zYoTdJ)^a#<99he$5FA;{_aQj4mKz~BvKHnzvK9)CtmStQ99hd-5FA-cX)M0E#AGep zU*pJHE`;F7TAqa9$XW(t8p|=XQ8j1M=VCc6g3})aZ72a>G@V+u?Z}q-M9n^8!dMZinafkXqdi&le!I zxgDNc;GNnGx5M*DNbPQiC(mKF+u=#6!|m|A6q=oGhvzRKb-5j$+;6lHJ3Ki^Yw5D& zwuzfGhjR@FsP01K%@jwu5wwOQRgZGA*2;_pdpol@?BWC52wKD8TGoXH?g6dgc#13H z9#A1`;a8Gc`Bah#Ic+l`H-ZXz0>^Ca-e`fEl5b_1@S`}J1Gk)wg4_`*1v!f2iW=jC z9KdQQ`E1M0pfw!MD{QL_SxN6=W^kAzH&ZAS)Nm;@VFi$VHt$Xbty@)*QMnP01+#xPtwVwQxUa z4JVgYDNXLbN^ZEW;mqq-vs{~``md5ZNozP?)kL|Ta;mZ*&XjvfYdEdfU1q)N=El+* zdW61q$~7jh7x$FPaN@k`TZE5JXd3s6*3ft8EaK}8y}GCAMdIer8u||{t|+!88e zE%YUlgM)veD&2{y+A$~l$(N%PU5l88D))iPK-|@-OG>tR%IM~HE-1c&;h709h5)ie z7UHWqIwGwjIT5%WU2M`bX*OTJ*IG;57AmFbsuJ0@ncNmC+4Nlr<5GiMTKTEGOlTDM zi%L0qxkNe9E|0`=x$>oAil-P`pl9rBLFQnen%AV%HOxBWE zv&{{dL9!NV$2&avK^7;ABb8(=t8heKA9A576_c!GN{M=mG6yyytN=6NuF_>3{F#u^ zZgUSHITl%c$y&C;F}K6>1PC!%ODEFnLDs^po!H??ZMlura+=7+L(R0830XxZd<2)T zn)^ZB4$oggh{;+w`*HSv#Z5E!z4YbCiFbJN!&W9_)2koDPbOrsO!ylfU}(=IS<7<< zixi=w&IHdt4yS;B<5pJT;B2n)Gr?+maQqltc>g>6fb;h~@o5tOz8cCO&%bno2>^lo z79TkL?_7rIA9OFBGeNjoPUW+4(Eal{6NJg-G%5;p3*Qa<-`z&Uo;ee~MsW_OchJ3X z&V)a(RUNuZv};cNk)A(yZ{(%ATPB`+D6-DjKTWA^;sN+_>z~OEOm*)$k#Ttav)DxN z!Av5MdUsTi33{K#3E^MEaAf%}yuXjOe_}8n!zR{p zy47w5QEzD04Q+xf;f-M}GO`L@FcsFF<#(;uU!zw1N>+xEw5lbhufC&6AO=(4A{mG>n*xnA@M|JAp9emyOt z^XiZH{E3=wo%lCgY`k*qYmL z{5%-=GKs{|=ZO;BiTH_swz8e5gd;W5CM?>_32;Jt3FaV(D zV;N~{1_0Cp0P0*H05x5)0H`zJaY&Z2)4zrb)%DD|sk?B)>K{8TL^=Nk?DSvcjny1H zLFFzJylQh~iE`jD@vg)Y<L&=xHq9Q7w6Opg%H*2`TX z!i?x4LRzmB;h5-eTvA;nLVI*Ag{wuF740_&!V(c?M|On>9rzsL<9=t zek#J5(Yt7S?m7`Vqi1m^&0R0Tc~Mgvgd0TYioVQ~`AxF0EhEHXr%9Le@5D}Xob@>DG^KT6r?=Ho!$kvDuJ! zrx|okFXrTQVxsiHPU~p%@(|Ta1FNd1LPQNWf&%;A!U+i=+j-Re9Q4Y&E>@>^t zkDXqDr&x!b=D9iSbOzQOcABOgc6tZ|hn=n)iDB!o)1<~JW2YI+dHt}{q^rrSO_ZY@ zeR#5?`(UTFqi2=S8w}%vzDpA2#Mo&@{&d)Bval3|zXC)#9Dk*c z$4-;Q#$u->`El53Q7E(Hq!c?XNBhN2e=KZTij^sGQtJtumSPWL_?koIb=9XwP>Y4N z5!8P{$5{k5U3HA0rl)oY>N_9=R3w5r4=1t?cAOYNP3C%wpynOye;z^o8fs~Z5!7s8 zz$WyEoqib;xgYHGXx!#3cACi;JI!>Aoo2c}?DS+DkFnF+A>9vlnkw?nkl5*4rDb_M zk*1U#hcq-XcAB@_Pl27LdW@ZBx@?FKhn?OL`GTE(4GG)&7&|=? z_x{g{ou)a9ohG48jGaD_CKWq<7Lxs8r@7()BAD44W7WQqX3>n0wpi>mLs-hkkL!?- zrYm-OQ#kpL$4>KZXR*^{F^aL%ygU30*l8C3jM!;5*__&a?P<>@=xx&{O;q ze_~-Ni=8F~0kP9u0``NQ=HZXSPV?eh?DXww>AcSa!qyLVI$>$u*l7|U5IcPVZ76n{ zgQW!1}+A*Ib34 z@w28qpWa0MHzw-8DN+B;iTXc1cA6v+#7^e`@6-OgGtr-SY5l!TXxH6Z|AR~~OVodl z*8dr?(LUvet3(>&fEcAEVZW2c$^MC>%lIZ)@Tm$6Pchkt6FGEUZT zoW$5^t#fVcbfV5Tw9Ze!PLl+L*y(?`^L$V1l=|OiI>t`ZUW}b)I>t^j-Q=*-WD*hV z^ln&h#@J~w8DpoZ@37Mp)(1QNF3l1@~yoNL@jojg0kaq30F(S*gH=|I>t`_iIo#OeJ&1u zGIpBx_cC_+0F)8z^bM|XU+nZnR3Ucy6(l|_cKVkrOziZOnz(VF5j*`hED3h{AUyWO z*y$rM8e{BqFVmJC=Ut}BLQ&Y$=glU@PV=S_W2foxW9&4YZ;YL$BaE@rbRIEwniD_9 zPIE%W*lA9b7(2}&9%HBJ&|>T~9afB;=HQF5)2B0SvD3d}+G3}_f|HH0)4Z%PcA8fy z#!mBc#Mo)}uES361R=&wpM=hG*l9j6#@K20qQg$}vC?6uspYWK6dZP%Pqhv^eIwR8 zp8`95Gz@(#cAA0K9d?>;MLroj&G!@Qft`K@Z!R2mnvXROJ568Xu+y(W&0(jh=CIS8 zdHrIif6q3@*y#`0<|I2#jGg8~cM>~2rnDZ|X5Ea~ld;oXoY^sU`bQ8PcKRv^ z4m-{2*NL5O!%NLvYw>ns(UfgOTH~(1xrWpCE3r24ON0Znke zs)=$vYc zn0+mjYfcW0qv9fTt6Icjr+*yh@KZ=Rr4p;Zy7BTW6Mi6Nc9kw$ zF29em%mYZ4usH0Cot}VW4mRHCY1+$#tRfSVy{LLV zk`6n43xpUu&DoE$x7g`RkP~C484xTJvgy^o#ZM+=u}pXm4?K&XajS3*{SvF~3vKl; z{rv=Be`l4b@T$S9_wLR)N|rNT_wvI&4G^C`QKHtoH3lK z;GOxdlI4u$vp~?j>bnz8m^BlGlN`Q)H`f#1`~oV>1Pyl&*-zZ>m_;2a>IH>zJjSy4aGrUPh@2@)BSe<8_&Q#TD^Corvhw9W>9S^l?RR3L7 zr^TDJ{6N*&$?A-8I?bxn>`kisrs^DGb()wza&90_>RjYRnXbcWkr4hC{|Bt;hfv=*x_x@~2 z=5U^8IEO_CB;iCrBWo1YDCHWL+E|yO6-A305ET?lL~a|ag{Y`OQ9-d%*I=z8Zb4g% zb;DvSF15J4UTnRVx=^eetxK&%eSg3IGc#urf&%UBecyWzpAVDgH_yJ#%roEfACt^6 zCO{jK5w@F6EF*t=%VqysZ=i)dYtd=ECl%DW0t23?^TJ6cz5U?kzsg5Zr;@E`p_@yn zGoPYH?2Vx_(&hv$q0Y9|!z#B*sPi_V&iCW;_TP&-zbqR}v+=-FLY>hD_XrVvvpR|B z_PrvOsAF*x5d1{M9cn(&?Vk!2-mY%M$Pexlv0NRF>qhW15i3-N2`m?}N}Z5_xL?F- z^*V02f}e|6qpm{p2M>r?tFEqqcu?|LuWrZwUht6kY*1%0&covKv0BO7$VWtM3}0fV zE1VMQ{NIH-55jH3lFgvb^jrQv7HnqRwv) zz=dN=QRmcErW5MihG&ulbv_z7{6nboaY!IRomt+09Cc0={l64-o{iFO6Y88Q;J*%a zeh-)HEkK=L#Kk2+ogbF|h*0MXaXm>;=f~hvLY=K(q?hWiicO zdKRzaU-h_!P_M4TwnT8C_ZfT=)R}P-)R}R*MxCF+zDC!mvxjYu1a)T83F_PpJ_+hP zpdXfm1a&6dTtuC@-|)R`hdoqvUQ>;DSWndEiXsPpgPlc3HNZCi*sr`qnHfI4&h75)dJ z&i^#N`9(~^FXNkuOSlY3zPSu5$CvQUa}eZT$~Uh=+3I7ynFVxNgw65I8J}Cp;oHGFNBFZ_{o)4S+ z+v`a=oBW@odqpb$M@|0QNI5TL{-B(9Xa0tAHu)RMnafl{Irl;sQqB{Tu+OE!J|BfO zlyg19f^uHQu!eFrVYiWTp2qY*IS&HV7*Wnq-gtY~k#gqwt|(`=Q%pJ2{iT%igC@^+ ze#krxIWxVOa;7_`oawGl zDCe7zkWkL`xYdj)XGt=qoEbi$oGG@1a{iFXl5%chvR_U)AA(>p<;*BTIrHxK%PD7u zN+@S})WQNu;31}*TbUjyXWm3^CFRU03FW-M+=NDyGewGWX25uL-UaTMa$dvCNjYDL zlUqwUk3$@xoNr4accz@LU=UKy>)_a0%K4{^OvU_&L7epQO>;B#FR5H8ZqU}1wW>ox%kGEGZ(^`a^~U@Q_h_DG3Cq&8B@-j zC^6;CAs$oCT+m|5nG04-IdkyEl=C@sN0jr^bVro)L8xp@IkQ`1%9*_sQ_k#;m~v+8 zCY1A6AY#gS1{y1&ocXL6Q_gI~gmUH+WkNYKR6;pZbWJ(mg8QAVpqx)eLSINZ_roix zgmUJi-Ir6&+?v<|%6T339J;2Qxz;3<^V_oP@fNp0hIkz)XU@DXDd*KJb4)pZ%rbXH zIrDitO*!{3YysuW)Dp^hA!2+vDCg~f zG$t0JPk=}$=eZCG<@_{6LOEMN0Tar(0V1KCzYCF2&euX%3FS=XCzSI8P({l5S*S3f zoT&;4k<$MvnIP7A|`6iy=a4m+##s6NO<#>uSdID~tocV&JO5T!Wd`{bp|66(y z_~Mu?V$3n+{9)|Pfm`%O#9lZd5jl$EjE2W2Ie<->(s1YgI9ti#T=YUplX#W`)|`D1 z;|6D&aY8^)4szp@rkpQhBo1uj^)TXepq%fdKgW8?pWDbCDCb`@5a)m)Bqb5v`)x`& z|Airs*<48RC>|uAjt5kzPO8-pX;gA@nJk6o>^jSn7?Lxu%aFy~#MLpk7zMwaNpQZJ zB#QYIT^%E$n9nf|r}gIJY*F6jNTQM}Lg#dfIi^w8!w^!26X#XuD13B6(Z0_lxptUY zvQ?4jJHtEQA(%9vAI;GyrW`X9D8%^ zN+m8iNY~1tV>h8_x5e?e%BA8-=;BB$#w&IeU94i90$0Y)DHNyA7o;!%5v5_cVs=iU z7%x3EPKu*&Sv652%9$o^B{9AQDf(1VqO^J3rC^H^BB7l7;5I6zoXrmXR#MLYfz)Ek znTbV|Ge-p9?aKaKL^<=#aik;4`E;D&|Kuz;qhrdMcBRVC#37-a?||r%a;66esk#c6y%U9u$ z@fi(ItvvA(4ufk@JzES@ccxnH>z)SyJ7i)R1Mqt6ENB?g>lmp1oLqo-hkT3yU>9iA z#$E8>>>=l13*N5g^l%rn&mO|<8+%71m&_j8M5uWHZ7aJA+7K8IWA?!3u`DMUb1IhC$u9uVLvvJZn1hsSraa4Iu**J`I zhxW+b8kV%oE1UZ5nXrcrw{sMl*_Fz9e%Z+oj+4Qeq0G&B3n{wu7SeeroBUEbD}0|T zAvky(J-hruft zajhwVzCs{l>)~J$(N8)5(`_1;K;Q60TnmCv9o}iwIpG=DKL~7>>MKr|C$H{`s&~TU za1R+&h&sRtt2sin+)y zPK~w_=!-SzTV4tDHFkNsvT0lbeROLKws?B+=+b@{Vmz#dK&vM`Z&I=c1ob{ z$=^a_T*7wwO1~25TLOJcpfAY8S=>_evkzm5$j>f;z9rDN1p4ANPyS`TOAWJk;QE>W zPfn2ntjM1X@k$BwEk`qK=NjUW;n(!fxacsx56Vw5Dd2a7fPV-0BqT-i$FaD&2|a&ebO$0zBFfC0)4qe#Qb9k z^c6l*;L0MPC@$Wa7cCjb)NKj$?Zi)a0JBS=FZMdBN}w;+mqBQV66hPWxFyi{zXtT3 zybBoGN@Dn(saE^Cx}h=XTS?HDwsj5ZD~p%Fyn_1nH>mF&vxo3!_I81zR$$%=02_9- zk-&HV4P>#sqmlDx4`~EtYK!e-qP}I=uCNF4a^WoShfKl^r#;B1+>j%2*51je&=A8r z8)6s+mW3FuF~o3hR{{1*2Khnb)y4^(t zl>1Ji^DyM^g=6U)PyV;>S#)~Dg?G4w&gTH9mrazU2}hT&VTPHFIG`L85yib7hl;DD z90!hs^J-JbiYrkl-ye;)WEHhIbo2ccjQSP0v%)X?A3pAYb0@#-f5b{!iu|(w_j}>= z75Qah*l& z>3bp?^oxMc{W1|_^_z(4mx~y$FJno3`*VbD)Y}b&=w_Fpv8L$9ST1F=z)kuP7Az-X zrk>CZqKEwh_%v%Df&K05Q4lS2=IBw6h3szOJ4C(a+uiqE(;GCL3fg zMzHz1uZ9?Avkw>PYKq|^+H?)Y2oX#42#Q(}?RpQ2-E4Nxa!o_U{zxg?3jH_>y1QMC zd>*rch1gv5ugMlXG^pRkN~*81krvZwsUw-pO{}i3CQiZemeX-MhX#3ut>=FYUC^CF ziN((C2M5oxH|6ZE@!6hMK7*WkjA7>c*gW5318ZMz+)Ej6Uvc+rK!ay4VxB$sL$799 z#mSH2X6B0Xg2OO~`m!M1_e1^*5VDt*xsVZFpw|vO)1BSF3=S!kZS}N}fA+@34D~EJ zA=}0TdTPvt>|&1Uo(==tA_1OffV(6>cLumyhW+R2kkDw zkW+tJ2dCqC+jA27-dxabPR^TyKkoS`r`}~Rw6gE-gJbXWaKaA`mk*RjG_h$tw+}MZ zgQp@;8CN#npN`}9AqXo+CuXMH;b5}pJJ(hEMl7e6UIPri-;z@l;TzqGxgETXNibreK^`SxJ|@4`U7UV zR78ueW}Mqa%+a5)pm&I9)vvIr?i4Xk*Ed4kC1Sol6j!p~ZV?N0HG{P~0TNxLPpySm z=J0aarq5t%_eijtb!0Y}4ZlQ3W`im2(2?0-wobc_%m$lD8kr5ISfL}c!4#`>WHy*$ zwT{dNQ>@XE*1T(WX2CC|RSI_4 zpX2dYqH65$Ba|R`T!sUF$UK66t3=_4%z2KeCnRLO9WG+XC#3~9M-#K%@w4U+G(!Gb z8XiAuu4D&2BWi*j9>>x18_A*34)0;e)#5kB4u3d4tsOSAb>9)S9;wjpT~QnC5MEaBo~V!Q@J!Zd zgDA@hXK)Glv-FYggtY4tyzlT*lykxj?9C4(Waxy4vCTddRdB*58SihhTGTk8kt9*gD)HaZ>626J60(!^9nnwY9c6H^swVz$ec(ZpQf8l7+_ETuuUOEuXE8`zsW zikjkteYh$O5;e^U-)E22h-z}e8#L4~m(zWw6W+@@jBwwAYIed}rcKD0RDci1kw zikjnuce7n~6V>X3Z?knrikjzy8*z6Mj1o29314QL?JjDe6AoY>?ICKB6OQ6o+SBDY zYjeUiOr=iL5+@wP@wvClG12a91x@VE#d4;HtVVHt|3w^ox8g)=FwW%zg1O2ZZIE1Z zl{wm-i99?#l!ZCQ6|=$m*DTAiu9ywh-^P#hf(cUSP=BAnj+6H5r!PDlVv@_7g@Rc} zr@HLB8ZG$qbXUv<>$&WKCRfY`>-X7JGvy-Ltn0bLo+EKuEcbYL>7kdPoW8#R$KJ=` z#Mt0Mm)lmR=n+k9iryL(eI8fvi(R4oG=Fj!Tq5b`Og-jFn}&MyF!)^N(iTBM_mNAd z`vF9aewSS~Us9{pZJd7#TrnH0WpXTZIXN0E_YC~e!-gWX@AHE^y_;}iL-4Z8Z^@Vf zzLIX+SE2%5iD1E6m*1E7^$z18{@@OS$muqg=~ZcfP(M=-@tTN&?#Ft(E;-lek-VJ0 zAze_brMl~+x^;Rm*V_%Q(8T&&4$}9f?hX2ACh(y<8RK`X&U2uD?D9A0{5i9g76Ck>KoR6zC zIkX@r;%Uv_wg!2Rqhz%{l5NmSO1(x~tafinV6C1>v7LzZmb(n0_3)2z&i6mVar<_h zsBwc`J^m!$bY^5W*o?N3-b_@{GtIxdXGWXMjXk6sIeinyRGr6FsF#^fdwF8ZN@tFM z&uEXcxu75CA}~fojc(%Dt@p%iuwKKC+1C@Z!P;V=|f^lMzxJ73vj`p_E zY%uE=y5V10zKK$bf@`@`(Aj##B($pUPrfTe~wIsOI9O|epH||O{{yf6Rz-tCe~e;4d#%j(XX-(uJ*)i zu%5&2y~d;EqB?ysep4M>E23Us$_3~KPs|4EAMl!VqqOB%J(O{7@;<`=8n0JyIRDt= z{ZpfUisBX#Q}j5_p<6{X>9aW~mxyRK%h^)z4iu+F`)sQ_Juw@sALnY(?wt;w`KIBP zd15wLhrDySM?{>8R_&JJL@r^pj1 zH`VoNa&|B)G)KO_{x% z!|q`GzP|f4!w@8UIYLayRnsQ0Isqll4G^=z>IPIlH&Dz5tIN=Ixj|w!Sly0{a>J_7 z`3-71?lW@3#cZ$|gPD{YA!dWsL+HX>EyFZg-FxB>gUIHCgVNA!u zb~HvnbAAtAY1OePQ+`j*HcQdQLcUIFWAL86=*}rlul(K&9?CbHjk}8M;7|T=Cg(22A^%O9 z4bDzMKZf~nM1obyY_R$!OE%sl!zDR?%qC`orHOo>QGGER?2bld^C#16aQD^7jh1$$ z=tZ-^>bw&Xe!7?qR_8OpCNUeVxUl4BFnFul zgMBoU6`rr^(3kn|(7jNt#WDX~F5HW(-VZ7a>7bv@=;ofS(q0EI%sAu|REhBQ?gJqD z%XVE(@4^+eT6X9{)QV<<-O;BY(dM+-U}ek(OD&X*DEV3JDqlU!mg+zgOBi3w2D`y@ z__n0Y2CI7Z)&+O5R7#BYUMPH_8F(@&b7tVlq-2rU`R<)(BHG-v*BWakDT}yYtaxXjs z9@nMK2AkR~PSx%vlU~Yfu;R;%{LP#WHD+r3nC^Pj$T4~g(;lz*5+i>r-HnQ`F!D?2 zo}!M#F@GD~O)yw~8pP7H*#&5gH3xrlxk07HdwXSBd9SOte&LXm<=}h8?(X6m<=}hpU=6z(&T>=Ms@x% zlmF9fi(f|hkHF4C{#R+U!N#cUQzrkk*{}c+34QUZUjRN}CNfX}@RkG-iW2PJC0A zKbt&_+2B;3@2B!KW`j*XeQ5HOe)=fNbEi|0=U>xigH77TY_Q4S(8Ojue9E+QW;}dG zcQhY9r@Ovuv%y*nLzmf74S5vJm)i{QYq`u+h$!e9oXYz)TeVJ~gkwGL;f`B7af)#U~{?8X_LaVyRcTtQ3?2_5w{6za7HybR^jrmh;F&iu|ee$Q-e4=cV=dt`WoA17t z$n#kKbP?^EZ_o4Jws|wWT+Q#`gm0eA3$oFX)7Le?r&>g)f4URIj-Hqe*1ti9!2q!bT%(6z?gaxqESBYhX}sbFahFi%*33x-DjdbuGm=>~j$+r#*@zMTGi1 zEYiU-wwMjp%TItfQ83{e{S5B^f~mHc4c6mu0}z}kqE4r2Vtp=#P%z6Dv%z`?w$vO+ zV62|ZeCFC>HdvoG8RBxACRiKw%k)`b)8Oh9UBMD86w##nvlXtk#cZ&?ne|yDNw?@6 z6S&qEv%z{W<6Ljk&gy(klik5$o90y)>3f;m!PIWE#cZ&q0rQ~U7PGhstnGNBc=6uPV6PH9O0H-&xT}za#S8^0t|X!qCN5D0O6U7Uv%!*(6uIjx zi)Mqn3b{pD7R?4r62*Lqu8w6z0gGmXHydY*@-CVU?vze3$8>)QP0W!aW`jFL5wpSm z=FJB4s)wR4#$sg521|S~8|)ji!S^D7m<^^6f)>pNOVH@7mi`igb%m(|um?*pDf|w0v3Su_6QwlP(I_11c z3Il4Q6++AgcS@m{b9!iq*;)U(5z$ixPev%m$ks z`g0@9C^8$&jdWk{!5e_{g$l^&b8r_J%n^YbeFg|FaA-Cd*c@(2eV=B7eV;jYkIe?l z{#=>WozFz_=6FA}TNy$r#ONNI4UR(L^vz;q%Y@>U&^8_>Yk!WYL z!KkbhN$vt)M%qCik7k2q`;a%9l5q`U4k@tcG#l)T*m<=x5#B8wU-exdY-r}M0US%g;>~%I9?E5quTt>6OzL*V$rCFX3v%x<_ z_3Zx4wlmdgU-!n4m?rLTXyPTahup~U1%pdZGP8q;|fl zo&eF$z73*P5z6%j*~<`Yz9N+C4YMDFSfr{chKp!ZgmS$RB9=1@#iIP7581f$Ch$4`9HIQ==jbm=kQMN@Kt(!hnatr$I#+ zFvVCEVZcmaym|)J0R~JlK}8raMWc!^V2a5q!hk8Js0ah5n5H5On4(EV7%;_56=A>> z%__oxDb7(5229bSA`F;fj*2i~idGe2z!dXTeIp8bmlSlqiZEdMEL0H&{0hV(mBxTo zgaI?y%__oxDVC@R1E#n`MHnz!r(H!DFvW5eVZanCRD=OjtWpsMOtD%;7%;^e6=A>> zYgL2+GoSS;!hq?sL7l}ueOT)Av5GKY2K$FGU?x(;fY~aA1Ouk3Nibj*q&C5Tsp=98 zm?7&E447#)l#B{*f&sJ5RwNkkN~j_ROjX2yIiHIdFxA=w1Ewlsz*I#Hn5u{YQ&|ZH z%s%oH444c^`H1Ljq{QAHRq#S|4`z!Xg?!hk87 z<@3qGQjgdA7L~?;RfGZasyttC#2~_eg;Pv0V5f)yXEw!v&8#UI73Q+!7z|jPC8NUF zBc01JT$?o*Fq@?=TQVw~%cd~ktU$7Y1Q)Uf173(WtI4J?;OsN?cvE9A;A{#5&KeAu zZ9FAwFkrfyu-QNiIG`Z6D~1mot6K^K&KeAuQ{T!O447^&YcOCg7Jk-X!19n_IS=5J z!GN722HY)$0cTSfaMobJCYjA(!1P2(OGbs!t|g0o zV8AASg8^p^25j;-7_iCTV8AASg8@hRZ;AnD1rjwFa5jwrJDXy_Cal4LP1pznb~eR; zOnw zRuKj)Z|gc?z$(IkWglNHJ`shEFkn?n5n;f}qlhqImBxTo8Ut2o3|OTx;F3{cyogI< zz$%Rat273zN*FMqwpKgb8VoqoB?g??JO-TUi~(o5#DFuMF<^XUdjOuGIhl$Wa7HlT zjKP3uNl-9ghB3&kOs|Z=faxt5aN>>U)RIwQAsz`&r3hdF8F|NEGAc|amp=@s;>Jc* zv9XG+!zanukV7?phRfGXkrhl2CUK;uoyf52F%XzRSWV32FxzXnZkF00n=9& z3FJ=!GQZ4?zcU{fFmjxkT7?gVk^Rcgxe`2E5fl+;Q<`e0ts^)DmEi*4dF(V9hnjK zhj1$@HY1!H!p$gqXD*h`g0O=X;X(&1!a$AFR)kw-4^1<|4Z{cp4@0Fg?%MSc6nxT* z8J1nk`onziaKV`OF&T{=E)?^AMvWgj`K0ey_MwJEZZwvInU)NrK9uxt@C$R1HU7;8+sc1?;~}SHIT%=a$#O7Wy_PHoW5Sdy2bU}dmn;XDEC-h? z2bU}d*E->exFridbxz04QV|)ZDk8&FMP!(&WI4EGIk;pwxMVrFWH}g*^>ro7!Ggw@ zEC=ICTCyBmuu7JLOO}JVf9Lxp%fS&cY)oC2EC=JK870fXCCkAj%fa;tGF-A840=m2 z^OEIY{7|H1IhfXcOO}I6mV^J_MTSe3gRxGPEC-h?2M+{+TCyD6!=bg$8asTZ8+L$t zI~$>3phqbBJ`T-$!a&a-X(zkdIS{Je4!>UxwX;Kmoeg%_qX*PbhxR$g+F`4L8sX3! z=Xe{oAG7NiBKntYO%w= zodh+_*#=~|WI31+7WOhGVX#-yBDR5*@4!i%ZN`a`<>05mni-R*Ma!;TQOX<9W<@*2 zv9aQk0S^X|>DW2cOZIYPGKm0l*HK z2)5QPHs4^fMpa1=KH_jEtPpEf64gB#VLPSg@790TJY!QL((|F_EOuv@e`jPJX2!?$Nz zv9Z`1jC1)^V7@&QSsgZChdzd_wAEo9SsiADLLFHh=BGgV>B#Ev{SXB$ z*4wALyf3fOZ*dadQi4l_=R_yb@=E zwJyKn?rVN(H28x%3?gSfWc{i%S}0$94N3@c2_Y^a#9Wa|2r)jn8d)9Y3OQCsR);^s zRb;%5tPbM(!GGv9nFE3!H) zAG=Mg4!cFG!~UjLhs_!tSsgY@;6t9dyhvw0?3p$CK@=u<#Jd3(zMS5ZFTPfIhhaVJ zrQ3NCeAGJzBGiB7HSibmh1o(0A+|~gaS0)=RnOFe6Es$bO9-)*KaFcy2_cSw$qV=u zOiPJf-V15%$X8;&^&(zCa!TyFw$dHq7Iqih>AH8GiSab|J_PMw$j{qrd&CwCyO;63 zt);}m?&YbJ=BH9xU{Z45IR$B4VIgk+n*3FBnTC|$YQ~GwSY*Dm2mi1LOCGz`9cwdXj@BPFlr|-av(ER@5 z17l=8z6*7L{THM(pe=u(eJtMi4xI7t-?s7%_9U$QgZfTKfP?JY=|1vAxDU2Jr2B!% za35mxVl#;LJoAUzyl4!1lac69 z4)fV{vsQ=AhpZ#3!{z}uWp$X_ncHl2SVvZexmRmxF}1vhP2uab)nT2sI;_)Hhqdev zMplPQ2=TxYLX6Q^LWr%ZSMabP2Ry7?Gyt&eojAlNZsLiRJi%>&`0Twr`vTAMeFx%+ z)nU%>qSawvtPcCe>M*Gzu{z8!CaJIR$;UTVhv_X=hZAr3h}B{GjKNrqGa3%JK#CK1 zQpzO2t306k{{A>yIirIYQYsSM=2#r-jh!tAoMclq)pnQKPw8#TE zRFSKzI+`;>#u%@9@Lx>-XX(%JCV_Cagb<4%U$n$+C4{&SUMra$`VvC?zZM~02&UB_ z#GR?ut`Xv83|~ZumtqX15Mn`lGX@d{LM+U15g`^UzFDs8?t*PWh;s=-++L4tdvt*i zx4ex9SNt0))`aEqTSSP*aDfzr`0gw5;EI185aLQxvKS$*?1&JH+**YA^C7fCJOq!W z5kjnSf(Y?*i%bE&0yqD?{sIu28!2Yb~Ra`G8 z%V*=Ha|mua+(8E*iszJ#!&@@v2i(N1p{?yQuWahKXIkZSJ4fN}$KB-!2K38LhH#t= z&J1O4&Ra;)owtzAL-7vIy_C)h-+vH)@SO%6J-hru1xDm2mpmk+@8G8|ltv&p=Mzr*wzeD{wF6eMWa7dmQWYIo&mC8H(gt@^O}0 zbrmjjo-LwItwM=BM?}4vk32n3M1y)U2T>+sta=m4c;zC-tIJpt-@X)~8`XBW$@03{ z?D8qs=Oz}_VzIl=c#qfG_-$+ z)XrDc6CnE8w?VY3v)M0$>}3cxU-bn6;tjLef{Ro&#c&aAs)k~Oh$U(SMXiW-wFkv+ z_Ata*u14$)F;dF5LOsrc?rz_Wd>*s(!g8ot>WbC+_Jgiyx=g)D(}lO1@9u%U^I14%k7Up zJzk*K4m=aAg#Xv!kOJCP4+}-9xN&cWdKUdr(Z&RNXbj4V#T>3Z90s^W0zA(EcS(Tm z3~)CCIEzpkzwZn>4?{AUu#wL37qoo*8)+V@c9>>uYLM!6U# ze-`!2uD=P|S%SFPKk?g4p66}P?)Sa90soXu(~QxT|~t6IjN zj^p+r&iZaJ*Wr70^F@J6oZk>YGGEHR#F+!PXFh9msdGO@jjvun!v&W)&!ZYS^)3n= zTrQ%Qnt@Zn6%I29m3&d)N{3}HsF%=|!9o!O)wyWy;0F??M%|AUEx68M;}2Cs5Hq;m z`3ojpt(uKn```wL!@EIgoCM54`$0DYwKVhf^w}@y`ODS#@F;gAQe3po4R)?c)gWE)$qds7!OGUJ( zYR0);#2obr3wno$R`m*->P`{!RDC1FT_WbILs_}IMJ!Z;zO_62M#Ca?YAt-0IX^;M zx2ZFj+C38NW_1$lbFYXc>R8O$;3p#PQ1e-zpGxbrs~ef=eIk~t!!cumpNUwZGE88( zh*jzYHr4$iR;$<9KR*|-MqR~B9}uxtUClOlQ1V%?ZbzYlhs0-tI*V~07N3vRO18lx zA~uFEG1C>!V+d{8VI^DsQBl4f23V_uUx>=t;S8#k&TkMhw8Q;5Fdmac`q|+!j;3Eq zs}$_8KSpTqD^d7e^hZcJcw7pC-$jq0-zrh~U38u!>In&1Z-A>1ogTzdKb%DVu$k>@(uB8 zx5Hyuqjlo9+zwx$-yg+qg&poN2x`5v5{%JpuJyN0HyP`JO*m#F}Pt?bDcqVJKL6qf$GuYFAmX`OOP$VD6#&HKaM#3E$^P0hobU#YrC~0o`%EXimvtE7Zh&fb!dj+M>vE-Sal-Gg zU3L{U#|iId?z@R#6NH$l6cJ*oMF~R8an_a~#8gWXgm@+D z*Y1Qu%{>lkz0+rU)0tMVulxA`1a&Q^h!AHsMTpH+=4dx{l{wm- zgNUAr5aM?9v9BV8m>-+TsR$uvp+glR#BBF|Dnf{#fGC)CbgJA8*C;9QbeG?vsZ|j| z%G(xN*gqZ!^VmU>GII}52Y>M8RE_!QJ^fW@Oj%T+;2(hWhJeO@6st6%|4I`kS zB82#F5H%`7h}jUeDnf{_LTT&F2ND;$oE#07a|ZtCVY{M(UG92i{5^3@IO4xxFqu-m zk}l;dQ7NxPWN^d{SgU;%am2ep7_cLFRa!0-Lb!spd-3@LTUc=R^b2(Dqm%ES#bu<(B(4CBtJyzv8+CO&r{qpf@0~f%*yDvzm zoQiS;pSss!>6xO2ad6w-Z{gFV&Z>v-JkF42^#P7Snb!}Y&rvO`Pr0`skZ#~*1f` zTn2YyxF>7Ji5fT9)ti9wn9dyOrDn@W&&(ES{@o1`X(q@XQjVOui5HzZ?+CO+FEgq3 z@;IqNmBG1Sw8tr3P>-Vq!59%Ws);uZ_1?`0R;$*qWA^p@!5n`U`~0g?+!6gB|1T)sHu;;Z7{ix4etd={YyNiR11#Z#GmfH$0eyXp}02 zZunQ0Z=#fTemwU%=#s-|ms5pbDt#{Ue*a_Zhw z;k6{V)Y}CGGX=UlU7*X;1)3lA{8V0d7I=JHkyE`{|0}%vkb9_}J^|uNFN@pxf;@!5mQW25l2kXq#}-(qS>rzOXc%gEh^%O-(+8_h$H63c)n@4W!{JI zS)@YVzT6|C&2kJ!%wND{{JnAPtuf<5UCwmR!1&f*6{m&7^}&+DOmvz)M4Z#n<@!*G zb`y*94RM}}MOPm#0Uki>=_BRrC>HWqIeRB_`<6JLW@5)lfUB9?Npf}o&z>Sru-9Nw z(5K1S!K~Xfacc^c9S7$kI<0O648#U~-a-V)9?2FxpI0y|%N1YG;gIyR zT=4Y;bmy{M@AZXrhgmN7`XaguS+4fFmF}7>7kfRI?%FI@bbT?4Uzg>At}mhcEB!t~ zF7=jEjqLReETGFG;0YYD@R2!$$@u1Bnm_5u`0pakjL%8!3?74>h-(f&z;2f#+>~7P zC=@Dt0#eKkpwr6UfJVp-q&v)B#@RcF?%M3_$SyZ5hrVpcPRHcV4L<kWvG5Nl90Z9<-|gqnVgBHKa4O3u ztNfu{3#=^f_49|(?PbTYR^MRp{p=*np!`_6bC&Y}{^Sp5a?VmDp8w`JIJ-?jON9Ax z7c$9DaL6CcO;0QPO}fXMWTb}2@a`jLRnptMRFo#l_>7wIzmB6b8kNnTd?%dpJ;I0J zkfL|D`nTn$)}qm}=beZkr%6;}o7iN*PyXdk=T$vdXgbl#Pv^E%m_45fHt|Z)lI2R1 zpTXd***(}t^7Zcd**eVD{C8OSh1s<@=D&Lcj*G0`4`SdIf_^rm3j-Te+T35V1ltX& zMEGj=0Vr;N**46nU3f!QEjxuFYQ-WuqfbGi&8$rSFn@MCYvCbS{`*pktWEbUc9oxf zm}6n~0|@r_KwfW?d#rLoAQ zVZdUOh5?Hu4O6mf=`L7~0gI1idd>M8`{NPU)NXOAb~l;y3|P!5kjqx!b~b-A-8E)v z{Fv_gY$M0$ElhiSwhR@`-%595b`qB1{1UpSWRJu#e;eIRmNVxxh^0$S{&(KU{H0Cq zPPIvUD*t7v{O?KSe{U-PpQQ5tX)6EwQu+TZ%0F`k@?Sm}`J(<0^zRe5=R>LXeAwjQ zUXP%UnEaoldqpb$M@|0xj%5B9Cjav}*H@bS`3=eZVZPpQLuCQlhB8#qpUQ?~5-F_+i&g-n*4 zPWkS#CR%EDUewH+9p9>cavD_Lo`hi4n%9?J5(T*H_BL;aP24_F+?P1J`$}H;wZ!od zJ4mRUIvA~;?`O-i7nU{N%~ap>9h|GQZ(vz!^8IbzRhsmwqx5)3pWji0uljQ3A7FF2 zYkff6NDr?X&#>|+qWfW)~_S5gR1RK+`(DyAK;&ilY!J)EoaF7~_3Jj@TVufs_t z?5=tBWUe9k;ZbF{MUWq1^ZK5%svExtRcoJyI6M0J-Rwt_$fHcj5rpg0?)DM}3G;i} z8{ydQ%o(`Y?PbdnwcX@1XW~vmddW9G+88aFA^iv}eu|L^79Us{7p`8yM}^xrDqI8> zv%hmH0*hrBCt$IU1OzN@sw#rT%~)q+u=r8BBd|D&Q5u8Ayx7EGF)tc1Sj+`K28+4) z#$YiQ!Wb;(;t_+zocJ+V%n2ET#hfTHSj-_l@brmIv`x$fZP2&479C@A!5TD>1L#{a z_y%#iC_llz0^tWe%t3UV{VLrzO@jLb`vf%GplR%)M(Nf;)7TdirI!Y|Tu>)TcMN(1 zb0dGEw60ZM!I?4HJ_0ROy?-~jPqACkSiR|9lb>Sq;ZYtW^QYQuMN3`<@(Bl;ZV*JH;l4rlU~h7TE554j<79276uXEzkC_glE}Zwz*jXFYWk?u&y; z*#^OA!Hr5#CBjo{usQ_&Wv{|FkLm^4CdjGl8sJlH_6*cdcY@f_+qerRmHG`b3f|)@)qt>^Xz^XdHpX3^vw0*$$Jv3arTnEarV%3>L3rnP(tqE|}#71vDtX zdmOaLCWK|4c+ZswaNpXgLLS%w7VnOLJKv9+ZENSRp+)VTA3!;;T5bRRk8dLG)4)SbRG~Xx{tnE!ksF z14##?rLP8B+h3+J0PJ8N`-}ld{7tNZLA}k*4@)gR5#sCix$r<+Q+&g|8X~7WiX%mY z>O2g@;28TJ_!QLg6Ch5sS3=aNXK+mqrrIw-)T(i~0SL|%QKv4VXqI5uX2cK*X4!v2 zum**xUjq!9o#Dsz2sPaJBtS z_%y4VS)WCcbc@O{fopBTm#u0r<6LjkZ0mf*xfd+9Z-7{&?q$+9*$+XqDbB>;N8+GaWV$C+0G!&46Z~$yFC)D z65GTRpz0Ib#Aib_B({mKff}3GCcYnPd}5pU&rpqtZQ_wN=&Zyx@xMbgCANuKqvpgm zF;z=qn|KLAw%XyVE=t|(`~qse9WKTE3C?l;2(`$zDmh52xXKv}H{)|SS8}u(JW?p& zjG>1)Qq5T|YgIg8nYx}4IBw$;%Xxxhw-^?|$Prg^sKy!n9`2eWki_Ra$pb=h+GhL> z^x`teF}T9^hU(Nu@6UaoY4XC$&U1Jd}DA7yi-<)Wy>WaR){M( zu1(Glq6|p?GA2bg2f6VXgN34s*Ijtu%%nK5jn~6CS;fnK?7x!!9P256My-;NQ6jG~ z5a)mt(0K9Q&pePz@F=8@K$X)s7g9Wmha{pDCN5E(lzTTO#K~o{lp=SX<=G6$nb&2= zVs7HrOL@}SDt7Ako?0UxGwBCH2Ey}welBnd0&^euAj_LlIjF2+WIIlWK z;iD6Zb|sVK+F@o9w>M1h@~Q{_pV6PIiHXmthO_^H6E!R0q-AsQ!O3?Rl*@?;8jU#z zCnPAB7D*aGL!M-Su}N@o9Yx6@T(uN88wmJk49|>zBLw#C7>U!03z5k~f<_rlqBq@K znT$6#;^UOgjbn4EN_j`I+hT97U8%$+2kBZlbnGS+>XA4eSGiO?30)kC#dyW8q6;bf zHBNymW9JlPW_8MWlN9zsL}?hVn4ME7=A0fHXU9>vtePm%>U3A^!xuh9pDId}c4rI# zY*9k=rcIGT(Bh21-Im$1KR1Gp9^n1mc?J(qd-xFNi^!>SaN`rq5rJP2GKJs*=N+V$ z@yn&FnHVOF0}qY}zVVg)xiTx@GZEh>M>^x@5hFMsXZSxk%Pr~Z<1u1_+3q#)D4&Z% z@8fVH7qqy)fH3!L=gRw==$`F7@$iu~Lswr=f#|<;`EnMO#hHvRcY!Z6$3EWMI3wGK zywQ}5YY<}J0>j;eo1csy;MOwZA0SP}d6MzhGR_ll?h3D6#=tS#v8q2oor0O(7@X@( zcOm$9Z#IOwts6YP>yg*B6n8pdrzc)x;B+}jN1%4mHgP4d$L@k9vxo4h+m^>%cfrlGhw!=E z?tdRT$z8B$_7Fa~+J$eTwP`chSRbxt|GNv?W)I=>h`l2pM@aV$;T^a=;1?oWW)Gce z*@KQitGNr7&mMLxp1SOv*mv%N2WK18#5;2la~9MOW5Mkj?g%&w8pJU1rzmO0ZG5*3 z5iD!i=L|5M#Q+IBqE9!dQ-0ZovB`hIY8)6r20%Z{Wi_n|96W2r*=Ss2MR*iW5CI0` z##q*YatONn3@)HD@DwiO@PeNhC;a`RT{~zSHIRuyukIje(0jAm<0!%+_ zO+*MVRS^NE!bU}e08z z|6l}o5b9U!geT&DFZfjO;5wkZ*z6B%!F;y`0Um-h$0i6c)%brh0xTmWL4e=l2>BNx zz+YrVm>1R}0=z3nPl5pN3sppbUmJj+TZ#aut}>kv;5OWgCkXK2$l)JCfR9E32?EUW z{^JO6s_0vc0JDV?1em4?3NZpKR)o7mfX_l{yGDQwawKcSnf- zSLt7tdJE{^k2Rl#Kf|#||MD05rZY!+sm|Os`j_)*3+P|sZ3+F$J&LaB-;d#w(7%k6 z(7%k+HU0ZbY|$k2FYA`jzn>vaLjN-9g#PvL^qkPYmHjYm6Z-di==37}I~{7v=-)}Q z4KW4Bg#P7BG!1=nx}m>_{-wF@g#KmCx~6}R!gNUJU*??9za$04ka)%?{$Ea=(7*hB zk15dQ=>lyr{d)^qBcXratHL_d75%#kjhoQF7egfUFGWKCuE6HTzk>e#HT$|t`u8RH zB=j#uTTK6sKtp9VwIXcV?xA$seG&c3IhxSFVnsNnf5nQhis)aCzrq*OzqcW!i2fDl z7ty~Gz|gHZ?jmsqo4zU$zKO5g40Xd7U@18~9LR?L^lMVRkiNG8U7Pi2w@^Zg#2 zU17ew%>cYg?+%zRqh|c!I7XQ70yt%#elZ+Ubc6Z!!pi=|m@hAJ5$5|d^iz!a@{;fm zV7`pLjhHWs9AUn!3^Ct@ti=~&zBeKWT8e+-w*ib5VZNupNz9k`eO+L_JpDzOFFQZN ze8~>ee(*@V=snd=%DTzI!t)FyGr5)?mIS>^5S)Co?@@zWqV*s zFUEZ7jxk@l>l4iP1|%ey@7}n{j4@wHGRAxvKEZq`wgmHikI54AJ(bCRIp%vHg2k9G zqX_29``$0dd>JaieB~hv*AKq&k1^l#m>w}--ac+6=F2Dv<~v4iKO@YSB8B-fV7xlh zPH~L+KF7?7`L^KXmt(%Xz85jyZy=6fzCTJLcgB3@F$gi=wQy`L=6g3I6Z0Kh85eFF zG2ge5l3>0^;#nuQA3Oo0F~)p*P63mw(!F>4) zonXGV;C^Q-FyBTb^o5x3c6b?;V7}Z6`Etycn-W`q`4Xu}Fke2_B$zMPngsJ*hhPcj z%U}uS%bC|D=KCbe9AmzJVVNV$mo1fGzI@V7W4?U~TY&j8wFL9M3^BeO^SyvGJH~wH zLnN5*wGavB%j`Fc`HsWRZkL$vN$^N8-?JeS%$Fj;e18CuV7|9PB$)3*5DDf>kzl?I zmSDcmKqQzieG<&~O^5{Z^#^i)H^O{(gh()7iUjlB6C%NUnRJ5r9tEES^PL8fV7}); zB$)4Fhy?ScPlEYUB$)4`5DDhH4kE#Pb71-s%$Fwc63q8}hy?Tf6-0vhegctTzWV`} zOEBLvAQH^?DhMmVe5vr(Ey8?BxEC?sr=alGEy8@M3JK=B7OEz}d_RJ!O)%dq(5kuw z^R0)fPcYvTpc)d)cNWyx1oOQfYJ7tE{vW8u1oPdVTZ9Sbdkhrbp8akzp1>L%)}cAU ze5qOz%y%9_wkDYGEl~3l%=alMV!j-tRa~-SD3-&yk^|JhiV@~}8qad1nzNj&#xQWk zKbKw{cJYbpc!I;V7#0_QS{Sb6c#1O;qWuW;2Vad;$@>v-w3ulbpJs*yyW^NGa=cX$ zNcUds&4F9=Mnu{hmWUk1aYi-qNe*CBrZn9+24^cdoQqyaiMEC-IbhA%2T@L(B`7Q; zBnP?isl!4ML$hSxD><-@*Taa@0rS0;{v7Kme_;+KBwfhkaR%ZXFo>Xd@!oG!%=c}E z{O0CDibwGv`E)!WvpUIgJET#`$z`$>oU!XHPhd#Sye>l)a}!s`+~P)>%Op5oO%la? zimr|kQOu_qhtqoVakeOL3rSRRMd+MPF~>B^*?^EToH(yKN8zIriuPS5$+g4GB5rS( z-X$6b|7+>b^~1#HRKr;s92Rz$Yl-;aBw^u7E+-~vH0IXDLAkU@(g;dASO(%Ko@B@> zaqUehqnow~5bzHeo*Dmg2vD8yl+4RQA#JpvAI;GyrW{y ziM_dYr4pAMq-*8Sv71n+AI0&w%BA8-=;BB$#w&IeT}a_~aSB`+JEu^bK3|YRB_c}0 zaK-GLLNQ)?Xq*s7;j(I?M61&ku@B#W6n&~FQCcFB*rJ3;FyEfIjWT=l=Q`$%)>dM^ z&my&qZ%bD*u?X|!h~QgY*`JFrU%oSrbjDYR5uAcE{GXiVHgt^n&Vold(clF0y#*r1 zd{g&q=ehJC=F8AA=F7LRs4UKO#C%udjBFqBMpH5-<~yjsaK@y-UnI@}(qt>;_!#3n z0cUUA9CpTht8p&Dd{2akG2dpmw*d2zHZ3GGJ5h_ z>@1iPqp-8F!bB+S@evApWQ4*V!D8T_`YmFVP}mX*Te5~*vWDw%+1Urje~vZW6noLh z8g2=N{nuH;i?wCea8nn^5(=9b!sT;) z3587=!Y!e&u_4?N3X4sG5(vmODJp!g-tBpmQYx`gu<@0ODJq`q*Fp+ODHTcr;J}hVXFj~6xy;#EQ+fm zFd1XGB^0)V!WwX0MnpU;N+@hhq?Tb>DWS07Vbd0G|0O8wkwjs|;%#T1HAZ2@+O43l z`y#Ao&6}N|urneQ_Lc~R{U|aj`|R(4!rK1#LDD)-#R&ew-mADCJEM+Yv50@{^4ain z55emvXAsv+$0-{J6x!uS&zzdmamMq?rha>-RYtdaR2HXq8O?xx*~t*DlYx8ae)N2c zDbAZi=b<39oJ;8}_bcweAK$+pN91=Q^2^ZeE>@8oz3^N;XKshF|9Jk|8ag~(gz>#oXZ3$IkUO0UNgS7FBdUhU&fO7_U8!QsJ9yk(akPHV@=VIv0Tb#ft&OpELcv&Og*6+L=XE1@M+dQ z0{h$9qaa#zc~6M#?SmlB)9aXNXlD`Nd|f>OqMyxAcDL%Y*<^$4#RxWE_tg-?Z1&+I zT}?4uM4PUm7$IVb9zjtnqFwJnv762AS*}Oy4KY&6wn9J7g6?itBcI3cu?_5x`PXC% z=!Vd5Vi`?s8q(>XNAGi*KoYv_XR97-&9Za+A9mc1!w zca6{X#K)hIQ;#vsd>@y3LUAoNGUx1LktjvXs@B+Pd;F<31{$+4TscfsKh5WNOE@r4_(Fxf$CeTx3E@T&T zRQGfk;1&tM4*_QHk^tQq;BE$RzlQ>=KmHQVviFgU`z#8o)?LG|3T<^%wa`kL%uLd_b9Bd6* zV^#z=iWsXuL=y#VlE8TV4C5>oF+s1!NC<8c(Wn=qWrH7yn5-={UvRUCDf%gv`o|)s z=|5o%1hqA+&yG1P2)eP3|(9HcJeQGVlGDlEYeFjszM}pm~Phx%U6|qDg zt08_O;toBZ_4%o^PP@L5sof`Hxjr0|Cit0%6*|KNmWx=WPheBsFJiTRo&EE35o`2S z%=7^fYxUJ^g9jy__4;;9;@~0i*`Uv2oQK8dW4)4X@Q8?w;Y-YPg|n?zVL7t;*&(MH zP+0kVfF1Vdc>I+p{95%R42s}!DF}Y8I)Z+yMB&$}d5)+jBqV;Vx`-j4l!D>cst2>7 zo^m>(u*?C!UHyP9`fF);{C2fVtFRo4_$BK-47plD;+L%dO;%yqN{j4pILGyCQuj7H zoOBY@>rO|juq<`E9UjXew@&<)CstwUx55s07zDrd&b?5p?C>D=?34?H| zU8zf~!crBj!cx^c;c>W@2Nf>W0Zv%W5u#mLbr6rahwc|yh2;X*=!83ADGjP!s>x2+ zz?rtAs3}g^hf{5ksA;e*%O0x{)#QXXXsBT>r~Ay!ScPRzw>aT<*e<)eRCAp0ZkB8} zQLRq+Hd}Y3sCiC|!ZPH1Cw!T0w!8Q(bix7bqdi0|a>7xZ(0jUHgu-%6Y?W15cP-#U_*t-=cT7z*efAVFnGDjQBrRFMgv^x`dczP%cbBrriVfC+BqhnpM3ah`3 z-*Lw^mq_|KQ;&Jl!l52L3_h2MNyvicm;QpwU9k$Q-{l~jFR9h)HqO5Vu2_ZDGC3By zoE#07dj|gKVYCYC`+!neT%$*FO@BkWpjJzD*GYBj^kA;H8(cwQ^|>6R?@Qeq^wCV!>a*E%oD4yx`p*A z_rxl!<`=?)3XgNPRr3?!fiGgdeww|T5wS?~BjG_e5p9}Z2@kR&mS}z^JWwLq^#zQh zMJ(4laq@wDbA&4awhQLu=`394xGj{FPk9N4tW;`YV|-&gHjC zoBX*`pd9N;R9HVtvkBt5+0Rg!=(+?bS$S4 zgY6GvY*x?PE-I|)=qM_z>F8<6j&6)PdUg>$r@Imr)*nUXbZJ@MuO1F%hRZW#(VxdF zp(av5><%5AR8=o zxvOUV9vp#sIaE*9!~b%`l#>Lu~9#eHJbJJ=WN;FN>o^X4d>q@u0)0PTSbL+ zrlTJIeq8<(eUm{UqHMerorJU8= zC9p8^VJnDy)gucPMYqYs{~5kD*+zXQDmfVf5LkFGJsihf{7s4H*@- zD;2VqM1_@~L<=VwH{6WFWinq@)Vbh3ac-n!zPIeD}koNSu}6aBRNL1 z+2AF*24fk1nesBd1)t%0+_INjJ=SSV=AfXM-CV8pQLiD%@*@B}RpH?m7qA7AB*@YKd%l)kt)Pr6uCz z;)JIqtX|}4DdjwVPL0j_S~4oEslm0(7x`Fjd>9ng__!OpYxqs^VQO|A<)Y;*I2#Gq zC!@le)~!smZk6$uhzhGyxNZ$^;&P}mOXFtBwYq_G^cMP_q&uNT;jNS#^eHTVHRVP< z9-rZDl$#KV`V1(yC!@leKDj5+CuV z)9i~MMdc5}GllTS$*8a&d!JLdCD zIj{0~R?ED5jXv^bJN-T9NzwG#R?0P6`sQ`o)NARRKTw`zIlsi~lkkmXR9NHtFQ!Zr z6_)eFGj(~>lqvK6zY}G?l_=9hg*D^!jww^d>D{QzJx@oOe@#Y(HNH($SW~`1VaX4qBsL|mfrq-Nfwcvi)eGoXcPhf!fo-kwoj{tRW0oI}E)QDgWlARMN4 z5*5~D9&T!GRWxva8ll>a3M*Sw35AupFDI;Uv=oM@vtyf(`7`PsR5XqXYy8*Bs794L zII5g1zC$D>>)*)>kwYZ~zX{vzJZNK7M^xBwvidf<^V3Czl{?1pbR|(?<#kVZhLWhT zawitfP`sC1Eq7w!nWC)m8S6AWOYv5At9A+$0*zSAa%DCG(yo%`#$?7H-M2_7BmoNuy7Zuk3VrLxhBhNc9TX3Ng z^cBVRH)D4Q`pH8H&)kz2@93p+#}N?=bune~~$>$VC;f(03Ag|V&M_#g ze;JiIVpi*4geeqUs2FOq&hO2hnlCB!{#2H;P)Sr+|Kh1o7AtQ6pF||1!ul!JV42zj zDNTMq_QJJFqQd$&u|3Phb+ezPgX@$;h4lwA&$pCBh4mScIasMKhJ)q){Va5qS_x%^ zKjSMbUQ}4m z+hJ5#uWeLV&ucF#tk*Uwtmi%2A5)#{`xOW#Dy%0_VLcNSR-P$flJupG3A8E8%ku<< zWilsjIT>4~M1^HaIV!B@Rl%x?2iru2jV=t@iwf(tjS3stN>o_NoaClX5*7AC976Fe zIGTtG%aeRxp7#4j>20FIN;;GJ#uA*Aqr$Qvlf-L36YNvWBX?yKwmr)>Y-pTODpRUa z3bpgRa#UDxBvo#|$Z}NJ_AGa)$Z}LzaZxU(EVZsHDp-yRyTd%YRCXDKZR4k0VsgAB zDlBKt*Kt~u3&{j~%iAneZHG}|dDcUEIV!9aDN$iP6BYJ;7)VrDroglu6;@26qY@RC zrsb%xcRnb6V!HT&^ZKA?@5*5~ysIZ=i3d`n5R9MNxOY+hcvP6aDQHcsG$>pf9 zo+nXZnOma5mXj%=@)8x6$r2S-lEt}v$k571>tRAZi3-bn5*4#*n-TcHax4kei+hBWY_{^aZelNO7;>O(SqH%= z?NtAz6B>zsT{qx^$E16^S!p`4rr^W19=r%OP*=cGufl;}VS-(M6I|fmaP!C7^CfsD zb!uKC}f zFSDr(&=+-wa*a5s(h)?<9bvGJAlhGHGpN=PM9ZzMPDcIkBx(x@YdmdXqrL9|qwbOg~-nXMy;mdYF*L9|rn>IkBx z(ySwhmdbn`L9|p_bOg~-S)^+lP`A6KZcB6o(K2P3jv(5Xpe)x(h*n1sEzNGy5kyO6 zwT>WKDtGD#qGj)_(Gf&TWvz}NS}GfK1kqC2s3VA$$|fB_v{W|h2%@F3MMn@V%h{?U zh?Xha^!Xgq_0pdAbOh1T>{COubW(pEwxQCM9cNOIfiJdZHXaTYFlH7mfE%$qNVm;4AD}vVu+SKUxsLT`A~*vX<3G7 zsTE_0mb*n6qLsZPhG+@AR@?b0a2@>JW?C7drB;S$sny01EwwU4%YLbgA=-W5vmW>> zDiQQ?s74AD|6L$uTyV~Cbo8KR}uv`Y{zN4hzNXsMMUT52sZL`$s< z(NbFyL$uV&5G}P`gJ>T`J=fUzH%>uo4|MkD?6LC#rGC~q0@_x)=gg*aK;Aigo@qIj zU504!#l0?VAX@YL8WWwwS!SYR&N4}eR!0ym8Q83jAX-*BuOo<-{a4TtMEeAkqS;5M z%f)b&mI}{wPJ)AK9YM6LZ-Y)kw7M4O8bGuh?`CV);>jke{y!Q|b{=Y5BR|O)EOWRx z>MZ+g{4o%1dV7f0^zBQ@zI`d`TRDSA5Uu8mvl2vWeysOp=>YRu7Z5Fbqo^Z@mL*r| z2%_Z>RO=)}t0Rb(zgt+VlMt;=LbN)9X!(X>l8zu+qOuJ-f@pbX*{CCkmba5l`uti{ zG=gY_(JDc-x*3%!L9{|w0itECTLiBHL`!9f@Ku0lsVo=33J@)o6+&16qNTE05Gz2m zRMzMSqNTD{M-VNQ4MJZ5qGca!6#NPhEtRKr5~9^fh*n1sEgfvp5kyO6t7VrV+H^Y* ztr^Vy+{A3#&ov80x<7(w&Dt0#^~mZ7qUGAiX<1JZM5`l+_EDr1bp+8;snSV^R!0ym z@9**6h5OxM(k-<*f@q1o*6Acft0Rb(c_!%yqGb~sO7Uc$!UK>-orGw01knM>e)r~Mg-C7 zQB+QM*|NNjAX+&`=?J3b&wEx0nHEE|b{V3TXESMmXghBQ(V7Y^PF84fvO*C=tCJ9| zPC~TuLz#eR-@)^T5=6@>QKch@_8D|;wT>X#B~WT~1kqBd)e%I?pY^QM5k$+rtk)4l z`wV9NBppGtJc~Ez2%@FZs3VA$N|TNtS}N_wljReZ79ByfJP$819e1z$8>B23)(;RZ zl@<79?=-eRfoRj)L$s#v9!~b%!^ysjAX=S-Xmt{z)k%m}h_~Q}F6Up-vZrHA{r$M& zZ3EG=Np=~cwaXB#Z6I2)H4v?cWr)@;L$vlfJmlzzCu{l3`83-44~Zw6_V`ZRHYn{F z);mIJ`{E3jF(@rXD`QYv%K3~zX(?A{3`(1IvB)w8r6oRFpD`#c@zY5egVNHbf!`pp zESksftDw=Q9GDid@VXly(_xsxk?bHuFp^><{HldQC0MhNF-k zQ28T-sP^h<U$Z3(o&A2%F>-}P}+mwrb~poJI4Nj6rE--^&=3mh!U97JP>1apO*)w9Ia< z+4`uvu>E|DsIsiGZBSY^re8k%>NnX6gVIVXG6tpPPd(Np{*Kca{3VJ_RC zv}Z!>R}3%V)nBHTbMVsj$Q=F5`~n{K%*4BlPP1m>wNTE(69?ZmDD8KRr$q#kQ zmTgelG4wYlyfKY$gqqf^Otfy5@n=w4E`e;uptO{uAC$kDa&5+-wDdhGV^CVk4H<*d zQf|zQ$7gsO`S&Htzdup_cM|14kSPDViSi$e z$~P!&4&|c#52bsS`t#vLf37#>uc<}7e_+aglJbT``Hz_L4N7auHz=(s-=MUne1p

F->-Qb^TeREGw81&{B0$^iDc&8D^b~85x-+T z&y@2jk7qLmrKOL&*-n4Yekz*nbSveWj6rE>Q=c&?EoJQoBb4?Xy3K~ZYNA_y4HYP@Y;Zb4X}QCeQQ9M6R^bOQ%Sjdhp1Uhv{hGWz zqr4GH%d$XPe+lNj#VV^?p|q`|%C^AG9#vsK#fwPeuOjjnp|q@+rz4b>+kI9?C@r(( zb%fF$3Z;lWljeP>7?hURm3?Vg+MV}?TtaC#v+#U4NG-rY9ig=A;J}Rky{Nhnt$mDDBs1k`MP+uR+-DoLN(>@Bk%SR0*Y(xo^IvFj@*LqqGk& zb20p^s_s*&T&<#gRJntr%0(zGQ?dqRKU7l6DD8j4Q~wp=;p+Ilc)>91+_S8(PMw0; zSlMeP8umGL2W0|m;aK$!Wg=_gk%}jqN>B}umM7{$%ElI&Vo zuecFb5?u?&DQ+H>ubmJ1SjB~3x$o(a$15(#%J0x-g5pA{e4ly0C{ujEnUkAvC8D^Y zRh}>%$B$Fouqp>&xrQgmQmXVBFZhjxK{nwo{q>OpyP*r<4~DEEy(Y1xaG zy!0udwB{>TGsJ4O`R>)3qO6ghpe>=aI*HP1ewn<4(&{a636z%8dy|e(+D9>UpTmrv zhVr@@l$Mt?{Ei+_S}sxBptJ?NlIrmW?)w^o-g0ZFBb1htAG3wex%(@@kKoku7T3BN=cOVg#K_Ip|pI~Vd<4qVEB1O z{Ls_YRE|*>LCI>D%6L)oIznkl9u{?k((-5Mt8|3Y5;m;X5lTy?Mn@IkK!(xfAlmSo`^9ig;z-K-;& zmcKdQq9c@+P~j3Cp|nH@m+J_nB`>%_M<^{*R_h3*rLsmxC@qP>wK_s+2?cJ@5lTz2 zZ=;S-TC#habcE89!rQDPl$Lni79F9qWaPH$2&E+fw@pVVEm61k@;%rg?f-#pK%p4=vbj7_X9ukvG<=8INT`OkaMy4eD^sr)n8BZ3|_skUmB zmq&L!ZT^UPjmrO?Yp=p4omQ*z7j%ZUmrWS0PUX9GgErVEdseUVEx5T4hS?;_CgCj| zHnU*3O**VWO+LybWoHnuRa60$lY&E1P(H$H;n@2fQ%~9Ut z%MVc_=`tp9+LaEh;sH+AvMtQ+=TXk5QbA8ZuHs_i3zEL_mL%-rZ90}jI4t|m6P#o>U>0D02(NlPo6V@D! zZ0F#B{02QIxk(X}mX{02bQLpkVw8o=Quuyml?irHRyj zk&Eeq>(#g@ms6HnXGF*TjCr`McbsRJ%I*voeYhjE^;0e}IbKJ@Ql=AES=%hUbwbrH zpiAx@W)<<9!e(@N)ccO{%l2{N?u(R!FrwcAShxXUH-iFJ76a=zr$IJK08+p5VD?M}-}DSQi3PU%Y(wGDBVf=854VwAQguC~k* z`ipGyUMoUr`5@iX5lTxXt0R<_N*Sg7FL+A}N}KlREbXx_0cQl??aK4HPFBFnLcTeU z^t7O~0YO>*kB=r%+WAQ6d=5T(oP_z54VoQtvF4gBLTSx4+r^ULp=erHT$)1pFFFip zgvR0s77ChQ5uvotKP;`XSl99C}XaG=JPE}7g!we`b7edxl{wprw*u2$;)^Zwa z6;+o0(jJRQdyirGIy1mZjMA=wqT5AjzmAlO=?bMSa^J_kkoH*h&WzQP_LvQKtvtY( zCr`y?F`x$Y8cF;3zTMO}D@W{=J`;Ki0S{ z@LX4ij}FYXS~GI2tK`0-MsLJ*pKFU!s~I`gbw#Puj2!EB5~W_hj*fIYi!wn`JyIE0YYetTByQy1{GDmxuH12L{ER<&58B@aD zT^$GIV$I00ZeD!@-Y(GyJhR8#V<+_5(P*GNBMvird ziLzQVa;#e|${Nkcu^pIg2WIPX8{>Q59v5S$^MY{_DsH#wQ?1|&4tHs66XjU7o%s#o zbUU$X&H3*Nn_pGcznP+g5YJro0snZ zvmLnijxk%Nt^NNPv#mz^s{h59?MV2nk1<{aHM_AHVtnvW=q#GX4@4?jM-Kduq8P8Jz1X<+^^t) zTGq+0!hLaYk~Kx=Rx#TN7lG228(?=oh~mbH)BPR4Thl$dRzLc5IFG9YNa zgxP+8=MU{-w&Yr4%$CncV$7CGjM+YgH(LJ=%yu)!x?RlncSwmbTPiDd5wlJ7-M;{{ z<@_slV75`LTKgY(D&^1QvrOBBt)2RE#>Nr30uqR*JMoCTFRd(yotKiTDA#W%L+QILRta~q&+?&OiS3>w8yZu z?Xb4}ahi)*TZ$#tmU4-;rQ9BC%Ws^QSla_2x5L`fL>?THwS7=(mSAl^kJJ)t%hT=0 zU~Oq$Vr?mZ6l=>n^%84Kd1tIGqv;B3`zC~__9fPKD9-(#l(nV1h_xL9Hzn5gT)H%@ z?WGXgV{LiG0fLmttyo)TO?wC6Gh%J8hbRxr?}Q*#H>_ylC^!r zl=-I{Sf*iZO_|%Xwx-POS=&UJZ<{hdg0&rivdG&0Id13QOqqtYrCefd>954vQZBK! zlxt(w_BJ>Y*7h)5ZiJfutD--rWCgJO8O&f%PYx`$=WhY%-Yt;m1xA;Qc1A3G%W4TLm`(~+h4PA zvbI;?;KyZck4GM1ZSRgVw`Fawr3qQvHz0gm*7p0%OxAWppHk&MA#3|rcoNq3WZd?Y zSX)L^FR`|aiC$uDKcF13wmjLCSX-VnN~|q6{1R)+&9}tbaw9CUw%j~QtSuLQiM8c| zEU~s+C?(dGQ@q65aziVzw%o8vtSu*BiM73ma>UyHlybz{9*xG9SX&NjiM8b@l~`L2 zM~Ss%@5Zd{!B9%9?Rn^|n6>2%V~Mq8FUG7bZ!1@nSX)}ftSy!HS=)PYy|ZE0S=%$< zXs4`g1>w>+(s+Ug)|U5oAI;kG`2_gS;kbI+8Eg9no?Nug+H$XnS=%>Z);?>?mDet7 z`wP~&#M=IybtW}X3R_!ZZF$q3WNil&cY(E~x0tp426Ft%SX&mqL)NyTKT2wkwQWK| z%-Sx160^2cV%Bygl$f=>4@%71ZiEuEwp3!)mS!<)`vR1hwPi}o+P)1XW^KC<;G^D% zwH*Q_W^Jj&tnDFCV%CCu%C^2i>2|!xR+8zZZW^Ly}iCNnfP^_4>rB-HbH$W@1 zw$DS$$E+>2V$9mU0j(-#Z54>7>X^0N4O&gi+Kz=*8?&~jL#vBf+lA2TW7c*xv`H~* zy9HW9%-Zh3r-dswOzy{PP-Co%h1bxI97QL5#L6tS#S? z^p#g7X^+b`?fr&He5SxTTZU=-Ml{<8rDRUraxyaZ!~x03SzIb;ztTZYU{j|gVpxZx zeK?)VN$_+Uk8;AAqw6qlaP$Hk5X6y_+@vH~+pC$06Wb)MN1j%!?R`w=Tu-F)u}dq~ z_GdKW8ZexmIN`P5_N?t+Y5AocSxQ3rL&|CWfd`QcjHu>+F%OsZj`QqN*%`R#!yTcmpK^&wpz>H)%5>to zYMX_(PN>?&bjiKLtfK8$+gq5<{lnzvQbVpE=o^sQ0~Uxk!Y`cg%0`C9{cLIrw$MheQwfz;ml~`Lki&$IE2)^Kz=W`Kj%h$(|9?dVLQ@JRYg=8U9e)uk?fqTyjFB#TR6d_& zo+ls{adFs|wH=IOF>8AkloD%u3FKX1Z5P4}tSzm#XKm>(?Xd`iOvUhZUJNm2Z6AQr zK5P3;q_k#jS@q8RA;PrBY+≀3a%?>wzWOeXlQ|wgdBkUR571)V7qXy8cF_4I9X5mM)n$Xf4$}xM-cF zE%OGoQ0>p#0%vLSyuk!>??Dl1r}*EUU}ITBp1u{w@NaLRbo}=nyz3Y`-BLqXS7+(k zdBZm0h#Gofgu657? zUR_FT;Mq7zX!(gX#vLDs@ZTA~L!EX2Et{agrAWVHVV&}(bI%Nt=9^ULhDm|vz6^UG9Xewj-9{4(pd zK|h|xSdCEMM>&sK{<6-{zS*US6`yxku#uS~@P5%jOUJ#1PO=!i z({L>1ZGje?f)7?(W-gC%H09`%rK8=gY#Jqn2eR;k6px47NN?8~`F6N)4;HywT?%2T zFJqbA4#5WAsYOKo*lDMQB3^nlcDi1yNN^H924Z*XZaMw%r`vN(>dhkoNAL3xq*lu6 zW}*DljiYGw)9w&g&_OpJ^F6il4vIDnZV`i@)8K9~=t_foXkaf#ZM z2s56byo>1)JT7wsPf&(2ZKG&-f)e6<89X7Dc!IK=mQM=eizg^!vA%+*>|bEdI}&kj zxp6%$mUy1>Ha5TDCpLf09nVwxaP~bT+KDQE5|&=@tdxMKEBDcIlceG43gcP@KNW2T zo~KaznP_;{@;b|VUbNXN|1h?K;04j}=iDLs(+Zx9PrnW7P4NUF5I5sdf zYX`A`c`D1=`E4A{w{2SH?flW~vv))*#<799Ta;r1%ia;k25t>4Gpz&bhX;0pQXP}acLiyW@xydI6~``{`G3|iC-0TV2C-o}PerT+~7!4mOS ztylDbvean>Epu_SiVd9Factm31z(CPcr~&IpDZ>oOWuXpzoQIF1dxb7(oWP<<3^_UwXDEM$h}(GdO#UeC|1M}5H{Y0?DUV%~ z5@y7`vT;aE%QPxhjS>}HG0fO6wmT7Mp`!)fBnX-cb!5z>t0tEj@K+BxoZ2|x5G>CLN&$lZwa_xFTnZ5UF`;F43<3lXD1I7fnN5oW1XGCv1TVqucD58C z_&Po}DFq0=1xmgYAehC+&@!u(3=o`zmgQl7DL`-swES&F?6U(}zJL$I_p<*3pk=TN z{c#>`11+b#9YV_~uMM=E^4f!zQ*EK;lqb+K7gHHpP6@P}Y6C6P#!$Ypyi#6kXgN;C zy<9uca?0Bgv>aU+vK+BZdgO*cX8)*3#tazDpOnGgfWl84)l)kZq zHk6@d7G#omdE6FSPI+Z$xjoA=Y$=kI)A|F25x>HPStS}P(6TrxqoP8b#+hJQhL+p2 z+@&JR(6YEFH?1tiB^uB1mU-4gkCmZi z$uH1y%0SCJ6$!M=6quHwWigG83bahqGPImB&@v4KRTlI1pyiZ6%PE1DQ*EGS$s_|D z6(rCyWr3C@xeP6*yw=ciIXTMR8d{cQaV{S+wDQq>c5(HdHoEYa@N8d{E1478jQXc>WdKyZ7|a!R0Oyk+je z1`t|Kd3=DJ@;LcZok&0xhRL6||i4VrV%f&~mB_ zEe{-nHxFt&AEbZbe!OnLzdi6ef&bL?uOn^H>>>^R@?bM*(T4`E9)0$G@THlV-#} z{yGo;ePd7w=$&kM-9~HZ)ep3`c{sM2Vr&|$p?7`P*c=)8u~E!0{wAwBjT6*ax_n;s zQ<2Tk$i{%fXBZ!KsLcBh8YfotXHPm2qIs4vs)fz>THB0`ZN5SqqSe;Wkq;ZAd{olR z*l2-qG|3uz^m=16EV8*ewz=Hc)LTQxZ!k8c-dP*lEHj0znP*j>^@uS#-2AbI@h4){ z!)Ab1&l|?)3~IemSIom=osN2P7zEesW;q=5eNMxN8oBOX-~UR+P$}b_C5hwW4wdM&(-8rI-?~^-v2Ioq@NP!X@GD*^ik94a5e> ze`EfD+&h4`l|ix%!`&{KJq8|HliB3wOSm`Xh|+XThomzE-Uo4p;u+aelxTSNnIbIJsNhSd(>Z4Ts4 z;cYsI;B8LV?cr@PFz~iaZ3A!5f^7tEH&8V2_CksV-oB2afwv{w{{XygmZ8Ag2zrGr zz-tR{cSM{fRdAx-giR_~C8%zLUdGp!-xg)6wg}bUBub-xirn1IqRh~L=mzB$QJVDa zRBjbzww_3EbhRjR^f;VLg4;xytKY^T1hlHogmttKN@>w@uamRq!^wwGVG|akPTB)4K?7n+jfy?4J5hogxZ=7CcQ5`4f?hw3ODX>( zL9ahGv28z#2UPyK^xF|}dJMMHe>=S05pnwC!P~r=@iIGrx0#5CQymef@#yYCKL7SI z>+wz{oR@^RqfY9GINhTMx~2o(7BqiNE&Aer1-#9mUY`WsX1)%1`?Gj69R8Ev`Kj%Q zIE@)$=?LDI^OEKp(y+hc{oBsq?T(1k=%zyz&rtZ$=Wgdg8>2el?f*G=o41u65vTX& zTjV!zuM-S%dH+-|vEzdwZb!uF&#Ml2y93_d3#avth||1ZVPWqG+dstJ0ecw zH9=oNY=!leK8Zgtc{(CaW0sWR?T(1k$;r_g-sWwC`JRK!Cs|`Vhqu#SYk0ejhxXv@ zwAUKmmMronKkc=Kx8szKh|?IDik-mQ|GOhj4}1Xc4OD^fc3ZSnU4PCXu)6$Z7FFPH zJ=jYp8Fsr5BNN$6$D63q@=X_Vyb@HpA7>tTS_5tu4Y<8_-eAF=_b?=KqoBN(z-mnpGPw;P}D}Sube?+nWZ-Kb> z^Qfz{j4&dY+{f|9X4FY%Esx;$E$~^wb19eb+%wRycJSO6U{bs< z2Jq+n17d{dviJzk717|iA|~)$7t6U8{}Eex1pY*^m3gGgW0-+igvEiJsTzuz*@X+% z%KQb#Q<-NVdWdU^KbOKnS`h_c_HJYz3Bc^FV9SN!^;U8+3&ZQ(A_fe=?A^~S+bGg)xUonIXeFX9}<9BZ~Zkz24L2I z*b4C@12FsL0L=cie59B2g6q%2#*x_vtqyMBAuH2|@{N>TtA`hS;~E(3wv`936ER0| z#TU8XxSlY;aC7te*v+j8H>+betA0&4XE5(g;${HF+rC9NUH%EVI=FUU^waLFkF`79 zd70nP<_;dtW`08XPRjYr&6Mw=?_y>h<+~{l$Ye2)!9BF8vH~7D1Y$IJJ*vBoodI>X zzhS5EdX!Y>2ITE}v>fFRUv@oaUluOYbZ#lF1i zH{rAA#duiih2xy{PSp2KJFJU%=pTxc^6nMC3Y zudwqK$elN>0zh90oO#tW2c%}~{0GE{YVqr?iX z*YBi|vO*k8($AnC;Yv{q?HsNW#n8^-w?#3ub9j>|hIS5b7RAuc;Vq&V+Bv*c6hk|Q zt3@%ib9kF5hIS5b7sb%d;T@tF+Bv*a6hk|QcZp(X=kRV(4DB4=BZ{G&!!>qKw8YTP z;k~wlzBaUTc%PWvq)){f4DT0ZwLad5@*Pp`)Jr%D4@mE<(Km8@zAMUFJ&vRBpeP%3 znhw^AvQeK5Fg*O8D4X=}I6mJOWwZWz4$4EKY|+=Uuh&UATlF1$_4%-*Y}4m6&w5FD zPe0lX$`3^Oud#7OhGZ-i7C|6so$wP}N~6RCR%&s>3J5vQ`zA)AC92S*HpN zRUJNMk3vC5ssck*hfj-Ty(%zNb@&tM_(`ZWXWuiTou~>7RUMW>RTmhlI@}~_jjF&< z)!|P?o1qE}RUQ6Jv?f(xsOs=}(PpaxLsf?_h&D$R7^*saNwm4Dz);oUZ|uWRvu0Ib zsOoTweFC%=RbZ&<@VEBWSXWC_fnTT%UzQdvSB0T0?-d!66{>K`snC9B&mV|$uPQ8} z<*SmmMiq`{i(ZqowW{zO(|#{$8&rWma20N~dAixC3ZLW1zAoA(Rp>bx+8Z{{eVfr| z9F;$cwnY`@uy_9?+E#dB+Mh++rV2>1!oP_2o+_Nf7Ht#FvJ0~~(r-%7dv-y7`}8fF z7YSLrz);oU+hUow3k+2qz9U-EEQFh{Wj#HvJVvI{qGE)8+G z+-KW```LzJPCc|acA=VHsvYjM&356-?3aB+n{OBHVd?vd)?yd_$ll#gv_*E|L$+wR zXiMzEuh?fJL|bMT`m2unU{%rAD;XcHuzI&ruHN#2UNs#wpMa zbgn^9thEaZ*`m)nw?Nx!_nFyrjujs4)L{}?j%6>z29zB%0+mR6qw(3Z1qWKf3C^of z%volllQ_#vbmk+Yp`F9yoKBd1o`iS~k9WR{60-WNz9{ZQsdQdnz&f8K{by+B@Dztv zdxmxnr_0z?X{qo`XB`|=YpHLO)VD#u#i5!lC&@XwmYdyN$MhBtw?tJZ?Hpe2@HA>dRfkuIe-o-YTqJ#JLRE)XIftSJCRBB}*f|c0 z2~`~~5pRZe4wpLoA)*@dW4z0p$I-%wcFqo2irS>TYw_8$3CFjEzjD5SS!F8tQnG?C zMHRdnX5kiRH3r(#y(d8Vt@AY~SrZWIW$A#tex?>FuZU9A1x~-;Ny&zG4qufasMgZl z*QB{MdLZ}PZO+ko-oISb1u*kle5rwJ2-!rOe}tvR3bj0>VHPLpz6E zU9Lw%JBL|O4DB3-E@z3Mox_~e+JveOdx(QAdN%uDH&M1)_Pv-b*`YkOq`fKl?7apD zs+@2icO5#;4Ca1rVxjEknuQ|WKf*P$P1eRpsYh0?;_g-B@}kSo&fx(r*Hd2eE7Rd< zm#f*(&f$Tg7}`0kb>By`t2Ms@93JeBL-*Hci~HSSQr%j8JvWZS-II_~r_bPg|D5Eh z*FR^T&r6<3n%_|lkCHqMdL=iMquqg+$c=g>Q^rZ#n)KW$P>yw{z}p85Q+B$_jS)UW!-(XC#PGJ@2-AV3q&~i>;EGy9F2d(@mkTYcM^QOl zT9%g|@C|3UJVTmL)nSwS(C%E;Le-t^vgB&ZJ{?WVRv(KpWeZ4qUxL_~de7-dS{+{L zF2q_e6OrAdF4lXA5vbIf*jyUXp&gsKkja`#1bS~NQ;T;uW_Z9-Lt z_qv}&%5t6O+50|GR#^>HLYAw40#b<9|1szra-!Dckd>;j4lJ?Ba^U?5Z4?GahTfR94i3V}* zUU30(W=_TkcJEKo%G`j4cOO7GpW*M`b+4pcow);_-G_`tsdbr|I6HP9$`x0iInakZ z>}tr9G7n?$x>wVt!3t{ehbHnU!A3noC~1$WHlH@+hTnt(T}ET<=j2B69Gf{F_08?i zb!OsI=W5svPk!+)cL3#Vh6^hJDhS8YWNMLNPOzCFpO&7<@-p?=f<|+P=Ly(UeVm>fAA`zKKtrtEU{rr_PO|JNtGFPwvF;bxpWj+Yr(t1pxLalZAFE=qgMn)a^7r#%`&n49)4#NcP>#m?BurRrU++=|@v z^Py%go&u9IBrD@n=Vq897@sihVcaZ%CS1=0$MPn}C3&bfImuISKH{8Q>A=CXsC$kqHb z9Tjtz@VYNk%Q<-I6DZEar_L?lVH2M^C(AKwCY~%uR*8de-*pbME$jhPcv`fap1z2d z<*vFC{;bS>SOU4l2~SJRv}NFv+)~PU%l^}8P`-9DeN^SXzLh?RA?L1TzR1UN<6{oz z+Bb}kyRr4_{&HHq@?O_YCMqWt?4<@4EMsr&~L<$pI({)18ZX|{juIVczHf2a|c1*ShA zPW0z`Q~sJ-82!MM|0LxNiSi#Y<)6W^_@OEP688V2ru9Bh4M%q^8 z{wv!Xer0~WU~FYh{gQQvX6=iVvzaHb3Uj~W*c-$-_iG-olk!< z?_P<@=8E_o^BKfB_bQK@_|&=A=p%2o)8Dh7ie}DirCgJdzImNC^%?1#KTw`z*}ps= zPT%N_vQXxq-izDt7gMIplWm+Qo~g^5rc9al|D7oFtwfn`n=)mb-Z5p$IK3N{x##I9 z?XQbj=A_*FjE-!4|IL&sefS||FC+8e@AQ|=$b9$*WWshQRcg5>olecG-m+`4{y`(Hp&&4%HuD4ia zHBZ60f|8poY->EDRNVJv9P6Wg&$?9Q`YGPN7=IO!KVG`$_7KIyr_Lo2=iC6vV&YTh zD%C3FFT(lbXm?*K{dmpak5?`I=)1H#w?LNo)VaOY{*d>`=LV@)A?Tx6-jJwpnd-^- z)VX1b=l3jvPk#k=)#^LU?B(`V9v-}y%)?D)6Q4RaLcMAH@2?JnNw0HeO|fzZDA}TV zO+9Bet}0}dJULT{|KW@hDho~_5K@suCpDf&polTGF5 zQy?Fuc+#kRg!0jf8-C^Ul#fx|d@Juc6>`1eMp)UEYkr*K=27_?*W$5?3%_z-u95MI z3$pS%w3(o|P%7VN-Y?1&A8_X6CafUE4XyG7?nTEbZdjEAIDt-($ydo=FUy^%US!_& zoJ1$7LFnhoRjkj+YBl8<9HIsp*2)q_{Gss_W2G z6^G&;Ja?M3=J#WBr%Nwd60$gVhT^@XL7a0l#A>zNiRI1|WsUso zc~aN1k_JwxH~=uPCnGjQWQC z4pbMya4(5Lx7QIkPpZ9sfX=Y@`W+hOSQXFWOcxGQ^w#q_bVpSIIZ<;!}qsMKSTI!~NACxUwZaby%a`fnwrQhX*JNu1$REaFi5p;!}sCWvm8R zy|2P%wnBvmsqYVf^VhKlhP8@MIV_1!9e!Rti3IdDmRER;+5#o3T`J>6$?JdDN0weub;t038$+)pj7J#xBv*x5v4|7MrDqe;d!D9P#pN`d3U@sxE`ls8gpxStd%8?uRuJUaPK0${c+Y+p}C; zH|s1NT&EsFN{b%IJl|43g|b9*?S(7V@1ZQ$_tW(%)p<{@46elR+md49Q-?Q+vPRd^ z>{hiW%+_iy#_%>Z7Rm9C}@JTgjFT|A5 z+@-?j)yYt{YHmm2Z`7?&wrTE1;h)s=P~I!_pzHV42T&|k`1NFHAByIw!b&>#>|RwE zA=QW5WSFt5q2*O!4AXqkimLE=_I@|}Fr-zf!ZX+-!XEYs(5h8I9@_P^o1oRG!tc5E zD(st~)v5yHQ-^!mk3p+bg>K!T4Ypr_R<8;z?Dk={y*GwR6+$*=xIGG5gDNbbcBnlU zTB9nQL2bOf9$J$syvUk0*lf`pyjf$5ris?93fx-58TOm7Y*B@m9cXjx%s_cVc01Nj zIM=R*wp>|#IZ69*my^>bb{$UVzMQT7xI>kWZsJkSRCAQuT0edu!)r|9ye%D2ko$7( zmTh6yjYm0EOD?M*SK$KEs^dX^z>3Q@?H#}*ZiAe&{b*xc$?{5j<4eh$#pPsVJg1bx zSzIdU%F;njVB;uxZ@UUd`*J#$li=wQ9_73?M;}KWaFnQR6=hCxlkzR>8vS@Vh~z&p z6DPJwdIAUg@w8t`*GTHixt>U8)_!6cIT=hNt^v7nF-g4kGdJX79$Ai~<=P!tN<#S~ z&M1{B)u=}5{Z%^R;xa`_mD?}!F`QcjOf^XVBVL@ zddGQosq9nfhdV-BKjjjW<8>D-Wgc>swavm?CsgfMbjiKLtRg<%Fr&+}9?}83Sbe#h znEYI7I9kMkDxR0Pmq-c@j-)BK6Jz=~l5uow$&_1*xW>WBJV=9Y#O5O1NL6O{KXC$s z;oB&-VZdHlosG6^rlDT&!oJ$EZ zwDQq0j>GY zIMUPJ*~k$*jU)UYALV0ciBBEQb6!D0=bz!D=Si^5hRsd}*L>!h?IL+m6J4`iED0Wp zrgg=oDOBu5hi_rgSY%0iaurC#Iqd0Yb@D0#+6mvNn> zy}g;|HxR!9VebPW%(JbE4|sB$?JmHvo|KE>x$Z_N`nE1eIM4kxWJ~i&XE;Y*teE)J z;rT8XLe^Yxe#LzSW_hbGt>rY$sY7{90Lpqulcf$D4s&~HJx1*{n9!GubZ9xOC|>ZPYSupJ z5!c%LO7x{cr|ZykqSMoUV%*P;+`sh~cr(F@Z4<26c2nQN#f@zKTeHZbdFAZOmd zfN%ERdECbA=`{hBe3y;1bayO7?{D`*^z?pM*xrYfv-)gQ$oo6xy#5*-c>kbW)F+`O z-ajc<`S+q8o~5W%`(M{klqfa+M)Zkii&E<^L7AQ_N}c~a&VODfQR@BIk<;re$|V0P z*2Gi)gmr_z+W;tCR3~&+qyHG|r4=jO(Okyvo4f62Ia^CS~*#?h-(D`B?8@8v@oqBw@j{R%2WMOooj zQ5h!6YJV7&YEjnsBdP4GI6Q0pVWXhzCw1H4KhBDdP!%ZWF)LWs8QM29MGQmUTfs)^ zBiKnRDO!3w-K=7BJw3S*pSPTe!&!95GweO@2n<12P9;`5dk6#`(Jrp%UEXjLoll877@u}rx%Jy7Q0I?R;248D1Rv| zb5?o*Gd#zn-aOKk!+$RXsg(k)jq)=$uB6pZF$kFzbkN<$TF9*AtnO~p;1)3;W}UfP z42W50?xBHWcUI|f(6a7){BbTpJ^j58 zKree9Uo-a*sy7(t90z4LP`$wy9MgLasa}8JbR6%*M9-Uv&)p5x8!WUL6wTj^;)5&f z`>`Y~pHwdtZaA)gH9mtY?eB05{pZl4;40gJgRD=gH&`r6&L`Cye9dM7d7o5oaJ9|V zTJ&E)mj}y48Q@=peh99SJXJoa-r#zhy)xJzgq*>*?B}rrs(n(u!3{PiTb=LYP;jFt z^**WIV1+oCQoX@< zMOo{U>J1(gWrI(uH&`pmMxRt~@I6sB`J{S-?~Ah8C)FD~B+3?_RBy0O%Gv6Z>J1*2 zlx_a`%(Grn-t$TI20swx!#t_pV1xYwSX(MjsyBEW8$Qs@?2(!Va#4BsywOQ;K!m>srGX{fPvRo`hO+_B|uoi7HR@DtJ~(Xi#}l zy}>3)YgBo@mkxd^+6DZ+8mW9)f>Dd+FX?<)f@cA z-h!Gnt30XRV2k}Ov=)^o)f@cQ9*Uk=qVlAAgO{a6%T=CKZ}5uLY=z2`>J5Hp_uHFP zZ=O_d@T#P(QF&6m!E2JXR^^{#+V3T8gUa`=gtpbbADy*P+Rl^e4gN0sN;!rxEz2>Csny0YjH#7l7|X6> z=SlSjd1nxO*4uefy+I$*CfRvXy+P682GJ9c4t;Noh>J9c2ZIPWP)f)^K zZHb*H)f&5{K;y@t-yASR{R#_eT#w%2f`(nOXGt3MW|XybYzw|1*bdiFm8_S8)9;bbqdv@06JHFs$ z>43cdOf8gGL@D~DdV}9d$yNS-ocpiJ5LElp+}EVJHU2>Ex7(c8vAxv#qJ9$ptVIhOd{Vu^-<@W(y3r@q8z@(v>-y)jI1^ zGNP>Z$$SM`lr=u7-oO`St-mK1Paw($KZ;?@^|;ZGVi;3-+E2zX_Q$gia#HKfzQtzu z5C>cQ*;IBDWvk_o>h*`dhhttlF^tV%?&l^J%6{%_G|@HPAH^^>Yh$F#dSrc4y+Mu3 zy(MSX(*Z8mQ{E@l8;o}EM@rG>xATGnMXBn3LCK!ih|eBE^#)U2u0+$((~=!ME!okHu36Tzi|{$!fumkxN?s2=W#YSxnIO`sPYMt1!ub~x!Q6__4?I+hLUE%p7%aJJ5lc;RBv#l z%agmQ(Bfo;7AGsTB&yJK?qf?`iDB#~V;K8U4CB9G+bqQ}=9H-NU*;HG>uyBnR{NxS zgKxV08cdB(syDb!lvQ%RAKupHy#f ziztmgsovmLQJQ>Gy}@cx=9um5c9+{(v+uF5?s9*^vGz&z25a0ik+Q^e+`aA#NLlU^ zJ`C;?WrgLC>h<>-hK};Q5%}yORB!N*EI8A54=4NX;bh;fkNS>OZ}0=jlJ!aT1{+-7 z4CZ`Ny}={yaZvI;5xn4sGXIK}GadEt_v1=)c)R5f=k<$o1akW$7}Lrj)$7-YNUGOA zKx|3%`lCc7)$5NI15&;Ifg-Nsyf{ciQoa7cZ=w&Jv$3!Ghlyyxxj$Askm~i16p>V~ zf0Se+)$1Q4BB@?~oEQve&5jq*#4r|-PpV7|W5>iWmZPM4{nO+QlvJ;Oh8!i;>(3C8 zRIh)g6v3D8{%ju2OhGo!|LXIowtVfvpUeH((#`nrFW~m|rij^B=U+S@CYn^Qf61ZZliR#MpS!##-`(&prJR+oZuko* z=jEFl{$-Sl^2H6mg>sdAZ^K_mxmrTe`2-3a_D*TFW8T>)*f%rdb8g zV=m8Q*La?}d43&B)*;o~Rk*;+Vx%=@EAp82npAJLKSfL5fQDxWP|j;oz1d32)%p$; zksZ<(!(OLJ^=5~XSE|>fdb7iZLY|~a^=7MS(_nS&jz2V!#|}2?ai`RbsSc^$aQHz; z%?Q;Sj^sI3lj;rkzZ2Dn@Xs1)hv7mGpqw?h{3x2|&9h&Ti<(q#SUVp!Rr*=9Av}00 zT2(E)Rd^_G&uav?3dc~c*Q9#G!|1b7lj;o*r`&{k4o7-dDz8C^YM=Q&^n5tBKXPgL zPUKNs^p=)y=^ahk)f3pNV_1DppMs?l)>F<}&O`VUj-xw=RBw3f0dSKMsyCc)I$e_L z4JUpDpV71KNyZH~DU{Sv_wkJ{?lM;WYjkvd3^~THu03uY`!Qki{>qQB*$pB z?0C8cV;O##@-n>zpW%7jvX@&u)@e-UprDxDT&?v{uOZ3uN%aPOMe%%6y+ObK!`_>R zNl~4B|8=TrpoXsMK1G2x(hNNaabz78bVQBgnlWy;4=Ty1sHhl6C6UB!#3e&S7DdHq z6kHH@T#}HuVNl~PNlc>A7>q_qj1l7+#rXSv@4LFY2Jm_E{GRuZ_j)h9E{k)Y2#jV;9`8{e4YhWz46&{7NKGI91c|wKE$3n z_c~-jPw}4y$rA)D*vc z4{5=bESLINpgyQ>jIUB3RQ1L`6d&5Ms~Ijk9#y^ZHD86F!PDdGJUqtg*)7VP-Sz5^ zs^0hpE&&_%#(isiBg0L)G;U(JHSFLVT}mRe?;r2S~UKJ)=#x)yh7`zay5Qb>!)%xek`q@ zs^0kV*{t8jPmW>z1L)&vt-qDi^cikhf#Xrt8$X*z9^_qlL^bcPGkJfL=B27PeqlWG zHpRccjd^8$y`*{h7!?13ZAfSB%M9C)s^0h&j(st_om=4_8IO5FRd4+2arD;_zcv`R zPde{jPwVE2c!T8vt>-U{x1r3tH|Zn&4dq+xr?SqO)eN_U(l>u)o(ZA!&EFVqcRZ?k zy&eNSL>8{vWD{{(6+p%b;`W|XQs~gGj)ETb;>w>sCCLXeU#Sul@n3t z$HP(A;P&`muUF6WGp$qVU(0Y1%6#~o{%k1o;ol6W>){KATdUyWYvE`}TkM(fxXFxU zgzJpBl!wr`mtp=OqN+FUEk-%wB`^*Qd$lDx7RR_=K1RSTRlRW^^Df=mI5H>FEuWp^ zg6xU7MdL+Hzon$A-Z(aUBAcjs<9V-~Fwx~_0 z>V-~F=Du98pc7onlT%f1yra2`h0F0*&G12$#{cZg2B0!=j$s$M9k!s@`~C!;6NfdgJ{J zH+)g`LZ-;gS5&?61jCI`RK4*5hMR||dgFr(7rv-^p=9KO6jg70h~YvJRd0N#OmR{5 z#_fh1ny7jqcI1X7s^0hrnS7$^jgK_n!PtqaH=bl3XIPYl-!!}7WJT2*cgV1csu$p5 zj*_T)bnf=&b&t>W!xuJ|)T%V|=3Yq9dPs;*-o` z%!!5aBo@wW^gWtT-%^~R@|#aLv^9@Mii2%;*l(olw==_jKOUBnUZu<%Y;}``{L4=S}c2s=ugu^8@9fE~2V8 zKSPvw1^sCx6m%u{T$sCx6~ z`2U0)Ngy^rTTJoE?nn#kg*!- zY^ti>{LbbO+*?Le_2yd*-*Pz7qGMou-5iSq^fitB&6zN4L{)G8U@?jjRlWI%<_e^g zBdU7y$C#U8G(}YP=1(vW!f1}D>dl`nMoUChZ+^OD!}~-`q5L`KIb>^#uoRs91(Gr$ zqN+DP)BF`F?GaVI`8g&S%J+#>_2%c9Auy&yRQ2ZPi_sZT)tkT4Y=@NT5mmkU1>(9Z zqN+E4wK)_i-O+HC`H`6lV{XK?mtSONz*rDb)tkTGEP}BxqN+E4gQP5pD6r4pD8|x= zs^0v~<`HCD7E#rkzr|1)x;)}elwWG>Fs=-$dhwVHHi0s@{AgR@oH4&ff3qu0mRqDN@y&@9%yDtJxIgrQHBGw*@Z0 zrbtz9zR_)k)mjA?Q_9$8id6OHN4n?0nqZ1l_2$R8KZ4bc?{hqzvF>kSb(kVmz4_hT zO`9-Srbtz9{$O_^tWHy;syE-^@{FeA%NozPnVZ5WHJS<}9uyqhKBp zQjoK_Qc+VSk`q`R@lK?2W3Urq2XQ*rlHln`#&TY3?Cod+VoyUv6T_V3n$m*NY*?$w z-7Lh3tx3GdH}JAwNxzxtqJoybm2?(usD@C-FPVvJKpLn?y!X=wa><@n_jl$z=*x0S zLhVp3D2=JKs5e>{1e?IeATBPgQkuNsD!<8`TzMPJS*uM#8?JIDU2wgsi&{OkP|t#B z;4>`4W&P!4{-e4bxERD8VO>A98nffohMY2;xXRWo!bc}G?F_o)-l40AuQzn`dDTPu z)lBDZqUE{N5PLr&n(jrAdx@kV@>k5t?L@PtbMDPbR&Fig8d=vek{QM_l7R*Zji)KI zn=dMn@ik1(AeaLq2x274>2M>`LfM6|CzI)lJCi2!jeA4tiHc|`&8;evoHp}i5f@8-`-1x8f$ z=4XibB8aH!&7UuZji~C)Um!-R>djy1{tDh8n3JKVvmjtw3Y-!A3N7#F>YRe-JC1xa z-W4sWL#_}d3dbV{|4(z_vovBw)tf)pI|m7MC*#mxRK58wZ!rwLXFE^6)u#7sGbAB^ zQ|1;`Z~kR(>rhS>F^ET{6>#xN#K`MI-e^k2O~^5<%zPK&<|hdLDP{290b#C_AfS#~ zByRnOL)FVusHl4L)BHxn^%qrd{@eaBFe0jY^Jn-JIXe+mz4_@rr)m&&c`&}~^ZH`- zhVy&=ImlLY1~Io>#u}I^gNK^w4^OXhd3FKg;LWtRP_1>wbYl5U^MfP-I>A8ytp@8I3!W602QT&uXq2aa0`>`CvjIUDK5oj28S-WbV`413H$T`HPA3)N6G`-fCC%bR!p z_vCvh(bk5;i+FYKc;B(SIEML zxOllu39J7Rt8j9Zu=<~^K(ImN7QPI||2eYoRq$3N3lo^TVX`oLuu2xDQ6&r0sFHMmAcH`IqpKy@5Boy2a3Es2J6wik+b@W2I=|{B0}YOr$YKYlD7v1XUuRn-gYBQ?j20*~*!F-1+c(_3 za5J~W2sGICfCk$)C2g5PgKZCJuwCu0z<@oW&|v#lu~sTH*uL%Zvi71vgYDnNTBXon z`;J(v6&h^+A=VmGf-lFuE7m6p4Yq5+X+pZ&qlq?Eww8J)pt12Q=9BfCk$h&|q8i4uQ`J3Jtb{#A*lsOW z3Jtc~h?PQv?O3tqDm2)R6Dx%V+ik^KpwM8uoyU2WLW6CKSSd8vj`uhxmMS#Z?&KYT zo>->PVEa|?Bv>gl*zV#*!;m$F1{X$r%3F%S!NZqAgY6;StuXW|bC{R8%4DIzcB1zb z-f1W_*dFeki5e6dY>$*iD>T?Y&)gB3Jtc?mzO8 ztJwx-p}}^QcQOWAp~3bw?=Tpu)v#G;u+2h)?Hf|FLWAvJWC#=*Y~PgARcNqX<0beO z*DBCp`@WpJLWAvx-fZk!3JtcOc+~zVG}wOT{TXMd&|v$y$3MfZ&|qu))kslju=RZ| z4uuBWI)4|mTA{(Vm%k4Tg$CO!G}vaL!8QvGwpnPf%|e5LI~m2PF3@0nC843vV4H;o z+k(&asL)_*#ZYLljeX7%g$CPx(rSeU+blHL_J9T#Mi0Tj;m=m#m_mc?Rz4+yI+)w| znT3*t2HSDI&NfQ#?Y7bmg$CO!G}vzMb3G|E*zVwSH7hjO?j(jngKew-5V9#W*zV$Q zGJ;dj!Ip2c&|tf#KLjZX4Yqqp8HEPhuS*$)2HPw&*zV`QyCp}K@?v{{oSQ;}?LmGM zyeTx;9wM$48f*{qMZQC?h_##n_07tVCBc?dMPa2EGxC)b5T;cRZtDKxln z&dV@7X6zpn=8wU@0u3%)!A(=3!G#43ItmRg%(#<2DK9RZ&&!rVg9{gMUsh;v;X;NL z8eF)DVTA@4zR$2ig9{mGa3KQ?F8qMaS7>nIVup9z)S|*xg$5U{Wdl7np#lwN*VG64 z@SI>g1R5OwaCcOt(BQs}{N1uZgZpmIpd--WzC#&SXmHI#XzH zl7R*%+iTBpVI||4U7^7mR%mdNfd(hL%*F^PG&tFfkLL;vPIhNlp~1->^r_I`WKV_( z4gLmv3pChr=F59lr_axkSWNcjPizGmob0QwPAM-=GSJ{;e>PvC!N~-MQ)qB<0Nn{R zI63GT1Qi;b976f4K!cORZbZ-#XmHZ5ZYVEKCh|4|C|o8F=6sVsu7{SgXnoL*qd=D@;m5*^5W!5mP>ssP#=_8CRgdyr_3|SK!cO38DCataB|HQ`kS6yHwp?c zJ-bDjv%6mXQC^(fz$Kv2;N(Vz6_T3V#Bi%XgOkPdt%Zd;)-Qc>SEf&vX6nB?Q~y1g`tQxu&v%QJ`hT3M|0fJ9v^e=G!+;i3UYsmzLA^Nt z`$s_~r~Ub0ravFj`j@sM>%&_AQw%T9)c=UqPuXgcfd(flw0=rxlSj3FN@$bE()uYc zP99&z`fc*$MAlF7Y4Wtz-^wZb47aQl8k{`af;`B(^1^D~UuW|ECe2HEaq_}s=50!T z|6AszG&Xrj^HN@%WT3&x%WRKAgOgV{_6iM7ve4k<)w%T7k-WASq)*yUucvim1}AT@ zoI-<>zc5~*!O5HStOLCK!cONF@FjTPTpRnb-wc;>y&eNSL>8{ zvWD|Sp~1;}TBpqW3^X`-KU3!iTBnTDhpcBpj`HHWsJypKC>py$tg! zG&q4m1cpL`lfbZ76&jrMfCeXh%m8>BVw1?sr(4R4lY;Dt3Jp$rK!cOmbRwI`i<5p* zWJo#L)bPGn%MM7(QeK>7p~1;O$)(WXq-5kF3)c@`QAA#x3^KQ~EltT_!^ao(*O>a_ zt$Q*=421?KS!i%FREj7xI2mS+NBJ_GKY;UYpfQ;D{DXPdGMK(AyYs#Xi@Z45(yV3W z#bkuJ6_LXIO-7}a%T&)ogOf3a*Y{LjoHU#7qRfyW+1fl?Ej(5WtGqZFXMVyg#bi6v zrvcv@C@)U7H?lMak9JF1hsFzFxk_bgKy#@FHYLbMYy1dyg1p*JkKyieaYTt0A{Jki<5l} zFE%1CPWCmtXo$Qx+0Ssp7kP2Azv1R9^5SHI;YKL(;^Y9s%|qnH$w7t-U*yHf!G;S` zR?{A}>xR8g5u3FHVk-$tUvS1<n> z7SwGU#87Ck9V{;;6dG*H@;*SJ!M0If4hS^Z4)Obp;EwV<8fQ26`BIew`T%a1~A+a+%s9O+Pdo;+z+ zp}}^z*$o;0YiO_?W9Utx!FH@!M%R=V+i_+E&O@QWc3UwN8f>>ScX4G4G}yKn{%%mA z!FGG|7z~95+woF8US8mF%dP_rwmX}1hr&7K#kSS(Er%n}VEc752MG!dw)>l#U??=$ z9xR4JgY87K94QJ7w#S%X!BA+hJ;A&ML!rU;bTJefY^O^$yifGu^BnUDvMDs!ULYw7 z4Yo5)G7MKnfd<<-W@{J<4Yu>lJ}?v-Z0C!i&|rI|ISMHX4Ymu!wL*jK)usz63JtbD zGFQM*Xs}&mZik`JV0*oJ8iqoH?G2Km&|rI`7)u2jY;QJiBb!2l?JdTBg)4(QkzH!W z!%%3j{i!)0hC+kw3Udbxg$CQF%o-R94Yt2CL!qQnXt4d0nF>Rp!S)?N*L*bZ>V z!D>-xux)ftgw?9hV7rAo6IPo-gY8In39JbU4Yp(4U&3lvXs{jYegUgPp}}@Hcb6?O zSPBic2fOFP>Qrd3?QnTU(-j(Qj}xm)p}}^l`xE5sR%o!D?o!e<*OVwPwr9B?!h*cG zfs=IbfHDreY+~2pbZ+2m9n2l75_>sgIa4*3+uC3rP~bKuao$!U9%lsSZY?je{hqO$ zsuh>-Al!5yT=3J#VEH~$A8^?MdC4ShgPgNU1(wFh@~RI8AXbt&i)+a!*iuR1EUr{^ zU?q|hSlg6+wmlxP4V=!kBzU@rv7FZ$`vBUBSh9$l80I9`l%ugxR1m!Pun;Gu^5CbnYfvo=Xj- z{(^`mUYEF+ND3l9V^(e_nl+ts77>z_TZ_0x)~_&<8NN}?E}_XZWpZN>2KB+u z1)smU6gdYV%y&8;evoHp~$N;3DZjB}|$hE@(ehY3x4 zwo;C}T&A4luFb?+x!O=M1P^s6D5u4paa|9xvev12Jr7^2c;Jq?u7_I9*{LzJQiR*8 z7D;!f2P!H2vQtYLOcSjgSLX3|FccbWyWE}dJx|}wpXdG&$xcXlv7Mn30D%VE^TkkT zu)RQxR9I1eV&l$n5(DHr`&|p3j@tbjKgHnwW`FV)p|J7K& zh8B6TJ=a@;gkD$TpwM93<*kIF_iX3Mhnn=BZH6Qe8qC}xFSajx{0fVcMN9@7YzO$C zB1T>x@GEMkp~3dMJ{N*QgYEbHCCCP7FmuagY%o)0@K7`T;ptV5tIOz+ zI}uc9u)WZK0fs<>?M$DmA7@W_vHgMnGo*;T*v|6#HLE^g(|i3L2mE1rIj&;F=Qt=d z*#6kx9FOG!4Yoh^DRYC1GcaN8GCx4d@RLUK28OL$S0HSzc?KCq@OIjicx|TI_$hRz zY2a1_CGseOfL}qTdawCk;@TPQuH-GN}{mRNPp*PCkM`;}00)+fYXuH0>RlKYps=cUD{$cOgL_ z;J`Fgy$d)n4OQ>vv+CV^R=u0gs&@egW*JrQ0uD?=)w_TL(@^zpKC9l%XVtra12dbd zck@~GZa%Bt1ss^!RK1(es(15Q^=|%dVaBR@7jR&fQS~n1z%*373pg+hRqp~0%-&fV zrf^^y%fb{6Ok;VN!hvZ#5q=YU1mM6lR)#4Yn8u4?3J0dKDoo+PtVh+mfCDpSP552h z+5-;E6jkp64ou^J4F{%^8XTAkiEkIIS6Tr1#T{P0qTVgW zxL?ax)Vsw=A*_mex7Y|#O}?Vu{ZHV)+|xR$a9~fL+_2M)7v;P8Fi z)mPxaVJn{F00-te=yKc|9JqdcIIuQ7g#&BTv+CUn99aI~VFeBx3gkG21BY2Su&8${ zaNsb71M_m*94_R}n!@II!qJ00(9V zD6R@{U>fBxg#&Y0s(Kf2U=D$*ck?M6I2_LXHiZL=dba`x4zqCJFbf9`vvA-r3kMFf zaNzK}t#F;ffrUL;fdhwKXjBCb9Hww!wz^xek$?l!m@C{!z=3Hj5O5^mz%&*LITCPS z8cPHn2{y{UR=u0gs(16T&tHkFdba`x4xI=M&8l|+2d1~x zj$4BR<7FRrHr+1%DJ3kMFfaNsZt2M$v>Fw1m=Svat$cPnsU`GcAj zIB=MS1Bd@RI51DC1_x#<%J@CO6m0kk2d-Zq4y+xW!hyA;v+CV^3I`6Sl`)bj95@_L zBZULYpBDujnAbK{?^fWz@};f{2XfH()INXj;G$|Zd)Vmcpu#h~fa9~mIMm6fNZO-i>PN-KeJCRXDIq z^{&E!UA|q5YURp8cy9x)EmMI*VugqMkccYqmH>#<36%Onw9GJyay{m9w zQSYX3;9M6*u>uEnYj9xiKY#Yj9wXaNwTmU43{a99Y!5g^YSv;lQHaP2s?z-c8}axeOdQcN;G7 zHlyB6;lQ~J95|>;bI-LRkHUfFZ`7x7;GDvNIWnr=jjQTi!huD-OPX)mdR6Z#99Y!5 z@xEO2PLB8UaYnrxXVklKR=ulmU{UWX99Y%63I`VTZVCq$^=_O|?`{ANoPL@i9JpTK zz@pw&IIz5aCr?+JUV{URdN;1AcjJtDH(n18oXfz0bNoR-oKf$_8TD?QQSZj7dbbB0 zSnt-d>RrHrse4iNF5tlO5EZ6yV0LQ}XVnjnKce342?rMSZk$o?#u@c)PdISeNt8H? zdbcMWSoE!NM!g#=95|fN4jVC|E;GJT?OV69)_z*@h;fwg{x18e;m^=_O|@5UMR zZk$!`_Jjj#e<~bU`%~e-xuva`$_fY0J;kuXfwg{x1Lp)f`wPy1c2R}K8TD@bsBRew z2WA-R;q~FbqTWs6z&WaSD{x?UeK@e@RXDKbP2s@q`fy-97li|hwmiHJm4^-i;Lwtn)sj-i;LwtaU0JSjQ=&-i@>B-JWn@Jx_%LYyAob*7=}tV4V*N z2iAK2D>$&?pHn!nsCO%HV1*{9aNsb719K&+dKYkDc1`Ps;lT3j3^=fCUSSFc=AQT; zz=5@FR=o>2FzW(48XpfUaNw{99Jv0=;lS!ItKJ11m+)@cQbHc z-he3_INhDU6b>u`S-^p{BZPyURqy`Sa9}OmL%sWDaA4V@DsW(qlB#zB2WHQG2^?7c zXVtrLR=pc%)w^*I^=`bo*$4;zKLQ7qC&mgKIJ^vlRe=M`lUM}~tba`+g#+s!MNZ+s zVHOT7Z@?;W;BXbaW!1ZY1M@k9>Rn2TMZMd%rrzx=tG=KsIpz@pv-9GIu3>RrHrX{dU)0tXiLZUqh;X5qjx*!dI=Eb3jr zf!U;W;lQHa1squ3;EQ^<0tXJ8kHHzFaNy9Vk-~w)8OOp%;lN=Q4jg9Tz+n~+9Dbkg z6H_>_sCO%H;1J^YDjYbR%z9Edu&8${aNsZt2M)7v;4ljZ4zqCJFbf9`hqKiw95~Fv zfx|2uILyLK2sObQ1MTiLc04jg9Tz@px*z=6Xo95~Fvfx|2uILyLA2 zgaZ?G+5JC*1B21FIS8d^;-H9AewM&q^rdj%`gP&Jkhs#rI&k3nb>YDE!3ty(_3rDe zj0e;+yUe4&fw>J5Xf1!BTpJ_Ht6t&2OdirB83hFn%#<1&81M(=5_R99%+8k-icd{% z40b~7x^Q67KNvfsS|H6UaA1ZPRZ|2G%quvmUk47%i+lqw`weOO&2(CW14}xS8mb{U z`6V+69GDeplF&^BvnttBcQrWhhH@S>45e#_YC&mCrA4aVt-*oCku-V3Ro39Z8_HR$ zO+p*4vIYkh7qxn7p`HcNC(qzq6b}5QW&WeOYjEIo{M2g9j+elJIh`)2;&`1R0tc@D zayYQOwyDp+fu+2_fkD54Ly_bP9GEFM%NiV5vZk>D2VQ{;H8^m+!hxAVkie2%LhHbR z>je&6FL2;`g#+_+zDb#|6p}fWRwQs>h6N5R$u&4|eIRgPmKHd0EjcYMa9}3?wx@Hc zL55ZiJ%y*n*4S@r*h`@oR(sXwcI51NL4qQ!9I56bY_)QjGQKF(AaA18mpTdDfy$d)nzX}AR z-US?(hN^b~2d2>z4qPvA;QD}Y;8eZK89`07yq`Q}QgY4+#gBimBcmR%X))2d)=5a8LCv z;lQHa6*%xt82WQvr}0yC#D?I&qTa2*fx{FI%)wCgF5ti%B319^Hvk7_s>}qmg>Yaz zy~>er;9l#&fknOh-@<|G1rFScaNv4@1J?^2xR=0zmr*r4Q0C1#dRBAIm=P6O?m!ax zDXXOrms;R@ul#8pPRnsd9faRvn!)U5a)Cuk%N)|Z1;?Wk=VBau=UzO-q$1iUQW5PV zzkx&i7vgfo|1SLqZZ?W&<#A!7h<2lh_WylY@J10W{>-naCO3*`H;QO+`P(R>-6*0x z6tiHXh<2lhHiN+3D5BjcqQxJR6V2a75iS0ZqEAt}B5?Cr1nx!=ZGN)+&C87QdVt=J|uifEm9qlgwimrw+5yir6uq$wV329@yTjyT?Uqlgxru%o#P9WtaGf7J{h zgr3xG4$~#!lMsKDxq)Gi z%KM|uDu$`si;t1sbp(MMPd3}4s|A4@A8)2MK;N(%eo*;hJjGD+vQb3KpO|hG(Gr2X zQAE2@MC(%c)?|v$VUNi7cZuh0HpR1!fi=LT(habhw}>KXbX#Gyn&R2LU~S=2yVhok zeJLaw=~AjT!4$i(HRZ>+RHn59a)Zq*Kh~uXt-};AqP3e#dD#?GJc-u9E~R3frugz? zSRF3qebY_x@uOfJCsvm!{tH`NeyY1sM2jz>8%4CLr0uC3+$f^O)MyZqWKV5!57F~R z5iPc{266+ij5dmBH;QQUUEX47SvQJk@rdwR4ocMgh5oJ3#Qq-;(Y{3yZ5>6l>*`s} zHQ$BwRoS+6sfc#OT4wLX>|ooDAdcJgo{pA)dpm-XVG}%nRqM`cRidN1HVrq{Vh^Y-Dn4C7_W zb?XknJ(70?^ERD~7~iiu;ak(3I)=SNbBNw@2WAZFj)UR3xHET0?#jfs>2cNt4DO4~ z%e{obUP15M@lO!^3`f*=5$daF*js=qyv=Z9<#_v{e!n<@!FD+HH~TJwK3w|)FJ|!9 z&`;MLC9bJ1?)4%otY3=*+Hn-yaT^Z3FPC=gxebC>Y9o7JhDHU!4#2_mZpNWc5G-fW z9TCpG?c+%^`0$r5X3=JcAm2wkNheHtBmCLD2nOLccxx1_W!Q$NqCvsu3>U*o;UM@o z!{u-i&LsGP;il+rv?Fi~jppcb++YSqjF#vL^hw}~(HhM~oq;b#Tl72p06(Y`V?y*- zlni=_(H_laO9Jx+a(6_V4299h)SQR= zG)9ZDFlwSPMvNuV7#huDERD9Mv9;mwEQ?6d4YrZCEssdi4aS*9)bps5pO0x8{4iI> zFcgD@Jjw8D?4(5uI^n@|b3IQt2#=bA6#>R_G$nSc zN^Ii9^{A=uPON-q!}z{yc=oLtzLn*6k#N$64zK?{>rD7pA?v#(NF06rObK3y1=?pb zHl(mC>Ys<4{ha!XSl|UF4P;D#!+$pd(kkO54(iWcw}`oZg+a(Iq=O{FTF5QptWI2J zSS%TS!wh#yh5|F(#SGr}(BSZ`#}KT0A8x!~p`qdGmzlH#Q!f15n+UqT{3TpB@Mq>> zS^on3w%Q8*T&jO@ew52g2`Vd`|UnrHfN6*0xn6~tGWV8}90ZdyO9npOBESR=5 zCPxnDKA5&NrbJJ()i;STHToML9T$ty8Qn_bW-+Ekhq0a|VoZ+?z@z}vmJZH}KEM%7 zTN+(aBg@<-#s$%*Xgip;OzDnZ#o&W!OJi2l+5zKEG3G}6gN$I>GG%_$$ZSj9Jd6d= ziOn!lrfqa8z1<_(Zj6rQ`P?hUlIZXV#(iSk9?j+X{McphER9m8tuE4(X-i{ylrn8; zJQ1Z#TN*2)lxa)j#VBRk(pVLxOj|Z|b#xmRF_^YYSres9Tc&&xtzaKKEY0~}Gi~Xy z#Ps0af<`$k#m^jtTAnw*69|n zjgU6VyB5PS%`M)G4#|)4-i9^ZEjDw(H+vlEt}4@(R*h*(tJ^L99o>`PMsn7ewoIGr z7GJ?-CqGWoYD`-QtV%(jwLpx409oKKbz;XTegp`1aATcJg-Q z>~V|2i2bU!53JShfKxh8ck;V<|JWQ^J;$ptZG-ihwtAI0%*$M54)gRXBMi=o-fd`a z5D5$RaPLUeV55|2%SIQYv)SfJ(wn6yW!my)p{)Dp2_DC;DUt@C;vEeK%~8sIq_r<*cuqm*g;0j_AR z(RsXWcwf%FEy^-&qm*g;GOlRtQOdMkA>)xUZ7;?>!jvdw+CGVt&gi>rPRg{6KH#|5 z`J3WOb5_)aMpc-$QOdOCDRf77)*~hGY0QnD;q|j#j0MrmZ@}my#=__@ddrEiBzlQA z2cZ~CqYGIk5@T8P6)v8<7|WwqkAzY1ISrqPjynQ|72}zx-$WQG(>6MoebCS6l72CA zxW4;~gH_Qq8k>l*+VN^k+h7AsTOG`8{LDhx#^?O-wfj@1t*(u2r5!f9o^z_j=ibsU zN}0A?PsJ!@+TM$la`a1Xjyw4@nxan5-B$lPWNVI6rtQb*{+7t$nC~IYZH-c?WhO)^)0So0qm*gO?(c|FrtM0+U7r#yVz(S1yxz`8-s~Ub*TdWNC}rBxbyt)! zZ3{5Eix;xPCi+b!tShhh6}IOH|9hAL)+=7mJI6`>DX{u^#l5*(b;v2zn6_+189P#q zX&bE1wAGG2F5A(^Wji`$+D6mLs3B$AM&oIm==02qQS(?BQ+>HcMd$KPsM9|R%b_VE zD6-15^=eGpdcJZ9glSv19@ADEG$-4jIoSrKOxq~Sw2e}x?cJ!o7(Me%RC}5KDL%B8 zH#uQ4_79m7O;O6UJI-!j&<}) zZktQ}laVr4JMM1(4WulHio99AM~sDzS7X`+>oaY&?;gzd-GkY_OPRJ&mT4PhnYPhV zUIZWUCrU>WKnbQT=U>_JPCz@NZMghB{t1z2EYIBg8rF|8ZN0rh1Y2&wpnJ-+mAuNd zm0*o&>(!XH-UFyonYNxXZQny5c&B21M|()nfyZcX@h}Z*AlgTQr=W4lwDoFCTThv` zl3^@ccDMxZU=>G5@ELlTB#l(2t;8zRR-QnWX)CeAc|ubqxCU!onYP}hV-P%DVij-8 zVD1?B4Wct&L&ph!JjL6FT{xKv-qusR?E}bD&y1S|cuIrgf!si&EBM)9;LDQ`T)?1{ zD`O(IMKk6gOL#Cg_7ra`6!Yi;PRT$Z=Fx==TVa?-7cpEEgn9IRhRZ@QkGdId5`cL$ zli_Belt({c^IJl0=+VUt?^t>dZEJNr#oMxh^=v{Auv8GRmx4f_qlvfmhVO(|0|Lm* z%|Y4}+qgLvK=@5~v70mKgx8{X>`;b_q2g^BZVnZ1+koL|3s1pY0Xv#6rY3}nx7{A$ z_E7P*%+ui%68ys~@&>|1JANuHW2(0`9K>V4j?~-^SWVO8ZF#v34@aBg?QTaioKPsE zEpi?LNR78=*eb1TJhK-SVw?%?hWPY4xnOP^E1 z@1t+xJsIvqJ9kEUfyR3Xq^-~W9Ck6@do;#5e4g3&<@$F*#oIFMhllX2_Gj~h@M!EM z@dSqP*TC+_Kk)%{=iQ1!e9-Q2lbeDOEXId?n=bhdH$Ln_9Q9AO#qH`w0KOA>$6=j8 zOjZPtbWsqnXb^0VqqhTwFh1@Y1Pd$S58`{RMim7%JYDSBEYkX2+*7dv~}k$7q^teqjs7GX4(3^TSm*#%Jt+;{vCOw`Fm? zaT{dbM3NJ6Sb?{t5k%uQhcQ^*+1V(?+tOPRXT>IaI~)(E(|Iz3i}9J)@hp7Im-uWs zi_kE94u>iTAHvoXpL;8^pr`mxgX9SUmh$qaAhqi>1gXsBEnwKn(Yo+X6n4V?s3E?H z(I7!`bY(eOop`bw*(46WcgN{So_W7|n)Ow%E5bwBC9}6hXE-7M@O?Zd<7qCNmwKAV zaMAJJ;b~o3hq8m4;>%~#hqT~ImP>ssP#=o7RUd*G{h|2KmR-$o+3_wq75=W-i~gp^ z*X8k7TJ-D|WzOz;^{04SE&&@V-j?AeT^ctr+!}UpjxMI}_OK2uif?APBRm=#eY}L> zDdE95##m6&)Kj->lcLU zqgub>ZPWS{Z+jK%xABwXS^ogesHe65R!-ArxMc;7r+C`|$b-BqkE`bWbtdm`(!7ed z-Gh0X;@|&-d1ZdRqrNt6GG{mzcJkI zc#5}uMC*L#TGlD&@UGS=^JER@NuX=sJ*`vb{Xa8xzMrY{1FciW=|ink#_6N9&aa$^ z6Zv=?>Kfc0|LY&s^ZZQfl={~)9E36-KBqq$%6#}Y!|8hXf?;rIm$2U)a|;{|X^TCx z2kti`8R0r3F6DU?cw6QVqOam+FzzizIZE-i?A4a&SR8@3WixAd+fV7%#*z6p-HIBv zAbTS2)_76V&nh*%?E%Qv80C@DPl`YaQ{N$GdpoZMmxk(PkJh;B9w+VIyABfwyImVwB=- z+hCO8oY@D_XdscVfp;wp%vjl-M_U)BQg^4tA6*+nKi!*yQxnj&b7cjcidBysgZAxnKcr zyOt;CH16Gr!aJIuvoMvp;~*E+#T>`7Us?1#ltD~yS9nyrssw#8&_vbsS%3Pk&^r|wKR##P- zdnIyqSMj!Y!WFtDl^cUL#17(gt|h_K@r>n!)mYwL zAogrTG%?Ict|@}IU8~8Lu@EP=Ch?-(z{`F^ntl({IoC7kOlpvvX{KK@6W4$=P?LD? zrw`1Ar5ty;OgYJ2n~Ak@wV`4N9^R~Y;Lf&-+$-E%HW}X`U?V95d=?S`0C~$SXJi!7)Hc*o9p3i ze~6UE6EG6_S^mGUF9ZRbUiT~xLBL``@CYMb#bJ2s7~Gj`#%kA&@#rcSN_Cy!rR$eR7VlaZiBH zdT;64I^+Qx_jJdUS-Cgw_H##_>X=48MSJs>ojYopV}|f)$D6nG+)<}FW^+y>Z{B_9 zjylFML-~Op`rpyhU*g?4P8Fxi|DGA)IAfk-wZnOhapz5NMql&?&BL#o{BKP)&q&QP z#Tk9Y%RT+{Lo?OSXwB2%jK1-eo_SiTd73p(yVHEnA2m;(v@Z3rwWhaWCM`z;*atHE ztW(cEcK(cm?~Gf3p)nVuXTk$8Dz9MuJ^HG;`y840-%RxM|AhYQ9f(4{YLH!OkiB8M zGe$MYE;Yzr(+tGasRp?h!!9+*-j-5SHOMYC$ll1Em`Dw>H|r_}sX_KGVX!x*6#l6X zp2HFKQG@I;+(#6^{)dO-JaSY3`ya6iCr1Ua|Jf4=204C3@z*kJb5sEPpEF#{Q334# zo8fYf3Sj>WhMPhvfPKf%s3L%Aw1iXu`>v$4hExFiz8GyG6~KO-7!$(3BD3F1jP{TU zU_UUIB6mkf1+d@8EPyd3qypFv4IA7UQUUB+F{Xu70Q-H-ZAh6OQUUC5V)lm76;c80 zZ))%u?#u|O0QQT9pPbGQ8;^uhGIzr04yge4hnb%s+uV=}V1JZh4=xBBX^a+QVMqnA zKSqotAr-)WvlvT5DuDg1%_x*v7E%H1ZzFA69#R49k2Ck7o=2T%elJ)*>{G_#Df$a} zk~u1X{Y4BqIVynt>v_6CjtXFZ@eD*`UIs@Yu0Hq!tUL#j%@&C~V>y}<`)DOLaV!qbC1Stltn<+txfqQK> zgRP+GYYe(N!8cv})0bl4UIQ7E=iu*;fHcrJeH}EV_jNln7sbH67t%prih+AC;&kmx zF>vq2l7V92-gim{ih+CIB~zQy`Czj%kk_SjzP@-YgOtwKZ#J7jO6ThbE@Y6>`94QU zF=~i&Z_PuAUQgiQQbU|ueKUj95a<4O4}!jGh<()%`zo*ZRZ8qqWglKHD~&4q@JcSj zenE82E*QbwRv7Q-T1Gj!K@49ftJLWqL|1hn!zQa4d9?)lF}RQekLfKceYm-)yXxlV zjGHA@H`m`xHz%>|jpAk~gSQ+{H+{Z9xH-CVEsRas9%oa!3vz#Ap4%90bI&q-JHy4? zO$^^b-{sr`4ByG{(3~BO@LkN)))K;ds~>Be1=UizppgsT9nQ2C$api zfcgE`q8oJv?pY~0#&KU`i3#+DzY~IEZ~zWPm+I`omQy79TR0Awfr!8!;B~{;45|!! zpvMl!AW@xN7`_0?Q8& zeUUwU0aMJ*b|rU6b+(-&M!%5iY+sm``Hi!m*vI@>N0V|qw+w!KA+vqGw~ z?X6;Tg^et8n-~{_RA<}U#pn*H&bD`mF)M8CfN`f7b3>}L?OkHb4;z_nsrx#*Z$U_P zw!PbZ7ETw2RA<|JB-@Q4)!Fu5F_wf>XWRS4xILsg+x}R(YH3Jyw*841%R;KN?N7y6 z9#Wlcmx=L2NOiXTnHVcWsvX6_^WJ({wv;Czsq}i0H&bCj8)nZC9XVjCDv(=Pv>*3g^#All+ zQJrm{c70T|k16$IJD-u96HJNfZ2PQqd^=jr0eViXBTZ=%&*6Egp~IB!Va}D3HpP@E zDzLv2YpN+dPV3iVb(+#&S>Nx(nr2E5vM*l}Yq}|2g*9hi73(ZhTFSBclUqQ`x=iVp zoNTMyVX(SQ>D{rgUUMg5UClKmK113+%NZ>&rO~YKb!pi`Q#$%sSZ}!d4W~N0M0K|P zi=-_zrNenfZ%W!SQ=&TCz9nhPO^L5Z>}r>nn-HDWn#=`@b?d(!iPTjG~9`@Z`K z3%I2<9L*0TXVER~$3FW|tg>5risk+#yG4^*qJ+bKs(Xi9I-F~At$Q%ez1b~Moozpt zv=+B?D$m_`Gf=~hZYk!udt$Y^rAeHFy~WzyEj4n)A`hD})Y&Dfvu)8k96l$wC0?lQ zAhFur64lwZEH|PZZs{u+9NQ??WVh7D(HtVy6t_fmwjCzcRCwWtHHp>fmZ;9QqdYG6 zX>N(?Y&*u=45vBWEm56qn?3HdU2f?+?3b;?y1*^n#oD(PtJ^J6oo%-fYnEGD%QG4) z)?Bwlb+#QR)_k|LIs0W>u@<-`sx)U`c#cbTc45R9C|)1bp(z8p5z%cA@$Q15SDC}S zKbMhNuQG>uGZFSfs!8oc?|E!LK}dDBJ>2U;4K}1Y+a4*6E{0TR+ey-YrI6}ud$in< zmvtXKLB_5rlm?&TT?7Zsp|rPC+S?IQoo%PdMRIybb+$cA%5*s{)!BuSKSw+3gXL^G z*W3hqkv9Ruq)qS6HoZG-`Yi6bKk#@N4dm}F+Ka`%)$^DoeOe5u&bG6?2xm|Zsm``@ zyv<=Wg;ZzTx#F!kq&nNq^Om6LEdp7x^F1z(Hpe{`{}e_Yf!5$g7RLddh*)D^@k*Fg z+Q3({4SY3i;AO~WS9x4_LCDXr_BHQFP7Kkb*gs1Ls7S!RE=D<|I@`V>H8+J+XWPHX z5HyE!x^K$qwuDq?+cjRXh*$IBd3|8KFX!GCQk`u-^e$r`hE!+UPrNU1ZtWq}+4eK< zEu3LTNOiXT+`9{FbV^8dwl)4cNa+l}+X}39el3la`;Pb0y~M(6jGgSTm2Qt)*MouZFlhpj^NaDIOcmub6Z2Iv+bV#7D#Ce zsm`{0Ntp>D)!FvzQl>qmI@|6mWjaEtv+aKV2U~Jv7cu1kIk!&vd!F_ne-yk;5BdJW z9wM&0LaMXvVg5Eq=`LN!4x8xjGYHexD}9CSIl{k%W8#&r=e(QbUkj_BSE4%GcE~9e zz0${Q-%-+vGIk`YvkPP9p;zmJD{&lfJR(}`WS=WhJNme6M<18%=qYJOPbL=f8Eqh^?8LXhg4_VPX9u@s%a9PqdnDU&CQNWb#|e75bBgI0E`m^d(j@i zw^~c=CH_Gutqq!!ZP1)-gXX3UqOQZv^SP_qkm_uEssCH_Mp4jL_A$=t`-tBy9Z7Yz{e{fGvg1;nUD$@p-=#XcFqUWT zQk`8G_aa*2Qk`9Bks#ICh3zFT)!Bvd5~Mo2u!CfvI=irw1RvnM*ja*9XBT#PkbbGo zF6<#esg>Y4QjF^C!u}GZI=gUyWEjhq9WFttvkON^@ELlTBzdXM zE*vYdRA(2CmuGu^+$fwRu~cUlrb>|N?83=XjFRlaG{*K3Np|7PI*fOYKc6X_#r@gI zb>UDro7=PRxRdGooK-M9X6zpn=8wg{n{d}GT)|Cq6H2lR3mA0zh$Oo(<6iotB)f1v zFI!HIKf)?igS07q8^4BfCnyh?D<0)8yRUw1>aOG$Pz_FG8pBa-Z7TV7*x zlw>E{ah*9iDr1uto=1?Q_AuF=VVmQ^O2#vLF-O6&hRZohvXfT6_)%DLvdd+NY0f>@ zium35c-|s^Mn2h{;R!iPvXedNb4req>|{@dJJG^pkdmj-pEDmlhHFZnpW~lmviE_A z%JJzb*>?tFoE-1xll>U>bChH!`?L8$j*{$T0>jpE@5evM0d(h5lARnh1;IWd$xaTr ziY_V1P7b>TK_|x#6-m3gku#jg+YIY8FnKWN+hFOU`hZ32gGo5Llw>EzEk`iVtKLck zr0E5x-@@dC-LSI@EIBzzic*rDOw}s**&;camv39{JjO{*c@s@6<|xTdI(bRx%5h6c zPGk1&+_oH}X*}V%IZCpV?=U<+w+hGPj0168;0$;GYp`rfhQ;-6ZII#HHdWf&1~Gz= zl59IzUZUENl5E>3?@fz1D>m7sBs-bTlj&DX&RoH>pd>pvTh1a!Np^A$hbqWXlAWCU z9I~LN_)n#iSjwd&JL$Rt!G7iBeBSp7EIGMw1qwSk3Zj#X7#--uljUf2;>mJklQ{S; zCE3Z$KdGl#N7EB!rOE8WF#1l8a?fN=#?xFjFZDE!;iBVGlAT<-CCc_|N-n>LKBNU# zvRvw8f%>2{HMvTCP#T-a4=Re#*GrmLw#7fN4e6|XnPHowDl~b8V_(cs6q@{z@t7x+WGAm)PJbQAYx@nX z^waBU-JCOTuw0<^{Dtv0C-d%2`Y7sl`WE}CtaD~H!!0@Ko4+#8gq-xv-xzLpTuQQ& zxBsGbzVi##Dd+I6)+zI34d+RqWA>ibDf9lHnL6Lk)cJwdDdY5^)+yukQCcS@*~!Nf zQCGkAkU8wG0P2nGc`SpTd%pe>0q}hc6gzRY`W@m}lW|NL%8W6W~!q z_eq@*m-54(q?cj-K&0wPZ!yXtCD}<}*sCq!u{b96@}UTBkK;Db$27p(5Sv8iD!S#1 zrKBKxq7zb*o#=P2RFa*<=G(|7lI*0P6d6)ZHZ{EO)v^Q9vXo>en@L?z?BgCI87R4I zNJ(~5GV+jxZH-qHkz^->%-w8DQ!?1_@kRYLrv7;Ao(vHq2r0=QnfulMcBX)LGn-M8oosJp zi`s1R>CDoZ8V$&Th#7A_}WH4{--8`moN)5h(RHcl4bu99Nq2NB6` zl9EcYlikfgIM{q)vZpy8->O8CowS*YaX}GDcCwdwfnf?Elf6v?W~oTBlYI;?HX_MR z_BFg{h$K7N&v3&RNp`Zo;pQun>|}!BMktc( z3q>T^$)PgEMUtJg8*XSK$xbF3ZdfA8PL7btCz9;sNOKd*KJ*>5d6M~%Vah_2Z<^E4 zZ6e7|I%HTylARnSqa>2-<2Zy7#AFAFKjPEIku#R^?6Z@`jH z!<*$N!c~~H$!RjZSB8{iC#M_UOuv8`JqHcxOG$RW(|Jq72Med4+z`2xWZRwhK;s68 zB-;*@hq{oGY&%HacVM=lZrdP+A5xNS2g^%|K%dFW@;<I4>;rB4T5?9S$bp{R+HY};!1mct1t$+llN^O1nQrm?@d6$aj-(KuL)Vn|80ooF6I zN;#w?+a6lB5BxOQ4 znf1&x#bLNIhLmL6Ic7T;9U&#zcAhx^#*~nfY&&0!&XAI9d!;!JDbqtrvh4zK-4#-j zZLc;LBBeW|B-{STTn%Gx$hBt|nY&>u2r0?7*PE3v7KW5$+Z!ZhNk~byy-|#%VJoxU zY}O##vXGK&dyDChX}3J&PGpywonbr?Qj%?dYPw;p3@ORBE6hDGUJNP8wojQ4VXO*w zpz%Aiuu_i1W2jd;H5XL8^{&f9`c@&0YN|a>VwPFRPL`k*{+&7SB z%^*s$ZO;7^R?(DpXIdmy*_0^Bwtd|~69&nY2urs8-C?krP3bJwFu)xTtHqQEOSX;f zDX>~i>Fi#xws2>|YBMFmlI=+M4pJHF5HbjG^=mKZBjx`@_p?w+uw znE#KxH-WRGDA)gcOVTH&t8y9==s;$2CJPK%2M7>?$grsK$`X_%Y%)NA1cC(2g{YVe z5HNuN8Ho}VF+rAqAOpBW*@C#Ri4hf1`C&xmf{3ClHzMNydEU3XPtW8eOaglUpL@@I zKIzokRrOYNZM}WZ)5A`ub(psZ)*N@(uUNAVk4H2QZ`OE3r-;?*4*MJ1VYYV{QZ90b zJ>$cg=lu-UQg;}U?8#%@iH*=5<=PD^*mFpLIIa6}x)lFF|O+xjHTum38 zuj-;!PA$|kBO3Pa%)@ED@jQJhdm>ykb4BRwr&eOIzs^NU8Hb!@y|eJv301p+F1dE- zEaKx0?cECyjrfNd&(%cpbE;wQAF!kKK?J#$NDOv@i*cH{oM_T?%niW~Ny?=~TqEgN z?qq_K^5hblMN>xiLpb3hVFmw{!h2=g&P<$ET!^%c;zamQM$;!(CXMD3_u;9hWm#@6 zRfXuZns;W=T)PU+r3C3(+4SrtRPFIBA6L0TK1p30iM4#Su3`ut1|p*z7FWjJ9%N?q zDtQABmEztQ4{?mLr01 zq2>8pKgY5NzB5kkQn(j#*w11Q|K)r67+R3*$^!pZMD)KJo1ubaS33Ql!O&~A^W{ZN zdd+r$M1&}ssRhZd{L1HBSR5?<Rvj79!b|p}{|~M;;&YLQ@KEMT)~(nC|Pi!YqX? zh$w{zNRvG%+n1T=F$51l)J}UNkRjQXxxqB-8!AY41j()}3HUY($Adln|AI{^WVTZHd+zYY!QD9+m2H);2dyxo zVH4osn*lL5xHuP4mDPbk%vNVk#N*=VDC{0{5rS@io`rh@!|v4&Bkfqe3U&MQO(-Rl ze~!Lz2XHcbkWk+KU9d{*6NoL3<2ATDkgmM0=_087OE@U`FQvrxgk64(0foPlWeX&8 zj^l3oYozhII?ydY!*1q~t^}&d;_(I#Tj$5v1kSwA<62lL=g*EEE78`mEwU$(cGYBTp|s?8F<87`pO%y21O3&Vd7!Bn-G#iy#x5>(Y@ z394!{>tz3gRAYR+L2~@z_%Bs$<{rzA{0{`Ag1Kt*6sA(uW;#e!n>k!l)n-Ycs?Cx> zRhwmKt7`MvNSmrQcQ7~|KYJ)`x0pdyZT_&Z{`{~idF7~K^FFzHsmwMmA@55Ck62?M=Rg2hO=6wy5UA2hq<=#(l7!}bXwpWOuTEzC# z9t%({Vq4TAwnZ&s`&r4OTEzBhkBzTd#P*BcQ-e9HsYPsyTEw=fMQm4y1Jxq7E5%SP zVtcI^szq$SEQV?k+v~(oEn<7U7^+2VZxBPZi0zGHs1~tZC5CDd+ndBtEn<7K7^+2V zzaoZe5!+kDP%UD6s~D<9Y`-doY7yJp#853_+wDD#7F8``d%MT)%&Qi$y+e|z7O}lk z4AmmGUlT*Mh;31e*cP>jZBdKZ7PW|NQH$6XwTNv|i`d>R<){|1Eou?ldnHD-i0yr1 zypd3g*sk&J!y!0sLM>u@zgVH0P>a}pN34pQP>a|;;603#_)rzKi0ya9$uKve7P0-F zv`W=Ys6}kQFIKCYyavxU(;`l&MQk%I;)Ghn_902x?k1#k?Ze`8s+&-Y*goR@4CNi@ zCe$Lfk4j2>#EM$P_J`8&_=r^#N8e*&9qT64BDR?paY8L(yH?_6x(U^^?2pBojgMH- zdR#1g;EGzr_6f1(x(T(2?JvZ_=dP$lY@ZhEJU5{hvHi8T4mIm^lOMRS)_JeNTI43w zBDTNrCSYFS<5$!ow$I2BEq4=Y5!+0QIH4A?{hc>@Cu$KV)FQT-7I8u?V*9Mbt#%V? z5!+0QIH4A?ea?FTjrE|LP>a|;FVvNTPmzPkB z*!p6%dkM9O?EtY3@Dgef+f0i%p%$@C{GH)*nwPxH@zNyLbT6S6vCXuI6KWCLOp7?7 z7O~B=h!biN+f0i%p%$^tw1|^yIF`oyobGeIgj&RQqW=P{d0s*-V%z3(rS0?*Y7yI= z#aiek)FQUKh_%Q|s6}jd6>Eu?P>a}35^JfKP>a~^Ce|`98Oe6pU99C^LM>vuhtF}g z!b_+{Y$uDg%1fw4Y^V4Spv}6y`72Og)grb>`$wV#)grdX zN~Kkc*cP>j?TP+FaG+Ymwx~sH&-9Oj1Jxq7bELjX>Dsw+l2k2X+e3@kjJuTQ_^=Dx zA)-ZWFZTHbD6RUUV$~O=Rp(D4?Ik`>qpC%0FBSi)MQoQyo2nMEz1-(V=TwW>Ug5t2 zL$!$QQt_r*#J0;{fU2t&v0dhKa->?sX8btRrWEqSL__9a|4a5M|3!==t>Dwe3O=1y z@Jb}J>-_!kJ6Y8tw!iVm^GCBH7G#TB#I~qKY=0*us}`~Sy>x+U5!+|wa8--gzU2QI zQ%tpp?aOlPszq#H^=DvEs}`|c?>~WayJ`{Jzx(&%2vv*N{=>f*tBz_BTQ~SFVpNOR z1_39BY7yIh!M3QiY7yK1!3Y?tMQn>&#I~qKY>QgNP<0%Srcf?e0T8!1<(F#CETMvstx>?cQQ2rEA-R zWk{x4#CG4{uQK!;u6IQ(V*B3UO~j}cv35?Un54fi0vI>tWYgtv-3nW zR4LpI+aaPwZ10i@r)_snvF+|Dw%xsH+fj?y-X~d9i`cFSc+aVnuDw4vN*a>Fq4qm6 z{;H})Y83Y7v`5CBY=j0&}zk zsYPs#ks!5*&2f^JTEymL*-I^AbE@32lF~J&%U)^`o7obi7O^=)vQaY3%;jFyA~v6R z0@W5RVsjqXXVoG$pXBnKY7v|H^8Ga?+%7cB`oY`w)FL*Y<)XPgwTR7f22(9!bHPF+ z5iMeKAx~SXMQjRM#HOG{YzkV$rl3V^3R=XbphavhVf9su*j&o+yM{fBQrcCE*j&R3 z`m92xMa-rtg?jV+Jf>_ZENE}ak4`Nl3INqIS zT2Um9_uy<(MdG-iNE{awiQ_3uu8PDORz>2teHpT8eN^AHc9G z62}Ea;y70%o`QH$B>p1;Y3)^!IR3y+IPHrfaeNS`zA6&O2Q#dS#PK1lzA6&O(-=+_ ziQ_}*P85mb5A6dtsz@9kK8G%;NE{#8iLELU$J5mf6^Y}cc(GA&ni#F08kHt0h0IzC zCt)j!#PKOtA!x5c-`|LURDF;$d__EKJIrKJB#uv)tW+e9XKN8uB#zJENn8|(<1_C; zKdB;dJclO+RV0qjW^z>|j_1m{CyK=JISi{Jahxg=4-rLTJIrNvy=H52`6NjdiEXnO zsz_{yyL7CI#J0tyH_HFA%A!ad&*Q-iPvTE}o<~7N;`ozt6rxBR7Zi!(1=k}9T8jTQ zOPW&1T%t%Ecb&iR0gMzbX>P1x4cczt~QyNE|=MuqqPA1x4bxs7M^YaJQEE;^$bV9K)ZrOc^IH zah#|kar_r8Q^tKkkvM+2Q06OIru0)mkvJ|Y6374DhO&lFkN@_3ew=^TGNt@C7*=*W z{s;Z3B60jC!|8nZC&TTkNE|!vb#OFdYV5o1xYQIy;<%qHE=7?z?(Z_aDiX&7#85@z zICR;nsz@C7P$Z5Ay06l0B{uFHy5-}gc#y1#sz@AHWKB#JiR0Kk5XnT5I36rnMpWZ% zU0(fa-l1t;DiX&B_Ahr7IqQGYF|KVHDc zBg9Ze;<%_t9FLMLsz@AZlWRIhHvJzOEZ0EtMg6>iz0EnllvqKPvWue z#n>sLzw!9Aa2e`FMdEm(%kz7xNF2Ahha=C3Fy6(zDbGAfGpizTyqo)JCQ0Hw+-DKk zhKj`To~|rW+fb1>o+7=Zio|gbMdJ8f?$?>Q8o%3ZYsxCuF6s3I7Z(+Y zip22&?qA_)ayI*0LI-v0f+*cW<@@IUY%ae^L62}L* zJZXp`aeT1L1z!}2<3n67zM@DRPjk5tiXw4*sLRDe6p7;xxt#c-NE{#LazctCaeTPT zi6V-`@ewk_MUgn3?s7pBMdJ7Yk0p8X}6s@l2QZ zilRsypC+xSip25hF7GH+kvN_$sZ^0TK0^#uB#uAg@?uyOiQ_Zf8*sg&ip238mzT_{ zNE{axiQ|vDyriZg@hK=<6p6R`C@*P5k$5Y)AQDAlyU#GZk{Tk4#CChRwG%~R+aymt zFj{a)XPd=PMPfT#9!;nsv8~Ee0aYZnE&7N+6p8JK;8{G*P(@-pGT_aPDiYgK0T&)s zB(^&Sf0U;lR3x@zC7CJ`+wpR1m?{$6*}T#cMPfVJUCTO)BC(wxtcM}$cD7TVIjFjw zJzws(b46l1#vNXLD@9^E(WSQ`qDX8fxyz746p8I_shoG)Bvf;EF;tP*?%`g4(BP(@-pMT%ENVw))vZ>x&Lb|3ecktm6Z#J1h#vkp}xw*TRtjtEsG zwuiXq!%#(HdzcuiNNkUCuSSe265Es9TVbdov7O~U07DgtEk*?lRV23aB$+A_+xhNK z5u=L4cA>iS0Mt<6)>Gv325+rVmrzE6|4?7p(3%}-)m|`XSvDgv<~x*hc(Ads7P!(JRZ?J zykFxHog!AJn^2M1&h|cyl#ATt86Va>?-p1~-Gqw7_B`*$u%JlX#6jB3RZdQuSamp@ zn>bpVxk6=o&*EN=RNc#Et(hBS_!Of!ZnGWNatDWNEiIDW$-Nw^nahU|7DZydAZeDj zB&Cqk7GK0>6qiAc+2#+T7}}$3u0_GqiQLO^t$XiA9k6#EcC<3gL9Q{A(VNY@-9q%`%*27MQTJkJGf(?j{H=_q zR&ybqS(_zg>g0z^#5o`p)F@v2=?%FgPg7FUx#_5lrId);CeJ99$!auN>OBlTnmD<% zNU3uDB2Q*Y&b+!QYo$r3evwzu1?Q`}sFhO-^~{KdeVlnXtv8;hPi6OqizcoJz5Ucm zEcVyINGZdKv#fU(-a4UbpQKB!9XgBnctd-aXFbHPWIR_B&CjWZz4v2B>jMaKEs+@P z{5g|yInku)nEPXvluL`aMp6%98HiK3lPQ}e)J{`IHy>9b;cqZJ@o@urBDO6vaawU9 z(oDsPa3G`UiYt>w^SOI->gn_>HFTsRi{bm7 zT1+!d)HcRd3La6y7$SfWO*k0uQ z9NtQyD_u=zrI2;891(mMEzjqmNX&a8zBx|qQWznJJp+6AFW<|D(V|Ff7x)Vi(f^~^ z3=u_Q+v(o|L$BG+m)AAvHQNOeK}BMw7DZzFE1&OTaj@8fiGsryMPfTNcmjLm@gXlX zrC=%&kF7G@5D23le_w~O7 z!6BkZY%dDBVTdBJT`X_$aFkRewwDB-L5wI8+a&?tX_Z1&z5j#QK*(RVcXP)tuo?66 zL|mCPvDn^Jt9$j>6Z$cdue7Kw%m=A%GglgD3y(byH&gC#_O0J__kywf`iWcRt%l## zy+C>0mRrPJwP4&ISjtGQ`j8$T_YAF3YIQiy&Exo9;#b$;vQ@={o7$AKJ>vHmPWbyF zmIMBs;Ws#2w3OUSG&oyc$4{Jsp|h(zKWcEc4bB!%y=KjD?3Qr0cVdD4pXF?MVb|bn zc@uvYTB5<(I@JbeyIGv=a9pXa+6ZUMxYhrQIa@Nu?=)vicDKga()z#3*^)S}akl%y zs&Tfz9f=c1Upd>tS!Pq5?V%{)ZE?1|-O4#z*7xmmwuP$yOF7$*p|)GZ*%m7J@8fKr z#}l$XaJFl4M$0+d)zTlQ@h12mKAD%Cvn7Gs;B3Lp2Zhf3_i?s-uY}J;@)<@i&X$h_ z>T|ZtQ=hZ_9-fZW=WI#s=A12E=bSAG+?=y*8iqUKe>G=YXy`t3wmh=>ob3^q4sVmQ zEmUaBINLwtQDGtUTHqO^4gTPBewwIpo$ zJP}_z4Dc9n7d)DbC+&mSjIiZ7b~6ZDCeH|4hTn>?o^X>9wx`jh61E>lupVK{%M5U%jP60$GHWTEfNe_Hb|EN_$X6mD zRae4xFjn?H6Sm((KV^h1PYG{>ux0iwBy3sbl(6N&kg#3Gqu4ZI`z0hnOYy(#K|FJ% zgzc#alCb4+}J__Coql!j}0m!d883 z24VYd_#t8I1G1_^*s4DzY&iuo!j|ETuw{6Q3ERuH{97+%`5O|ph4ODNlz&H|{5uQf zZ$4pbQ7#Ev9}t+f=RJk?yjRQbZpX@VpO*hH!)prV->>CwAz^zt%LidQk>x94tK}eN|vdFt(LhVVXI|sNZ1z2d_~KA3&M5`$|7O=+x$5H zu4O7=%Wy{6(qBf{GMo{%47cZm?KN;DgzXeuWM+h|xXcJ!rq2mm8hs&b|4g?eY)_-x zw32{gJTc<>O`&w#Ct=%(otsP89)dhV*nT{{<%BKo5;MY_)#^i)8vBjLQ4Z-8(gzX3zIblm9Cv5kCkrTFbofEc4 zASNelXT!(|+Y4aigzZWgIbq9~oUo;l6Snum$O+qLVdR8uWpshCCEt`2wim+43ES_( z$O+rmVLTVzb~1+2uigE?q~(O|Suk?K_A@Y?oUo-8=7jCnVbuuRM_?s6VN0u;6SnJM zwdREFYp`mBZ5dS4*cp!t@#PO6BLr?~_3F9_#IM<@!i8O8#2dwVB8?Au7 z1aSpIKbO`O&n&(o)}3HCN|MdvOB-1M+hx4j;7T!9cYUFjBxOV6)+JLYnoZH0p zL-TX0As3NcA#AypNDOw8!)@YnqDj*+Cp`ZH$i<~a1`d*v!^gWC6+6>xl|RR(`pjSm1wSA1?N(NbggW9b`y?-ysqTq zDp$xSscR##mao=T48a4DUh%+{vA2iX^x1?5!oA{wD`syGwS2{)L7G>xa9PzX>FPvE zS7P}7qZZRl6SXblDg}=yVdRAE*0_wy2wQzNznO$Be=d;`wse*fwj2?Bt1HjvQo@$+ zj8i)$Y){1={>%3k3ETOI=uiGOCv0zkQJ1h~1PNQF?n&4Z%I!(muEidCe8>w;DVT)q z=ql6kSN^4tVm77l0BJG~ez2{~^ALhVaB4qL%0uwEzw#KwoJVt zVM~AbeW+~jMfde5kDC*=H^Zn;*j|B{o`fx{-v2w;ltN}Jh2P)~N~w&w5HzdX#M#oD zXLYY$4)k>_1%%wD?+qk6``rAo+aC_InQ~Bm*Sh&*PsQs%sYBe{NuoAUW@Mt(iaWjFZoB+|exB?^?QG+$_i4;e(R2bHVsM zSgq7txL`b=1i3qM;`v?67mOE8T^a0t*DLeKpTxvF@%6OdwPL~eGg*l-nt0WM@t+z5 zbF7-(3&vlH_0t_kq}cCTKY#o<)W#jJ<{R_J&!ejent1hs@rTizsIg06mSDC~VZ`rR zv|z&CIQUg)qmtk0qm}SCQN(;B_legKg}=71KCgk9Vmi(VNIq`H$>%uk`}s>EuWOpq zb{M5f@%KCI;NOKzbE4yZjZFiz+1VMjNOL`=x!N%%*J3A2mvKDE@!4BW=UCFplUDKy z^U{78Ay*>rQz351|62?BITG=&8a8NUv{Zvu4t8-l+#9qqm-~h)u#1)B?WzJ7UiAMD zsRHZcqJ}DPgH~>+0&kHjaD!HUXH|h4v~s2j+@O^g`Gpf?gI3N}f%)hKZx?WyQ@vT*X3!|+;D`Rl|%T$324c(xX(a_ff4O%%>1?G$CYJ*m8(8>*3 z*@^e(?RkS%220cjJ>Ukd?9|r-ZqUjYKpWQsZqUj?AU9~`NAdQjmmY9~R>rgbjpzY4 zXyplKV!-U=HfUwG!~5L^tvujVG{g+IK`RG*N0?-KzztfthaPZ)R(7BV+@O_HJ>Ukd z+@O_50c#V%HfZH$4iU6OgI4w$v@-Z^4298E z4v7DwwDN7_affjk?ai}74_HX$VM;15U!bINlak6y7bvMLzg8f-JN7Q3yu%gr?OZVS zt2C=>uAe{lNND=HEo#1$a?B%?V+I2)9P>!!nAgo8cRwq&1Hb=A{Md1>76y0PmvaX9 zsg%Key%&S~-=zkF+hA}Fi0uZ0yZsmt`L@B};ujtlG#FeAg=;;kw|7L#Dlon*#*E0p zZ#nFBV$6&l!B=tZ^>!lBm4{##hBy8XW{;$=)W$vZ#g0y5%DT z%cIk<7i+nfOu{N-_wZliV&f%Ga*3NP)+#UA z8$YDCQ~U?e*40M&z8Jt>}hg zu)QN_CZX z2|h{>$4T0&0Qi`bW$#GtJ(a&#_xO0qoGyFE@Mi+ECHNBeo*~)z>0C3Hdk3C`Y@zuC z6&T8|peE+LZ4q?Jo!FR9jzBnYyczU8|8^KY6K)rpW&Pl7d#;-1vs^T{|J88_E@#jg zSjDgKr`l`*(YYJ$P#3jrt%ODq^%L2?Dy^}3v~d=sF&uGbaz z&>7D7+*D_{4xfA6IKI!AeSOa7nw?c6_s8q;iUWTa@dXC{9xFHa+yiop>m@fqlwS z`|pGGoHz8$IUjZGzCJ&$=J<}cm{`!*wWw|>d;;4ci?CynJ>2J~vlKo%(l4B4j`SBI zV-StwkUYv?fw2)rKjaY|?H`E}D$z&qjUaoh99|NAlF5#f_8S&md?<_){fFS7s=srX z<#W8ZMlYO*m^1z3;Giv%`p%L1c0@0;tLFOr`S-l2oxi6zPx5p+-iHxo#$AefmclM< zha86;)9l4QZz?iAw@~$esYdPsRDH613Dhq0IXR{}-dXt1j30;El)_!G9fB8L&P(DwewtYHn+bfaGuJZ{xg%Q7$Vt?cF=BJ|Hh<-*IAd!!$+TV&%jfQdf{Z2}5 zjdtbO|GjiUeLk0;%j|zs`#~c|83C0$`mH!7V#Lu-8Qq$t@d-u{7eHnk|X3JR-Yg2a2&G;!T?^ zi?J%=J)4ch=#DO89wWx;Xa_D-R*W?f!7e)}AkFn)M6%0P#Q2x;xrNd8@6*WT$A%_H z4*UE)a`5fZ)m+@)D@5(o=yU?w?+=!tb*4o>!9=nD5irm6=!;yX4w5__(MnF@g9CnA zZ)UWTF^2~H9@w1dypv#jCy(9nI>$mNkGQQIWcYjz;NA&C}nG|vh6RoT{PDo5E_!HGELHpe>+hi2NyC_; zIdr2KbD~f1*Kn)En5WCx%>kFQ&M0JC-5T(7Pm7`-aJlIY_}iPM+HkiAzeddRDB+dM z9b&A&2jwxr&CV0ivZZi0Y=;=^Xtj6AGNDW0Jwc)E?g{ktB0nm)H{cVXFuI!ub)RIZ zM0;>FuL%xDZ3ai(9Dnx*N5M# znU$7ijQ#TQ7(SJe3_9g&FlQ>G7*5KUW38-=X1J~V70j~A_zFhi)bg3Q&Ztb7fc?|T zdt)Y5CQd?ldifp<%}N{7bnxWoI7}kn)$%a>@4)(73K{F|0tfM=_aJuQUYJPp;@x?s zE%Q5P@gAIQPWe34H=aBm!LTgY%$^Ka%A8*D6edr~T(C4;Eq@!{JU~xET4#FB%a1_#qsXKfAOJo=iQ7={Gol|X5dV; zL=qo9hb~`dZI0~3)+v98;pyr|j_@d6Y*d^kM(bxprHM)*vzEe1*m`^6VB=G+LQp=; zcOwGn2hE1Bh-YnwMk`-%5|Z>mDfb?u$bc@zPX#h;W0D7y^LXIF*gdpQ;sP-GA-#sB2njc~+V zo;?$>oo668yc%D~tG{wPd+VZmk=ZE^K?(82+#Tw`6KKAo15coNR*9YO-TF}^UHlF8 zv}8|wUcGz-o8)qSUeYPwfhiDQQSh{s)k{5fF`PKwi#)7PJIFh{HU7+E`j8r2#eAuc zX0hO3Tu@mYwt`T+Wy7k{aQn^`@&m4&0bR{bfZ%PCMP z55U!Hd>zBB<#*%U6<^PAd%1&S^alE#Uhan)#WynCQ9hC7Z(J#NnU;U+VwNv$a$BKI zx(nssUMT;LLiu+V%KuuS{I3_v{|3V|%ZFhbf0N-kj#uLGuO5tYar}3c@acJN&wC2( zd9Rk=-HxR9Y55N`yrxk8{aXI%91Y*m@-JljKcMAbi%}haSIZYQ*zcv~Pn?H{?=NHd zmH46ESpHD@ctp!@=P-SgOIGN3*L(!V4+kR+(yl!rPy2Ww?N8FQhs;9SpSCk?Yy9)u znO4TvFEp(zi@#(Y(oy>>hAZWVFbm_S*!M~K7A}SVkNYuBb~y#c)3fQXBmT{3TtsO* z{WdL|Gvas57iu}b=l)7r#@(~@k?3;zUu>tUj+y5eo?Mo;d7f#emFpH^;pWjz&z4eYYK#nvwKyKUZAJ zt!UieW%@9ZximnGYSfBdapmLD&1CMk)U3w09> z`J8t%??#*HJ6oN1LbxSW%6*cBC-GSKV(g3#VtM1!!eyvWNDqcj1mcM<&+njUJ3bDp z%{?4>MuhP$?oD~-Ntzi+PDhg6+)pz}67S(Yi@-J?J^Lgl-qV#OYMU7!or^08>7|WO zx?gAJYW!}uttqQqyQFuN>fJZ3oJ_v`B&HI{?Au>r5~pRWb5V^0+`q!p$QAK>-J_cw zXVlsM`4K0c>Yj+vIC?vtrQYv;h2g_aLihvjs|?>Y1K|T*o@_?%bt1wCxjbo%zMtWP zT`u^epJ4b9my7S{+fGJ!n#+Z7^dOGiLtQQ&qo4g4!XI)u@kj5%IdYiG2|4;}Omn!) zi8A_c%zK0k@lj`-Fb7v6E*G@X$8aq=%H@JJdK3rHF*5i@4`qKJ>z;?c8-4FN2p{J@ z%J8+U&++d2aj>Ijvx_>UTSw1kUz{MlG}`BadZKj4=-;#4lcaT>mQ*SCU1;i-{c#Hv zpX#2C#u~!#lkrTK_lnV@$0Oo2X+?aW72_;E-Ms;0Vujp~#j_>VD!Ctv&k&gdcpk>^bJ2F!ys(TBN6&vE&j?ZIdry`3$?du{a69xy)Y@+WUU#fUxyWp6bC zS43NVl$SL8`Jc0uTo8F4s%Q5ZhF4NUUchZ$huvOo?V=<4V}Fx8eZgqKg^Fz!BZ!{F z>R^Y_s2nE`a0e^hh8jZ!=v!enoJZ<`%V#nZ* zNH#Tk0hP03CD}B+ksU9OCa^vp&-%>fl~&8ytj%b5E$hs1#m*1b!_aR;cLr=JM{jV? zm;3F|*&(NNhgThE$8UK^vE%!Y&fW1oG={h1?@$@vY55V(batXkZ$rL-=4iE(+-1lS zZOh_!lTXGvdeFOz5k{-f6?PBzBF^jxk8~iw;4XzRIC_&Yd%8W8a#?&eS^(E}FX^jM z&bF5u3;@^e;~p~-B|VQd(6+nsY42#|NihDyJslBfYZ`~R=fkK(0gc1NNTLfc5baU! z)rhG^tB;3ql6xzR*61;u)9ozx0T^x3;kX#G7!@!kN1viGPm=Q2WyXPV^N^BtZ8nth|NCHqhRE8H;H*TlRSQ^zQau} zrnSFEh-{{toKEX7k6)CZ<0iji%{n|D(L6W#K9A@Wu{zy^ORJsj@eA{d+~gS_);y1& zl3(g3H)H^+NnIZ|~mC#!t_98sTQ z6vu70<67?EaIK|9vOBq#Lp3YtA%t5$2=9DB(kyRDN+G9hDf}g)xD0a4HZzU7lIc|n zU(KR9ifhrxI2b!5BS&#o(1dI!2e3Ngl}L7Bus`-TaX8na;ORu}<+#?pccTv2I}bZr z8Rj6@n4(hd<;=u^tx@-~;ymqVBC)qJo@2cb&nwAhNy!k(_#qQ<4oC$xir0R6LoUhF zlz(N)qc)aOB5IpFqf{oV(PSxd7<@Ewa%quL<@!aQ%#@sYbyL<#lTiI4ub>OgS9MV< zrxxm&5pD7~^Ke>kJWrp>?hhADToHQvsg+pluY-|Nh7)I5?<~A^Le)M=ms~q^7V$a0 z_AbwQh+oNgt|pqFQw@9X$Bxzq5ae1SG1&QYCgpOXNz*a+$1EwA7IBTF9>OvZr*J1z zHcP0Tri^aN2_WHbu-KHsD`1quZJCMFiVKluDo%t08BJGQnKYWZ7|p4t)3e-MstVC* zH807cxpoztO9|4ovgz4PsMJkaKCW_we3H605^MQtUBwU&G4sHcv9|}AS-nc$z{5yn zl!oDo+1o>{f1+9@b;KPz+q?(}TUp`#HRoLRY$)&PpNcVmTuCE?SZxmiTLI?wM$`y9QF+C;lF$@#Uffh%*&t!{z63b|0p&?j>C?M?euSfq1SBZ%j=r- zn(YFK2vIasw_G#;*?;BpT`Ue3doWRO_$^Pd#G%0x*dvb*d7&u_BmFbq?;-?g@ zmplhZlX0Gu!iSmXAq2M{<2XC*jlcrWX?Y#TWakDuV&4#ktM)&GSumoT1|s6zU_Qc5 z#3!A0p1fWOqfTb|Sip%;(F@Lx2MdubahjM~PGilCmCi%S^j8X5L@E3(y08Bw2oCuT zdLpzJ1>G>Bn`Xf0VtI>)qkM*)bV=|T#I#Ui#x4o?POB8M>ir+YrW7(8?pnEn3M*sQ zw~?M@vAwBQ_v#OfbDXg!^kV{^k3|pn>&WB|=j;*|_Yb(Ga;xMe{H{0VkA18iW($X| z-vt(z4+`87YJ#`rBWZUer-9$KZvI$4m3Bwb1gSf2&fK#dcQj|U-}U7DvFFk84jejO zS7j{kWZWHjBjb0iojnLFS(2eL&_fj--^ue7KFg~K6I{I*gRQT&21i;u0KZ!J+H((-~(F+EA5zXg?1D5kY zxBmjf`3JJOMoWu&{SL>N_dmQ%3&U?=i}Ef;c_oJZvKFxnMe7rw!8B{~Zg z3je`y5`7vD!Z#VNM#teu!hbT{YHmk8LdT`iWK740PGYGtGBdugGPE=a>Uov5FXT&9MVv zY~_9yG4o7_#NjsXBp98h|JE?Jb>9!;0`n{jP24gPTxeR3g)z(}`nAY>f=xEsU5R8% z&2|RHc$a;++_cb`AjS&QN@JoJtIR|iZDMqr-D&LNvU^sWiBn+gDs@|9e!zycXn2GHTXJB^)4e}UUFMJ=mU=W8A zt6kX-0q$jQ%HEx`y<0nR2_G#^eCuvR-|$jB^P3bfo{Pc*b0xO+GVg2bL-SJ{k-gmW;hCupnp6Oo#ypb>0Mj_nqyoS+I!z0&CB7nsE;OkCFk=>(Q~;R964Ty+ zWM7qJOHC>O%$Q}Sg)Q3c@gi!uDGC6avv}BdNS^D=$rvg2PBB)QqYaF&iE)cr%3k=o zv`)AA61{yxjMe5)Od9)5G1iz89jq4PL32Et>RV#0HNRv3d|Qks&1YEXU1F>=SFx?{ zmU5mmU%>#d_eji3=3~rruf(i353mjH6XT8K7c6v*cOOzaZqkH-Yws5;bQ6n}(|$*+ zikqBG>jCd!q{Ksx{W&ncD^7;F38xtVW421wO@?EH+V6|i>L#zjv;Bcoq|HqxGVVdK z@W>_&y!&N#+!haSn4Lc7qZXD5iNI< z2`uloQnM9qa^lIbekTy|svI!pr0L!WV9dBRIbeJztOs+znAX}HFy@K%$s91IwJryY zX+4(%#{sI)dYZh0>+G+ z>?LQhH(j6BySyZ33;JTUd&zMeg9F4mz)M=#W5$x6Ctr}oV zs|Fa;ssYBd`UV)wDw6}ov}%Actr}oVs|Fa;TAB+0)2ayo(^}pq0bq`T?py%44}h^> z1B}BB0b@POq=2!WWsdacqKv>40b^4Hj7Wh@$)v7N_t1j@`C8CHM8opSumkPjK z(c@SmqRNTcYdm5um)q5<;d>-|h5rgBaI5(f2jNoj)@D|4W$p3>Fg8NpFY`G$raJyv z_)h`ja6`aYE0_YtTEVB&3SNnP8DMPqIxz!`4PP|cXQTlV^H@8K--=N+DPYW!TTKcW zvkTgc9BwKAY{qcCeaYvujdpWBm*SV@*r%E!*$}V#GmvMRi4BbPKA%=iH!pGR`@1iI zu_*$^rU)3DkFh!_U~GzjvFSvmGQilRfHCLnB9j8fG?tnaFs8BGq<}Gv6($9YX{<6S zU`(Uiq<}Gv)g}duX{<3RV9Yjn(4>GdjYmyU0N4}-fQ`e$9um;OIy0BXHex*I__w3a z&4l&X7lv)E!|R21c_Y_mo@B@DE3oin-8Y7@HzsY~CJV%;QV^OMGz=3SiuC0|8*I&=tiBT~Vyi(zHV46Kq$&CovT>gdO+kfUkoS!xzQ&%79Ni zGQgNaqSX`uV^ai-O%X6QMZnmkfH8Y?nn?lUH!*;wn>8HH*9Sa{cbF6~rZLl`fH93Z zCIyUX%+uxU=75Vzrzrx)CIyVoK+IBYxD+rpJpg0B1{jAM0>;{QDPXL#=AL5PrGT+1 z0>-8Y7@HzsY?NMpTFlclXyt}`!LqbZhSfIzn?RDvIIxr^4jbvY4^pX zG*cwFGd=7j3A(v=ZwcOwiyO0#1TRDXnSK9)Ht^5Fx@z7dL9jTE`G9y(0bmK%1c3dT z0I;tDz>+`(fF-B`z!H2Evswj!eH8$fy($1Kcc6UEZcdlIV|YNbC0G*x_Ei9wd&>m@ zVEF||DgYdH;s`PUV812+T#fY60?}$Hu;^1xrEi9EI$)5mol6Q0Q)ro;L<|0L>Mwx7_wp?y<gU{g?vAQ2{WP$L{fTb+Zw`Sehslz&I4Z z*slS`gVv%nGPzRqK~4r3M;DxgTAVIftLL8T#Iv;sK7^0Y;2XF~bq<)TGX*e?F2vkU z0b}{)LVPxpFN$_&AI+6@FH*pm;bqY}Y~ypeaQ6a?^_s28eHKxW+(tP7jA?`>1&ryq zVp71E-V*cz0b_qdz&KLCSdJo6z?fYXMjHagk|q?u*xwK^j@ofTiZA*qGCNTLFct(l zmPx6sQ~->l0${9DLjmKc1LHovfxf3l3K%oo5h-BI z@XY8iY~!04o&)Le(_!3P1dO#!ZY#8j0>)at0>)at0>)at0>)bY*9zr-y-@x)3gv$@ zEq_D6Sld$pV{K0bjHB*$R8;}v=wXHxFxK)FFpd;3*76lF*76lF*76lFPRrjAFjo9j z0pq9$82cLn#+p_EV@;a^#{PzYu^x*8#ySRm$vS`_#k`7t#c(B3z?glXDB}44xF6$W zL%>+uNdaRWGrwcLP|H!kI8wlvKJ-VOu>!{0W(pWb3K%oZv`7JChNnCJFYyv3exV2$ zt8WF2b)3A!aT01>6fo9t|JOp93K(md3K(lYy{cs@V4Rk@Az-Y&6)@KF6)@KE@DH96 zDmoq%FxGN51sI#5SPkM7FqT`<3@|o)Zy2Y5u}J}Aw(4Yq2VQs!Ag?6aot9Hhg*DLa z0T|0ACjetvyi5uhb4~06V61tErg>Aqm`xIzB4BKK0LJ0Q0b}*olKM*lV^%CQDPYXy zzG8Sv&j4f7127IlCNBWSymnE*I9;7L3K*LdFxG}h0b@NFJ`vb7V62%ZY0Wnc7|Rls z0md@+<%AXQCB0OW0qy}9tN(VF=^ahKucQx63K%n{Vp71E)ouXB?=WC2_l+4~EH8aB zz*z3bGQe2w$1=d!@YQ<;7@HzsEYHC*z}T!qhXF9=@Lp?Dz?hfRKgCr50pqO|Fy>Xv z*0_}gV9Y7%Zv-$lDPYXOkI{nLBLK!U0#gKx_0GI14>~F)1&r@Rmc)F02jm$M2w-e} zgk2e6Y>I%fKBq_lV^ai-^+q-WjC}=+S>=ra#?n$Y1&s9uw*VNkVITuMyt*ZTu}J}A z9vXhaJPEFLmlw^UDFVi(2pF3pV61QWrbzKslLE%9Qg6W6q<}G>bvR}vu75MY*tF3| z0b^4Hj79$t2u^B%F$>ZtAtVLWl;o+q8em*6Wetp!P@YjLlhtSduZP1LU@VTL z%Jqw^0mk)G_NmAkU@R_b<xv520OO73=~LM?z_^#6T8YK}62O=vM*!nqSp+Z+ zHx3x{tcR+v#2HlqW63Xoaj1YX&r1RrGX_a(fUzV^_X=Rlq&2`eRKS=C1S^*0^#J2g z0OL>q<4^%(9*zLUl1au?T95$73=3c^(KWz03og+ z5WtvO1TdB?>FOkaF=HNS#q`Z%6fh12FvcTF#PtA-^$C3n7@HI@=7aRmq<}Gvib(-u z8a)AHU~_no7KQ|j!;p0ulmW)_e6F7}h*yFlO|>7|tshbmFdmcv#%U_NoW|ZFVBBv5 zz*w)@QovZR*)qU5+yF4f!Ah0nDzE?;%i}{{XiCAYsLt3bt4_c;6u>x?kIdoJA>B;C zSn)U9_3p$&*c4!Fih!|60b_PXXgbk10F2p16|H<7z?iYpd8i8k<4^$OPypk88vw?p zPk?bKfN?(p#-RYlp#aAH1TcQr&Y*&Xb?Z&F76IdaJptqXoLvGKug8FL2lNDtLk`^< zU|doYfblq%HIUOl0OPZ1mTBgIaYT5u1{fO-og6T>JptoEJptpGO-Gzp0pqRe0LD(a zKMKnL;{!>?31ECA@lE`FL$(FLc$n5T1B{3D1dPQL!1%s_>YyiJJcgGDM4mB#)*wj0 zSO(n?rzC*!Gh=a!=8KjM<2k{3LJX`j{R0b_x%(&?$5AC;Mm{kgW^_zV~@bGKaQn& z1djbB%NQhZ>|e&<01P7hR|+4(7UdB*7G=4Cg8K%q9*!4VW#YcUYb?Sk6ZZ}N{s4ku znYeH82E&yyao^w{3@2sczQLOeSIfkGgMTvI8WHym9G6C0MBF!U#h4rs_YFKT+9Tq= zK_JG|h`4XiPmF2N^T-+W7h`%v+&2i_%aFPwBJLXubgzUlGa~LAMDm08IT3N+K!5!{ zHzMvEY~|hnKl37vmtY(B128%x;=aMQ?oluo56`{qP1$>2ws&i%Lfkhd?i=jma(_(RH)z)|Mzs^{E8$YeS2jKw)U8PV z_WKlz--_gKzeN%x`P*-?1WEq(-;Q;HIwpPrfu`Qt@rUETtw{bh6pYcY@1%n9onZd| zXBCWjMcYs?=HyQS#&l8xjH!=T%>iRt ztvO)KinQf`F|EltV9b>5IbckmQ#S$_Gv%~C0mdw$`_P8(M+ zX58xk#R|q$Z*F^s6^scjPss$je`M^CO`yf3Vpg7I%hVi5LK!MJdi*_49u zp(x>PDH!uUGgmNXec!%-q}D%u`>%_fp`rV%V9X<{uV8!xro-D*FfLSR%M^_NTmX!D zE1xSE^T|)HV9bX|xq>l`_DsQe&Gzi;f4ze7582ms6^!{rDpxS3v7(O(#)Y=J_W3L7ndkPp!S_O<1s zumBjB`3sN~FfMmuxMhH`R|AawJ^;o83xILC02r6~I})>ySGr-DKO-?0FYOlT_8E+#`e@M-KE;a zd%{hoU3?l{Dq8$;1nX%R^D+a9yo~OlUCgYda00fec5xSiB0jPb0jav8#e=c3_gTC6 zo9L%ZyO^hhx1n9k>_R}=&DJhvl~e6v9t^dMm+>eztzG;jBtc8@zf9tUxl--oQxT+g zF|YgTXcu$$rnHOM`Kfksw|ZK#D zH~dh$*oS;&9qnTEr)V*!K&D;HaHd_%aQl{O7hkUB-+Cd-7u>psc5$Kn+Y9C2Q7He; zLiwAoU2IVK5nLV zF|*{_#e2);XR2LHL*$)QyO;^H)p;bsnRfBxES%cKo!Gg#+Qo+;k7yTvInUf%yLbtc zP`h{?0-LK{d>b=UyLe1fR=F+IF8(7tiFWZ}xYx&HnRYQ3{7k!;i*Ke~%!M%1F6QEqX%}7jr?&w2Qf5 zW!l9Ye3^FfISi-T#njOrooW~FkAuy$i`lK2b}@S?(=KLrWZK1S-CVnPcNm#=@oY3! zu3gN##7w)Gtyo{Xn5lB@VjAy=c5w$BZBn~<8@!ClwTpRE_l{{7ufx-Z`r5@@YjW*k zf+6*_i#hY^Y8OAuI%nF&e`TEsVP|M@rd`ZC?V@(^&}tvhVtUK9i!VoxcTBsO#cx!* z`0$Y^sh)Q6$%x3ci$4J)*Dj`!YZreOMy_3aBaB?T_#PO!b}@}yyO_!FJP|K9p_T)TJ#j9j~zMy_4F2aH_1n67i};v*1~YZuRkk!u%U03+8f zUI`=DE@n)wT}-1pO3~u`VdUDy&%(&Hiz@(Pa_wT`Fu8W|g)nmM;_t)AwToYek!u(4 zw?jd@_$(N?cJXImIJtH)tuWUv{yMChcJU*yl3cr(RyEfyUIz>B*;4J|*I?m2TdG}L z-VxoDYZp^Wv_01@J{}g{v!&X_^I_r5TB=?AMOf2w?c$%o>d3W=x8)m!69oNH0oOANV* z16KE@X(@3m@~#}@8dKCRUc^is*cx>&{P)lZYufCZV3CWpc^X zNN(bMRTs5#YN4JPQBA5PH*s2TJWrp>retyxSA^bvY9$s0YN?hiBZu>{zsmxt2%_c2eQEiOY#5O~)MJV@b-TMFtL%QsGzz z;w0{5%4P`(Uo4}W4=Rzcs9j7pSq_H_k!C7R1PZN-Pp(WFT|r*^7cd@AS`A= zg4)GQ-BY`ma>qTji`Qb0JU--wrW8!=;?Y&6a zsa?#f_x}zyrI6W5;WxO0AF14G2y5U$SFarl8DoklxlI(l zB#}Fof-r8gV#U`j7`q2!hZ7R?yH+e1OHmlN%8yR^T~{v{`*Q=cg{uSc-*F;0Jc`fn z{jRSq7QKMomLTxP+n5kP&u z@9oP7Z;JBW3ng^?pOF#n1LfN{8R5cNW>Yf4LixTO8DXJ(GZ|st4|cq58R0_J|D`g* zLiws($>zxj7b^JglM&`)hCav$^TAOrBP^6}CL=7AZzdxwly5^uIHP?3-7>;L`PP*Y z7RtA-jIdC?b!CKw@~tZ)ER=61BP^6}CL_Fsl<%Ebjki!nxG-z_EF&zGZ(SK-p?vkX z+h+w7Fm7`;S4Ox{p)HdU=Hu47GQvXnW-`Kj-8eau5vI|e$p{PO`)`mD7RtA-jIdC? znT)VfzI~GsF0|b{AS28dx>ctkBW%tPt>cD_Fy?a|0bwG%serIhzNvt)P`;^vuu#5r z1cZh1O$CI7^4(BCm`OwvfC9p#e0vB8lk&|3gn5qL3;|&#Pf`J4hTlp+n78MXRTc68 zhBqZ3Ov+aTgo)**wa)~E33_g+fH2*q0>Y$xGXY_ux~YJ$P`-5pgg2mk@wAm~$*fRf z!ZsBUCgm#%AOvHj>NU!@&jP|i`KAKGLiujFfH1Rfp@1+c-&8=jNcp~nfN+uWO&f`n zZz>>Mq ze6>B5@>RiDrF>HXVV0Q+#wz8j$FG#{mI??LDc@8;So^a``KAKGManl75H6%mDc@8; zxJdcxvHU{QD&@*9kMaoy(>9=Xw{Yd#z+bI(e=Ke|@0b#aZRom=2h9|$3fN+uW zO$CItOr?CO0hI{|Yne*<^4L<*;+G3$D&_lD0>VYgHx&@pG7FS%Dj-}K4{tJ@&WC?8 z++LsZ%>;zSWhNlZ^kF6-OrzQd0pT8$uZs3=Qb2g4l&|L9lz^~MzMB#d?m_vgzdj2H z^NKUc1cdj7QN_uLi*OSSrF?z7Ol)StOa*GAl<(#W2=}0T>kA0irF=Q`oIVH$_n>^= zmVj`B@{Lb$-#+D=2?z`2TUS7s_mZnJ0bv^5?}UIbDc?;B2ya07zGDKyLiyGe5awFb zmI(+8Oh8yD-%LPQDBny#SSa62K$tY-@=QRO0OX2HK$tPB zG67*4-I;(eVaU~)fUr=$nSiiRzL|iqP`;Ufuu#64fUr=$nSiiRzL|iqP`;UfaD(!F z23(At=k$RVc3iE4a0hrZ`hmi4MU-PIlpU^FC=)YnD|QhzKm*f z%r-NPVzH@2r%=9(=D@8*Bco8hjHyw+Vc3dPt=!p*@=b5s>QTO7Z^}1KE0iz89OPOj zp?u%KE)>5Adkd7Wh?f>sM|)Ae63?tX1cYmpFALHrUi&HKE6LO1YLsuilr=h0LV3nI z0>U-QR~$)|>laz0eCws`Q;{{wS6tM}sfBvh6%AXXd^eh>Pi5CA-(G%dB^LWjC|`~o zp?rH~;nN^gZKISg->xFQM)^v9p?pK7eEB?HC||}PX^rxgr0HIve3`UH`QqzcqOo<=az0n3S&w2n*%QLnsIcZ$SBG0>VQ1zO{hx29z&5FuQdm<%`eX$d;6E zzYQqg`U1keDc>+;)%%h14TbUzh4Sr3%6H7~iO6oxVtezf?$w_F`Z`ts-0e9dy{@U_ zK-bEd&+D2lEbgU9Qt~@(%HlqZ6NcNuCil9Iow$5ExW%94Ehzpb2qxT0OxWw{nAn|0 z-=MM1IN@=}Dvvu8I|r@7h9yW>ZRM0$A!k=?0_R(pVJVQiJ_T}b-Cm3PM_SwtLmNPD z1IP`xaVKHaG=SU^CQJj!Z2-AuEk=U9*7F12m@k9ov;DFdGmL}f!d@rFO!J6^alII` z%^&b<4SRzabIi>&ZWLp#IdTAuRbtFDhhpiqH;Hkcc?AX7o5kofEzI*3F&3KFaSdy4 z5o3{g8s`pss~Ag6dk2iKim}ujgtLjgO^jvwJ^F4zPnVn1+7NTQ$6uH$I z)-o>{i3>@)yI9M;WD-^xyNCZ87aK2ml1toVu~vD>-ep)*eBLK_d&vtY!rI&48Mh2? zA-mfEa(UjlRDKmxF+XIRE|E4(%wFSRT<-H1{Z%uRC!s6+S75Z7Ke5Y}`g76PZDs}T z*KC*H0CGRpj)n*Vo(tz0syf|QD9UIfF}lq~%wxn@ZFb<~v0|(-)N8bZGH3v~oQVw}m*^W#L*^J>5%@LPU0-E) zWv|Na@?n{;vb(ZZWp^c5lil?jKrYtj29OKltO4ZWO77fKop|;wIPrucO%b2L(|4sh z=OiaSvjOBfaRbP`ftRM!qkeek6aRngeG8mbRoVW&oSE5Y&fc4IaDaV)8O|u^z;GEA z3^hN;)Wp1mrirFGA|fj4sQ5{yfQkl*$|NP3DNrez8fX^g4a4%9;U&YgvNA7erm1D7 z_J5vtUCutk0S2u8U*9+Ue(ZVQwchog0d+%pI=Q_$A;bhQk6qZw-79N6Q;d;uQ zjz9M#C^z&1a)nA)Xm@)7xqp!H1>_PRIMf+%DIQ8K zapT?HUO=vU{?J}P?*9(j-CjU0A5-I*b2r*u-oYZZ7m!Q-TcO=$i!_x1lY+Y}V;4o@ z?z0U46$x$F3&=$y2<X1?2u81>`pD00`|sPK54wR`;rA zKwlLgcc22~P8&%=-0hJJ5N;m@!d0+YrU`7e;AC0MX&p(}?9W!<@}+oe_eCJ`*xt~H zSok+b7oRYw7dDG$)4e>lV>u|ITD;s32Dtk|IX`*_Fb%HEmGG7>36}f=!#~^yFoj?#MJGIjZkE-+HVBUah&;HK zPV(r^N0A={`{4Ff;QXw%Oc18tk331;-lT4Y$7YDscQ*#_h0XqxV6*&;!TJBnWBVHb zSK4}cYtn%@1ywQX~x(Rm`@oxojz1U4gV@;2L=kfM!iJMHuyM*|8 zZ^UqXTJ0taX!(1|EA!YgZ8@Hx>- z-ozCsZuF^5@{$rk^(CK=7(4#Y@YsHoqsL3;XL0WTcx<1+wcYaAu95yY5!l!Geg9&Bg{K)F+Xs=;*~?=K9@|uB?wFZ@8J3Co ziDo=5!*4VLH8*w=_-;O0Mkx094A-QFXe5{Toim(INt8Vp%B~qc#wtbkb8*~Fl$NNI z-r6(Qz^pA=mxHoL=C3mJ9IkhJ3(B`Wx{75!p7BTE=jaTNEz3-do?w|zNSR4hd2H9V zuxFPN!+4NDf;$yU%%?J0c$;Z>Z0UNA;j!%lWnOY2?iAv~GcAL0rhc+5>+_k+g%~e+ zKUs$R;`pe{+0YvNWItA-Lr!T6VY8`*?lF%o&n&6RV|xsyL(7Nbu}xK|$2_(x(He=o z1O;sNU9bdI<+0^g#chVi_RCN#k1Z9;WBc$R_Vrh=?TD|DQ7|zY$u3xy`2d4qQnZ@A z^Q}w_gO2E7D%XlKEjkplJibnp&gkh#sg|=FGQ91a69uSkd{br}`?@NR?eoZ4 zY8gmZ9+&gBOV8ONW3@e|Fu6H1nb0|R{Ah(%-&zdMM&!WR>R zo;eEQVtKWbE8&_jAv*sun1qM0-!IrxeDXtyXf8LsLCEhUq6;bKLw+U^T|_wv`IST@ zkiAmKk0c`D&}<3$jYKq`Hf@ld%=yNv^|q9-^HPD*o8Q%pK~ir;=&Us(%!?lfJQYu`)EnpQ&TPAOe(pI>GZ#n}#HUAFf zX#r_ut5DjeJZ+fLwgzQ~v=qKft9)z*rR~1-H?wdJsa>m3+A4pF(w0*o zuPJRQ8%kTsTTE&DRTcjxQoB~6v`xidnTmf)D*mmh_}}FcrV+fiQPv3F?@`tW-tSWe zf;W2_`eaoRadG~4kSMOnMt>cJ-;nZEQ`+j-HSkwKY0LC# zl(uXqLupHSla#jis+fPgnlXh^_D?G2`joaR=K7SjshDr6n9@&g^ZX}<(ocV(49doB zPsEA*bsS<1nN;}OYxX?Gu7RsQX4KZ4ZK3bA-KWp+SmlT`CN4xdm2bH%4ho ztGuDKmHRDRKe&TwzU9B+uhG2(23xljhPd2GDt|quv}F-XX*&T*3C?+?YNV2)w56e0 zokv4%)(F~PGI&yGbuUKZrV+HfzUQ6hjD3Ze%OMy!uX zPCmL3yU4ieb0inm-|qNCi$C@*7uj&={k$qt*&F6xkO9X6eP zag6lRFrN$RWa*A!zh~TIrFEU=I%3PGxOB*=EHqX>%IgZ#T;4Dm1T9<9F_gBv ztz05^vxVtmWhrf0q&lVTO6+%5N1w&p$U>*f&GG}$b8uNW-Q5*`)<&;?1j-pMH`7mI zM4tpl8>O^u#(SxLuVAy^5f764yG>Kt^7%xdZqG~dXdoY5H37Gr&FVQp#9!c!x6ZsW zoGZ#tQLA{H41cM^B51kRSW4SBU^byDr7dS(RZ82RvCgN%V?Lghc^^tuN?YD^rzvfR zmK!E+o=0VTr}?L+pq^t~dh7Q!G)GH3*8M6x6=z5!*mp|l+|j5C8vPW*j$3Y4{m()Lm)>kOssV^E$m zl(sb(w=Wq=+XJAyZYXWff$~n$?^t+y$6W%&ag$#j1MNN00ykMY1zO;(Mqb`6a+!>C z-c!&LH`$AMk!U41`2_Z2abNEh5^Nx@bN84le{Vk0#4f?_%*ZM^s)S5T$DL$n>1eehc$C)>HY+s-U!eoJO1jQbCo)z2EwjwtuDNXE$Ui8Rdh-sXX9>>aZ!n z#tc3hIk{9w!Npb&c_J-2^Qu~wqlr`*%^+wO(FNzLa#4;`mMROPf&PU{Vq=~3() zTr_e;=>bxQ@Z_RV+3^!0-Np zU@!}DT5%y#G13#^AZ9ZtS0Q>Q7-r7D%Jt9hBp=Gv8VE)k?_FXwkuR_W>O;k}^{tf{iQ9|j**94__j@N{3l%cd$uQ)c7()L++GnBS;rYUVXBKU$= zp3iAYTX}u_G1SCT+MW&z{?}HD1-bdN+y>3|=Od$LCJz0MLP9>C$op-8>e7;`(59i-FhEYSz`UJ<+EE?Q60jm z>31!e-8zqIiJO1FYw>J>-wuaQz;E-luyLGG4>ut){xzVw{I{SL%(oA**mk@|cwN(+ zQNJEiX|uxG3|BTC&Zsv_%BHXO^Q5(DRW_5HQ8imv+Pq_JMk$+#&X@sYGsC}7`%!fn zqw*(UB&@7BNy|1NLpg$v7w+!gIx z*M>uV5UfVSWVwpvUct(=E_j;&({SLSXwARK)hyf!(>nOO%*T+gT!Qx~=fl&okl&}A zgkOS#-~-B~@F>pZe^73TR^}i(E|s?E%OMn3l=0C67}J3#N_(^rF@uaK6QZYx+^rF1 zV)Qaf2DPG0iY{eM0+;aFj;KF2LP5Pt{Oq*oKGrJ~6mDmE(BO)l@ z73IcgVGQLqg$s?Y!6h;No+zuLgRsEF-&d&6C`$*cM0p@O8cR!jyC`d;=dsYmKM-YI z^koLULzL&DE4UN7Q{uc7eH$xXe3#_B9(|5w?v|W)qBXdX$M=ZxUh*`9uJ-PMwc{p@ zSg7NBMGM>{#>yH0P_(?8oK9_x_Yf@cv|`V#pxq}<2D`~htZ=<>;Vps-lWBJO2H?WX zTlK#fF5HUq`)9+2$t_!k3sd{Q3K#weM~{UI?*pw27v?XJIX#67r>-*H;KEC=3Rt-C zVTkad;KE12frSgRz8@YgoT~c26fQg)wcR4PaH@j;KDaO`Y( z^wh$I`2#S$aA5~<;Z$dC8C;n2sRwZ3MKH5);XljJb9f!^1{eMSITkL=G8Qh(GS%V2 z599exb+~W_j}9zcn652cxE?tcF1*cP?o$n1m;m82T$pdS|0!_cR73X|F3dBl4i`QW z)8RwHg;N#kF_> zv2bB3OL_gkM10w;POb4VJd-TpTup z5e@>rMXZ7pZo(B#BZVm%q%dWJ6sBAaDLfkK1}QuiauuX7P2`y&k;1n~{qQW%@pr(j zd|~X~$Tdh|UTHT2DNJ*N6sBAaDa^;U1}V&&bb}P8yfLKkF39i0*A}lr(6u*6;o-RK zZz)ok?le+(cepV~;ZC|#NZ~mUt09HC*#Q2P*%e4(77c>2IBKNu6%gf7|8)?g>Ix}5 z5Hq>QNa4HCPX;N>3&V#%3bXhYB86FHjTGj|5Gi~m&mw~rqj2txXed0craX&X6@+(V z)hW#W7EI7m{9|4yu#`p$pA3;mVebB_AcdK{F{Cg%-&aWC?8 z9h4EN76y%Ex9Ph4-RAAceC4i&a4iD}M?p%qd`y!juhCnDQ1Qg%_## zH_c~!L4baTFY)yGtVqRQnTmf)D*mmh_?wRuZa`cjg|h&&X{2zfJ?~cWSG1#@?@{p| zqP#j4|6Ub;3z5Q$7#~RCag1MNe?F|@E2J=&DT@?tfDMtt$5`9PQ?^fNTZI&Er!A1e zD`_hz*Qb^379xeG(;tw+!vGA_NMRkDt8xWWnCaD!!fYpl6sEjMr0|_8<{!VxmenL!G(h#-Zz``t8Bm{t}k zEVo*?eh@TZkizrmk4Rx|A~zE$%pw*kyeE_roO7XWq>@4k)6lHW!yy}_@Hz%3Qurby zZW<}f>w6g~d??BYQuumXxI0q#OEe);_yq`?ixj?%g^3hy`>;siKf{wCg^$1;k3kAg z#%MH1;crvcNa43BYostQHU=roi-ti8bHO)AVJ^M~Da?h?AceVj7^E;KzCj9eLK>tn zCyGG|bBG(HFc&m~6y}0ukir~%1}S_FWsMYmgtA5o?~9W)NMUxXK?<{%3{sfgVUWUX zU5ga{XbLHOIvUF&g?X=Nkiu+5ixlP^r9}$U$|8lSR7VP5i~Y`KAcd#G(MFNNg9(i_ zNMYXEZ5k=eM-x3j3crA74%LyuTx%>+_*IxyM+$T1RYeM~Wt|OD_#M`{=CgR5GAF~^ z21k8Jd|rn4+i9fmkWvqj!t`d5!i!L1(@0_Y#M&T*2^+RZVZw$jQkda4h!p+|p6^yg z3ZH}wixfT&ibV=ju}I;opjf2vO;9XScnuVb6sBU4!Zfo;;U}S3q%d+8m1%=FhOtvq)k7 z-ugm|6z1=x6DiC=+QcQx;A%OX8#zD~-ccikPh%=as!B~Gg}=Zo4m*PsUd99t*Rn0H z&$lv_{Jjam<#Xzy>M&w#nweEoY-(0}`YlN3jVs z+9YxSt2(6tl6xYxk;A#11y7Ti$^olV;jxvJeNh%DNeYtpX{0 z6Z1LNQ~B@FNGvHK@=s{QIiOI6lEuB>`bgnF)AH~QSxQFvAaN=W2u9=;Af)io$jPNb z3L>(4$m3|qnOD`a98ILkXa*^K8C`I`Di`HAWvQ|tD)>0da9VG;OpjvM!bKxjgzkRI z5z}zc17Rt{iSw#^5#BnXYUk1=*A6v{)NDdNzPE#%? z%2bcJSB)u`78y7&^&rbY+=Yp>Y!a!Rs*G-egJDSafgn&{^$%bnPAe`%s!)0&?9Xhv z;>x751u3jOon%ULsY+$*YJSmVbL~nwmk83ea;WSkRPB0Gj;ma%oLHAfV!2$ot0?gl zjR&rb-9412&qh3KgM!j9Trsp;Sc*GlNKBT2~^4Z(&XaQuryP$m2t9G$k;R!mTCREx-efAb3a0 zq>;i8vCQqL`5R$B(0I;pc)X;#} zW3OvM>q?Yy>o~c*uJ+b8YI1)qDB_3Op=LR7{jL?WTUSu6S1M4%|3%}RQh_2q2RCDG z$k!oWm(zOc&d?&>sSs1#%FVeO-ze(B*&~lVd5YuaxrTXNlSc8IN4G%WoY&Pc@&w22 zyDJ*o>zX*4Ou)ZGrL(@%w-#xRGxE68Pj}p{d468kAqwbA3+em@C(BcGo)}4>?}4|W zWfU*)QZx#IzC%Z#9RAf}Z1CUl%>epNm;hhvc7y73oMeK!#khy4$<&;1$_%G=FD7N` zzK*0!P%{b=4j9SaVlcmSRW`qdWwI5Jch~3(MP*#ZDTF0fL5WBUmCxrTeyR{in6k@lwMihnEt*sSBA$Ds6 z_W{&UA$Du)U9#IM#BOcqvcd|nTbma}A$Du~x;G(5A$Du~yVId4g5TN!?io-N!EbHi zJ_&D%;J0?L`#cmy@LM~~T?I2m@LM~|WveTK-`dflD1zVGF`_7f-`X}&6v1!p4laj{ zBKWP{QR=1$erw0Me?S~f@LQ`1eruQTB!%F&b}2DeahzDZu*3B33!UHit2C`0lhNHl7_}}38N3iUZ%o@a$J{$=bLXZl&&Q=a) zZ1$QnY4sQ;es&2RY!zW3XP0t_Z{^Y8S}}Nn1~-dA9~yi|ro(|KTc4Z_TW=CZef_{I zC}uEq>IW^O_&8S4+%aN%HqJAA@y|$=#m{>TCl$W*I6QdEQ8xU;FCk_!nE^79GxK9; z`OIAW@h(8~Dxhz6gFs)^=w2D$4Op_o<{e?i$ob3orgAyzanK?qT8{$#aDT3 z!I4obO2%LJ)H9aZ*z?C%dmN(^q6nMK_!?0rMsK5u;w9o>QuHXxEEVO*Xf2vJUM5Nh z7^k3oLzF3zgXW9BDay3yVb=OvqD+tefbkMvD@tc{1C{GUnGqevILk$u86AYij;|Nx zoaikEy+M>YQ8UYYTa>xcyR7JqqRfka#iqJRlm$_H2b7ydSr{F_llzV+i=t+lt?&pN zSR9?$24$tkpAg?%pf8(hg#r4ixvhb|R8||HFBJ>)rDB1;R4mY!N_C(wbN)vFeOVDa zdKgoWCVM~z2Od2XIHDeu*2JTS#W0B`4|_jDV!4IHA6h$S9GycI$F zi8MSOL^QI49u@6KH#v%<=cf_@k0oxQzPm6{}7GK5ej(;WEIc~CogZ0H4f@k!CR|)iG-fGJW%$4u~H`$l7^<|IRS~n>k1ML-$EABe98Rz(`qCMv(Ghq_{ zQM8xfg?X=u_PUG5fd-E-^ zOuXbkw%OaFmAvF3miwEm7A;;f`_s_gRcnWr9KkvHo>vL<-3va)d&y}$ch{%(Q7{W zXw$(i%n{NeTBny>&9OAf=X9UpCAab%#`v#5o9QKO^wQ>YYdXhE{+sQxy=Zg2(qS6!G|zv%OOnLwye;)~^Lo4n#TULb9nM7ttPe5uc; zhoy+jFY#smTToh}*VttX#ammng!8YqNrq@w5IP zF^*IPf0eG_uXF`3hgtlbPgcbs8gwX>-}s}T1BGOvMg$zf@ z+UO9Bg1A9wO4mgW`X%ls4xWohmcb;yuef}FYtzezN27fd4YQf^ktcjXek$z12cT`^KSzB z@|4QFz^p~dcf4un>}bqn^l~7RG^krNA^LT-|s90X$ z3ZO5q(F@gk(3P1gKwq!S3!L3BFL3Jea#yYW;oIDjy?4No&x&5 zgpuSaps$E!ps!a3`g&!cuU7{8dgo!)RzP2`4D|KNKwqy+2JBsdr8_!~`wMRytnbnB z@_35RyQ7mNbp)5F=_0<))RT!N_WGh#q8Uuh9gAW?^m#rq-5?pTr^tZGE#}jZWWdDH zZh{P$DBCJzz#NB$44ASZ1Ey@qfGHa?V9JIJnDU0nfECc!Q)IxbpwB8~1D47LY?`c) z0cV8_I4eM3Zv<|z`&@Y(OmdgOVp_g=Gl9M@qUeP1RLtf4=nVmVY185Kc@}>J=qnG= zP#Xe$vjKCxjRJkwz`_a7!9r6Q&$9_aLA32mIUgptau;^t>zrypUp}O034e-yF6_}0 zpf7z+3%|fvAE(@j8Vb;tiU568?W+KNIrW{8yZXX`lr!O>XqLh!S^XfKjQvt!BIUf} z-GM)agXqq?0f)k;dI0oQZYE#^7Y<(!=qpW>4G8qj3eeZv6+^W!Re-+t`;H?(UsioR zpsy4yoproZn65%xKLsUE=9{p5sS_-Tr)~)7OY?c*PVios!4qB>j>qa#_;1RK!sl=- zoW+HEvD5EP%*0YW*i8d{`D6(6+lUi4xm1E^+%`~#$Wy+2G#pDo-0ad@g0mvf*W2}Y zIGtGq=(`412I$MKss{8Gn`}U!uNR*RM{}wGeSaj51ZH~?H-!f1OQ(4?@a9owl?e3p zHVE{sM`LIZ<7FvN3sauDlqc_xJgqNn2GCdexETvz;Y#tLYW6kCCC9tyG-O;=1?ZbP zyJf0QJqP;Ix2EL1jg!ng90s5xeWV3<3sra|0;(sp{|NA<= zq6GdY1ASF|MG36pD@tG$Ur_?<_=*y^M?hci>Qm5GKj{(B*Xt3`mvu0dz?Ac$u#!H@ zzE46SCH*DSF-~@virBx}1kjh|0tLqXJ;#gz`qD?D7}j4D3~R_&Q-zl(8=x<3CWg{B zf1o_c@qY0+7`?IypfATspg_lOsF(`qn~M2nDrN=HSH)D6z&hr(CnDxw|3?9R*{b8C z<8Ulw#^SK)qWP=qrm?G!B={f_f1XIL!}DhgNVm3G`KEpRR-TK#3JZ=iqL# zFvzU}^v!M>=&Ss317Fx$7V97yhz=`k<8ryrNBnlDFjTO?-GIJXdA(K@=&M)f;n=Kh z6zHph%MM_)J{dj{D2#D?0Q8l@j-dpu3iOpFs{fQTW?)z0%Gj5O424}K7=Xn4bt2kF z-Ks!e+B?dA4_!G;3CtWz2`t0dQUdn^eL)HQ9|82`@a{$loDEVyU+!YI!tI6AS2jeR z#~aq&KP=GqAsITF5|~P2D1rY;Kws}@-a=02POF*V-gub1nLuAQOgzFJQu;7JU!EGC zFpPz(o#g#=5G}`g7k|Xv5}+@uG}IYzX~b6x@$POK=*wpvj-dqZ1^Rx&?FIV&X90b) zK{cRnHrOE0Hyd;V`euV=+W@cF4d|Qg4)o0i55la4lZhB#1@z4d&^Ox+=t~=gjFst? z4Jv`YHXAoiRe-+PU_(IPHSmiv)quX)?m%B{D?ne$-GIKl?!r|!pf4}YO}y+k>HKa$ zU&&`yla=744D@9nmBp>9!f%SXc2@@aR9z*wE9C{~n^izxUY7*u%N&@Nfxcp@Qw8Wt(=yOEtAM^V5O}YcR|EQH1?Za#) z1o}!Lf#K>v0`#RUKwrr&1AVhWCD6B=txHz|eI;9*%R#zU4wc=6ldc5%mdlBCc_fz0 zmAi@(JX8XGyLphA)h+UR9x8#p-8_^dr-w!*&{vA+)u|HbYjYIPH!DD2Jfg(ApMNgU zHygNkGM5bq^vwpWOCJmL<@32T&{yf%AVi5i7U*kIy90e|HwWmOT@UDsla(sTF7R1I zjEflo`ttFi0DT#_1(j|svFZf+W(DY*4UUrz=HSl;0`y%AQGmWIlcEIf8PJ!V5kzwk zH~w6PPpOf!GBQk-$SpoWH1?XG5g+SkIz^d00=$jRwZ&rZ5wF30LX9u8W zON`hZ)#_e#???mmE%CLM-?eU5D=CHDX3j3ZYwfI7{>qQLHSrRD*CVr9`C!`JhBvN$ z*XmiVkG4Y{%8?8p@GJ%O9j4S3vs(Gm*WH$HIzcBqtCg>P-R+dRWR?N~x5&$muDk*Q z57$88Sqca|LIZ(kwf;Op(N?}G@w?{D8hHesO}nGn(0z!GGGJXs#mi3<-fcp>p8&j-@#r>c9FVqD8Ms?0~+_Sj~kUqKX)=?^%V~hW;L0K`` zQ<*H8J#sP|drv+XB651;Dq+1dW+G0MS7>W?$>lS5(tNmcvzW?_!~9Bx@9!BTGJ z`0P+;0NiJs>%WgNsbI}d;IxFlcz5OKe6%M2eQn!b{$h;DAn4^U=Ih~FOs`;oyDvtD zLPH0Mo5P4vXy{EFnp=prM1M6!ESSqc~Vr1KU8*(80BIl1Fz6 zLOR$78)WqX2TTO_&#opLwWMxuQqySYV0V}4f`$&-m3#xd278Ds5a{d|7*in7*?A)J zO?Gy^h=f4b4`fC9d<^lsV2Q5{E@A;fpz8-QrO&O%UkO2Kr6JI{YnIZA5a`?zIuHnS zZs}Z#gh1!66$3(`b2p0tA<((+(7;!)W^PJqWF1tn=4Y6tV9hT;^c1Yw3k25eDOj^# zhBf~)`HNG(vV5^O1HnHOta(>Bu&`z-)nUzS6$@)tKQg6Z%^YkN)=Z@r*32)Zd-;pw z`-Mok&BB^FIL7}=Va<%t@jnvQ%$hB+u;vliUN7GOe=+k`{V(P(Zo~PtdC9R@lH>P1 zt}A6&Gqp0TnOeJrHB&3Yn%SOZSo2QsIT3(lT!G?7pV}lZDd8noT=Kc5by!$4wKA-k zS{c?%tqg0X*7+X*YvvWN3~T-m%znJy?@chN8s{c#*i}^|I7V#IS zD){f?FXoRd^#In)pUtxT#cQNLG=K5MxXZWv#SbEY9`hFy$!uZGd{Aa#%^ZIv=Rc0W__ZDI>iN@rPUn{q&VCu; z?3WSFei`BHml4i>8R6`g5zc-Y;q0%Ea3+t)1`*D_LO9aHeb!&Xf(pnX*ARQ{FJb`3=;q-B1~`f>}k3!cu`CoC85P2ZC^}LSnoP zE_0g1n4%#urff)zDOV#g<_~Kb662jAS0OQ`i9CTIiShSQy&y=D7=Hq}hQyec+sz;` zrn$j3Q?5p0Ou~3WV$3^rLt;#MVZ|M#CQZ~ax{stj*W-Cl_bVYH`r#LtHCz2oeYUFWrJ;|jlnik z24SYcHs7ma{_$$YR3yeK=K3VYD(3nm#;KTZshHAFZ>yLJ+pJ?MZ1Xt8B8l;9_B{Wt zVk#12%7(<4{tSsRWkX_286ad$VthRu35oIE*l!vVV{vInjA?I4jH&d5#Q0shC5bU# zg!X{M_#l`W5@Qw-5@T+GH%($pD@$T5_gh%j_#)Pj7+*qvBr)brax+PcS;S(SCqOB| z`HdI_jZ{)3#xyjm^JvHh+x$xgCyDXJNZd4uF|Y4s65}IKMo5frwuQTs7+*mXk{G`N zVRK20f55^dF&@`wD%bAbjI*=Y=Jy~6k;XPN$6}kAlUQu?U*SnejE}}`k0CKW9;4Ba z7_XqLNsQm6tVxV{u`wjZyl5B_V=nlH#F&e(Au;AcXh@8?co-66PJBaR%n4~oj5$#Z zi7|(`Au;BHW=M>=U>Oo)4n9L-d>&;@V*D6oO=5f?PS%hZvs(>`F?-377_&PJi7{K( zk{Is+rFqYKtV+kbXQ8nyi7{^&4T&*Z(UKVRw$hRq)5?+jj3yb}AJ%^)#8363^O zV%$vD-dD1?V#I^wsmP{DjQM<`2PDS)73%6F#$0PGiSZjSt4?CfnOBv>_-CxMAu)a* zN>vhL-gKu)jE9zbfNiEXOJe+0l-M+hF?oyghQye>#g@caeGPp%dOY66Wq3TtKugEF zy1ODRjcxAO29aLoqwjWCcnl4;xf2zRTKLEv&7*nw% z#x%1e#!o}BB*x6KB*t$+u_VS@0dQeSj7LGSB*s)MiSfsvSQ2Bpwj{>KAjgszp9RH| z7+(U#k{I6z#gZ5^$C4ORu_VTiK(QpoZ$Pmm#)F2XNQ|dIu_VTqLa`*qk3q2{#x+0? zz7&1uIP}7=-2+AEy!LfFeMZEbjf* zCo%pjEkC;=K}iL~U*t7=(}CQ@ZILt?y$E;wJ6 zi*lT@R9O(!{5i{TT5q^ak7DQGqLC{?cR%HbX{Opw!BU13=T-M2ymdm=&ZkSR9cmV> zM`C;}^SOSg@|<5*cemyu?M+oi z_qTARhvBQZs09I^T7s*Zg*dIa5UCjHiEt3J8I&uN$`)+1_S9)gbE!&Y>uO$RvblDp zoJ$1hS~*m96DoDHDaTbVRZgtSBe7hr+*Op|;RWM?D`R&L<>|8#4=pGt4Z{_)yN7bQ z^w2ob6ydU}if9t!ubUjc3MuC_QAKV0W0!(Qlu#_Txe41S#oTh9rykR9CW-O0@McJi z=}eOtb42h3uRNdA*k*Zs{4vzTk{F*33;x$uiuc-(7|%yW%}g9DiSbG(RY{DQK@wwH zSCSZipE(sI#?K%{9v^a}DS=61+*YDp7anK?!TVBXlr-6`a{MXF+zzpX&0%*E?S zB*v#eF(k$pK<)vF@qCzp#F*CWlNi%q5HLg#Jc#bAxeTHuF($~kI*IX>$f+bTX4Pwc zf8k0iGb-#~a>(?(Bg z$0~t;{qX{i|E_F>$wPF~z$*qgi=EN4_E0t>wawkuroXb8-xk^Ltg=jIcI?l})=d+WmN?%_pr*6K%-u?2K;Or_yMKH7Y5i z6)@U$LZ#7Ht287jF!OY%ugtzLHhiEXN|U1MvG@VV;1hGjQVLK z)zB@VyL1iYmVoGX{hL;*Qa`3L_0dd3r_JtVnsCL_v(@F_e>&D4l8F?<;%Gqs{j z3NK|%0{0SFcZB`11Izq=ol zIU#|{nE~$MP|gouV9><97%fm5L+C*6q?nFh?Hiu(&fwH62ZFP7*D>}~o4&vPBM2oN8suwvwxn%75^34sXVBsYabUIk>;{3U>`h6%$E0rM z+Mxo!y^^d)U`-BJ$M zzQiooT`LB}EZ5yE2E;7aeTN1fxyXZor&A<@b2jOqNCxNZ!1)x(;G7-wHHu_#u0KYK z5$c?KaRExyuE9YVoO3TNqe!T8?hiLX?8e}%sn`|bxnU1X`rJg9ldqva<%6VK8&0Ks zu%rupdRV4U53f*DRdc2vgsu)gjzd4q3VjshY;Y`&{m;jY3gUzObD<14VTuzU?5jT2 ztk9Pry_T8T;Bp+31T7Ny@qF)P4ES&zDj#3${T%1(gwv1$WiI4QcsY*oCEhxWtRU2^ z&`-cRA8J-;Dh;7#g=T;x)U42~dntSx?G09FDnmof3e7Stp=O0<2aOChD>Rvv+rrtn zi88FvVVV^>)U42SFe%im&{U2L*P`3N3QeUW)U41{ri7Xmn##0LvqDpu9%@!-DxINb zg{Cqi)U41{W`>#-n#wt$W`(9QCv5JEpx>6Db3@Gv&766mW`(A*AZ+h|+0A0MFx0Hj z%vlsRW4MA9`d3gEhnf}ohiL01;b~}z_!cSi&G0ykzW7#AmWLWXO$RrI3uENmCZxqH z!fWX5d!nog)2z^8mJU`)&I94mY^vKuSsOmj{`r9@>%uQH=pCXw7hZuW9N#HHUkbmC zO2v0c&gNW_li$rD#xq6-@)2(i;Y+d<9kI5++vLNJpQ3*e0oD| zjrSTX6SuhMR?zMfCxhK0zfy>QB&||%i$gdbe=J&yTl@<=13o3eAR}gj#d-Ju2FfZn1(Dx~N&9 zX*ta;YF21!)7_$Gg{Ic&7Bwq0wHa$mbXFOTx?ifLqk8&}-0iYu%z|h30%-hc;ucyy{VV&MnSl>;6%+ zm*9nYuZi}$i}wN0{v_HvZc(#Bvz+4i&xaF(6V-TMa>GmFV3&cD{A;O^TvBc%?eHJqh3+7LQ`w^ikcOg+Fo9MbX)U42JQ0o<5@s-Iax0`<`M~_#W zpM~~c{&CP=@&=yTd4?13;nxj?DL7aaEA(5Ku-V{U9Qzwq=x^d0sjf1I`KhbSVg6hc z%!Ha1`aY}zLHHBSfFt}f5g{LH_%th>gc?50)*KvObP&uY`wv1XsdZGdLWfe}Q~l4w zL0c&G?UedY^?B*4&(l@c ztkArS1|dHJ1uHZ!gL!ox3w*X|67Grx3#`x{3`(J9g-)Qfgqjta4bc{AR_L#z>f=M1 z9E*HT4$BHX5w*z%pT@C&C(_9ZUBD$kRq$8o3jRu0P_E$5`NyLgK{)78RO2`PK~VBS zh8JsAXkjQbtk9umg=XZIa7T{)-^;jZ3*~fQ@Oir9L(K{u;dZkY=Z`=^}5jEX=_&Ka0Zobtk8qeaoJ#N923h5 z&D#gnnLB1u6J^JYnkdrz<1%Wr$=ui}!+PYyW!wmi&m4@_X;AZN=M3jl5^DJL8swD1 z`(YgKmZ8!Tc5=Vao>>L6ws0LgW{*sM1bfzDpYJX0+8$oTG9S+jM$UxrB$nA%%1jKO zV3|)ynMvW-dGZHHnT}AiLcfgXUDLv)%sD8-bL$MxITp&NGF!vj%#c4G6CWzB=Y%;b zhht@Im!&IoS-L_Cb%iu5G*{Jp zs9B*OLTe=9BS$0b<(aLplP}4Az#&ktf>e+APwzDC+|VmOjzmSrBrV3-uHX779}!>f2l_%M}gMVS^J%2o9` zQ98rVb5Jf9Wu{urZpd&^nG*)6ZG2Pa4fb`Y;nTbhFH{Y;GV?xi77J}{e2XYcKu3xR z9&P_AG!#gBaqJ% zY_zwCiWOQsC{}0@6)Ut9Q>@S;DpqJQP?%{E6=qsQg_#yxg_)LAg_)MST7{XGRE3!q zQDLT~n8HjmwO+GAzl+w&y@e)-&f)s(Kmj(Y3Gt0raG>-#$pn z@$RW`04KeZQ+&{rGdaZv&FTj^#RpB<@>(U)L!^m7bcKX$a4?RV5BfHU@fB#rA3>0+_i;)~3MY)m%APy_ zSol3jiZ%-$vx&&w%3Xj$=ciVvFd zqTF*h7S1{t$Hh*6!w1dcYPZ(t@;Q^D`34^}l_1o7&~%&+H6Jv+k$jm|)_l-BnG!J5 zt9TX)Gc9M4b1`-bv)ENZ?rzMS!t6CLK}+$EX(X1?e9#v|ECDmkeP6B}gSc?v-6-tj z6dyE`12ynuI_A~DvwYC(e9Z^_r1G@jFnSW)=cW6jJDr^1F)vGbQhdZweU^KEoy3fi*kFe1INO(^gSt8gA*+%KIq(JtnLNH2c0_vxrItT=v!3$o33Mg zg_%yZ$%<6`m8tl*q~hP2ivQhI{M#sZsQ8KxTE(yAgWdsgasGE~hue77o_D3%^KKP? zMLX(!kBa{g<<+V9_p0~`Gp*vM_@HwsKImK(KImH*ADHP+F}}h~tN864rjKx$3LMP` zy#s7uyLPsCe&@nCYL=R>s%U%2r{fSx-G`pP`)332gIO_I;A8!Uz3D z`U7TqPi&u5JN-__=8Slr!_Zd^p;ZG{2!c23V1gb7?sF*1}XchC#RLr+jOof?NF{|)FABb2bV5aM^B~-ru zu3}33_b3NB84vH%Up^<};RDKgKKz4nyVIaC(?5hm!AyS^9u+FS#ub-}CcD<9eV}-< z>qIGqEl4T^E?aeccpQ$F54u6~L2m_bf|Y3}%`a4TG8Hf^RU>Tzm~?nhT-9Omp!tm}yRYgPG=pG?-~l6oZ-O5I2}< zE@%cb%>~O~raAZwX8KCX8Z-R|${I6$Jetj5rrE6qGtFKym}z#0!A!Gtorb#O(Na^~ zBcL>B%=AJuR)5Ot3e#NPCCVLR;Y63M=*a7y!bvXgC6~yZSYf(YEmuDvapTav(_ljJ1|bZdp+unW z$xHG)ARlTz=r!=0gtu)Av#m23+*+2xpCT#VCd1pBmJl!Aop@-53s0MRLa|+@9%d85 zS5UdQRm>);Ti8+ZPy!tNiVvDqZqR(tud&VsGu^+0I=NGCuqQm6>9O=ZP3X@htbxFq;r!Dmd|6$(a~VITp%%HyX+( z5$8{Va+y04N=NuCbGqD*Lzx!Vu?CAo=?sUk6|QhUgPfV+H~FM-vACWS=IP*T?%Bwh z7mi?=ue%GOEDSmK;-&7jP!@-`()BX;M^Ki8)3I2_-;kW;;q;TBd{dMaVLQ#PbAJ!B zRpIaH?RvL<7-t4oqIiWn7Rm$Ry>$J3_gpAzLw?H-Y6C+o6-(;-gq2;(p#hXl-s$9@-VXG0?`lMU9!B0m2SF|^{5-ZE$t-Qqkp`xx(DXp`Jxfu}Rp`x~?lw|Eh?J-uC9&{=Nr zBx;9v=R)gri_frT9UjkUCf=;^jHZe<$1VQtIB3(o6|kJ=7JutQo9R6SZJ}Gd0rMw5 z$NLjBV5XZmNQZEhlglPn9S-Lvj@BVup-k#!Oyx*bshq4sWX5KLZ!wGG)+DTE0>^II z7JeUNDu=3Z`6A>NPA0w@86xjTKpk4*jpjSd;xfoFJA^jMl}xW}KqR$fa}<}eQE&&7 z!%=Jk?Qaq}fR&^4z3nkbZQ^h)XTj4PrgB`X)H_iJq<#enEtEOPRnC#<%^}TY8Rdg5 zD3via8ZY(Ug^oD6R7k0E^^h0Pk~6QWWjUHi)kEGy7o4xkMLAAcsw{|xeVt`Etv6hz zN3n;(MH5$q?taP<)BQCamNL*d%eoigtrM#DHM->5p=J>uZ>ZkoRS)_1FrTZ5D$l8g z)L$c^Wer5GC6a^0w`t1dM49R_SC0fS<aRM)%#gj=_*W;07{w zIgVo?PAe`%Dn@!D5J@dQxiYD2J{20GJrPMQrMXn4vUN3yq?T;1T`A`hLAq8BmEDA@ z-EYcql}nWq>+(n}mn(M_C3yIU@xYa_y9b$B-6F5&VGk6Px^uFbC^=$q;4KUJ}X+A;^!kq%F*cS+9 zBOjiFjZZvR6m0bAA->Ri8{V?PFsww`fX=c3>k@NB@GZ1FpQ~|V-V^bivDULe8%o3% zBZdEMDj!2Pd=?`np6xF~Mr{`k{f|OIKAz)01V!!H&XX54de3&gWCRFJ>xK*KQ2ZI6 zZ((t=NWs%#oPLAmgMJGs^7xP&O$kgs=zUAHy8)Y@Y|tMW*M#?2WYkluPlMnJ+*IudheOS(&RKD|U!@W`;vG2;{eOd=2;vLnS42ZT+l=SS`#YTFZ`ny- z%-jmI2EM$C7i9Q0D;u!twJ(UHN9FhnCj1qL5kq#w&cx?z>5h4IuWIE>O;=ti1JT^d zSJZBXZ>YVlj@FL-q17~EBzRp%wnlVQTM%th>(12bYD7Cku{8&r&R*991G;_t4xEPK zBfcEg&tkCE9)Od_za!-6IG-8S3%bRt8o71o1>JJn-V3_z1>I(XUeN6|f)Sk93%YfB zLASWf_kwO0_kwQYcRg-(NA`kl;~fPO*$cYm4QER)=vF?P=>^?7aaI6>i+e%0;4EH) zxzP){?FHTPRaYuwE@--z|uA7_8|?r?a-iGpr7Sm>lh2VDiFz<$(G){SLkcO42lN; zv4qBix5fVtY)$u2UK~zVzQ-sr(QAHegk4qub6Bp=j#=dE#;(M zG1*cs)hi}j$}Ks~WJ{$jrYQe>y$o^gbHYW~%Vw_3mH^}ilPyoOUNPBHbm|q8El)S7KV}+Cucebbdh(-4s|#*} z7O_)V?U)0Asq9Tjy~d<&<@6zwZC*3kGCi-EY(>5S<-lZ1xh_}%C3pa0K{44fd_ghU zil~@uMN~|-tW)$Ttm=Jy$m#_DU;)Ks%an*6IRb)I&;_jzs#15&K%^8DlPw(-6q7B7 zYe6yDih*LX6$8a&D??i`*-nP7=B)iZMH1E4zc?L!{Y+*6Cqj^!kB`YQO^(4d@f8~_ zCfw!7s|)UcVeJYWwkq&r+}s&wu||-b+zbY6_&yhr!RI5j`y9q#xU>~b=Dc2>@0qa* zWPhff@5q&Zoo#l$^V|4}FWfyz5^P|J6tkvJe zrM3sG)eP{VSgW6HAin4SHf#0OXzTw_*6KvFR^NkDaNI<*R#OYyM6*^?%e#qYt$qj^ zesipXwK}O_txhUftCPRLvjxy66|B`s0UxDV*6L(2Ee&gRqFJkdhIsqCNd;?lqFJli z@c2D4&00ZF3TIuT;tH@!Pi zAnzp=tkp>cYjsk=TAfs|RwtUZx)tZw<|W5s<&WPNaQ%2MsbH;6Dp;$N3fAhRg0(u) ztkv7Y=R_}g6MImwR#ThgB^9jI$+o!tv8>fe1#5Lu!CIYEuvRBmM<_SSml5J6nzi~B zju0=YV69FnSgVr?*6O5!wK{nZx2W-0fzvPak_y)9WE-}NVXaOyYchbRvsUi|?Io|MS*u^(1|4WwtJmU^k`121v7ceB=1=OWtIT13 z>MGNXwffqvc$Lwt)rTR%hhnWh3Jxr5HS23xt9hBK&RTsj>WNR2aqMSUtNH6gs_OI7 zRqw`H&C94|t)_p=TFo}KtkwJxLCaeG7L@9&)w5CC>a5ixQ5*1A;MlJdZBEweKVlrI z3jQix!EONhJ#ou#S*u4u$$uEuYM!oTt^O0>R$!Sy5?HHw?v}NB3KYv){S>b4mbLnB z=?~3XeG#5SSk~(MkYib^Wx+J8)dNs#%UZoPl>b4j)f^?3wVJi=0c-U;=(ud~0geMK zYc+pMPj%*wnbbt-##*gL+a_77IiGsKTD=HnmbLoNGV~m-cimX4KR}LUt!5d^TFo-m zS*sszVb5yTYMz^Atl;hAj)W7ztMX01M(SgVt&tkshdIU7vFv7ceB=1f!#JvH6X-B_ztL(eFoE+=F-(JX5<Y^^uqk zmbIFZEo=2_P<#f?27kk`mU=(KTFr~Qs?cTW3UyfU22IqzgSGkz_H|X(>R%(rvQ|@BVpyxkprNwCI2`*K z)@n{T)pmEK+pZgHwQ4)fTFoLBK+k7TmbLnDX-Lgl&GA>-IBWIw@T6I*Mcf!`wHPSY zY7rG{wTPR~S}nyCYqf|yWUUt4&1bEaV(YV3KZVxPtkqnf4Qn-*XUkg69}f3vI1sGW zWSSYISgW~c4pOYu6fJA@T$pIqYF@Vf<*e0%UqF<0!&=P>`mBOsttKBzHXzdn$kK5| z%LXrF;#T3VZo(B#b5~O|+|`r~cQs|hU7hEpQgc_2M!Mmy9t+uUSJS4$!A~|g4o!pu zh1}J*Nd1_rxvTd^uHmlcm3A|@t7&eyt0`CGuAYJxGTha?NjKcplsCp*y$kY%yZTiK zy7q>@}*ADd7gR~`pIxt^TM$C+|~UIcQuP| zA$K*athuXsGDE;!eI?IghCE}3w8#3ndYu;!NAtMyqBdsZJ4{-A@doytLM{);;v>n?PIa>u^HUed(j`btFyS7 ztpcN0{uFmLr-0$Erfj&YDQ_`%^&%DjrumGoxT{lbvLY3KWh(wHsra|1;%`28bpzt! z{O`zuURAZ{U8(lGTg6||jyD|lsQ3?2UY&}6uZq8g+|`R1AKcaB7+-N$tN87BD^qxc z%arA=Zh#GJ*B)bSA5Ymnp=}j+bvtdrUA>aFio06bZXtK|bov8#^)S%Zs&@LFj*X`R zmE6@#uf|=?b~4=6lsCy;eW!}~$FDM`;;vRP6?ZkqiQ%qRG1up=PQ`pn#oPpUbsJ(0 z0eAJw_B{WtVk+)x%7(j|{tS0DWy4)fx!q~j+|}QNBjK)Y$5zvDSBp!-T}^w-T}@?U z+||ufk4JmgyKmENz7V;cbh}~h>SoPdy+6#FHFq_O2zNDiznkW+rj_NcmRl`cKe&%+ z*4)+e=#Sjh+(d3BcQuPx?&>|El;E6;a3hr_?);m$FKMEoS)GSNZr0q@>lmEe)fXXg z)7;g(zUQ50&0T#c$_RJ$^|r9#u5Q-c)nB3sxvO7*&|h;`%M#Up!`#))n!EZo7AALf zTcfGmW^z|IYwqel!;^4VAAvg_!(BZYqtS3zf19%Au6~;`IbsX@yS&&K?rL5%40kmb ze8XMM#n*6Gb0IX`)m%IbcQq%z;jZR{G~CskD2BV5L)>szb3rrQ)m*R)cQpr};jTW1 zvgWRSgtF$Y-WMlpxU1Q%hP#@*WVox@9frG_t?M*v?&^<1Y1Z7;r=zj@8SZM{D;n-< zwxZ>(<{jk{!(B})%Uw;y!svNJYq_g$#D2$eS97zxaqjA=aI{hG>cMy=)z5HO^MS~w zxvTkTq6gg7FW{Mj<*w$~h+aOXk?&_0}VPW*=L9yJ`R4jM(RZuK<^-WMLcl8=5mb;pY<*ud~o+@Gp zfxG%iD3-gLIhMQnPf#p(bv%?$c{O)+3lz&;O~rCo?*_$kSJSoSu09eumb?0ND3-f= z9u&)6eH|3bUCkT|qo-oItM7+mxvO7=V!5mP4@+@Z9}UHFSI>uHxvL+6V!5lGZSlz6 za92-&V!5l&g7QwHxvNPu>$r*LuBL`}Z<@RM4rqD&Vyxn>eheDky=m@hY9%-M1mB_c z^ zo9W#M4e!Y`clBe?z+K(QLE6M6OD>zZj&e9Ra)35*g)*t9F_j}#rE;=1$&3ZFC$l*0 zOu{lIaJZIj;rCXiay%KA4?-61YQ7+8lD8z;fYUY`{DN70rob`VbTIl%xgsMgvfnn@ z9Ju9dOB6A41^%R#Pk z#$%yq8l|!?Wg!l1m322#n|Rqb`8P42V?CA6qD^9{o&1DGoC8upmBqcEx*->HZTV+f z9=;(<$tWLeL8*+X(Rewn!SK<@$)!R{m8*w5j+UHxRV~ZWM5-S0GP>Y=RW8bL%2H)P zwEN>M!)d+YGChi23m1)C5xV;+M@;wEfv}X}#Cg@d2ydNGwR7o`YloUee7vE0_grKn ze<}01eyH-CYDm2o2|`HCwM24|_;Z?aIZ>v1%)M$%xwMFDn0k<9Anw9MS~iK)PE|(t z5?twF_!|t*Y;YNrY%qX@IIXx4sY2_noCtGTUYaoCYx(l z%DF_4u9ZV&H=$D3n{r&`Qsu&Yz>v`A)1*KuQVs`gX zj+`DE$C)BrR#g$bIxRLid<#;}X`+hS7O+dfBT6X!40m-A+bH#f{yYsc>LGV^v*xb; zIlN^9SGtbc0MJp+e+hP(Pk zD2BT_wP!ouX9l^eY2B>3tNAV#CyNxtUEQp?tDi!OJU-+`Qvwr4-&&&G0zCY}&lgCU zy`;(3$dRPk!d*QGn?u80-TW@E5;HQ}A*~-}a#x=K#c)^8g4_e{>bWpWoJLy9Wvq$Q zL^=<3p}%av5ZT~9bYJZkA@(!e)hnPF?rP3{oW16*{xWi!HFq`NX=MXez4iedvH^?X zu9XQ-<1pgI9f^Qt*zTxS_o{PR9Y^t359WIsuWORxuWsbKYOiZzD}Tk(ZQ}a?uWSES zE)=f(dJ{ORTM1EcOYC8$MXd%5c*zs8x=NHJ$ID_ux{^{Zxod3A78j*Vta_|o)Bmdst!6I^bsp&Za ze^apg6W~q5?kNIx--SPQ!PShSVfT~`?0y7JRKxDk9*`C6elbJ?yQgem_mmCnp0a`6 zQ?{^sDi(H6#lr5XSlB%k3%jRcVfR!l?0zz8Xkqu$pjg;FD{Nu+R4nZN^H6#KyFUfq zEbM+36brk*2o5alo-Jr$_f#zGo{ELtQ>hNSXWcC9ohr251pGlGV1bd-kTJE;6azVE0TnuzQi|2e5m}b-}lw1b0EyuzQBruzL{| z>|R6#yPwQjtbrBr_JG~L#R3X;&y1+dSaaSUVUP&_+>t{aZV1a-6Qx-+{?QTM8Tt&+(G z!B;xKkz_c#lOLi6YIe`ovwOKN`o0T4_}4u4!_98*)2N|8`~bN6SA1W?6E_q68xYMz zr)(xVWi!z!n~6@@PIM}EqEoRGor<04RP02jVkbHkJJC1%eGMyIeWG)o_F$s_yS}es zMeRi2((h~NQK#`28qZ7yJar20_2)4)R}w(hunO+`;~Mgg`avS3LHFtI zUUec?h5^Lgx{Y_j9kv$(WbJ5rz@yfl{DFDRDz78?F14-C7t14y+-sZ-2U&mhAhp+U zq7?|kG+8)DH~|AVSEE42IcSYtf8K!~{;0;RVl}(0s3B|e~;6h&$(4pE`_-_xxS;@x2|I1ED zR_l%b_iG@k^~QgXvRZHa_bIFO#{Yn_T5tS+P*&@W@3>UddgHsIsP)G8L{aOFpAki^ zH-3#MYQ6DmMN#XGAGk-MhH7Ks*Sq{Zb+xhZLzfj+>y4imMQtqnzV7+RQR|K0-yH)* zeb46)a3@1i-}Cv2djhI3@7XC2z5}2utg+Iz=tEr8JKUx&EvGB);qBa(O zn<#2y;qTz`@%$?Jp3mP=>ZaBkf1EoTarDN**WdH`OL&s9vGA8tR2vI_nf!dbe9z}! z%d^d+_eLVWM)m*^JCfCo+38GWZ%Qf`HAzixEd1SFrpv~{Z&xxm7XBV0%Wfj`1;&)! zL}s3d+)ZTWi^$zX%|KQp+7pLA!LqMY`#cM9H&HW)DG|G$TLX!&KjN#sMn3i9M|`zQ z=s*^k+NB(qvYV*ARt&hCsJ&SXxX9FgN5(LB6Lo{mf^83W6E(pX5j>cUgW635XYjf& zyNO^1T|0ZUa)vhFXJ_cBacYKs>r=4Azd-$QZr{o75M)O3*1PwMJT$`iP=BGj21!QkfXOj3d6#r7|hhU+7+fQPL6WFLeJOdv5|~MRB!_cUSj754XGTz1?uR z7iQoNUUV1+7zA__mr>D-`?wI%U>p^71e8%w$A~a0n!yzUDk|z=To4xqjY{H%TS8n( zGzmsyFm4z(d|hH(zvnsCedj_*V)EvFzyI(5F~1*|bE;0AI#qS5s+W3BF96fxMhIO# zbZT|?!*JUvlgtb^Lg?NMrp@KJ*co$QFzs#+_=?@vJRHoqZiLXi3fj(dt0p3+!n_qs zhZ`YuS3qo@8zFT0&}WewA#}-fx)DN`%u+W(=#uGjOA)$TK6`^M*$1N__tPe)Kc?mu zM4rXv0lRGui@u4m^7vk?h{!t#HT<^_d3-TbMC8%l|2`tG#Pt7BMBbUO_TNI}l^FQH zhsb+7!wc?zipX1o9j%DSTPfoaA@X=SzKF;pR&NU;&+tdtC4>3zA@Vq%{#8UCPoUMi z2JTZfMda}X;^q-~EVFq;-V=BXuz5rtL3l+(9#t0+d3Fu%kzzz%Wd(12|A!HIB^~{( z5qWIc<`H?{#dP>@BJxTM`u7ld9}K{Qu+1Uz_^Pys$m3hrA|j7W5s|kF=Rp5A5P8pV ztT&6u<15@EB9Bbxw?yQX^xb~|kw^c_Z$ac4-bw%OBJ%j={-0p+ve?@qEFQ@ii$^-f z;*s7Q7OxuVF&2-YyUk$nC?Zcch{fZX=W?e6i?=IsV=Nx`*na_wNAVboNBW;(@pyY4 zWARA;BPWs!q3ZOZabK@mT!d#NyH9 z2#d$Y5R12vt@y`Syz3!?p5lM;ksX$buy`kcBo>d?eVf7JG5H^1@i_Pq7OzWdx_A^I z5u3r{m1z3=SiH}v16aKCs6%7%ST4rmX&wIp7H=2mAr{Zd#;sfO5Y$DwZ_xTQ7LQXP z#^RBVv3R8aZ7klUy8b)PWBq@N#Ve_QMM?d4mDGQCN&Wvi7SBh$#Nt_ii$qwwlKy;1 z*Wc9uw|-dH|0L;ECG|g|>;E^gc$cz%VDUzK@STP$8logeEu{|Oea26YjO_gb-?U+6kD7LRm{ z#iPC$i$^-f;*oABV)3qnBEjPAh>Oe^izg~$EFR^HSUfV{28;Ir)e?(0nQH$z7H=jo<^%0B5%g28ai^n2GEZ)v?`59sH$jA|V zjm4v2ygCyd6l3vz&B}?zYe(Y0ip4t+Wdw`&<6_}Wv3M6#gjl?FApTV>-f|Wu7O$o< zHtyfV;=Kz^g2g)&_c}2akErz+i$^4RjK$-5mb+ zFvj9>@rbc_ocJ*oj}tP+;&GzHSUkFTjK$-E7Gv?aV8vKGI$w;%JDqfd#UtW#aD>I% z1C5QbcpTOki^oxlv3MMg7>mc=En@Mu0~2HMrlPZoSUlb(##lV|;^whc|3#ZOhsEQab}1Gwm;V-6JZdXq@h(M){}>jJ)&E^A-grFO-7FUGIAj#DcxQnr zV)4inv3OU2DPr+%0aL`{JqV_V#UoS1;!zCG6M2Yfmia80A{LK1MJyfxPDL!9Q{eO6 z2#YreOc9GmrijJc9!wF7N7Y3v-gl8x#Ntf_Q^ew(3#N$0TLPwt#bZtpi$|u2#d`!y z5sUXGm?9Q0HMj(eM&D_e1uk2};*rZ2v3TpiRTr^%pMvYg;w5knzP^aXBQ&O=h{Zb!Tw@W7Hw#=h z7Vlbc6N*^8-+*f_V)6R&5n&OFcR09iEFRm?R>b0wYcFE)E{0@B5sP;-xNa;Sks-w5 z(Mbnz$%>I{bmvMss74eK*p986kiANJs!lD%;+?}Rx?POLBV@0V?%FL24Mgiz(x2jr zh}IJ<9$$?NkoP0y4ySFoL&%%U*-Th&bnU?o?C zP4#rwSPHlz`cK@6^J>!~ymf+UMD|s3?a;I6Z?JfT@l|sD(B(PRP>SF^!Qyc(ksKrv zu~*6EM2ki~C&=H&P>M^7I1WUK*b_$_%|uEL5J~`f;@yPXK(G{xM~sd%hYOJ|R5}p| z92T8inRK>b@ghwG@JVSdRVCSxnFR1jHrK8alQ$&Hsy7JOZm@EFP6bSUh?J-|EWqxd@BLcg7JPVew8t z3jY;TOR;#fkkNxUt|AuiW-yz@;xU6*JW6kj#Un~@V=Uepq{!n#UT8|i#NrLkQ;zY# z${mKVEO*99m(lrwf@7Iqg6xBf!%eYxRY)sh@s0%(WAWNRe+w)gL3qI8QTlJOc+`ix zSNVMi!`Fkzy&@KG8JNvu@h(Ho##lU>-s4gHlsha|?%c}+23@S_KL%GO{rMn$)AOw6 z;t@FdI`sQ^xMTJwKpt2;0nzP>z>l)wlnw#g;>~|Jl!4Y&k?5f7W8lA=(bBWP{vt zh_?7y3qBFpa)|an|5=L?Z`*Q+7MG#lv;T)aYf;kCTMp5pqp!2K9HNarYr*%W`7MWN zw;ZDV#~-xVa)=gzBz~C0bDzEV@V^z$dA1y)#Y49(hiLIUF(JnkJLni$IL4Mkw3sGK z*&8j1L-CuwRZkf;X9}2QTMp66!PqT_XrIR0pY)&U8#u7_`!m73v*i#idZXSwjkWL+V#^`gEr)0eIBg|g{Md4cR*pN$S;_A6hC=b`v-|TITMp5(JGLC6 zMJE*f1kXCQ9HLcQ4$255c;k59sQXkP?TOCT%fx zy&5u>f==1-U@V1$n5uSnX1+s>7xO%wwSOAqB_w+|J#WWo&qiM%&`007M z*s<3rkXLFWdoF-cjx!d=27A`wrqe&ZqcDI00H{7i=P z#oZtscNDtP`I2t3E`iuQkFjr^VJ63Lk;mA#&TwHmJ;uIuMhLUiW9(a}PM9u_v2UGiO%BgW zZ^VvZMu}~!JRaM1#+X&8=W)a5v0dltgdE#-I@w5<$9A11Bn_9xcAXp8T*u|HUFYVL zkeot?Jj>p5zJno1(v@g-YIhJ!fW=u zBhi*|jdGl6`0bm88II-8Rs0Z-w-(j=7byY}46g+#U}k`}y#@G<57BxrphfcPbV9NU?&f#}m^20>#;y_g+Mo`wN9Bc;}!W{41nPwf9rrv@KTbm0E8o zO8VETS1|?Zyyy@uovqRHkmO&l$TWGMpo{!YQ82-KmSvU*GtpZEPw;OLrrBGFp7nn$ z%p}i1_xU#p)8aivt8Wr!s`qF3fPb?vt==*+w+J)CJB;-#6{gKQ2%YWUD$GppV^+FM zn0Bv5gx>TA_{Kz=CM8ZNbhuc*HhcQ!mRWT;waoF%qp*( z9kNoG)!tF;s-Ft8#(SOP^D|-AdY7{a_Y1SmyOMqIfYh_zyA4M94@%Ak?@X3?NOC^& z9%COoEX?hehNSEe<6kdAv=P;x>`6OWYhGhUrM#Al*X(U=snl3YY z1Y7i`le2H^~qJ(Wwqhtl(o$}(Vx|B>QWlv3FZ9LumYDvR7C zm2Koq8zfwd%I3RhA!K^LMfK1J@mi_Wi9OY8lpC zEI{7SH89t4ev98e9Z2Z#$6JJa>s{tBt7Mls%$k9MwpUAI4!3TDy^i+`Z8^f4h#FGf z$-uVy6UFGPcQ(b2l>V#mE;tCxF%~Zt@_HRTQO2&?d*>MBOtX%Gf;vy^Z54Z)y^lCl zGX!bU<~4A!n<-`54eR^J@@g;QrJge%zkQBGLX&@i#Rn+b^o~-~J0jCB=9+t<#ckB_ zh^O-}68$ONj*F#Fv)@SiuV7SfKx?-BNCMZyOEW$Qiokv8y^QUhO!3|s&)f1TBcW!mxjj|cOr zwJn&G*GZfHBps0To^1g0nlO2-q=DsP-t@moU-fply#uRJt zN+Z#Fhf%>N)+G3Elb5EWe`dXe{+{4%pl^L)J%$!Gdr>6Xi_q#8Zy5dBw10`5R_{!j zW80h@ZQjQm_cFTzR?qa>*`6NuHelMl`^&-fv^i%xygSJ_!p!rY=IE9Sv&ftKeK5U* z>GTexwuCTCy%#wpU17Ss^I66dW~H|^Cyy`8D({tvV3IcH<7)5t?}14P^R(Cda4>0` zUb4nJloPeLSiROW*z7){V4XLEOh%aXhP49H-tfXnzKof1<{bOwHZ8A5u6FXAg4fLsco2yW7J)d^4IiIp#`N7B;Yu}BWyvGkJ`8x|! z?X}W(8|Ilo865LH#oPvOG0W^_TQ$5Ko5C`COPMC`d6wBn%1rRC zW#jjkGR@u+PT~XXHPsy1CCoWU+ScmLJQmC$b~&`Qc`1sG7uD@vg3Mud5;?_4wAB^p zqhci5+4Ng0djtLMNP7mj-d1*B8qq9G%39gK(7vO^iab`N77VsG;u!R*gXAH=seNpL`!))l9?(oO zjr6ipY}Q<7Sd$^()x8g{`^`1To%mVv!ESqs8TDnBF_a~0Ql{Rs~bsoQI=wEH~!=Cltg@~Nw zUn5L|cL^7u>+GlcbF8o6o^-wRWs}Fx4f!|NU&9$Dc&q5nH`%-kmsN;y@NzxG|f9g$U9ii_k?_!8jcj%D_O;HlDZXBPmtSC#%A!QNNNpR zHC4zBOqFo7R=|p9Fg3xiK{?)8FTr4!tF$+hi?rdkO+eh}6>k9(Kla;^8~aH)4(F7@8|q*E?e zdhY_#S(gjF_e0Wom+QROLAu)IGVjeLUFUKw_b#OQ^)8ok?;_GWRlI{*8Vsun)qB^` zz;c@4I4tEjlyMw(kR#`59p`Q6v}$(7qk*f3K_qb*@>)_=TfrRnDCkOUMbdDuL-SJw z(pmRX&e*}E>)hK=QEJ!#j7+0D4HG&woDZg&+?_FU6lkJ$R>H@9u(GnjS~G$(@Gwr1zxG z7FVCACEW@O87ntQ=0gyX^@*Q>&!+cf09cndQtACU{|%Ry_UQvi+wOR_>Oh+BxW{1D zq?<_Nnd$xbFMSZzS=+4T6+sPc1KbJ+R#)u9^F6SNv>;o-dEK&Vw_ z>lirFMUKOwjl-m7y$y(()Xtw%|&Zi*zi~I&@H4t>aECfa$A6hqmk*(s{$W;1pym z-kbUml$OC+b?cUtwC)D2PY0#t6iB%}aS5Bgk#x158aI({aGU9)H&gcnw+t4gZz0|6 z9>e;Vl5TMi#c%pn(yfN2&(mI|>%W7+S#_T*FX@x6lKNMa)PGk={dbqt|C5sX?2TS_%Azgo014JLz^*>2^RZ0Di==vqt>7%;-^ElTZ z)Aio~uTDR%>z6a5Pek>PXhX)&uVVcOO3NU!I%Mfny8Z^b>C;@Y9K*WqWH8U09ily!M&(r0ZSj zo3|;`?fIzQHR$~b+Z z>y&Z&G^%s!$!N%5#-J_+rG3BH&M$PGIw&n^#}$A0iuzKn_`}zvqxtX+X&iiA%6>D< zTcJpT((Z}tO)rPlAYEpPO1U3R_b@5%c(Tp(6ejO6E_>QB*{k*5arnh~TAJB?p7wL9 zWl-AFsFt_U>7=ZQhBt<1smPI5eEiZv}*<4iiMb7SiQkUb+ z#Fa+6ze%Z-w=XuHbcHGRTUge39TNwoy@dK0l$KYLT3=P9k5}>OLBcp*KMYuUD|0Lw zlJdBvrwdXf>z(9-8EiJ9d>+bgheRbA9h8>WB?G8CUY&=7j)T(vft52T?L|oR_Gf+i zy^r2Q(!-<1@UcL8gvtFqWmGl)09>7U1j@()r?`wC9kH zg3|tqbQF~K05moZO3Pu5gVJ)8;-It~jyNbSd$$;rb~i9_P}(!lS;e5VykV4^$Mj^A zy=cgrpY#-yx0Ri8QV->~U90e3su+}( zPer`%_CR{2JoV>l;;zUzCF*&qk)vSxDh#3`ZfD+3yU& zr1G9ah5lAH?}@6tp_qGq!REqKryo{qV}A&-Mz1s|t-hNbW^?9YO+JeDOyzZ36$8=w zgU#R2<~S(rSG2h_D6PKBJx6Z3B`ED!nowgF^4}sTEwvSc(q4rUUSC!}MqV)EF~evu z+X>@%OEDDw_U470*&egE-++6u%WvmKD-%C9> zfa&jQHsjjTdmC$@-(d2Ahv6+b7R)|oD>Bg6WDYbh0F&};GKUJ2_0Gj2?H_I~K~COV zc@&sq&AY%=mEm&xhY4rxO7p^pS2h--=$o4D})$Lwt z5}0euqmk3$)v(O9<{4n-d7OLx67v!;i@dv8=?&)XU^>02SSgTWSzHF`vjZrjRmt>noX_KII&OD1 z3g(a?1?k0cMWfUoXjL(E%bEDfu;pI0wW)oyBWEeM2tdk>p<}`Tf5q zDH+{A#e!l?Y*9~GhYK_4sO03*Rf@@*uW~XaIrBCv*d%o-eK$z1C6a^0mnq8SM2ki~_hBr`r9~VEqCG*2Bko!h7iv$k;@vl4 zAA{hVa8Jis2*zdv?vSGkgMBHitY-Q~K6iWHj|Yv9VbsRo%@o7DU_8mduHI)*FerW(3y zE_IE`aS<-7x=6G-T^r}{B~N$G0J5-_UlPD0N-)Ksv;%M%r61Fu6P-1Rg3|J7y5nu< zV8uR9js~Q>nYaq{=LmxfeG2&JtCyh-XXj+7smyU`OOhVJ7t->4uFOdCo=9FF?+#1K zAeBamAgr@5*t$k}|`j%Q#OQ=Q)=7Dabq?t`1=n zW-FuWbGWlV!>&bIF(~cHV7yy-iF}5A9%#cmgcnq8HeJ>6+F9gGn-d|WjsJl?7h(uX zOKBaHmbo%`sG0g4hgCSvFEM;&j5b>gN_!6&kIy#!x$-Ixt^X4T=|cNz)rfErQZsF9N)6u;wqFJ(R}*%x#!s&F`E& zlrKHaYL2iqfAQ?03n)B>V_YuWv(yNGBz|0n||6!hB=4(zM9`;jBEx`D0`uJbbeSL8df|sY?U4ww)7!k#Y4hYEww2g61Fa$L6V1rtxHIjIXxER zzn;#m_=Qp)5*E5#j_BH-j6rAh;t^e2AJOf_Bf9n%KZdx|i?2ECFG;6*@rbVd73pj* z9?`YGCY|raBf9oCq^lD=qKnA7Wa<)8WL+}#iPf;kR+7_@;1ONh7N#-5Bf55(FinZK zA#C>$W*qyRG4$YbR>90*B)%nhuFLXkLcRNO!nZS zL=~Cg!gMBhMAsf6%+dsp=-PF{bR~F1*WT6~hB7M?JfdqyM|2ZWWZlb9&*O%>5Ke4g zU7m;YAhIqS*-J;(C2921k#*Tzrx%at+R+i+p3Y84>*?GBA&-Mfvwb=MQ#qQFdVQQ4 z8c80}P4S4Xy{pOeR1{fP=w&E}$hxF^I$dC#)gaS4vM#Gn>&Uu7>d3l6>d3k$p@7FT z!@bbe0gh_3fR3!o6pw>n140Zm@vIT1^t`?wQqnxF+fz>Krg>Vo=MuVWnx}Pp-Yfz< zt=m&h>!x{Hx94&SD4uP11OGJx|Tkui)kWf*rW5hjvQL= z>D&*&9$oke6_4;HhWr9_Pv;r@_Ld{O?m^aDVEUXm$#4(0bnmA5Y{Z*>2GY}@@a~nZ z&kWoS3ryv?NZoY0n~NJTxP3nuO@Rj>pysz^xr{X-x!mfEmNCO)w=+$8sUJ>HgEmHu z!@wAyKZWM%RpmEWpt!1xISH!p_v=07KjUo#_EtQ*Z?DB$P{X3<*?MUyv&&9Ad4|yg zstk)icC@-+zC%WE2KbL%Wb0MP)~k@Mmmfv=LfPPHl#W)Sxp-()w$15CkwvMjnrao% z3uQy7RaT_3T3RA2QrX2vljMq2b_vOzj(@~n-dg?o*2MV1g5F_E6A3{sY#6!@;Ak`DTQtq%F z9v_#w1tA|Jxvkjt5lN}odza)`rYd4SyzCs37h$x#+!Z7V|M2?VPLdPH>wh0eUv>fi zlQ&Q#$z8zzlq&F&8*8us1?zK?+y(qENvD$B1^ll_XOnEG|264+lDmNa4e9EDyMS+) zWac(qbaEe#;KvvySNMB86wkV7r-+_p}7nAy9w=a=}-QU zb$VR-lN~~G=}*oTl1qQ8-#8Qz4_^++K8AH83vlUA^=FDK$?3NO_(+e3$rDzQUVk7A zR)kfg|9k{UTd&r(Uaf7tOv{=a3@O9J!$&pnn~^m+JjfahrZ3jy@L-FR8mb7Z2x@l3 zZx3dQM7h%dGOMu_;aqhEstbs%2rp8dd6Wu>tpKwxXggSd-|!N}XR}W50>&e}R6Pfa zQvtCR;bp?~4v4J?f23GTHXyblT%a&vjC}ASdMR8eOd&W24i;V^WvT;WE5gNU9K>n^ zVk^RH)kBc33ua@whS#ZK$Y~6Stq89drYRt{BJ30e6M|=1W{EHpgEjD+@CIR;17a(} z9}6=nAhsgBQJ9v1*oyEbVWtLuMytY`g=r0zk-0^f83C~s;ZkAR0%9w|TZNe!5L*#0 z6Q(^Nwj#Vum^lHl72)l|bOgj!gm(yYanR5V=1yVe1;kc_%Y|7OR8g!;{T@me1;kc_ zD-@3mb_SLkMvGgKx{>LuP`eEVk^S?gjp33 zTM@1lW_3VpMfg);)&#^>gg+BzZ9r^Ac)u{~0%9w|2c(|$0kIX~gOal$IFn@_lAO;1 zVk^Rjh50h~A}d{``1IZ|bCvA*M}%|CoR7sWd{j6-+9mgxl1KYyZVx)f`Xn?EX^J!>~}zo4_N zQ%{5IFmt>-3SU*9!$0PkIX(dj|0FG1Wafyi2wxM+I?Wuh72)g3ts%A|cQGa3ki0H4 zM{Gs-rsSo^b1-g?aA_x532ALU11l_nDa^wj$gh9F`Q1I zAB$vG@Cjtq7}xo1}8YR)m9uYf(93E5gCTO@$VYShaAiDo1QZILzX7pP_QZR)iz0N5HkI z9I+K)oyC>5UFC?a2uBJxN9BmE2)7lkL*6elvot2*+Co!7jba9AoNl+X1l^ z;o;UyEI&@bhbiF^7SB_p0zOI!CyLS8fY^%gNa??dfY^%g7`Zsk>vi-*8N2F044!6< zgMzw1>}?f$n*(Ai!Wps;wgnAb>}E=tc0&C17a(}%d98CR0r=ve>hLH)diiL zfAg*5VS0TalVhR9$nA+FTzH zU=ePxeub;@hJXNz@FVGf#()5e@Dr;JJ=+uzU=e<1twaMS1O!-wUsyMxna#muxM28| z)q;uI5)fb!n)YqTX${V#IkudkZwq*IH!QQ?gY?Xxo$cvie+H&K;Gx^Fr;Uc-;*_Uu zLr0i-0gv5=<-#lqc$|Wp(o7BfB=io7iLw!L%Ctn z=A>L5@KkP?66WcEr-Z|_O;=eH5MUAZ7OU3=xU(|CKB8bTJ+=hSvi*PTScU_GE z0T$ujQl=>&z#`m7%1j6dun6~;GR*-27U2Q*T|+ps1XzRzN!wZjzMu^cvEM*FZ2nAiyHLMwo_x0E_TCduav7ngEONdg;rifB=i|2Kz~* zO$b(TbZ)YF@!A{^U=iLdOiMt3MR?kAw7Qt8%AiyHnS;zLuNMb93BSn_jir_d&CAK0s zLGDF~tq7(_DzO#8R3VA22u_k>#8w0|nCc6*A~@@IWG1;l2Q#@o8_9P31ZQ)3whcvW zMKJ4!kg+J(-w77Jg#RnJY6e$u(X1f0B3MMy@bmD##^Br|;6q7bD}wX5Z5c@}^TC{9 zAe|&v`QUugsU#Qq-~!UwB-i-hhotjKF7bhcMXpYAg%9R3y)MaRJh+hN*C)A(2N#jv zsqz8T(qO16R3BVN11*|R?ywZ*DrCwXb`WCCVCI!OU%^_Wpufu3otni%i4Okf}}*XOY=$N2JsxpKSoW2k+YJ zle}Qdj3eEYB+eqUCv~^}}EMv|BL znFC1MN#ZOr2hw~eNt{KdiFC?P_v63JK~$%Rv&bA$1vS3lEHdK{rb^;0GKU=l(n#{{ zV`hR@BP~3f7al2N0J8_u0|!bMl{+k2?qu+*h_lEXeDN?iwIE&0wUB#`FkbDw1^i);ru|{Uv^)NA;B+eq!%1xp@$wek}D#bgJ#93r! zu)*_^#93rcC%rJa4!@Z*#^ZO9k$C_{=EDk;#r5@DrOD?-n0@HGaDXsQK%7N5P#(FZ z0^%&fDwEn6B%CHI;w&<4Y)n3zIcp}gC5f}hoGqE**i+Fp@mOow=+;(>(3A;==PuXASipoAskVQAc&=@+s6I7F@}4k&Z=L z2hW>luF^Vq-aR8IsjRl_8m8wBMVv)u@z&6jZ_8Z&4)savmXx&a2Ca`ci_DKX1yV`k zEHXEeuGUlICejVbX8P#O)IA|doJHmq(#^?ZSig>2o;(yOnOm9OYDnDj%(ByU{dY{k z-L>wMVHJn&-444M|J%?zn^(b*H0`#=5bv=u>_eXqWX!m$o%|t z)SFLbe%TinD#;vmJf-VzpqoC;CCf1saTb|pKGm{o_9)8!xo45m(#b{PkHS#>q*xqrElJ*OjA<&=Fg;~xaFC5c$^z` zzIPVuls0^z>y){(fqvrXG5b*0DSrQ7C3SvOQs>9IP8p|9be%FzpGI{OXOa0!fV%P% zGJhqwKOj*%wLB9k@=O-Os(UwTWCLHSHylUKsJY%VHGoJFRe$u4mM;w&=#MJg2# zXOXEe<+ckeEq9dkU8f_h(wq!6gQ_zFP2SLGeN~Y@Ud(3(3F8FBS!A{{$3uB4AkHFF zkRsWDIE&0+b1=&1p?obQ29O!ZyMTebMj1%m@#>raoo3wf%n%J_$YzF`El3QAv&iVz zHhK@q438SarvsT0CinN0ktWU}Q)h0EGJ~AVw&q2}!lSk25SapzG3E@4WHZ~Ft3mXg zekwM*9ZXrG`Vwc6*-=Kxkqs*|Rw{#j;w&;dnOCxKKC_D%;CYWWu0iA@<93UTlgYQc z0KkuxaFBi<^XhcdJo(Y zW==2YHS}ONunUjR+3Wy%aoNV%9 zcx6DGMP{1Wff>3=9*kvLOA?o*a5*7;Xrv-;pkiS zygVjI1q54!Rr;AhaL?9Y2H89hmJgmoh2d5$q3emhF_c%}i>;aC~4f|1o=i*Q##CjXf6X&7Z7X_ zP8X&=AlM>o6EQqyw86|W?}u0;HzFgPBRNgMB-S(6{4H`O1m{iybD8-GnC5_Bi*UY~ z9L$GN1Y3j)g=q~4wg|5@3&?2;2(}0piR$(sMFrQGdmyJHAlM?j);t`{ynquhTw+cG zvnU|gBD_Hm5uE|S7U7R2XK6sNMR=nyT>-%s;VtH!D6=vk*dn~ud>+iIfXh+XWqt)_ zbwIF1c%Qi!9CA&-#VLHuYz4D6AlM>&(!3kYx`1Gd@VDk$VAcl&TZDf!M{I+q!9ntP zFz=b)2lH934^@9=o(sk>bALD*+?T>RW^M_UJL(4HrObY(o^~=GiK><0vSw}^^E~15 zW^Nz$exRN~UbUHfmXolLdJSBinUiOEIrSO1dNcPH{kTdEtH$1L=FaW`ZX2~9xJEM< zU_OPlY6`d}Ge@vRI6_?rZUWxju{opFqu`p&+y&(JQ1%cEmYJJE?ohQCxK=aw5-n?1 zY*8EDy0Jya3)gPu{>pxss?LODhnf461+Gmk05{Lf5o{68R4c&&Y%zdNI*==k?44M8 zc1OYhdh0+gQ*r79rqWY&D%ZAw{6T?}nMJ>i6Xr63zS}Jev8$O%SB+J6fv)EK=4+FI z^6sSE;j}Gx9$^;OLi+4L%4k(Gy~>@J<7|3ycQy)s5$Dj0E7b)2Q&)=-1WOV-&3yLwZMfGC01swx8xwIlN zdGl57M@i1S%}RFHCe-GuJe?{yU$u(vdb+8N3!-Cx$}*hRf4|JPs{1|a;fk=Sp6(h; z$7>8E#Sb~lHZ8*YCzv(~Sp&Fs=vl;P9C~!eAshJ}%;##N%X6wBm4JonKZ4|1A~{HW zh@xChv}ojW&&Q%%T0}KO-)15Os<2XMaiO*$E8cxNHhc&&@CeQTfhl*sW+6^1E=0Oe z=|mWXRME+mNoVsx{J==l!OUi9E>$Jjk(sB&*<8CyluHdVwDPm@Fu|yKaXGGXCFMlA z+Y`IXbq^IOXjmO<;L5nE2ANr#)ciLZdZC~+jVtD+8oFyPbq#_TqzIQ)T_jqaX2&^v z;nSTnkSwhI3=UB4Tm>dWs6;+&R|c-O^dtLoRA(Mi0l^mGx%zlOK(Ix4o-nC^V2f~$ zFu2;MhVXp#5VVy$zr(&#?oe4dqWt0^9X*0?sO2GDnUUa)5$_u#zTEi}N+b?N3jY;T z`E)u>uthlAnu3fTN8l%OBob0#yR`s}zGgc|-rq#mZ0AabgQ_W=KEEf5zhv>{EEl`h7C1SA6_xb?`?T>#T1a4R};9f3(vRbg9-R(Gn^|g^w2)SEW!)z(~*M7LgMX77!)F+u5M5!;wsjGHGYVRm@U7T9A6H@y`sjtVWtF}XG-zar` zocaVcWTVt~9J+wkZ~7JV&QK*nA~CSE)nIpK1)9z;4|}3jTs8%<=b*{8Dv(c&vIGsXS6WBvRe1 zwG9>Haaf!+)y37;TrmNfM@4y4jp6-p_o+UyFO6yeCx7PRvslaNc#MKvt`*Q!JA@wh ziM%646KZ)It3H+cGN5iooHYFevRb&w7``3H@IAX%qQQO}Y2{(vEXF+GV)f!ne?ATKbb+5cgR`Z*O@l20;(B^e1I!Bw!0%Gm} z!=gdM51_d>+l9#7X~j->1i9LRn>Xr&Um?BRYTBq1m?m0thQ)Hj*K#6UZf||7?u4(4 zS_jv~S}%{sMH(^&>A*adHstE4A(7>jkU9q8{440;M{}yov8$JETF2aC9dkCS<0`4c zD6M1e#&u9a>gbQ~vaI6^wqv?I{uW)w^Tl?&SFB_DMs;WxSy!iBLpd4AN|m(ilpz-!jPT8 zPg%yuNt~j;lv1OY=vFxsxqkx1cSxYsgNCS~{-wAiC^Si;~v-m9uO2Cx4RFik4gRyJkz%=OMG)YLAcO5N_nF+&AJ{H@z|rv26Hlf9Ni=iB$$%Du<<*&*Kd$!iaZ+42Yvt^k zuc>aI*sx*KPMcwoRcYVz|jF37sVkg1KF>sxsz1fYs$Z52e$R7Bg{F#f7 zr#+L7!{)V%MQIM_smGy;1}VVCj=Y?{pbwp1b-8ZPbH#<3K*n0WNr40}m96<5vugP^ zM|~dg?Xzlm)v3OS_|ML+<%@CkrNZh7`3+~+^5uAuUw?MZ`E--7RWDSG{8=?CIn>`o z{JhyUJFribrSrRH*X+f0*b*M_%$gfnyobywYyP5H?4+L3D*&R-s=0)@z6>pJs65x;I$&Hl`Q<4yq*yeY%b)-EIaP{cOtVSHH5M02H)QCt#59*J1J(sV*+xz!v^H$5MiCRX(}EY>;lEe_67vFi1Uv{iG8?z1(VQ(LukW20gtC4o;vm$=!?AmuYHZ$cMH__3+&QLA>n5Izf9B#F zQMbNuS#FZ1tI3YvW$GFmYI&+rt&sOl0*)U30^Deh(1~7W9}|SRPb|)He8Zt%r9C{4*Et z2G^?1LktqScPG@oj`1k6O*IGd`tCxLXE$M9&1~jg6tQ|Jv=g^S)^4|56(p9KjnOC= zBhRM(qonJqXJ`w)D(=;9(*haaqoOXDpoiwDXlR=B&@{`?sQLTpo^FnMxDD7~zmw>fs9;WZrc15IU)#@xUG3#q;D%d zh#1BDsIPSfylPu{Q%;{4c`sX&5WZhj;@V<~et5uBE7y&Qs3RxnET}oT zKUDTFR;a{ns0#So!X*oHg)XQbkSe2nlGnmJG!OUMADK?>76_2!4LC^ z!X=UPw4(0)cY)OliUPO+u0Niu@5671WfOKe9C@~Sqz-Zwms0LADyldeH(Tm)mNs^n zHWfEWPk5l&uroRRB5snAU{yyVOQxu0?7W;h?%d2VmipKmNa@rUXC>nFe#>Omv z5OUg>6;z~+xvRvOyRR-aCgLU;yG~`l-SqXzy3cU=D1YYS@9&BpJ{8-_P1rrqiir&` z?SbVbGO%AHr}by8)VT&!{*v}DiA=sWZ8jEZ3R<)Dy2n#Wvp)yY{5rr`1M6 z=W4StyI1Xo(Kvp_50I%1-*2x+(60@f8gbSJ7{1%HG9(kF0kKHYkXAh;O`96hYDGiR zY#86+U>*@k#3j}2y*QR9Y*J9o9tz{4vJ(uk>j#_Kb$$nBv|ZX&Vv$(871?#+ChC#i z?J8o|!cFa(+d#XPY*J9ojmk}ehds&(Jds`ZdK9stvDmje><*v6GpJ_Wvs3p<(%T}j zqPHDW1u^Y$QC89NCQEl%a#O=vj9o{t;_oy?MHUt-oezukfPSZRKqp6nMX%cb5mXy> z+ytpU7AaOAb=(i4qD9XtcHBfmkIE&RDnD=ltca91i}F}xQ{~tGUFGOq8I={0L|jrG zBHr@QCI!_YhqF#S`CD|+CpRrRUIz4cn-(44?FfzQu(O%hV4#X2IIu6tpShSbqn?)& z<~7kyZS38GZOS^?vbc!tGaR!F3_IS~`*w_w)nZxnA)V6_e{w8e5Cb2-G51USfBCCMDXVi|_xSf*dAl6`Zh`NWFTc5+sl0YHWHv2MDM=!b# zWE_x$wE8YDm4+Nla%cm{p{qbfQAwh}MqEXsz(&lB0vnM;7?Jh(uiW_rzi}85(!JiX zagfCR)p&$Cr{*<*D2_4wz1CUNa2R0&|O6b5wq_YWzw6MP>oloe%Mx?9VD6kQk zIyVYzM5f+djT=b>HX_sDMuCmUG`dk>BQj0y+xSIbBQg`*OX08xZ1huD*z87ujUEQm z;zoguXmG0=1vVlx!;JzP{TfW08wECE=eD~HX@S5-9GG+6D6r8J&~~011vdH(m<~4z zZ1e|+&2#&CC^O7t4=!@6$P5>z)2${mLYSp)6xfJjUG8?wi9%YqQD7t5w#tnH8!_(I z@)(A!-N{BKbYLTrcqK_SH?X-*f*~#Jo2i5$Ejo}^j*q$m+Q5ON*-5>P zmOC6xNqr|y4UH5-TBM`EModp{z>S*SU<$nqDiGL+G~UpGv3g?y1Uj%0s}FQwBO!HQ zBO!HQBiiIM3=z*4IC-!b^ESwmS-q^b3-VE7R-fRV35Un|N&{3af3|}{s`asq-YmUZrz?g4a=SwSxmR+bD2Dvm04ax;z!@p@6$?QD6_=w= zNmIU$ru#ldlV_P9LagsAG<2RxvAz|g=bJ3v_b4{L%iI&`z4t}ggQBu2%B(PHZ{O!h z-(~VBv*nRu1g8Qj=!x2XU&(oe`53=5`{le#}y|JzrwGrV$lk z+c;h2rjLqg%NSs*M3q{Nq668eDn-MTF_5i_7-jUc?L>d|K62QsN}=qEQQ#|RYMwPK zL)!HtwC;UP>)zM2?)|B1FBFyDpQ>nB)cX;md;fSf6+&!Q zi%@pO3P@Ja)I4iO@3UPqLB_rR9@{lTl-p?6Qgtgb*{-EZo>kNLHL2)7x2mU@&)&Z^ z5@7FZS|paK_b9>MUlvKQ_cg7}yio<^+RU4UvMc7INCj)Ipn80=6IKp_Ox*ila)K@w z&34vF+@p>|Cat`u+e%F;`o1P@`o1Q67$|kGx}K$I`@JQ$YZ^Tc-`BJ)zOU&X#sJ4o z+@~H#QR)5r6b-Yr??;S{Pjfkm73ve@u=np4%BdIuzJjLaS+iYr`e-BZpyDc}n^=ac z@OU5sPJ@S~9tR!$k=hoSY~n|1r+6A@Qo8wLMMS?e2H$-Z0S<(wMdB012puvI_!g{4 za3D0T2jT;D4Mb@1heF{)k>D#>a|P8KKFi$(DjtXqu9}~VW)6g5y^l;KJIFxLZlYe}fjF8?>?QR$Xkv$TKQh_G4vX8W>;{^&=~Z*BKQNzO zH8&DqV>B%i7h2y?f-~a6NP+{QX>IWwYXoi~Y4HU@IThESNCj)Ip!zC2LQGs@aqlvG zTCpp{<1u-k245zXIN4OwQ->Sq_BwM&Zs8q#%kgTAodDiSm z6R)<0K}zPywbrm=Ynd1gkIz0J06A{`0M481#e@`w<9TZ`GTHj)t;6Es(xeQ=3)aQV z=Wx9c32?YHEfT-6?xX~V>o<`EhfC9XxSq3Kfe0J(E1{fd}2LE0v;c%_9M*7;|b=?MQQie;DGF+OJ;d<4Y!cuI;t0m3Qv>q-^>*3P0 z9#fkXEA}p^%Fan)UE%C&1+DZU7D1^xW^vLe75tRNPxqoX_2_sW-u%n zu6rX14wt6&aCO<2K!lCCODLz}udt|sHCIr*4A)QXbEE;Z;#wre!}U{cJ@-o`9Ii*~ zb;zWDJ<@HkCS|xZDZ`~n8Lmg|ez-W7W;|Nb3{C6d(zG5fP3z%$%-$76Ww;)*X;?H| z5u=CeLHjHSv4sx{m8u}Fpn|66S+gFlpW7FKmf`xP&FgGNyfzFb#>2%vxF+T?Tu-p| zPl*XB3G(L+xf|Iy0*>E766DW6$a)@3?!-xkzfq7sujVzR_h2SYNaJ^_EVMh8KUeMA z7k3J4aXaE)q_(YvAui+lfw>8^?egZyzeJ510CAV`{rpQ6r%}pfd_Vs(VS2la@8|zW zv4X6-9`}d-0>xX1y!#^V!Tg276kNvl^RJLH)h^@v`HR(c5UX{ELZ^SN8ehd{1dQ+J zNAdk!#`p81_Q;l`a#e-DP|~|2ARfxQy@T z-!4pt%lLl&9l~7fHZ+5|Q!2&+67H8 z%t9r5{t@9Ev%vU%{-eUB%)+VU9#eZmGHVtX-_L(slvJ3774)Vjq*wB0VIckS=fYK+ zg-@Z`kK_9lMldgq?^j@aKmV5^*Qz?^nW2H4BXI=l@!`Rd1is} z{ro>kix!y$#`p8%_*snIQU%qw=nB>&!wMd-pxz)|-X?%zIzB4Q2sZ zc*rB%XJ&!%{rnBW;S4e3`}rSA&pWC>ytV(4T8{!LRbYHS|6`HNs=@*6vrmM}s{-Tu z`G1wwqFNOg_{0BPuN|sz1n1PED^P8E)&Eni7qy(*l-wwu;Lraf${zP9WDAqmntw^p1-rzg`QZc3XGTM?_#Y2w_XkASzv!R>zF!- zM)Cc;q5EJ>}Nn5B0a#`p6Nw+809%P_v5e}uILHKbhm zKKw*6I_omNpMRwEUxmx~e*Q7mC#X5E*U>1xpDPAWvwjN&b*|XkD)u(JjPK{qkWI49 zWqdz>qxgPaZCRG4C-6H+;`{j*Sl58j8)QeR=^c^jJh|XsXmJ~LT*mkFFB1JJ-HwZ; zPqXgWVaU1EIGiHmj)fK{M-<=B8+IG4 z5t9J^pp~u2+~B`#O~nf+ZRIPaR=yHhxd3ARI_pu4w&V6659U?tb}%WI@Av&EzMp)@ z(~si&xs31Uzb@5RyNvJWzaisL=SrL3ls4D9jPK`fuv)e<@C={v{rr!l0~%e%_wzro zUcrA&F5~<8pIHZ1@frRGI@lN1_S^9pKI8lOUs>NEr^RJ_Ki{->Moz1HW&;@8<_u|* zPjmZa_7z}ey6tRF4|@ricKN8bAI0~RuWI{Id_VcDwjag!lkaN#QG7r7u(lt?_meMc z`%!#9m+}4lD88S|_FKeORcr~+0W0m)?%$yt5sXI{@-`)J^M`3sI;G7pa1jxn&;uL*4}Hc zecy9i?^@F87d(gEHvav*d1Tu8_w$FHiHXa*=i+yO_V0%`F3;oBn4ZiLxzuhMk<+_H zhJRE}FFDyDqoo~1kN*9F#vBi(9-eHfF}Z%#yeG>eE!Zu`EnV%=zhAJsF!f$57jRQ9 zf>?t`|9-((z`u7Wt%l!#lk8Cad`voo1r8ryr0o&K!zn?$+*BI5j`+NNM z7yo|2v>dmi9_ShAfu4~b=$U4q=V5??SvhXBqBoYz$e0Vnuuhoy`b@huw+-uXp-2CI!R@(&(1OJt{rd&$bJrqgxgNL;xyi^`CBfZ; zJB3-J{rmYle;)${w`%z9FaG_4`((rE$=sA4yG`k_d%%p{{p{3(Ql#kZ%GI(t_cT|M zNB@4oL%A2g#1i5>__3_NYVF_8AHnS}{{8%s?78^&^GDS~Ui|y{jY87DpFc)q>EF*E zE9B0-K<*|2^zY~IE+qZ?`FjdU|9<{nMe3!0KYw2#>EF*kP&ClLpMQ{$^zY{%EXA%z z0sl}T>EF+vC<65F=N~O3{rmaH2>BE>94E5$@8?gKRQmVxPm+&S*Wk?aPmxsm_w#29 zN&kNS94SWse*QeBmWzKs|Lh~M>JjR9L;NN;b^oe+?D&xDbS=j)ZY9Gyxxb}n_q$6{KBymj|)7iG+kZz z5#~B<+Ki(01$y%f_xdenprPTjNVwFm3KIv^n?N}yhH8HuRy>;xF1Kl6)n80 z8oPDyKhR z$yOt_28-*vwMxZr#*W8n4XT83y-@?e^b^yAqDOCjL5-p|dh=tG#hYI^pPd;Thi69+ zFVLG`c&_xKKyQAb_U2chH@~p03L+RO{+A3AONlqXaN%oIR2`nr`@RDG-Gvwa0o6GL z`hE*9VzR3jp7!Ra7oPRz$H^COe&Leg5QV0tcTyAW1H#K`g@RXv*aG3QlqT)XPisnh z^9!#yi#qDVZ)R$f7F@-0M#n0xL!!rPZ+-lRU; z^lojcch_ru^yU}dz%5WL(3@X)Bk6j*HNH!_sX%Xj;Z4*%xj=7zq4wripf|rzd-E$y zLT-4A-ZJ9NFTB-9y{P~8OdaZ%F}Wi(ChJr6Z%EaDXR7|YQuS+Ze!Bj9SWkaqi;+VNGG$n_u|y z@2IaO{PnfeC*$;6Q+F50zRGg0uIG15FY0yo8g<9|IQ>1xsamg@ZKN9uGB&SMW`Z7@ zv^T%-jZvrzb-vksr=$;W={jYdyv=pu>bAV2>y&k`z4_@n-%Zu|o~~2o=`XrYnWwZj zzwm=wSm)&MuTxp4^z$QKr;Oppq}_t7hfk=lSdjJbchY7*d`h~>sh~H%&{3686gP)i z^+OPz%y1VaD&-+GEK`(sJ-N(u6{gywH^0zT9Mwi|I)1~%n_mUJ`Gw``RH`k8zM>lt zx!DZ6$(iVQ^yU{9E<@6}~{o3bxchT7$rwkZ+i0!$I2|Za={7{Z+;aAwxaMjHH?L;!#&h3R)3Soo5mS$ex7W; zy(OpU$?i+M`Bij36Pm`WQ=nkzns7h$^FjEWLJw78vw8`areQm99QIeWSee5noe26s zbt>umrhz_4Laz^_i>&Zq#f!!;desUKQ9SU6(T`SmsN&%}jGnW?35o~dux?zt6BQ4S zVXvJ9`f$aKKWvwiK~GZLki+h#%n^zkWf;9pg-6N~ubFc~EAB+Zx!15`W+8ow;(;}+ z7PA;0Ba3es-wTDus=u)816)MMseLgO!>(t0j#u;_GmP%T!WNmi9 zTq93n;cSswCr@JG9AVabUAPZUReXlt=n-rk&Q*2T2b<+JSlFs~v;3I%3RZ1+x-9Rl z-rIPf3(ruznWi^C`ZDQGM}FPU;4KXwEZ{l(TKtM5zhKXAqH+D-$m5CyVy9YBC^v@kX8k@@dDXIzv zI6V+-YnxM=Dq-ZyOx_XnUSKX}iwwuJU9a3{tBg*dRup4PU`^QZ+n?!N@ecicV#n z3Oe#btTbxtFOK|zk;=zu?Nx9%Mu};xqdPrX7}ujCzhGCD!z>m(I`Rt|RW~p_JUa3V z#;6^@#QFo@Sg9T_FEG-@w`dxeW$I!uE#9w~vqG%}Gt;9Z zzhI>>tsWiu1y`vbAZNZu%dKFQs9xw5so>k{7sy%c4Pu$^s5ihY_qg|hwMy;GHxYMH z_4TS6%o>k7F}Oi;)_L5D!HvSK_nIhnvuc9aMvt2@xJ69|v)SWG6s%Xvz&z&h$O-OM zFM!$V@mvbFsLU?-*5dI13Z78(H}HzbQ!02~odsr_$KxpYAN3HJw>_Rm!JF!BFdx?T zr0Ne@RIR+U>z%m-T%)R`Bfp>~^B}k;RZB;H!A_Z%!8NN|I`RvKWIh2m zLDkZcUobq=cO<4t)zXn)Ffuami7ji%ut)Rp zd5t}qA>2Y$%cC`zohgjMgsECO@(bo?>cA~mwfx{7oRc{K+$!Y^caXX>X)bb|b1zQELA*6Yc2}eh_;K)0l9P0S-kht59A_lBs;5M?FNlFXkY%{7KfBC!)!jxtJP|tTDb<*s zub)6t)**LU$0B@mLet)-N}e5h7xDFmo?Tw`kiP@62J$q~<+;_6Iu;2#zXg(KiR2)0 z0!4Y8Xi>A~rYE92T0}KO&t@V8?y}-S{gAAz?%vf>Q46kPc{cz9pLs0AZN-B~*OA@` zk1(4$c{1s2zHuL9G`*UX=24Z(HqFc;Rh0AWN-38bWNPK7{WPIb{gZM$Pt1-QN;RjK#>+_&9;>>DIh{fz$UyMRPAO*) zS+w>NEC9Ts1k+y}`2`CzSAfy)=Fc-$M)&d3vPjzjcy#0!oG(n#qa(lI0%2mOf*OJg zGZUa7?=Hkigc!j>vn>Hv1V2T~`?)UK!jB)04WD-}MTy`wr0}0j7G~>k&!_L%&XW%{=ALblWYCcxr7P&jFL)`-udwJWisW7HhYC9K3wq}c zMT)#W#*K)rBkkWD)8^m0h zJk(5mc~{&sxVxi`Wm(*)_ZN45!G*bjU_8Ft43^0EJM@z7{DMnz0dgw%@hVuF_;WI}c!XDy(X$+N zu2`YbQ3T9j)uK#KRNDt$?$iY$RqO-u!i>Cx?=u4d2QoCjD>}P=%~2O;wxI{bQKOom zbcvLNI~}L-J8aaH%vC?vUHTmw$3M0DQg%t2Fhw+GRYSmvcs#~1K4>+`SnPZ;q*lPOc z(XFmC17})Y*S^&WYg%1bYV{_nep9`%RjY2bbG&M7qWVoWU*`1wHr=o{<<$b+K8(DQ zWA~=KqoG@fQN1|^e^ci}9%C}(tHzS|aqw9!`e2bRvEl>eC&%o{WW^SEZ)R}~o;g4Sd z`KMO5pa*)!Zc$vWTnw_4ZZV_!;Q~FXx0q3VZ-E}w`(&WFv-GguZ}=PA^tf&^{JJ(h zun!piwze_XnO5Kwl)s~PbDYtwj=Ej3HJnK9w(rYhWOl{UHfPL|pXzoWXi8jz61vYn zHm#Noy2TV(-lj+35k+(oSAsL~20U(q6j3Tl_s~Bk(WwTLH zjn?W`JfBwaOQT|yQSqW8N=HuLtJ{qHJb4`?`Wv`CPgTU*?Puh7wDNZt`BSa@9#>NSL>b^Gjc>rS zt^8k&{E112N1yJf=^~8Nm`ikqOcl;zXO9M+G)K!!A7(V+1)uz>)%&2^?Ag&q(*$Zd zR)paXl$wq+np&JaW^s%r%CdX-NjL}ZEfxMA`>?aDfJ;naoR6mF%T3{((}hRa=^eLk z6ABNK<#41ajC)d3_(@ZEP`dCCTX>J3vgUp=-H$x3o4vvo=E7v3`Lt@X+Gtv4H63p>^-61s(`%!*orgVsih)zUO!v)?={A)#-C{KPX-(bIYol0d zQxzvAC(~VJG%c}hde>;mr8SkM*G5;{rajMK@1Fntqq;e}+6(snd*Q{-Pgu?KwCTY9 zY#c#y{pN!H1(#M7d1E8*=r#)&*m-WjQh1_ng;Sut^S0XX)3AC z<_j43^=dkaH*e?gZXSE0sTTLL>RdkP@z`vd)Btt?525UeyW5&JP<0zE1bLshH+J87 z`hc3W_Z℘-e27cI`udS^nO*1I?}(jjIU$#!h}jH{}{(;T;4Qtsm=3TW|~eF_KxL z8@l3DJ6X1KuOpjK+jw^5)Vp=*4{_tqKehTh5N^6>5Cv|ErPiirn!xSL2P)jKH1X?| z+D~)M(xTg?MXjbqdrzB%CLeqlv|)V5hWF|k$}-fC_MvZ6bmmOcK9-mE!Oe4QFmRQ!L z-D-UI7rM!}RqLX!PxTnWspu>WgLOYGOjXMg=1W0Yyp|L${?M%${?M%${?M%${=lBWstG1GRRn0 z8Dy-h3^LYL1{v!rgN${RaT!`@U1eMe#=6R2gRQF!GS*ebO<+2Al`#c5)>X#U&}Lm_ z+ychB%Gdxg>nejIXkBHHv92=6SXUWjI(L=9wpmvhcc7ksaFxMM8dn)46IU7RZsIC~ zO6V%%Sfu6MUw{iZk!-eXDW-BZC6(?hB(;O945lZpGK9VrD&Q)Ebl%+xCQJO2ah1X9 zjjIeHwW|yvwW|!a3BUw1SQZS54}bjTV{7%e2V`->$cb9wZn&$mfx_ZL#^8#t*8zh8=q%f#Eo z&u|JBwp|b2__4Sh+Ue5F5Bs4<3ApV7&=%ZwH#WNkxAAe?g4@Vga2qSw4saXGSa2H$ z--6qI)q}hG3&CwvV8LxG0k@HxtzrXiBiE{818yTXPsIk@MsB`}4Y-ZmIVv{bwpYL{RIvfK zy$^1&iVe7J81~h26&r9Hd$dZ$?Z9pERDSJyHB&c`;I_COxGgr|Hs)r<#RlBQ!}guJ&T??V+E za2xZSOniETymw^e-Av5)FaWpls#eUz2HZx;I1?Li8@cLCY`|?iE$TC|0k_H7k%5t|;I=m~IrB5I0k?6c7iMAuZXfD zE)yGY+ZK$=`b_-BROIcR-Ic2+6B}^bSHNw{^futO*9Sn5J1%Uu>E#NTW-#yo_3K8}(ao8%NlJ+g|R*)*5izdtfZM zjRRr9Z43it!EM|e7Th)jt;xH);J0Th(ur$(6R$~h17A)z@MY7$DTpLweTw6JE7RLtHHlQz- zZ8kQzwr_CVW@CeEn+vW-Ha566b}7!ro#NW2qUO9i6TdwZTpM?y9_ShAfu4~b=$U4q z=T)QqvvS;M7T3m}SzMc3qY_-(vDgk4*T$MHuI*1?vaC4o{uRGvh%#``CWYa?TEZDcI2jf};$@ldh2Hrk|GTpO>$7S~3*M~iDCvnIi{4aY#?n<;*K zCb%|kI6Zco(qp$NJ$4V6u`{?f7O}WCK66@J+Y}i{f>3~KaL{{V4Bvs?u!046cpmbAoH*@oaHz8^B~KxC3x)U2t;r(YQ7qnte2`jikl3T>ue-YvX0>%f_{_ z`3bI#^tisSp_Zlu*Tx2B*@Ohw#-Yi(ys!ZSCA*ho=r&$y4Z4lH z?TgTD6i?7?q!V=8N)%1dZPPG93A&9B=?S`x^yi`5#v)(PZGQw|TA!fX2I8`B&~4oM z3A&ARf^K8;6LcGCi*BPjgKpasY7%r?D^+TA+d_~Dx=pLm=r-PL6rD%<$q?mT z7R|dO@oUg+SAh(!!rb2sLYm&q=?8S%4mb-8x=o5!3%X5Lq0w!;&=sp&iEi73c}mc2 zyf7r_Hi{?cHaYhUx{Y*ubX(8+(MY1(SUf?u@lBFNw~?{vHY&F0Hfkg0f=xE)Hg={T z&~4YS7aH9rz32qp_Fafzr1)PlNGxU0ZF4~O1GFuhDI(FdULA&hjjh_BD!rQ>j%1R6zkXMHeG)cE^^^h zJfP+idCsx~*=9WSo9$ z>gK8ZD$6D4Hl}xmZsRy5=r+<{h;F-I*ZJnvtW)~%mabEy+qg~=bepd8AJJ{8I^WZE zegV3z0d@5Qy6tt_&yRGS8r?=ZLAOy~f^H+7pxa0{IW-2|b|Vz^C%UZ(x0(sMO;jf6 zHp*Lc8ySmkt&}}>uU8lyN+YW+QjX}4uNPnW+ zc=wy2+jQB^&~22m=r(!O!b!_3N{vCcEv7!A+jtY1pxd-QgKi_!Il7HSEV^wUFx5~_ z@k%mPyz{T(y-O7Zlhb)1=o*7=dx4b`-F6WY6Lj1CP@u0N9no#Pz89SugKj$lW%?7{ zc8e`MQja1;PQjRtQddxf=(g8D^fKr+Iih+QbepXG_UN`6gKoQrg^6x!s7xByq$qD1 z*8$zeoDS%=8iQ{8Gc*k#y6xyH#N##Swy9W+bq3w`J<E&~04ewFceB1Fg=W z+jwBr8FU*LU!6g>okQB7+nyqA&~5vpvvmgD#%Zl1qz33V&QhI0w{be^47!b@>(m%@ z+c+>a2Hkc#2CHX+ZsW6Jf^Op|T67zqC@s2;QWo7t#-iJJGi=dqH{pKAqT6`O+&Q|9 zx70+p&4408gyG5=&W;w{)~^~)J_Oyilc3vn5_H>68r@c7&~4P#GeNhlM2Q65#;#d(8ySmk zyO29OLANajW6^Ej24m4}tiA)ft-_+)ju`;u2HnPY9Tweo3NkFZ?L06R-A2Zu+g5|I z=(gLzSajPKFc#fL#-iIOX3=fWfwAZ|=2&#wTVO1@EvV)D2!n2`2V>D~WGuREcQ6*+ zM%5PGb}Vu%y6to@7TvZOj77KI4923{m}AjxWGuSvCtxhP?T=tAx~*4T3f*=*7>jOO z0>+}-o&sahZ4T`GEV`{3j77Jd3FgDtpxeF;#!<0Bw~=#IY|w4@fh(%s2Ho~EaIuQV zPs1MaGvumOY|w45fvZ=sLAQMju0h2H-4^bIX;QI4x9tb6NyP@;HXU5EiVeE$0&o*l zY|w2tfSashgKm2XT#Jegx~-Bg3RQf{RJ3JM=6G2NI+pS8-C&uq2TSSSw0D+wOzZN-pP87Bo#|Di^Fyy&r8r>U<>B zljb7VIgL0ds)p+9%UOsETW39h)GA)~ll5rsR~*l9Y_nk1Z&TNm|s1+VgH-=&0o8(p5^6JFjv&CAsrDl`PdJROeMLqYCa< zt)f&{56(m-dzSJ?^du7w-pZ}T`0W~4q`S{@nq83eD7XmG@X)^=24Z( zHqE>w$>!OWQZ6;f)XGo$X+ooJNy_n*OO+Gp(n>6qD@_$Cb}`Ywld+=)*;yTG{s#>M zP*4VjCuT z%*deI_#)j+&~0Qax{XZi)EIQzFQE;=n`Ek~48A^aBNA{$@Y}Aup98v$cY^$KZ1}wE zp+qnTDg0+s`7*l3pxZ7$M%fwo>6xJ0ZUd8`+fw&zi?Ylhx{cB`2HnPQvFI#Puu;(c z8iQ_o9x3wrkT;rAG0|;FTe8chf-#|4A~a>eS&2k2iXTVhXZ#9(Ux&){)RpQ z-L@0bdXgr(Z5EgW-L?Ri8IvUGdz4A$-VGJ94vSS3I_0@YkqqCu+;C z*t%c{pE^~&&fUCV@FM08)VcRB82kj;L0snmi!2ztp6p=Fu3IozjF5+DcFlspd>5jI zatmcv#DnSUM-9_l^?p@34FR5$bu^$*esFM(tgHfuZUUEs*^+CeS1k z6KM9lqX{(e7AX^G_CQuHQ(M5uIsHVE+Q9^x>4^!n(6^#8m_U;@CeSn~6BFpNi&?#x zK$k5RlAoi?mIz4`=&n2Px&qJcz~W(3AX*^pp2Y;ZYagbVD1N6y>R&Ke-WM9m&Y>D@ zuzU>3bvSwpe_%Ml|AoOa4+{4s{3Hg;e+G@~9)7LCa(A3&of$0C&bf@4d6)MjC4=P! z<+v8T02K(p(Z3p9?$ls;4({r#!SYf_TZ3gX)?k?xY=^-z%XDtA%R^bQ8gyo_3dW$L#E%N$c{uzVFBeyqXr z?O-}LSl))#c5blzJ89q>Y+z!re3PGy?aS!~b}(4}7|pN-%ddg?XAPD)1=e7h-L(eG zpW;;`J}n>#2FvWbHCV0$V-1#H!?oQSEc4OW2I3%e(i$ueMUFLCmIE^}Sl)_OTZ847 z!2H_{mN^F2V3~|HSmr9R2Fq;qb{H&gz{KUV=(-k2jVyhIo4pAWvsz6%XDtAJQ54p8Z5JK)?j%K%2+dhNkKByVS1o*WE%iM{2pl759x`V;89_V?P z2pBALqgjJx_RJbA%QY%7Se}jTU=5a8vo%=08BCTH;{_Lf%g9@U<^P5yj&9Jhbb~q= zEb9gtgJqto)?k@o0Ib1s7rb-o)L?nn!JKOoh~uvqX=|`d#u_Zs<67qi%NJv{S%YO> z#jU|I8Eddi#u_Y>>D*v>9GcU)!E!TltidvwHHpFUHVjnW{S$tz!7?|T9=lEHvFl*4 ztjEq6EVGC;SnkS|lmz17$2J>?gX^z4F<9nKGX~4-k}+7G3QfjfSx9ZLEV9~QSx9ZL zECSkKSx9ZLETlGAK8<>{!LpFrU|BS1gJmJL!Lk(72FpTfgJluW2FpTfgJmJL!LrC| zgJnt82FvoqstuMURU0e|sSTE;m^N5uYGSaw45MWXmU%uW2FpC2t-&&JDOn2AVEF<3 zZw!`sXc~iMlGb2(cN{LpV40V#FWX?5%})%LNssG$9op2C7%a1aSvH~j`!Hnbwu`(i z*n(!vM4EZ~*4@E-wBmJ>DMeItaSf#e6Z)? z%d2MIy&mU**g=QajE4>#<)eEIEtSO%y88f<+77yVEop5B-Mx;qwuA0Ilnz6*9d!3$ zdmvrgL3bZM9<;WD?%qI|7DQCUe-x2k(GL2@Xet~JAX%b(L?anjN83S1qj>=rJLqUv z`GO;E>!VbZk0=%8BN|I_Z3nGsZ3i7Stwa~J9dxu;CB|CYK}Y-W**g<~`!n9u7K}QFU!QF(|K}QF3%(WeKbO>o}2OS+MZ}lb0M>K)7v4f5# zQk~dAM~5E@HQEk3I)c{CVh0@^wH&0jgN`O^HME0{rtlsH*1gQG;$lv|1h8n{9gknJ zgN|m?$ekjZ3i8- z@_M7~prg|%uI-?sdF-%`@)3QVw6=qe&O8kCD#zMEvv^_$%@1M7qo+p&Xrz>9dxu{DD=7#t$c~dEt z!qJ5fps=<=jxJ)dwu6o?rqZIs(ui!74^F<=K}Sm-)tZ*>k6kP_ztQEtX8**-Gg_9? zl(K`4(osI5D~c%FuRi+b)zm>d=;$hzGdfmj9kd6HQc*slRFsb>9pxihJ&F3}N7wa+ zDV*-z+EnkZ*ZOD&9o@h!pzWZe8%b-s&*;0Pnp@*VKQu*~Q}sWj>*qJ6C>7-+N=5mIQc*slbd-26dQr>L z4mwIj`G`_cKB8Z7?zJ6sl#cQdy?hS!wM4%jg->95oPKNS#swrw*+ECYW4gA3j#5!R zqTh3zv>kM`jkLCdj#5!RqCarWz~=71&Vtf6p3-%`c?;{5KD?#tly&kp*NL`+j^5FA z%DPWQ`H0?4)%l*TQ|2iZ`h@zl9dz_} z(q=z=O1eqgK}U|d8;bfjM_Dxicd%jy9d%KnQtY6kGDUf92OV`4M%zJ0u2{@!JLo8h z@*#H6QMu{@ZT*XpuNG1*?VzKy9duMwJYkI;bQG#%AtrXvQ4cB7zdEW=ys6e@dm9^S z+CfL@C?8QDkM`LA{ zT)ALHNt6$B zjw^Q1QL{Q7t5NKrqy5!=q$iz-;XY7x!J#U4(9uDP7aOsIjt*A5Xowwjbco`CFLuz; zp^AsE*g;1V6c0kNgN`OD9v)%`9UZQ?@x=~0nxwcP#SS_;LUE&r9dvZ0EOD`ejwUM} zXkrH)O;J3s#11+-Mi!sgK}W}`rIkLbM;L7f9i6K9oUHAjqq*vS-0x^R=%`ikW?9=oN9iaZ(HV+2)3k&BIvP?w z5}&@~9>d3>9R&u=?6Hfyf-W$0LywWoNOlV^LZ-*)uYxW**#iFCk?A`@#a{Tok{MNO zNENp?QV&6b7+Ci_gSSUwVBJ&hw2XoEg%Gd?*8Qt71V`d(fbb#QRo1|oj5V<4tCa09 zus#&e=hncQ4`bHAnkSkyus#A}Hhc)htbsMRbf*T^W6(@%V0{AHY{G}I=hncQ?+09` z-WpghhTuRkupTG|)&s@BdSH74YihFw)}Mg+Vgu_vcw{67*87982G*0nSOaTT|Ct8X z4-Y_1#=u%8JqaH|Yf@`q{Rc4Cz?zITu z*1-BBNG`Sp);;kOak(|H9t(~J)?)I^<5RB3ICZ$3E4e_cc=RQyG;^BDF75#qOCGekAOI1d08@4?0!yRVVLq+rm>kvv|I8&1ws3 zX^d>Iy!+K8n+vy;je_SUIb6j_+pkIzxqx+>(htqIA+?grxs(M>PcoGYR;S*NCL{IN zNEk$#i(KctgJUl-SN?#7xUh8=@3^aYKau3qY`T(bJ(bVf;&uksqbbBaAh$$1i#L_} zNngbIgVr2F$sd1~q-2zSY(Z&E(xOIDbRiXSbLlFj$(>jE3?;eqI+ZNdCRFEDen=JE zuUbW^o)Xo*AbPqR#4EY2KfBC!)jgMbcp`MvQ>rmNU-v>%)*<&*$0B?tL(^y>UCFbf zN_G+7o9Nj!SMhRWRr37MW7&%2MH zL_lL_{1QyC)z6uQFxGw#e@YmU$c`jiCqc4kBe>O+=nGuznUyVqpDy z(48Atb0b&->o*|=18Yj_dvNB;ukB)&??Rqsop^PH|pJCPl74&|@zUrl+}WDWf*6W6`-SF{&@^cl-PwfZW&!x$z; z)a$rp;Mn@?VMCCs*0I0Y6*rzeeBK$3x|ORMKC#apa%ed+f5fvgyJGX%Lx!_+_fZSX z#m^oRk-dM56n*UMAwOiTo3y68&(>>X9b{#Ve5#y=EfiRNo@rJq+k`*0`Z)*CksS`p?)is701oMQahbE?bW?@Xu$KJ9 zDIaJxM=7mGtzJkqbD4R#Rx^1>ANFPvdjm7>A^p(jglishO^R#TrGn*J7n`;@Bc3Ba zHnFyLZ6$o|r-yqiha2v~^=Oaf7-d#W8gkVz6dcEOh(3>`;rSaV0$1WqWxAna@Xa(? zIo+MCyh+^Dh2Pl@d69R`;^_K3H2qgD4w;X2KSx3n|8AaU4ZeAUk#)PS;${&*r**M-t20B(cj8ICgTmF0)RZhXP$@6wtU#9&$sdBJ&1im(C)oy;qa$;+Czz ze_bUsQC5{*1WiKc8R_YT++PNvLFGFf0mbi6g`iU&bp`#=Dv)mZ2wcE(ACoSYpN5)p zpOB8rufRa&{!Y5O{5Z5U_bKW6!Ui-6R@`J73g7g=C}A24kKr86WrS%eEJvNWoG{IW z=WzkebrEJl;dPYEl?gMsa5-Dzs;Q7}De(3=SFUD)nOS(4?eY{G+*&w@4J!&WuW&53 zMXtL#8#(g}F2+39OYH|{VWA8c_*{jW1ZGj;HC7s{Q=#qrLd~&Y`l7)~6J|}Jp3HDz))j`6X%J?8VKkXt)KHY!SQtJQ%m``Q=E6_d z&`}6BL@RD@B^FZdntU~;AxOfSC8-ZyEQdSJTE^serA!MK}1hRqoJ zE*G=KzpyIfHy^ms>RyAY%9i0L3K?cLf5r){8amq&xfXI%d-Ew|tR69|GvvNQF?@6ZDkEv$R-$CZHMRV@=>W?+1 z25*qW*d$gwMadS9_YD-sAC4>eQ>z)FC_ZI6B5{ZSPN?2@TX7tNJ4~PEsHv=whjPV! z%$qzFg`174W2~wzR@G$IWmSnd4m(kGv{f~YswPsEGOE5}RRx$S-KvT0REc<^>Ts)S zEma*rRgZq6JGh%wb)Z#sfKeswI4CLHXFdxLC7T?cz3lnj`*?IuXCiupZqZP!rb*Nc z*J@gN$&58{#xPEOroYG8Xtl#sYuHSl|yC3;cN*j0OJChpGksP|N~<$XMVH znSTfHhxK$0{9%p-{*bZ2pZ`QENPs__%o6a296kwLjr+jhWr>AQ0{*;$JbWPdUq&kE zHxNtYGk`zL+xRa4f3{`Pz#nq2Sl|!2Z5H@L?rjVFA@`vL{*ZGl@P{k@vw%O8j4kko zT=jMVe;A43%Le{1`auc!LvHey4E&K5Vu3&Z|BY0@C=Dgx&tBk4z@Og^z}#Bk&lf~0 zNL^(*0Dsy=Dwv2GEbxb?%RdYJp~DIb{9$`7@P{4%ItTuIL8O9I(>nlvcp0_8AL_Tj zpMND%!C7c+=fIyYh*XejUwpBt%QE3WMp__I;w!$c~eajXUY zFouBz{>Xuu0Dt~pi&T)B%nraGz1sdyA{EdxU+2IdI##j3pLUT7Sf+E}&m;I2V}U$XMVHz0p|U4;c&m z+1!V7{Vkkh!F4&lVwm9Zt3Ysl?#qo-@HFSz`w89)2J3Tt2W}%3yoekN{2{X@0saif zjnd~tDoBl82jGt$yZhOx2Xj1GEb!;EBNcG{RVTn7UWNV>slcDdaY%qa{|`ng=p;r# zjBA^TQ9v?@Q9wG0Q9!!07zOo6Phu1hztc&K0*c7z9%6Io>nQIQv5Ezo6OP;yxk-!y zUSt1>7zGqhVib`6q8J5yJWpa2kp8?F1&p^K*c@78nARsT3I^bU|F6aY>Jmv)viF$zus$ruH^ z@9QK+0h2#3Mgb?^#3)#=H7y+h6X{N36r?nzusLBGn-gB~H|ju)g7c|E$0%Sqja>*= zX&vILJ%!B)Q`nsF+q}S4JDH14!zY^6dq59k6lCM1cPVU6c)ixAV-#=;Brys|Yb-+e zUD8d0%?VT3oG^vW2~*gdFpbR#Z@paCfBX5YpO}mA4!Y_w{aK%?e?zMNJ5%-Fm8w65 z%?VT3oG^vW3Dek|aASaa8KWQz^Km_%n^NQXfUbXi6WaBluKx+rn^W~ar0W;o_bF^n zn8N0SDQr%d#^!{NUe5XvqhL7eCpIU1QrF*vyM*v59#b|(K>!)XC^*K-KAV#Lxsg3| z779K$ma>RZ@IA`jiLY27NdaUl*A|?{e>|KexU1o^Gen!eRxaPDeL5Iu9GB2fv!{5eF~cs zzMHD^Jzb~FQwp0Crm;EU2ZK--V-)<>_VXiMr_}#3=_E!0^(8S1NSpoeDd{E~qu^R7 zk{AVJag&+EC=iuNi~`Er7zJduCq}_rRLd9zCsXYg$0*njVo8hw7LgbQyzkXz)7YFa zjm-)BC`x^9jDkhf#~1~?eboBW*qktp%?Z=koUm4k*cb)7%k8JZ=8zHB#5zU+btk7Y zy{0EI3Z7-t6BG}^Bt`)bk0eF`H+~YMfEzN2QNWFo#3^w#RrEH7>GG9uJf)*(H+!zJD@G;6lX!uZonSw2M)|o!2RZ<_WeriBa%pw%I^vQvPnw%kfD&9iyOk^>)N4 zpf(Gkxf~_F7(%n1F$!4yXF_O>7=W5Oi%~Eg85TlwHW&+`A!8vl-vVPHG&h5>5SmS3 zEQE%Ph0st8?-Ti)zCb+##zJVAV<9wj{B9vMZY|&MnivKB!B_|l84ID=6^w<@P_>27 z9EltYp_vWFLTDC&u@IWIU@U}&ITk`g#zJTw0%IXGuYs`;8p0DSgoeIxErjNLFcw1d zC>RT&`5PDuq1k)K6olq9Fcw1dO)!py(2#R2gytS_B?!%v;9?7*Ay;i7G_=95w-B25 z!8KS2O<^ZYlZDXGD89);XpRThY#}rYz)i3an(u&{Y#}ti0M}w6G!=YBXdyIHz_nTk z4f`v=ACvhA*}dnw!8aw-6e7%qE0}i?oVIR^rj0%ej&ZRC`+I3p70XF_b|i zSE^1;$0#_DSzLBWi~@!-sN`}j$wI^JOyzn?D%t{CVifSxNR@ma$-CUPd6&2z@fprF zTM9x@B_GK0E<lZqJ0KoYrtb(_R-`u*q`$`IC5$>m(iLMr1K zRC2-UR3m#j62y%=7rD+!$0%S-gGw%Jo%I0pw~JA5GxNFDQ~7+dY6qeD355ir!%B4) z@BRKUM!_E`c{oku3yyh776X&g)dN zRGUz?U^~ziJhwdP-FLf~b~J5h}T@KfBC!)$KqQVuBN@m-9LYpkC_)KVM^<+yF$x&uK)S<&NEb?P1O`+QojjRzb~;7@ zu{~0nM^!4@H1mQan`c)_xzr$2D?jb02|XdsL)7w=OO+Gp(n>6qD@~P|B?d(h4Llh; zYA9`r&(Xl}2%>=}W=9RBa_ObPcnDI2$Eq%3PAA4WkQ{#hDCJabHM!^DPl+D49 zh0xptCV|kT?%5V)nL!8*r4tB^j#0qq1??d;TahBK4|$_06%#^JS4}y_NzJ?oB$9SO8;Yw0_uZPJo$Y9(^qx@ zNDHC46-)x5;qFK84TNSHauNuQj#0p-m;D$&d6&iV?hly2VAO-M`{2%`A4jyKJ*!$h zcslHyYnVriVyC4GEn)Bf74KdI%5W*l(h|1fQ}hXcN8s6vf9}jL$r84UhBjo5#N9x4 zAaNr(gL2T&h6|8lpbaF;+^+5|6w;`MENR!E8b;wGSze4W#SK4XS}mL%BgUkZCM8s0K1k~)o>}8`Q--Ha3Gk4s)5Y<@-(WU+@KoR zw$0@R)vyfpJnR%!mZ8>b-0B<#G`EJG%xhEwNhhyS4eYL)*Qf?6q5b?gq;+-g0q1cd z*=&Dz5KQH4O6qk_ zP9&ZDh%-RfpANc5o}t0rfr~)*bv!z{XR>rOr+H=xuN;rcuSobkoy??tPqaGy*zjiFUEg|L-{c;A<)_v&12Bb(+0#!2 z$2&l@g|*+w3o7dCd9tNvqSiXp8t=hcZrI(_a{I$WZ;k<9CbB^O=7^2o+mhJF2^9dVrg5?sg_yZ))V1IOwP)-o&Z=F zW>s6y>6TgDwnLL@9Sz-mAbuJrtj}ymoqiNjoXn|g)){?3_i7|LkAm41YueC9*4dXo zGO9ReKjLn2#=eYc)o1Mgpa{>{*%fFj{+`auf6jXdLX&B3hW-~gl%EF|%NJVC6yKx;JP|#Ej)gCz!F}+#33HJqgJPX6!p46U-Rt1T#iD!Hkhk zFk_@GW{ix*jFGXJF)|i2M#f^s$XLu68H*WXcngaeq`72n6VkG8)rN)V^nQ0V zO}5uR^AL&@v!rW<4*7Z1uNCPnBzKWUzDsgfA^%8nHVB+jtN7YpD0-aEUEEgjLuxvXv&T48JrCFyY>Q@ZYwJB{E-r$G zUEkJw+6jd9>D;^9dj63uHta?S&ngMu-=@1Qxx9R@Wj%%)-}4r7Cnm!sgEvic6P#X; zFtg?x=1S76`-p+Kmz`_-Iy1HGU;ZA4Brkts zYIJJ{n;AJ~HZFe}RhQAIx{Sd_?}lnJ8g`e_*St(7jg!vkCx|Y@NoR(91=3xf$EwP# zJdtFE+VE4Bwg*kLzy0I zX}#OX^qy=&1KPa`X?cFeFP6EdvRU=R(4BHN)^XoLdRbv1NvE9Grv;EGa2RlVslm7` z<7qH1tMW7$mo<4Bj4J~AU=;ypMYTnS6y}%0dAL9pmRUq8oL%FWsly>Yl0_0U@ zlM~VHy1QZMx;Ega+;umz=s3^?dG2@r@{deLnZQjv~|G^SR$u!ZiAP?sqf7H2Hk)cXPrt`+V+q zy9hJEe;p;=GGQkBeC~H$#b?PDzgI07_%X#`&Gh-)?|O<2ZuR-x?-qrb=kvMW?XJFs zocTVV``un@B$$OhpZnbkwLh3eKA-#DSQQ{}zR%}=x3B60X0gxbez#7oh1hbR&;9OD z#W`H%^SR$0Cd?Y2&;9OjVb=M4?spr6S?}|?-`z!VdN%re?srE>+cx`r?srG28r1W! z6Y#m;y{5o>kJw$qPI`Rqch{11JU;ik*R#8>$LD_cra4IFohcu)UH2=Pf^J+&Y<6*P z5KQH4O6tx@YUG4`?(fd$es@pB^zMA_cbhcL3zNH-&=DW@^B1$uh!6Yu#X|CSG`~bh z-j0^3zby;$OuvxL@ko=6?^HIM^gPZ~JGl%mb41p}&P=@SrgNYrH8}Rw>XN zN2S^Znj?qr;O}Em1V536;5+zmuGPnc!*}qIE9!9>%_bXYjymxj{6G%WlbK(jAEiKZ z)QPX+?{P$*mVw7taT92cfP_+@Ij+SP72iq8ts;qU15pypiFB^#vPfj@&C2Z%S^P#ha3Q+u}{heQ5EfMsx97>r$v1xZaWf^f7542CO#U}E4QjIF zre)%0?zH~G&CJBT^Wf@)o1KZ@<$9?Xt~C>1%e6E#D=XwP@TQ#Ug*MO}xl*7xa*H$Z zA2B?^h%C8MpgD5OGx4u@+>VmGQlL5Jt+IjUh=yEa1I>|Jmx*`B)h8I6<(gQZiQkwC zZujhH^nIfZG`AnP65e#%0ElLt>=GP6{$S!wUH5tX+CXzO?9f-4qq3>1%u(5SD46qy za9XBhzl-*|{?qKy(b*$WL(vyZ-LcYz*guzI$I1Bh^)H$TW@?r<3)T7@oh5Tu?@NQ{ zW{-t}27gH&Vy#)Wx5a;#Q#CKk%hY_oiHF@eQf8r({VKBjA;g=y?h5?cKy&=0ted_# z-Sow#>3qi?T#^+mlh1(G!KI?VsQa-rD|l0Xx1q?nJj<_Y)qZcTv}M`%z|{MH;v!rw z+8X>d+seU1S0>+Dc4MSl(3^cxv~*ng%8%x{IM_WQCQuS(7J{s>-3ekW7V z;7fO3lkPV9gLvM)o&6KGSd*W|oBBsl!C$h|FwhhHkPH38>@P6hll`~3wm!;klKC)r z(+kn-nf_4jIF;LioL2uVHYX>ju=)ObocAs{!JGOE*`Kmpe{^A?e_tL<*BtlkV*mSO zTw#{`PjPnh!mRR_d=*T&Fl&5`jdEo^^jJ-;5+PgPf_rSKaWf=VYWHh4UqPSeTX#I z{X2e3f#S5M}MTxz3?$jw6+b9(qk<@9PZcvCj2=wHt@)tKWc)I;y5F*)w1*v}t^ zoZWJFA*b4>SF2!mVe0)>uHB~G)evj&U*N>-m3s%n-{?D>^L?ecP5x?@*)R7Aa+>{9 zSZ04IGr|8k%N!tOCi~xE=MR=LE&f_=;zM#z)N^LnGG}5=@TUGbCxSUVmmS1CSftny zqI#iUAahhMj~t6P?cWz;WbvkLT({Zydak?Ua`V8I0?o01aW?*d?K?qQQH>L6CMMe- zPSmIC&cv?`G{>E&2YN<&pl759dZroZdDYNq@TUG)GAB#VVxJD|gV{N`M)_=A(3(32 z+o9g4SFqr;oW`4GPe#}LhCiXsJS%oxexdI|-UgbZ^^0!MvUG!%r5m)|G$@TX^?Py> zuE>24wa5Nb$Ah^t_g)nyTb=_}%95z}f5SPrDo224gKq-O(PW^}zXbNt!MAf{n*7Un z09~7Vqz~uX;7z%^Cin(#O7~Zj{mop?-_7wV-r_$=<|big`ix8!+$>D1e>NB8I$`GP zl}x})%G z1I_Uos>g0qdh9l($L;|$c4@q+zbjYE=G@_2N&b4SzlU;Pm4W<#qx$0<*I%`horQMz zBe>JDeBAO!vgg^aptL`VrpVd-xuY9}tYs_5h^!7YC!`KECj#p+D*o<5-p_Thr;s|( z+&dV9tPV6MBtAPk{(+)l9`>YvkdQjioD|c6=1Asg9GKP7=0s{Fn|HL3I@+9&I@+9+ z)zRi8bpVy0B#)sw+MJ~7Xmdj9Xme6bN1J16;Y1X3{j*;}&EgfnKZl33<1NIGe=g7H zoRghK-3xvICQm^|n=8kWW1`LR=^V;QjJnQ`nxENiJ zN47vJz-oJ=+?D3%YFD6~>@tpS;ldku8%==47H+VeD{Y%*VMvq6ie<|s4ecwPW zO-{B3)%(}7fq6E;by>=FIW(@TpP*ldw(OwY@lrrwYlUUVn^~->L_<7=(I^fe>3EE7 zU#uk^dzWK973)Yhc;7=6#i3Q0`(|%0?mLRZh9Z4}w>vgcaX4R3P4+fn@`??VX>qzm z_>Us;?jeJAY><{QH@gcIgd@izx3C+oy7R-)ywG|_qfOzi+-y2>SlB525ZGckhIG;6 z?h40JJob2AX}a3`5wwR*7eJ=o`ziVm?llX&YS3_?efW&t=4=0HtKM zwsDmq!dnp}xb6u2W_Lqp!x?;C+HEV8+yp|Ju0f~0F=*bR6CrYn6lFlTaJH_3zKz2< zyv|8LxNt55q{QC&RM^Vv!a|SdN_aZO7ki^QNBEF{W6x{ET!vpKz0!LHzu}p?;Wrf! zj>YvYTcvspS&n}W>ItfZas5#P!1R;1bwz(5Z@+5f1zn6@vB_C}QVi#_GX$Mp&0c8G zsr15AC=xEV zJJ~nctt&pI4uDP5goc^-zHt{WHsn?ALt?I)QzD- zdbc*!yX&<+4Lao(D0*FS^BUerx?XRM?~-ovTDV4UqVCCF7qlq6nRJUcmG!S9JyX6H zhqsV!b+Q+nf{a_Q)b-!KnDxt;+>siS^{M(dr0TyjRsUV7`tMHFe^09ZAEfHP*VLas z4fSt~P%lBJZtr9~H>Jk&0bT$4CWt<$>wkju=2ZO;>H0P3RM&q#SHl)v|Mec|hjsl= zaV#D&^$(v9=FuxzKR~A=S-%FI>iV0wOrPSBU=j<=X<(N4La3z$~?Vq>fG^U z)cL`1)J4$gHrvmSbe$S>O4{{gJ$yoaMNihl-$|SO@G0pg3p%|4iUf4JC+;+Tnc*%< zRLYZRSf(iN`f{1+DonLczYC$OII4~Qbo_>S`62d>0A#w0iFJml@oM&Ark$AS)cymqpu<1Fw+^n5D14WUf)eX zxUfM@LKy*_uCs+l>Xth-Ej*t_sTC9<==66W640p}Q4$a?94oWr$^|RjO)7)_16xse zoVuHZ2|68KnKZ6Rn1)c5&CIOwEhQYF+Q$8xnV`F%-;wU=u%_lrX@qu!UJdTC46{Xh6<5)OHnDsuP zl;Nq0&$Ao-xnsc0Ro}xV+bpla!dAtb<;VP2pfWsNmiJcw?Onl~p?EX>99HynXpQKP zyZafurHMc}-Q|WTn<>XF%$|MlMaqIs`98!qaof1~v08AW0?;X$oc{t&hoGOlnQ--k zd9}RfDEh0Lc{?Ob?BBB^nEtun4aBDMe+n(ZfE*ud>U~<71hqLHJPrCa#ZI|5AlB@^ zf%XQ2MQnn8jvXpbhB%YyH$0fl`?eYaO@li1Q?@w)oqoVJr$MLs`Sv_{+!oO3ZfwFJ zRaLzmpi^qIpwlZ+!mnWUqvX>vUNDRVGg=teUx%p(c2$>fXZ!FVhPOlNn_znQe`n4Z zwFXSAKk)s3?7a(|6vfpx-qq7U&rZ#B4+A4x`>zczVW&$=z5E*AR=O1 zl*Bcntbn?Bg@{VL0x^oWxM*TXykYS59)rdhjK*M03`UI_H5#LN|Ic%(yQg*R89opMGiw$-b1GgU71e1Zk_G*5;RF(E(I$7j*>Imf7H=Q`yGP zE}IO)ebjVBpsuMLsM?|US%=C*QHt5Qn51)utE&-H$_D4Q-3FyL`xMrHxf9jTq10t3 zVF8dkO_ciV`Bd5z&9JG6mc>V>zk<@3#Za(v^ChM!J7qGI1?sPeY0l1_0_9Sb9m?&9 z7a6li4TCZ*+lM7sEJ|y35NqK|wIgEMvNvE(&n*$x?OC4=u2x4PrXxFoX|7c>p)AaD z?B$lJ^Pw!s-bL5T6_3nXnhnlvW6X-|3`~o;8^o+L+d#9M)We8bmHiXF-K<`RvN}7F zF`cS^4M)a=%dr0K`VcBx)xe7`skEeDf;M?_`=%gqtkyvtBXE5 z^@rj18+~-TC$xs>qthwS8l#U+=Rs?VJ~~|vtvUMW^taGjqK{4o)}pbZk50bU(=+t#v z8Ook&LOHFOZ%keHJVvoYh5^eMz`k3y#cXybL)lfsj2?wt%kjD|}kJ_f^AaUXMC5@5RSW=zCk#fiuyiVuW+8BJH5nM|~N zbQ*Y?9;W706^jmvxgd<@+!b>!IY`sWPuFHbp;mZ z?CwEER=1ot@-P?)rD8Z^cK1-uIo>sg1Sq56qf@~FWVds1Bbz6{4L>^@tH9iRQOf7GJqK^-6VlXl=DIA4#~#5~ zyRtvm%ksDqlo!Xlp(MRvrIEs$0So>QtX!Ad(BZjl^AOSNRQyCAo!$z?tl7?y7q*sJ zv&~I0!i7^>$3Hs7#WKc~Rp5*8og3i%8X>ZM$c3h4Tnmd4CE8tx#gFTLAZbQRm2sT7 z?qf{zQ;1t&b9Fc`VUBH8e}wLwo8@eUu;`=HX;88^bBTPqa~5PPdk7a)Z4SGtn{8*3 zGaL>C-xU5k&ODgmqf=U&k4_mYjfb4+&vluF>#jlb^;!Ti`snlyC|T}p;@mcleq4R< z(dlBu#6LQ9T^7C9Bly8*Ch~g^1J>cE&>uszFpT%Q5r^%GMan=HwmZI6U9)H;4kY7y zW;KxSIq|vJNIs>iO5TTneILoa8YR;^KP(uzXb99nZ21&EEEt7P%c_|NK4BQuYN^3& z6nx}1n)vl)aGg%A*bC0_Z)*ir?L;PKe1|ql;O;Ff6Tf@)Rzsk@w4JunES9wsejMxF zKj88VO!s_T2w}Qcj7I+WNALpw-7_7u73FkL*7B{1Ctru*-N=?ZJZ|52E(@Ny+E zU2Fm*FkM-wl~i#M?$x=6MZ?a)-!bhaFx^ju>2^I9hO70?2&UWhSQy5w{(lOlTZ2_@ zon4&FHR>lemuFucraKZon*gxI8ZTFwqV~TPru#Kqdl{xnLY^{A_Z6&^txbjL#_lrR zV7hWFObF9G2swNun64ZP6T);^-mebRjTQYr3e%NiVa)r0F9y?%6|fsjSB{1G&%kua zakU9BT{4YDFx^$s9sx|3eC-iT_kP4AFkL|JomgXjIhZcT(Gh3OHd`!A%4V7hc2!E_xw(nl~|GO(6mx}S9{40k%dBuqC} z(VGm@m1ALggz3t$FlKY)%ffVH1=?ho?v2=Oh+w+p=j#!sE62iwFkSM~Mlf9}5lnaW zmTc?)JWN-Ph3OHdE62iwFkN#j%%;I~V|BO5FkLwoCWPr8E)^NTblLv^%K5(mru%;e zp-Y;*&qL_0giV@;MCkUyZ1Xt?-T5#H5W2i={pS(7-05!!5xOj3nnm~m2wk4}5+HOb zh6r8CAwrjOPY7K(7A8RG%CRsTBXnsZyM;vP@>m$xjUjY-EKG>dm$zV&_RJQuOk%Fq@3fm1AK7gsvP5^W_n` zO#USix;z#pK54BFEi3u6$%UmT$uKNiN+r#TkJAVSTtFb0unj)gIZOmi%Z$=@6cqrW6V zH-0QkfY3GVX%M=crXqxH{8$)cYmSA{VjCO_lQIb1_^~hnLf82Hk_g@Su`mHbHz;Q> zOgvo>x(x3Lq04>}B6KN#EL%R-LN|Ua zjJZy8ER4zD919a5bm==p=u!?5x|ACtgl?B(VM2tiTt9!p?fBj z2%$?QLg-!rB|_-l1SLY~@@S0+p-Uw~=+Z1g=spD{Lg+FkLg>B*B|_-BL%F{jAan;q zi4eL}B82YtP$GmbT}KGrLlF}pbZ0<`5V~`rLdm1AK-gl=*y%pX#CGt*{o(y=hE%kJF$ zSQz)ykA-pFbC`hLE=1_|bS#Y9{a6@aosdKjx_mWaj)igMSQytF3qy<)`)nD4TNPm7 zocvl-bFRt2jcp z1EH~FVKzeO-o$wJb(1v0<#s{nK0+fo7KRy_D6aiBM(DmlOF6ZoC(H7279xF2XI+0# zVFsaFJ{CqC2@T+XC9v91GLU zPdUdp3{#GUVRyP5=?vJiTu44lWXFn7UVedrmc`;ZGw z$(RV;niB1JEQ~A1!niKbrjXhBU6;qg2trqmh2bT{5V{*33lk!Aqt*vj(D@F{jN?_A_Libv~h7%$U<=D3>zkv>D2^ zIyh~HN}Uc)o1s##A4Q2ATTyAy!D%y88g+2m43#GR8h&xw43%aboHlbYO4y>wI_p%Z z%b-lt!D%xraI4;r1@je^Svojv=0+%Onyj4zidM#)Hp9zxGi050Zln_*EqN5e((bRJ z$ze|{wj2<}P`0LoJ`jc$a8M9($_J;-FgzceHY4&a@PpH4D5u@EP*S8q?Q2e(VfKB^ zX)_|4^AJQd=OM66xxd1SCxaBM)FAxnYtBPpNNx?{_kkb4zx0~-E`2` z&~~%C_BFKKVqj>y#ef8~eeRI1O}b`xi&J4MN7a$ z&icxOA!2ls**WbJO7D{Px}RO44|<#eN*sbFiWY&n>66Mji0nQfblw&n+D^>!)xz;JKx8lwOM_0naU! z79H^1QkkLyo?9x@bii{wxE$O1lnt zZmG=I0naU!4ju5^Qn^Ssw7~Tb#q~lR@Z2(Hv94x~cG@pNS)$`Sw>kjO((DEu@Z3^a zp#z>-5zj5P^%2i4wG9!^Ew#5Jo?B`kMm)FFtcd59 zHSgL*q4Ip!=3UK?cy4J~w2KE|`pms=Q!7P0x123%Bc5BCJ0hN2!duG#TE>+DwA9Kx zx6~RUo?B{Vo?F&SW5jby*k~C*ORWr`<($?M@!V1?^W0LK7V+FtEA!k^YuzNCTeft2 z#B)on%yUbvBjUNGR_3{-wlLzkrB>#-rM6^~cy8CAoShNR?e^?F5zp=3(8>T>?qymj z%P#ZWrZ?ufHFufAQn9VW6=F(@T7 zkDe%t;adIrap*Xwq>hGzIvw!bvb-%i&U339IN8mXH0_rC4c;b&=Qh1D&#ftXN4)4A zLD7ZyHQ>3`ah_Xq9Rbg+j`Q5=IM1yPcy3t{b$Tgh)V=T`W=z;nwEZ%CKGb4#V91D;#vT&uUm00hr1 zo1jk1WC0{|_bDyQjy=a!F> zt@?}xxDI%31)ml2-0F4|D&)Bp?nCh0veX?yeh8jhDhq}E5Inb3mI(bJcy6gI75+o; z+)`N~1c>0drP8Sbo?9xbbii{ z6VayGZ8_p?!*k2)v&%fUcA4ka9-4;jc(FA+w<4B#ZtXJ9t$i7ZYdWthUk`Z zUFKF?r$5?vaJ3mjbW7-LQ^pY85_;O4F+{htX(7owKFde2>~YvA!JSe*#@gFpGRTkJ z6R{Nm(Jk+^nIll@{PrAeRz~v=V9M(|?eDf<~ibW8JM#t_|7E@ceS?PA!}W(?7- zz-Tj1HNfZYd`Pd)7@}LsO&LRUOP|v+hUk`ZE6TY8;&W8qfDn|v;%Cr7bUPfikTFEJ z9Qszq5ZzLCGKT1u#dkA?=$5i?+4ta2{vf)u4bkl`a8nTw-L}%DA-W}8*2)+dt#MlhBsE00CX0=T zZtp`o6*KcN0P?N8F|=n4(Jjq8GTX6@X34ylF+{hN7iZSvH-9=O?ikT6lbbbLrQ%MK z;V%Z!EfrS>M7MP8>*0Lfua^DFBCd)>whhrOFNQ?7SMe$g(XCuX#t_}Isoabqy8Qu6 zP*eOi{1j1L2&H9ic{ zt@tn{yP9&zvJKJg9`pyI+cdZi&DAZ7UEOly&%kIo1pJI4x}{uehQ<#lH)IUaEqym< z4ACv+mW&~~r93S&5x@DHDYsg-A-Y{`^1pon^OrigBUUG!vHVxY^1m~d|6Q^Ce-z9A z$FcnHj^+Q8Ab&%2+YkAY=r#>5MpK{n#p?5ZlYeIe%Kd=J|8dHzWBEU5@;5MAlfNOl zHTfH&Ta&*bx()I-M7N8XKZtI}G5-PREBPl({tf8a`6oF|xt48+Zu`N8M7PIAw$H?D ze-+po7;OV>L3F#4wub1|*cuou%MkS17byD~Lv+iwFJ=tUEyK}I4AJcj`UBBz4R|e0 zJ-rg-%@OfOrgKd`e`2_wF+{iYQ8d%(pIJ{O(`PnNuFn{vTiP^b4ACv+X3I82xA&Sn z-~1l)G(@*1PXnW6KXFZ2-Z6O^7;P-icVl_JXY!PGdf((}V6;J=TTRD>d{BqHNOb#J zbe;b+c^aZy%5Fyb!zc9TXQV&;i*hg?{+n_`1f#tHj)ds80ZUB-e(t5jrQ!eWt!VEW zNOm7lN;)9AWv$lh;1ESma>6S#dt#lg@-OA*p0~jr5*fP=WelQD(Zka@g zZn^q3X$Q!w7)u94x6BLQ27ChebI>wxIiz-ZH5V6+|dN1|IUB8|W5z#kXzAi8D2 zTpbYIa=Q0*Ky=F_MI8{`?hd7dIg{p>d zW@Vz=A2Tswv~`tX;Tja}gTn0^6fPjTWsGlVrgxW^GSThd;7N#XkEkjW-5!VD7!uvy zN}2SM`F+*x-y8$oo-TD0V)4~T9BMr#g0n4xI3!kmn7vM8N8PIRjS zqFaH{%05^~bgS3HC5Ud>z1Ql1=$1?BXVIgl!fRhcbjwu?A1^?3%OPqTqT7LZB^43f zazjK1M7Qkx=q-5g0MRWKN3X+#0is)h(V8dol5BMNIv~1TgCs@$ye*dac)q<@K^ zkmyziM7NxH>dZdHFoz!pH|jWy);!3LmPbR($%g2bMGlE>*RsqZ(d~yUbDZebJm8+= z@OfKcw5%8q-3}^k0*sd4BBI;HNTE096YMy7n{Ank-cA%(2Sm35qtyY?t-xq?Ky)iG zTJwf)2g$yq=iu2DM7Jzboapw*A;>8py5(MnrI$^H;XdjlM7X++%7N+}D86>6OcbT4 z1EO1^4of;9y1gArtqzE8*FdS$0nsg$dL0nm(hR$bxS4_I_E{*68bg6Zw~T4hQzk=M zpolkY)&bEiA%-nFfYB0KI86scw^UknKy*t~VVe$!Zt1#R2Sm3=A*Mr*z^w&Dw*(X} z)B({g;e$(bKy*v2;8GnB-7;o{4v224bm|6{?IuOE;3^#u-4YPES_ee81ob_t1EO2v z_SWiv=#~h)bvhurCA4n64v21vkK3RFqFbWh-qzk!;Z4uEe5p8u5(Ji5B^%2o6k!cMP(Jg^!jSTC7`P#BDy7VYhgrmOXL-aZrMqz zIDZ+?Y1(CXu4HdDP)}~7VJ@1ft%5=vph64gt& z2f{}s2bXaqMeaGv<7vr}*P~@QH<5bI@>06scr`A{`IM!u2~n}nFb#+Gr>EJZynDk% zC1-^0e#$w<+v@;WN_XP8>Yjv;PAJ-0bji8HjG{8pE$@1WU&eUOA0|DA8bTjLKfzhVj&6$Y9 ziW8AZ6dwrtF`BM8Gnwczj5h6_6sG1>6^jmvc|jP>xhv*ea*(E#pRUb>qTL*(<180T zC)VYjSWZ`NDoVV>&;w`2?jB@hb<24p4?~bpDuy#=cMs*9<6YzUFbStslO&j(mV_~U z3sR1$qKeYyu}Z-fC6ow8I{?clvqOJQ05b|;wA@H{bwG4W#n%DREtN9S?Qh^MEkw6z zm(J2I%aUV{;JaPfpX+7ixDw=><3LXf(QS^fSN@Me<1pI!i0FM9ej*qxF|lUN7QkrD znr&`Mz_Q4i)^QjuE*2peD7gIUfavx)gvjom^h3!?Xu{-$@ z3?K!{h@1B$jF#DUN42VJ?j8v!?XkUR!22=TZTVcRD%qm;qCH0P!C1-TuCUwk(O3=Q z!*FU*=bVw;=T$>^@u@{C=8T+;r!O_sByAYUXA@P!N0Zc|rE^AdHLkWI5k+dz5+TNw zdo+k~M;}42!&yR5<8Dp#?!zcoI`wvAZ@30fZSu)eEVVOdIKX>xt1*HUoPN)SeLy`w*`Y#`2tKvsCfE zKG2>Q4Z9NG!(t`(l4!G4v6Jsze{Zix?%1Pv1Oqv@-sVa7*rRxBEVP&Ht+58c9t9se za(|GXyd=T$=038yb{>^rd2`ze(lWvF@(DJ<@|v%45-hKkV0roOD#7xOIS#kx1j~!9 zB`3l1CRkpqA`>icg5?F^D#7xWce4{LFCaH(a|NAXdGpsKSYBW^6D)6n<+T$mFW<&t z|1Q5pfG|z4y!mk|!SbGgE^&xTu)GAltxB-G36_`W$OOxqV0jx8EN=i0PO!X!Gvq^M zg5}ky1VLO1^i%32`oZpWg5^aoNwB;EtBv|ah(}Mbya|@~|9&j*`@pmsEN^#IYmZpo zZ{gc5RY_D1vApDzP*wcqRIt4KI#mra;9X#O`6;$4aom)#ywzQ>yo0-9d56TYyfyrm zCc^S=wK0}=={|8R@9sTgdGi65_Zk3t9qXLeA}nv8y$qK3)(Hm7yTbgjzJEr7 zS@f1|WNRtG@~*)+On7+{EH7}52`{geyIXLl2`_JP3Fd{|V%g!*C$0paKg)Ll!TYp$|tCcM1h&>e~wyZIx9M>^r<#l~a8%R6jutRK~| z2T&RIus?ztF=bW%@|)Op8KV+j-h`JI9pU#X;pIIZ^)SOuczH2nrrm^>w+2XAK4o?L zLER+0yxr)IyYMI{yu8@HtqK@^6JFkgmp9ig^t%Z!@4ugyw+5J2gXQgxYE{=<003+^ zUS5IgRuZmjFKRGUyH$kerWV~h$8hZqGWc9zd4+4YWbnBwgll(j7kuuJI6n6(TZ!;Cj zOEU(bo?7xRlX5fDABQ*QUm>5Cm1)2dE&oTRb2E2h?Uw%&!xMaNg3m>lkOh2#&rR^T z2|gEi+`)K&?R(noc$e1~Qi9J-@VQp5P2E1kvKsU`KtJTpR?k9d)ZkvT5_~S|Ai?Jr z|8YF(A;IScq`nD0w`L<~Zpc%b;BymvZdI_!v)?f6g;SmnK?19|@1EduQ3t~Eo8WU3 zeC|Vd`_%h_5&wRC?$o_Pd~SDCtGedtkzMe)!l&Czdm-CRE&9=%k)KS4DuY(|bT`Ke zKn)s<1!8K^(ynZ~OXe6judwZ=76HF|%6J$Dbh`@!IB!6=+aYk?fNr;O^bsKPQvu!X zep~N^EuWWBv~+6q0ocXCKLh8TVBow>qfWEb9(?@=rruFgEVbtvnAnS2a3VJZrWBZO zKP$xxw0?~r$9n(G2H-d=r>&0`tudACIXa?e2o# z1m?Rof%)p|aU)7-coUefDqe(Vms|q#t+$Ia32|0JwY#HT%(GrnqBYpXqrtG6z!8%3F>CxJF7catcs`b>7p6{581Pewtx#o?sVuHC*+Zg+l#&7=c@Zl>Kl3={e^IW@?2NTs{=X?V zSP9G*-710kTGrrFK7sirFy92`i|rXJH$n|6S=O+hV&BC|V7>{=_c-*4$JLVnFRs@U zs63~vtt@MUz60Qv1m>#}n6I_dP+;>Cn6H5+3PzDD_JoEP(?sRz_%SF}uFMmdZvyij z0$P$j_+;k1Tv#OH*A)_aO`5uk;Wqtzl{g1(X&)f?L+JWqZ-BGRTnl%8xekKhs zzqeLZ2GzT2&PaZ8tp;(%7Z~qSNUCJi6EC{gP5qj+QG*rGJ(g=l!k5v5T-hfQmzmncp8 zHKcTUi_)ww0WGrQs*7RWqBp}*$*EA6L7Ao>!akIv72h_t>izHr(eXu@rH`tB(pTMx zm^SUAU7XF-zEIkAZ_EtN<_hont-1PDW?EF=gSUCQ`Y0#^)y+^k^qF{j@6@Q3Fk7g% z$U+&d*gcl$YARccvQ*bn86(OHJ%&o1D4lvcD%+^hNV7_h*#XM7QnuCl5f*fux&!$< zWMvnlH#%2Ul+cBW&Qe}vW^Y!~GKyAaBHb+K<+_<;r$N4vSL@@o=}`zvyT68(dkjA; zwscp9PJ$w#4}_rw%TFVx{7%e#7sc@W+r0V)Bi{lS&aNV--L+6sM7;NHMBVo~pV{}_ z4a^6Ao>&}&@%EE+j?iA{Kmum8%I_Lqv%PoIjQ+;{E6+0ir`H+PxM4U3X~9xa<&EXq)Q z4#r3B3Q1F|e~Q^Hca6>F9i>MiW$s%0ISjfwJ%_LJuM>vRMx8}a?s`$0^!w;CxuxQu zSwF=z%S1U!C&1p$1lY?S^}n0HchGRK0e%XwmvR3u^Y@aUxXvz4=3MlN%qw3V*gFzF zo9yDdoYE>&)SB&LiL+2C#R;y(E^Y-#Xs%kcDR!|D_r2U;(WcqO0cmJ8qRoI8-1l>} zqP5z^>u~qYjZP5-ILj{H#mQ_;>UC&scCn6L>Vz}3-7bC`3y$2^M4N9H?_loRh}K~j z-yrkuwxV5R7eB^&C^uHLg?8~pPPgMkTWlAHuwJ$kZHZkRi;QyHr~ZyVOE-zX_iMQJ zRd#U!uW0AguFy8v15Rl@4QRmBD??BfDa&4f8$@>GS`;_!K8N4_9SG>iO-gb9$sn%| zOU3Roho$Btp<{rEho=ZcboDQIMMtC#LJqzb@ZwQY=%PN0WjzUISF?hjG{Mg3F*lvhM4eL-OFYq(v5X@i~gUAgu~eHbg^{nQjxbd%1b zfVmG-Yf<0L`fc=t+&@#RaD^>;I?9pzB-M_qo~B2$Un}Q+#I))&SR6+Xdu{qXwtFvU zb6C&T?YQh*Z)Y%+c70D8N*@8BcIY2caYb3EpJeN%MOmU3d;>~_C`;U^q zg|+Bq9K;7W|5eMDUB;M$1hm|$XHSN5h`@x~v`@214qdnF43)ziX9VVq;<@ZJhdY%6 z(QQ-3tvLT4>3oO%HdS2C`~1<)DbV_*iuclE~s$*s(8P?MREaw z^7qCH^yT<_-vZ{lNB-VNQMq+`KCAO8hu>(|>kEL@$XzW;gT9y(&~?sgP~|l0D=>U> z*GpYC=}}Cx+<6Ljk!HP`t@CdH_Wpux-6OE~dBjA(UMiak?2XmkzX90G{#UZ>6VayG zZTaA1^E=AySVEfZy?MJEcQbmF{dLU9*?JL&rXh|O+g&lyXLk_sYxFQd3_2OQlZf}C z%V&2H@nZDm?5?ZmcP9FGc25y;6t$JzS3Jx@17!CT@f39V?EaE$Ig4|ki05F^%^oBM zV_C8zM7*6@94X?H^l-G;Udb$um(U>$J%QV8HjkOho+P0octJBne4C*sOEMB{WoI$8 zVltAs*)yL*u``^Yv$HurTbXwJWY6OC>{#{``aXLlloSoOaI=eh!P}Pn93p!Kcb2z& z;b@3UC|VUI^uEUI-1#ucOk_3A<88~zaGKA~9}UsXaF)-WOWDtGlFyz;xtQS`pFN*) zDZ?o~+d;WD!x=uifO1`i(|Gm*7Qg;00DJ8bJ7GKIsy*Pc;!^mU=2sU{kjybCt3QOI zmAMWV?hmD0%v^#>^J^&AWp2e4`lEd`T4UxEtVaB;YZ2a**(nQo%+`>bGxwqK{5smS z@V1AGK(K7T*qFt5hFIEVti25!RexHno{w;u`Y1PQg{xWu9t)ygMJy>kUq8 z56VrMQ*b@`J?V2==6vS07v)xza4h0;R9=M;l)mC;(2Mzfhagqvmo(p>kN;L?&Y_SG zpzLHO@v07F@!iaE7%KTD%D!dagFpF$=+3?cKlww(!%f9B)I>2qX*ykg#L^u09sF9E zLnt>JH*$rCbD7~=m5lBJ>19$JQHo!C0xmW`brHnem8ivK5TxjyHE3!6#D1u>%-qQ^ zIZ0}_bovQaeul~7<|zn1ncwC3rPj$-{*<4fCX1PQblA#ULVM-|^n?7VH1Ei4$2OY9 z3tpJ1#|X`ToATn!di>^3KN!DDtp4|6P?T~56_cCQTBRb*ou%2VxhhdyJ#Gk;L9#XI z>)~8^Rm*N*5m&_`+Y?TJ(>7krpkn^a#k>j!W}gn}y&fj0DgFsxi7}O(I|ZrQPk=b6 zl%L0SpW!Jz_kI*A;4eLo!LI3eG8}!=@nkr%NNjxj_S4{LL8tL_(YBi-^`WeiOGqGN z8OGB~W1be8ZYy1Q5#^#~zsbw`?nm@do4eF%f6*kShwbHpd3ILe?Yk*)51P_ zBYih#dZ9%5n<%$rj${5SC{N2w#Bcs)%B_|?|0F24Tw?OSeIE0dI=LfOC!MkUSH|+c zGnW5dvHX7&%m2r*{O^wC|C1p9^i1TxDi=U{Qv<^Kyf0Rt_nZ7X8({i?$^UW6t7G{; zX!1V^?VSI)$$uW}e~rn1IeK;eA(Q`;tc(8&@*mR%<>5=1zn_0>4D%mAA5WP48`x!^ z2f1%uL*}pvl zPG7&<?kR{vPCM zAiX1y7a_f`MA!LGlc$07QVt=#^cO;UDTk0=%4JCJb#OGeF`rU9V3Db%g?lM+DG#Cf z-ir3F7C3MpQA(P(z`UzC66^Kx_|2!~^#|Ne1S#_58mwu82K-0|?*S#j~fFSMj9Nk+;Uap>vHAa3*vGVo4sO|hf zC0|csTH^|)`p$17tWwQ|o58jDL5j;q*@!TCr*aEuOfV*<{sXn_fx#t)DSF}-(T^j zQS%_>0~9Cxn&&7Vs5tr75JQ)5Qk)2Dys3~6Qk*<$UgcOkL~-EPY%?A5M8yGF^CQ|! zQXD8Xq?gPeDqVc&$;Y;0C89W?)f~yW=y1get7a%W(2>&lYPcnoKT3TYZC7(YJJHeV zVam%{o@3PRxY(K*Y@!xv)|we?i({pgYEqm~kCW!8`4jVdotWm#?^5#a0S(Dk38!ej> zn3IoTd1i3kR(&c(x(`dRSd>;hh_!H~ z+8!}&`UYOl5=GbT+NXo7)uD*#&?A`US~Ua8Ld~(4Tc+khS)%Wv>*Z=0l%<*@G539m zS)n-;b2o_6sT*i^lX?(lt275=?q>BWl+~IuQLa<@H5?h7a&kXW#8R!*oR@NI)I2Eb zG$$ZHdLM=oL3%%e5-%Z|r^#H#egaxi7589VRZojJd zXZH4Ly8&8*DxTFF+A#YVXpO4a7hNki%03%flPY$w+Q-<}LTgsVJg;r6{cC6~s(2o? z-R;e5(O9Z@619o;;m}%D@dcKw#pV^Ysp7-DqN$>_N08o&VA-LHe@G#&&At)ZLRGv4 zGg5B0&BL{pD65j4w2HG_2!LgGu4Hem;tUmrp2Sf0R1?Z+t%^UGrp{p$yImM?4FlMB z%eF8h*>olQQ|NLHJF#aaSv#-bExst3BOG?Q35gKs}7;K?LaqZU)dkV`pXnDw|SxQ9tNAl_V z0~hs@X{Mcm#nt;@R};;&{rXA_g2 zLk*$#AfR>)M9w7=gTSY0%IU)#-ZYoOf@IvT;Gh=rT<>B)g9x9Pg%FY?HyN7bRc-J^4Ou}i^ zBnf6G!h*#a-|UoQs;Hv08LU#UMG2*U&cU5O*KQZEj504;&autj{5`z2%oYAMS8wM+ znJ0>`XJ??y7X=G_ddQt?KMikbS4mUTS=wb;a_kYiLY4iwURI8~x_oCG=xJ9YMeYQI z@P8D_ZRqN6a2Yfwbv7b;pNyaWA*A<4C}z!ej=ZZ4)@*ZAjBt@Pt*g)NgXAxy_!bry zi;%P{tH2kT;{azZLS*}p3r)$m78W%n+MS2RPueAWPTJi=s*K|#?LNdbk3sA|!m@_% z1YwSCReywQ%FS}B5!Rn_DfcaBG8BC?tMGKE4YH-V)0t}%#uQh#Gszham)X8qaDK-* z8)ikTlGbt?t75D)9&)BXJiW^A{b;`4^C9+s87<-F&UJ2qqPe%3TOjZ6aP@y+BVFKJ zikNC1KAF47;c1jD0o5d&9S7jXwGKlPxuj z!!p9!(n4Bc3oFG8tn={WSV#N~SEw%R#RlKoU~P|>1jqQdKXT*0<>w|?Thx?1RU}wj zuILl2t!3?l(IMkWS$!=GyFS8avaN%kG=Gi3gJ5mNN#RAN7k zDLJ!YImH!7oB0dhlQ@pEIi?ZEbrxWI#c_Kbizvr;=0me@g{&{f~P5~0%ppQq-%H1W( z3VlQt%8x|3O)o^Z$^BT6$O+aq&vo1XZmjJHtl3t43f7i!t5oq>?v(sly3A@-+!7CZ zxefLjjQmGc@#|c+y(ZdPRUE)Qj@NB2ZP%${Kg?daH$+>nifu@cdsDOx@WQyiiuSg` zJ~gzrMEg(`Pvd&*ZP6^dcq(Sx+&gBWZ5M@D?_HZuG`?MYy8?0ViDl6)9>5jt`=XWX z;^R#B4?)n@+C{#b&wXTY`gZXM%!#>=?K)gv-M<-YyB&NsMOa&E&HqcWwmY)-L|EJX zpp~(>@L#{YkMo&IKtWUfHnWjnq3hUL}J`U6LjI~{heUhHBwrO~au(os^VQoDq z5!SYLAf|->G}bm&(VL95<(2h}wLKQY;VWWoV+HzhSlf@V>DeRJmOCF2*7kBJ5!RMU zgtdJF?|J_xu(s>i);(fv|A?3fYfEM6CX(pJ>h9lwwPpV+C0N^yv9|U**fYzvaT6?r z-E!yq^I*64!X`yS!ft&`BA)}h(egZ z)1}?lxEr`J>~;ula{=s@VhFpX9KvoX_XNA$7U3c6c0A-BV7D}pjSj+Y3FqtryWIz| zA?%j7+b;mSrFjUurTlrYTRy3Wuv^NX1-m60SYWsBKnO}7!fpw1{j#uIx(i^pd%#Ty zyZshj8rbc*5PO2%a>W5KDWkisVYdU2FRuR{LRw9I z-WRLS`%V6x4Zu)6VDf*Q^6FUr51RbHB<%Kk%pb5@!ej&3t;yfOZaGawu-gH!A?%hA zSY!K4%=TA-t%2R{Nn5~f30)0fx5oBM!ft2MA7HnHrJ8zrCCD3l%3Wc%4DShc%X$i7 zx0F8@cKe{o^GzaG1K6#}b7R=8$#Y}aZ7k3COrDJ8-V8?qyWJD(%@B4gE<@NY?IYMNl}&-&enhu~-F}O1KOc5`5X?f@ zEt3fBmP_EzhuzXDg5ApV7VaN>)fd8UFQz}jZn={Dg0NdAiD0*lvJwqow^RgeV_>&5 z3}@%9A&0Qr-!XH-ZZAaO7lqv(fiwcU{b7{2JM8vKnhzywEyFCexJ`;9ZjrUR!?3T}WpAWm`{=_E0ZePdFMbEHX&NUJ2_8pk@47=sX>k)SQ zYnC~L-G0I{le#O{4R*_i?l|mrXlWB*xAYdlZoh{V|2Ehyv;S1sZ3{MedxYJ#A|is_ zo(Cm@-BO8Qx67bJu-iMKM6laOp+vA-DiQ3KW)bZ6c_|v|L%w=1AE zN3h%V&{`tc?O^T}MzGr{&{`wdEw7<1g56SUk6^b~!m=ZR-L8bTFoNAa2aT{>cG4flZm>Xv0Q? zRklrdUM{N|J}No5OqK#K>^aNnwB*R^ z(XyPINL_OaVYiFvg5%Y=DCbj_x+X+1f5S8!)}Nkclk(2MMI~p1?taQS#<7)$z*4#s z$5r-EuCG7zDmZQ%)zwH0X2hgr=NY zq~pM}4`k_xyF}(9?MYR7_YZKVhv6I8w@bUk;HKSyOvGWuiOA#;9|#9AnwfHDGSLFN z4Lr4msX0}}qJv^y8b)*OiaD1Yq-o`+YcruxKMd1xmW!nm>vB&lrz^uU?1 zyNB}d`3w)WNGKJ<8MC{Ga=Liem>wqKv}%$Bv(vR<3}1zmW2&g4wER{ITa-{D*liV- zQ6cQsY}0>1*zJq(7Q$}nEP&mzNALx&?9TKNo}XkwR|(+_F2L|s`nfiIQ!vxQ#Hn{*CbqxvtWCK3>yze&J{?Osyz#0FQ?au z-<)OjrtBP=LGbVfh_>5nDip^~BV-iH1Aekbi06v}T`0#fIT_|n%Qc8I|* zX?uZ$4&N8zg%bKYikG@*F_Z0&DoI@|;&UitYB9w=uA2sQ$Jw2!mrLZY=<_BKi62U> zqL_BKhZ!#PJk|tdhX6`P0F({^l)Z|Rn9GH@;$8zzphzrbFTqke#8UPWETuy%WpBY! zI*rJ*&pMVf1;8^aKyZ=-NV=Cx@d=Q0uWW!t1wY*Par1;>rgm$TsN!D;wlZ%aJe}DJ z!Pq7=C`!%p{T2VSbkYZf{|BQPl1sZg<4@`%{8YS-wq959{<$c9W($MV7wTuF_Q0P4se$#D4AE@w0!xZQaamTs8 zoC47{xMSPkj%@=tc8DYPhJS!mxD(;G|6ByP{z0kD;eN9dr&#{Msl8DLa5VrS-iTrN z?aj!vI~u>67xCk!`~~(r(DoQ_(oW1^cZDvsPxX$n|vm21Koj|4w^l3_WH|2Im%m$p5QMRrNvu} zn)Sag$`sE+-}i42Wt#T{OZ@{;W_W+WRrxoH((2tph|=y=GtI4{%=bQGL2nbK!+VKUb-O4Rc?~U4ekjU9Z+~9g9ilAus%h3~KMAKx zyytyaq)R(y4pSqVU{XZvgRKY%~i!5W={X-qWP+LDz!Cs2Q0CTu{%4) zL*ithDz0R2`Y)-Kk}3{je|%W9T2=fzJo}GGLF!a-4C5XZ4VxNy_Nd3ivOyJ>(DHHd z*{F*9vZ9`_FGGI&0Tat|J}H(>s`wsj^cPa`*y5;U13e|$QL1<}ui=-HLyIcjNz1ho zH%%4EI^{nt+6-)QPXtPzZlWp^R`#O}Y zT@@c;XIpRo2wI0KzBLxw%k~@S9}88HPdok}hLMBBqIRSkzdhO^hNOL3-cH=Mox*F+0Ad;M)h>oA5z0 z@uCMfV(%i(xfi5(8+AQ0miQNnf8SikMN+3lZ^CHAT#~v51|^RKE&ipcJE7Eig zzCNWe!@3@Mdiy{8(Wydp};8_4VUqvTxcZOggxPtpW+=5k+^ z%dPiDaK3#zbrT-v8a#6L`tQoMH+tmk_1{koW*vIu?DaoPU4mha zN9=!++8OiHG>@FUzH&Mc)9RhU;y4ZmN1I1VNWYi!Ybdk5cGQF4+gT5#-6NT!-^bxf zp~EAaqwk8c&?B9rpB80_M?OctLX@Q*2_5~6C@VZNI^wkl9dvr>Lh(g;(j)t%pLf_x)_N0J2mPef>pY8>-CrE6_hwPqOq30lvl75qytjk&EevxG}E10}!_o9Z9unBFF1V>>B_?=5Gas&^WY zq@Nj2;~kEtqL)4xF%uk)=92daZ>>9tQtP#{?>0CG!>rC*$A;O};di$6p2arbQ;OT* zUBfhcIs6*8(L0H0zAkB+JmQG`eI!k@N6ubZ zKm0=+lE=1r90By#q8V@jyiw7V644QH=E#o$zz~g=G=}a za={V%i=|7{dVgRWTo0fiMOd@9n%(&a4%e+M-V;=A6lIz>iDT#{QCht-Sz{|iX*1K=Ee@x%cF$#9 z-R@k85_EWvpdS2Ahxh1(rs7sQ-$%?6kJO_6ouVwYoE!$Y_q8`sQEB&G{Pxcxpw_=f z2Arw8`{H#M;D}A#-5=B)FTj65lK9^C?9Hnk&7S0SqGbMq&gN2)B-r$SF8!}$IVYkV z-nJb64mo?hvAlAJoW0(-6L2XGZya8|h~(_`#)~aEd%Yb*BxkQTK@2(>x|4|H?DcjL zk(|BWuHQj`GZXWwx2K5Y?Dh5)59I9i_7jnuz25$kjGVpRfg+N#*E>iI#oh-@7+3U?>r~waqXD&vh zfQP-=oSzM6uXh%wXUB5L+3THs3T#p|+`{!1ufbmd4|`W|(iF~KZwbYKv)7xu8~Tud zhrM~cZ5hs9Z$2k!!`bVdOWAPtdgoC#oW0)plnrOE*Fo8E_Ie8_8_r(u0v6wJ_Iejm z-f`d!$fdz@2p;yXV*yhv0yZ9yD($jr(yn=Uz6o{g5Ik&HKMiMZ-)fS533#~g5Q+vo z+;=Et10L>MBYa@O+1q#YP4H_td;4xp4q5{q?mOlU$Ob&zw~jU~mc9mmXd>GV1P@P# zl6D#EY=dc_F!mD!81QgmJKkdjJY3kG z0S^~WlBDG9EzB@kkZGcDGH>6)*;_c}TPUId4;NZ_OEBQ!!l^VjoV|q@XKx|K*;_ch z0T&Q*_WA=Albh9ArQ)8D<&m@3uM)-e$l2=;l8rjwBWJH)=ImvW9dh;-+ITU8iiI-| zhBpBZ7tWHa$S5Q!oXw_kGxxKm<{S+Z)D-`OmBdsIIeQE3H4q1t3iG(`6L@XmT;943 zgtBlRgAI7NAj8oYX54}dM{@SE@g0JP3k$w!JYDn-JrMv|xa2+YL||g!(wL`(EMDMg z5#^%gyvfV@E?*`Lsx4f;YcVXrl}u;Am4zk72SJpD?-?KD>@8d+K1|83rd+a|^JXIA znvdzPt#JK=^w+=KVTey+F4QFrRCd!7h zx3Ge;;p{EkOu5x^$k|)CWk2ML{BPeC_%c%`cf{(XGnW6#SpIj$^1myV|Bqt%|2UTa z-Ld?C668&_R_{EdP zcI^NxVT|oFG234Swge9sp8b%vwT0iDNL%S&&l_7l1{HqGG6cQ$13x%@wA0^%JjvNx_+SI`Y%cs`8S|9u{HMuN>hNRA20UE&g#HY8xbQE^ z!Fc#@$_)lQT(Hzpa5T8FkWwE)@T7%%DRC(ep@rUx_O3_H-a;QyN*+0T3$9|V)_cce zco)+0G6HVN*;}Ykx6`d($O>Rr7Mlf6=0q&fa0@GR;p{Esm4hX&fQJkHB+1}XVROZG zuSq)~NK4M%!WNR3>yfj!u%%e}9yxmp1C=~vVOrxIMZm*_O4R^2gKGwGi^)Vqv7(xe}T0&-~2G9&-;VY#m$-_W}xI6z}f=XK$fSWszpETi8Y&8zmlV zN^UrN3**#2G$|IgSJy!h@Ni+gk||0!dkZ^AE4gyRDol{f0?yvTj_PD4E){lG8zTP= zVjmQ4*Pw9Z>@DmjF}^3GZ+D3aIC~3ws9WG*$kM`IsxO{(1Uy`5R9m1o3V68ibv2nX znKBFes@o}(8?&&V;>||D!-f47ZyEv~E*zjZ;R|@UaG>JkE8yWmlj1}u;NikSij#+c zhYN=&4txO*7bYqWNC6KQCMgaS0S^}rl`bye;XQj3;s78OoXe3o1)Phy1`Vzok^#0n>i(&-TrTll8p zGxREtoV|rp)D(=+)v^OtXjNP+KkBXLI6YOm_gasfy@k^h7t`eItwP>~!MKg~JB>@4 z0wk-SEQlPNkE**o%aRIbufL@{)OqCW^($q+1HAK)5k{!rz;M`4 zafh*n?T!1S8ukDx!yfiWTvW=c{w3~o{uo7X20ZMKRr^)L_2$feoV-=W-ojWY+lk_O zQ9ii8fsOO9N6Dcy@E^w z{$76n|3i)f>bbxl*Yb?m&^h*Iy#8+dZESu2v<) zba>?N^{-XiL0RZ=9Qw=Deo&TpOz>U z^2p!o->f>JtoAr7`JL*wP#*Qj-|PQG4MFEz>v4|q*C;{>*Lmdc^&eLYp{)1FGVVX8 z9)hyL<97}I@0A_~AhG97h4QAF0L1`_{SOt1D=h;g_CFTQH9%tDwdWu%022Ed`*LVS z10?pdqLmDg*zarKg1A}(B=-B;tD)5yAhAC{n0M<9kl3%bD{66zH$Y;4m^~a?qX828 zqwGd#O$JEpkFigH)@*>p{#g5ZXe|av?C);B3~ibL68jVFA;TjevEL$`z-`xV~ z-2jRG8TO&D>@YxLzs+uiw$K2H{n_^A& zp`7BX_=5!dGK&2+44BFQ_T92A%m~%3WLFJcUJSXm0WSEOq>4YVYQ_*wyVo&_QzH9p z6>W?w8D44kr(raEaXA_Z*M%|c#bHK&3j^7KjidN;ZXbkJvOAZf;Aty{vR|7}c_d7` zJ0hT#GCR46`8#IHDlQF?=wK#d$2L)1mQ`^H5XR4BJo|bqo=L03GH|kpMjQjOiZM}K z2bza-F%K;7re!61evg(CQT~Y%N@2nhEky2VcRd{mPM6nairjOS!;xDhN1n-2F0O1@ z&P}AAvm`pVlH=95D5owGmr-Vip)iFFjbh2(v15g4Eg0y+T9;YKy=H?;Y4Kehz|rGq2iM>lZoc; zdsX0R45OKvQ&lWFDCPlSH0Q3EbIC!PR(`rR6AE>5n2xhtES*@F`&T(#xv40@!w*6a zoEf`&kdf6b=Z!r4IrP98v%80K&hf4>9F|fWoK{VeV0I!@S7P{>(3L#_sHMt&le@&@Ac2MuZDxP`v7L5v`c4c zmu1oH5qu*pdv(1m{U92T?~emL?LLka`fCW`|0tAO(gGy*=cF1D(R+LR7$C9Vo@#|+ z)^F#?OPgT*Hdi7DB&M|hiTxK+d?SmCMMw-t><@4Vv6Zbvve-(-1QNeeqTL?Yki+{w zNrOEI$Q&o2c4r#m9e)pD_}+*K`Fs6Y&g%#>Kw|$}&ghXSBKdp$)1BQQTORp){Wf{Y z;(F~VC}%kA%D!2Ae#dEq8GyvJmfKhrW2NzsGySDqW|4Mhql~=|K!5=f`{z1@*?QdP z^cTpxJX}5bd;JTX!x1AuV*etCZ?^C>3?IEOLU7t;vb5Wd-`>~ar{bM`aC`2*^@*d< zX1g5;|8+N_{QB zIf`;~W}Uvd52F`hS@vp}?H<8&d3F`k zM{e^6mN!!FkD2bD3XOwvoc_w9fN99hVoaQX= zyWCUx{)EO~x7T!1&OIea-HyZM3_b*>m0ivy<(OJ>EUt+RdLLbmvk> zS(dMo-FZxxXZe`IZ6i-*_93?OY_1PHhFeTQ=UfY!Lmyz<-f%gUx15bHd?xVnfsb1G z*IIa#&&>-5U*US4Uz|5=Gm2273IDv#PtU784BhL#<#I84ohug9(v!n|TO1m_&Q%NQ zPH@~UF75X^*DR=;;kfU)Y^&GV(Oydz0QZBW?xF?t{3+=#p%f17E$C=`>Smtw6UY5; z@wxIkmo2C})p7snHlYLp77YL3JZp1+iu}~gnB}WAU%jAirsI}*O(^g>7cRiw zKzC;@dIycX+t-vC6Z%+iSp2zXLGab+Qb*JD5eG_Ar%o~vl z!TX^5MVoDkZ8YUeqkB3Yn z#gxkw%xNEW!kNnE82&R%Y*h^yMULq50m-VP24Iml5SKr49m!aXIUG0HQ}cW#kF7HaiLq2 z4rjYF{0e%j<8ySns~iutd$=!)+~$#!=GbEF&+<7D`E_%LJMP{t&mLaq{q1#gM|6>E z+nteLBz4YB>MUj*+1n;3qX4eqb5FC9&q}x~$CNG(G$!C)nhCgvW&%z@+0@uh8JNM2 z_c+p-fKR#_avYv5iktJbr&!sYiEOp<=mxAM>EJE$_zOSuKTLLHTLJsjFs^&l=V_R` z*qgkfyqA!zwS1TAzMqiOrN3uDX2xqx`rF#ZJh82p<56eBleFq#srTcPtySFl`B5v6 z8wIPxjEBW;TvU>2@Q7H6t3dlO(fk{cz-duCll$7^!jFkyyKP-kyt=*iGb(&CslLYU z*R!D~<29uV_OoWN{4QZx+g=AG&<#niOsajH;-62*=FwC3ISg2kYDUtdbyH6{9Y2en z6t~nm)b>(Bw%R_RHtB;h$72f{a{Ghnbg_8D3APWG+kfbTS1~{Bh4*x2FFK2orE!L` zOQR#X2+dVZ=rynN>jidcotgC6wgq-^v?cT!SvqGW+{@Z)-(!;&D7#NAZ?6q#^yLY? zqTTL+S0=UBw%5wx=*FacWqaL8Kbh*dH%mE}o}8m@mDlQ=D00NQBO#kdev!1WibIUg zC8N_l=p5nU*5Hh+IMH@M%5_hH>)ax)hJ=d`Gx>R`z;#|?9c7)c1J^$bTMs0o_L~*?=xhdaHH# zgoG!bNU|1P)+NOmxgudnJ7H5n+0r7D{*rL@PXv8i;9AxtY-D_rHEB8)IX^RDTOD`2 zpDVlLwTv8*u%tb(Ut`)&SIOgXJARXQ;F?w7S|Lx=E!UicD>drM0@u~@blq|--$B-| z3tZO}HSqo&xZW&qU0cNUV#0N}ZJ)ysM7u?-Ot@CH4}UjdN_*6Hddh$)yPlQ|nW2~+_i^e3AY>O$^+5*>IU0lNlC0yI< zySuCDPD3v)>tkh^?tQ#9|B_^!)ynh7rQ6K0$u_edhbLY{e=M&lyv}vW;p(_#N zyEV6_+sf?P8@O?vppp~fY~9hdoP*?J=OaLA(GKk9xHCC~SBu_?Plx=3 z`NV`-t-O$poSPSps_~;%ZY~J#(RC@@j3PHqgfDC#CikE_De~eTRqi==?h>8}aOiM`&g8_-2qya; zi5lGf$w#dmxCh!Gx2_p^1?zTBw^B1Al`uw)Z4|JLXQ{LK`}_DjRXDXQNByxX;{oh6qleNH;F!ynLO9 zzSobm7F;KGu%pbLsRggo0*u@EpJ2${x5y6r$Nv}2f18gV(718f-?yBH%9v8)S00T2 z33ZIq$QWyP1Fd_a#cJt|O8lsm2SCg2Xvqei=pkpUoYXe3k?f;A{u>iT4Z9Y+_a}9= z)MVXrSogT&+7brTcJ@3GhIx>h;ixz zI;@%ZCBrdw>Hk5DLQkou(3SrOF$(XH{|{mmX2SnB5TnW`VB^U)3q*2x1=EvdOD~_z z^c2be-=IoO#%>Ypi?5v%R4HB!0Ktdv3W)~SlRZ9H?0L#mM^Evv@#5n$8oYGyPm$l9 zg_+<=d{^3NaJ|_5AjF+GgHZHkZh0ORB1VIQSctT5QJ#P5zTN|>BSwSk%LUJ$VY$P8N_lS`bUfg*FTp!H4&r1brKC8F&bQ7=Z*pQ z|KCxioGz_olrF@_cOum18uxenY5pRgTf%`b{?zBVs`1 zp23ktZ+5FeEmAo~gX_24DOerLRF2W$`fX{^3YB9txPC`0TcvU*oCIo%+cAjI;JGE_ zd{@fWs2rog^?OpbR^_%smC9|0DwW#~RVtS|9`#aGsoZv`Qn@zvZi*_E+YVJKhoUZ2 zsoZv`Qn~F=rE=S$O69h3G*eWm+`;U#6jds>9ja80(IW*^sa%38burpr@8(YA>`9}+ zbEmQGX*76_(cn6b2G21XT&L0CIYxu)Qu%?PNp6nO;JVUV0-4Qj?i0?JK0>v)xjf7A z9yhpFH@6!$Slw5sscvo(N3)+$)7@My18RU!Ga-c|RwL9LH+Mbf(lC#+ZmyfVpBrGE zSB`OSb942SQt!QlrgXTudF+?rLM?Q2_fq=^p%%HhzjMBf6l#f^`sEHU2 zu9Il+h|%D>)B6jo{eD!b!@(^kWrCxTteAt2-=<&lDj?EY`9`soJ3vdFhS3fpz6R27 zc}IgNvj_!mOD|N)PkHNigvdv|*_bWfZ=j(j;`==Pu1uc#h!ODmJ&$c}j2IHGw|V>b z#B1Y-A>sNH>3~Vm5ft#5w+Ox69QELA|H2!N1{R>Da)UW23<=lYcnlIMKucAlqyR0& z719R8D7Ghn*kZ^5$F3R;0L&9~L5YI-unbbXePL++( zVeEr)pH^>*7!s~`76F?hhJ@=1A+|a$L&8gIx$9(tf%vYpA>n$s-vN8iRIxTAM~ma-i^7XzVB@ww2-qH!cn_1UuThn9K>mz@H%6gAhw zZ2}uYX?;)Vlzku*^h2^c>55ZOv|9gEe%{R*w6xfu9iXMGL5wTWoj$kLvS??v{|f(Q z^hTxpI=H^lZ^mQqyxa^dmnl&bF(h1He8p=91`Ojksv_uRE*SGp_fM|^v60UC(VtT}oaDBTFb0UU>>(xTE$sNFS#Y-R9xd*>9iXLb-!UXy zKQ2|uqA{E;>-=*$lOl$M>nHq6q$3#;uAh|omv>x-gqM!w@^={$UfRHxy9^009rYqC zaTyX`+9*kegqMyLUWSC1j*}!q!b`^s14F_~_mCt*!b|s(BtybWC#fpOubM@f=#;iX4Q@>xnaR(Kf~ zUV4(`GA_LI&eETA=j{FJu}H39GKmW>z2H8|JdAyLA+KFFF1&Q% zFeGhUc z?3#&Sw4vlZ`ksZ=BBA6xc4g9rlK1G(v<)TiF@R}{L)Bwg1IF2glJ}@xjC>nP-lJ|Q z(l(U5M?HC39X$yD$RaF~OBim&tdEBrH88(zW9?fgBgp$W&xIS$tdECf0 z*--L$EYmiWJRV1O8%l1|Hk3Rr3MG#xT!CJ(q2%%2ytlWZi>zI8^bW z*C1&_$>YQSK#|0uijQ~|-!_yyZn0t*N**7{<4GAp@bIsiQ?y#TC=;-1CRmDZ!J&$$ z{ST7zL$WRwyO?gA{HpkrGeJryc|1d^_C+XpJkzS6H%UB;m;JK7b53;P*_CL&4JD7~ z@KRz!$>Y<>ZbQlAqEPav+Z~()(Np}Fb`om|4prQ-o`Ul6g*-1xuyuUVV=&kTn#LD1 z+Xm&vvVv^@cq}WJq2wHV_ntGLXK@d(Lei4ul*Dk@_;T^Lk}%nLX^|xQoM770y(;cx zy3%n!JQ>6lM^i>k{Ie~T!BE%uD%P{1w($xpgCVu?)mFwYuv^C0hzu)_-iS`#aT!V; zue^cs+Tt6gRzgM-SJ;h3t-Hy}^RactH**QtQ1bW|rfukKd@IvU5=tK5M%gx$JieW2 z8%iFpX4;05$9FJ|w=xVRkMI1*>c3|T^-G`JThu3OiuC`oNdJ9B`tL8&UxY&y|Efs; zgGKruO7t_7JYG8+deQzzrs5fZ?axPx`tvcXe@zouAGi89Fukrw{}Wa}LzLquDZ3@h z5aswOtDm9d@zYj6L&@XcCi)pl9{=tm>Mx6bzl{2Gl<^0vzX@A!{4BSu1cxeqZZ>$p zyYV*#-WQ8_UrKlxN*=#_EqQC=KShY*wDarFmRIJ~UuZ)zYhPvBhLXpxaqMj4Jn<+a9dbx@wnyLS@ZoHJWk&xVr6?=s(plE?2+h7Bc;-)BGBQ1W;y(>9bm z{(w9-lsx_`)4)7oD0%$1p3nuIAAVYB!$(%9%#&@LCpMHk{@Ci2dH+8}IzK7W`Ki?@ z9bm{)X~wD0%!X)5&`Hj_D?+ zd^P*cQQtveze%yDu7yOwp^CdH5h*vXad$<2i$fKc2w`!k;y|%i8>5r(9cL8Hgjj}> z$DwM5w0>oAsVZPe#YV{n7mdR8KTbo*%Qwsf)kED5{_;{B;o@?s(k~zPR6H-s0XNPi zbs0(??;^T_h@s?hFX6H{RB>-5H)q(^ctt6{Zyp%>sCQ^fO`a)aX%q~ zXcrvk;$7v%L0QC5^0>cLu{c!m0Chd=$wN5XQAMJf_X^cKuT(SaJ>8ubB3)iP8v+KY zkx2KejEAVVkPsZIcvzxbrh0AC7(PRY>lCl=WlnkP*`Vsx8deVC5z6nAR!(uK%3HZV zjZz<4`C}CEje4GOIxco&m26Qxr^5Fk9w(y|$OS7NFUlbQfH|nVyDCE+^z@$UvV#0# z9IALiVjM%s;~$8mvgmFcJmbBkq|zym=YyK44uXJPSH=6PUsb^i{`50{;>45GqqsCl zD0%!t)g3caLdoL;R5R0$@DhEX;>AWn$>W0*FB%d`9v`f@;Y%oae2C)aE1~3Zv*Jc5 zq2%#o#mz%P$>T#67rum&$A>8{NC_p64_90$5=tKbNT#@ilE*EI8=8cY$44q|SQ1Je zA1#wlLdoM})Sp=QF;1dm)j;&~0EV!}$EjPGX3%QfD#I$FB_Dq2#)k+zkHjaj5t(<3GTmnlKoPDtZA5^{zhe zglZzjQ0V?XH=Y6x)qY?r;82mR+1~mNlbgxyaHz^pN3kBDhU8&0(`9;re<+9)hl)Mr zNQdh4<#xRohw5xF{@YOUa)y%YIz?#}jPS0}4eBF`?uq?ZkCHd-PQ*}hJz9t$Vko&D zqwdEjmPL37ga=CMF%abuL&^16^?MMN_Ql{hMfE%2P*q-DiV2|fUh2VJp^4$+x=Hb+ zpc65CT<@=bhk^nQ)oUOMI8-DGI8^@tk&hTYu1{1!fA;nB=wyA0ssd5Kp(0Vhp&}ce zL;7G_AYtkS94eL+aH#eNQNW=(1w;Xd>Ov3&94Zn894bcm7I3H-TwK7RdI&@Thw3>H z1stk(KooGO+yPt}T#5Q-#gYOJ6^S(w!^idQsvp=2I8^(ADBw^r$hLq(^)QG64%HSA z1stk@yCHl$V)(dzMI8-dYsBzz{ieDZL;;8DMG#-)cBaxV)aM`^m3#eoP+tobsN9WH zK?QEbK#Y*uh1;agx*EcV2f;10~RWlFG%HT6(DaCd!&sZV@M6oy$?vMR;o?^n5woLqU|%h{z(Qs1xguN1-cYDIME=}K*1 z5gj`W>{VRW|Gv(Dr2BZv;f}DQoGy*U<8=o(Wgc>s?O27kPB3i~MRM=3tB8*`?C73{ zVw8W)a_%O!K9?GDEhH3@+)JbcnIn*{;&x(LlR38^G6b@UTZ@PW>#@uv0|V17yQCO_ zZYRn|xQ>C*;2&nQe4oxrTviNGx0Q+)!tE@kOzup!m_RJmi6n_wXKij(Ma7Ak@3Jl!MiAYu1Z0(Zt8CCJL!LG!;zSddEK zj=7_RF3rVLV_jN>+p4XS>`tGgC46tvRZ>k7*3QHPz#~c!6&hPZUU#^2LD*;d=O^At z3?J7Qi04KSF??KKC`4Jr@NvCR2pse&L0{yKfPhRe7&{Tdk|j-BG-m`~3Cr`j1c!<* zoD&-RENbZW$l+fhmrt(Ce~d5P?!AeE?$6_^;#g#q=?v^7a*^K>AXJEe;P!JL-l8*{{tMVH^ElvRFPXQW7V9=GI+3s@&Gs| z-_?0^$h$~ZyoHen^hJJl2(?Xx8H;_cezcz9{w zb2zWzF>Gm?*)7p?R6$Rlq0n=DH!|=hntFah zvyPJ&0i9Ea@a|riFF?($?N? z+ez)7N^N0dOZp`CmW~7!^bo3L$XHSttMM?~me6XP;>+Ug-r4?>i(3;?m@}j_!k7f#&?2ImSNf>Mwzz@j`)s8j55EHQ^+GQnZL_f zOcI#P?{yiI1Sactyoe^)Qup_Ni`;Hsvxs0z-M7BVB*B)t|Mfj2w+CDDCZW?xHqppT zJvNmD%q+oR@+_vAG{It;+4hxOiS`7+JX9!|fv?abSW{+@_~Zt_p2K?|d!@hAqS&^!o*Q1~KFtG?rh6`kxk&r^N_>Yu zb-&T5sp*~@UhYN^P^Rgg8!i>1T+=-_yuzh|O3e#kc%@6*^ZL)|+ivy6Rat`-em_((tM*B-XKJ?CRkIrN(8j%=UL}QA&$`m zYYJ}?qE!>DDZE*TsoFv3g|`SXUH^er-zvmR{Z}m4@HQdl=sQW=F2r1Y1of;IqD@bR z9pN29oU1>j(mRFd(0y6wE+H0bf;EMA3$aKOtSP)lh$XtI6~r%uSf&Zq6y7Vua!s(N zaE;p&8dhk6HHE))--n5-G{Ks}`-JTlO|Yi$ej!%tqe?+MAjI8z8Qb$K>76y2U`^qJ zLafyUYYHC{Vx1;fQ@B=$^_pN!;je|*sJC!@9u{Jg=3CM55g|6~tJnv>5j|Tq!J5KH zrDU5vi*+88k}vdA?1RUJ_}b7tH(ciiycR1%_uTLap#o*-o*OMWzGIY-k|0vWK)3^JvZF!?geU*GIY-k-*V?8bD1)9&kf&}7OhZ*?z!PRV%aKXPB;nF7Po#7-E$4y zbHjI~Y>hH>&kf&`vbD<4JvV$`%GN1E_uO!+dp|mBy)tyq4L=ZSqcU{Q4gcnTg%RF_ zKI5qTU8v2sDLK(W}hTDX4TtoNV@MG!uz?EOW3_o$X zFPFK7?z!Qo!ddAWy61+U36*yZ-E+f#%5G8P8oK9(U)sIHHFVDnzjjBX?e(rXk+ysz zWsR<(dv2&a?lQZ(hVHqcCsdPb=$;#v2sP0)bd(EAJ=t|okJ>A}-66BtHFVDn`v}$I znmo($9yhpF*U&vT>?_n%*U&vT>?hQ8*U&vT93a$8Na2Xp2sOtw*K;ln^SIpSx`yt# zVV&0imNwVWJvXfPxYKsHhVHrHaG@5uhVHrH2%#3ahVHrHNTHUvhVHpxgHX#{L-*Wp zlu*lEvn%^$v`{NtL-*WpjK_Jl$~AP)4I718?Hao0hT}ZWi8ZeI+Xt`bxaWq4d%p)^uQEq?MOT?4yt%08 zYkCrfM|yX`-azxP9UkSK01aiD-iP5aVsxb@SW|ec^j~jHu%_??j~DQ~-A7N6v8&Ny z@N9271k`J~6Nhue-d0Vprf{xYB-=FIbHj6`PKV>sJvTG-WikCPG+o?t!;8IBA;6lx zsMz#HiRnBBhL?K0j0T$Sx#49Zzs$B{iS%iurh9I9xi=7Fp4W8Gg>TLtAZj$-bHimK ztzOeTH|+E-h3Sp@o1q|wkz6r!TLcpnm?bsrkYcxG`!*^u}>a{fY zJ!x*Ere|)r&HEBdtVz=|H~d7}K1tIvH~h?_Ls+w>XKwg~_ZIrQMH8$k{L1@1TG*;j z<+bA*uM@4Fu7`16EB^(Q%+Y7j9N*{SXw&q}4ZHcRu=-rx!S;0bXMpI?^vn%Qe6HC= znx45~AjC3F&)hI0#0pK%+%Obkm8NHIm=$8Rre|'&}gGdC<1Vy&iUZm5M=r|Fp+ z8lUTNy{2bwSSG}?n(k#`51+GSqo!wWST0s?(hi%wvk2I%>6sf=2(i`i=$V_Toq(7cG>L{#-QMx7|O=x3f*w#%QslOw%(rZ1lM&mD}|+*5`Vv z)C6k^$NTrAB(I<0Ca{MPHJV^eVUy3FIjq+NYYHd$1fg!!4##{SF}Fz*tSQ{r&kW{q zj9^XS52a4CCRkIrztm~b*Rk;jNu5@GBNy?({wp;cS$gJ%lcjBQG#}Q7hx!K6+H@J& z4j0iKnm;!f9^vndl11hscG!`AE$$Kn&(JeBJlcPX^VT!;%ngtA*Mchd3_WwhR%ueD zXZ}I^ju$KP*pcX&o2k1Cy&44U^{ja2hEsj6MBCBRiaUB*aYs*2I(lv%GEecj(8}~U z5~oVbDm7nhg)@C#A@jPOvw4nxGL}P)=IhDuG@qL59gm*5nffu%nW5q!_yNAVk|ec0)9S1r@@%nh&bKSFO*YJxR|2*AThJ}>tH%VkQ` zXnN*`SNVL(QjdpNAg=MR1<|Mp))ZbVM3W|1Q+U1q$6g$3dgg{VNMAPNtqF*m{1UXJ zMX!V9;jKQ;t*x4#x#4X>OxN_x4R04>j;3dBxLSxdyPe(X-;H_Lq3M|$-s8W)vDVLU zw^-xPLdi1QaliEcijozYU`^qDLacH;jYXCj-UgvTumIm#@yrb$kp*Y_?$P4Dd$hRk z9!vU;p1I-UQl(6f;cQvwU&WcE*Kqzl;ol@3NzdHyNtu6n$D?O%W+a!tw-@SX8rX7= zp1GM({{u@rdgf*tB}vcR%vj;2XKrSkB6x3^OOo`=%}n?hec;hE zH?xl<>6Du}KqSy9H*=sQ>6Du}NUGgLa}JRtopLjig@I1FnWH4hJvei;B6Dw9A-QzQ&CHY}opLj?q#9p@XXY}u$BC#GWX`FE(wG}`=3MU2 zPTYa7%z50NeaE9yZf3!kATng!Dab5882`(;Yi54VO|zU%xtSGAIz953eUma5JV%*y z%FSHJ%a#*!o6judE+53)clgX=rt4#F zX9Ge#3NSd6QWsvfSTFvLbT}1X-S|~!NOKe5b$iMZUZr0 zKTW$LMT6()18G>95OXy_z_YRXIZE0zLBO*WssTiY?!FU*b}z;JUfbCECY!z! z^|BKr9R%w@WVrQL5D&cc5~{Bt9(d^@NfHmdbg?8aIvAV#F0`pqp=bBNUvDQf0{<#r zW>GKZRNjwrKI<0)l~dus6m!Et$EWAgRr&va!> z5Y`?8n68iSLNj{|I}*|-#j~NWN9|bTH^)5F_Ndzn>6Z9WSl6STJgrV8v9HJ?ZF6D4 zqcAuKSn63%@n)3v7>~|wi%0XU7#{_j;xU{~PR!pmiyNgiG0&~>SfsK zrsu%IeJGp6KafbQ@9{7MSH=f4uoj;J#0Skn4t$e;gs+2{_T$6RE%6~VKZsAjmLE4W zjh{Jtgq)Kp&LikpeCYn{%;}uk@!{uCr1ccP3`vwSqs5Am79PptGN80rT*ZB}iiNP0 zRfAwJe0$?Dgz>bSkgVK@vipz_(+N5jpE7`*cEO4GnjuvQIu_5gDy*kCkD&rO7SCRf zo~(>7q`)~m+H}OcG{&cseNjA`V>FiyUKTf^lj3OeSo~!#W_DmFo?KSS z?8KAH3QgkRd)8C@2`g#IL|ic9AF)d=KMiI$v3Q{_Et0g1<|UFknXYs^>nWZ^-D-G> z(*e+0a24w%GFDg_)>GWdu%6-~!&-JN(|O0Up5lj49z4a%;VfudcVkiOZnE;Mr#P2D zSzLlmEWU;58oM-ZWx6SDSqSH|M2`a1J5Ppqf-e9D8Tcs*_dY(Kq|=;n&p!g_(#^DgttVwrdE zQAVZRPTyxg!E2V==~kv2W9gd@$kQB4-~5&77RR%m;`C03&JS;)PFW)#S)DRZwsD>W z)|QX0PU|UNr1O&^ou68rGESdaoz_!4(P=%!$3s^&JjLk@Yh{0Bby`nxrh{1K!#9*y z7R!A2mg!_Ye8+T?1s#K@_`ML+kDlTO;ATn72zOH=QXZzjQ=I&PmVPM_BCiQL22XMJ zYNI|0-|!TtnGl z&DPB&brVl<>I!t?DNe33eE=>z@D!Ja1=!YjMG??3c#2<1dGr+LZMc=!H<6ckiqo(_ zC!XTtL6qslQ=C;QHScQSDSj}BJcN_I4~Z(?yH@e^T}8%pcP3bsfR4daT-?;@Dc*@p zeGq4(JzUyrNL(u&XP;@oQ=HfL1au6Z;;pD7p5hM_DmPfmoxX{u_;qBdjK`>tk%$sc zaoM7x#8X`6zFe^2DbAitK*!)IzK)gYDLx+V%htFi8P&wN35juur#K~*>BLiBImJ^?ac*d-r#LsP)Ki?3FZC3^ zgz3anT)f5;Pw~Uh*wj;;!Jakqz^%UpR z4teqcPjU7lejEs2@D%4WkyY|UA)cwowOXDiz*C&W8ck0Lc#88dyjCZk;=jasXPrFB zg{L@=%IQ*WQN4fOv3wn>_xW8n~CYqpPKhRMSX?8yWj3un^g zzVr?c2dGzRvv`V!3w$q+4rNb;9rD!7v5#oZmnTNzDb9`w2dlwg{I{Os0y-AfDN4(V zr+C<)ZlLI%R6k1YV)2tC4IoAf5omgfhhx<9T-lnQ;$frW?R>eWr+7G4Z2?hf-%*bf z^>_$}?JFEFW7Xd&wVvYPUTPZ7mYSa8VUyx5o1^I|9`3K^p#XhN;t;h2M49$U9417i zz5oj#JW}0`lDwv;czB{(3!+BTQ#?FHJrAN@6KE_vLx@IAps}z`*zjB&+iSQ$y$QBS z8q2~77fMO9o_ZpP#p+9xwCD?_f>?^5;Nk82Yb@zhBSB2p1R4vM3o%Dmvlp&XlTgy8 zZ((~@i0BSoMgiBVlTorr4`!X~)OjG5X|BESMs+2K6`DX};Z5p35UVsj#lxGWWVN0- z1H>&ttkF$myIpY4*6MdD?GE)1)LEyw6NPJ3-vL}1+;YN))TtmgYDTbxPpRb~HfaKl zg&WkLKy23Z6c1lfSkH=qVl!bmxGYqzpa9!=dg{P|eEFQ#`D5e*vlm@0r=02KPNst;*0- zJlxx@sli|=GlSG&?x~>WC__*2u+?RY+VF;$Et)1&hcet+!>^hvzeK=dIxI?A6=Q5Ww)#h?rtKt_bT)`sF+ce`I zW^nFy@q+C!=5ng0B40+jhU=TJ_^RYRUl4HF2En^5;x@=RTSXo#N|sj;ILMV^&f>0O zRIE-*IEzz7W7AAdU@NHj-u7VR_ThByDuSe0%;mhcxjbDU_d;aUFwIGBOU_4sR`I%v z;;UKd#X=D;%~ibYr{!x|&be-@N;#`m6;cqgiA-Dra&oanJp0)ja$!%@eM8Qv|Bh1% zy1oh(#hBEh@z9P(HjvSWi_5AMlfPf(9CC8yeJ^L1Hc5TI%4;Zs>(z?r($kgNz9I~K zoprdZ|9zeRNOup2=))ahM>$;@i^uCoaLPpED%-INZ=GP;B8ueRVOJ3!Z`jf0RS)HN zvz)t$t-fLZFYj$mGssi+RSbN+iuoYjdkADo)I#Te1k}-c=-A zG|14(*Y?8%(;i6cahEHqC)`~#v8!I!P%#Awe@`WFXWUVOtgIb0|BHlLR218}W9}%S zOLOtmn3GoFwrZ;+yVK2S3Exn5l~j?0wY@O`@Q4ybRy@VS4!1v!QT83v`H7<(J;lQd ztSgJAr+9dw5M`R4;^9Ie5}>j0BKK`b3xfTz69oZ<1p#fT0@hS)Up7kwWR zItUKuA*T&F{43=0b&3Fuh3$gs(`^AtvwV777It_Kfv{(`^Tp>NIkR0L1p!o(TY$#G zS3P_JU-+>Qxw;LV|1Q;SK;sz1Rier1NlvoAmBO)g8yQj zUn992iU$1vi4K3URFHC!!!N4AoLv}G|l%HBaNSH;(@A7UJwTGb_a2m&xKHC z51eQFOTh-9F>=dgtcs;Fc+gCFK|mEj@B)Uf+s}~9il=yZk^cw?&1ak8VtEgZR?<^E zywqQX5&;?um-s)x#WDzJdbgMG6$Gpn1kW&I3%&-wj$T)Nfx`4M);m5!UONyO{dn=q zc>UANc?ThL*M~3`8LuYE>~SnIE7gFbhu~gsM$XEgLBcTc@$TToonGe?DdS>V!QX?f{Vi*r@#ZDA=I}zzx>L#5*zZDH z@TmOquaunV}_ z@tKQ~;iow22QJ!ZG|LM@_U1Xc{6NvJX21j`BnPc_4)EOI>i79zNqZ z$l2e@!7rjaBeEA;U3;aHP=4Gj(X$-om4neitD%1+CHS3d+PgaqKc~oHWJ*1g4?vn9 zwQ|()D57629JztYlcrl8=kzQDIKRL&OWd1pKG?c9-7ae?uO!Le_H@@WSrTwhN<5|| z?YrRf-rPn(^l0|2N3+5c39p|!4%{U{cYJ3&=Jc1Gko$YL{>%=aVsgY0s2+a8IQ!Um=NK*$BihI&q=S3IIk?b+SrC48W2-Zb!N-`kd)KS0Y^*5d*NK=^UhcN8g|~N+w*4bUup7vo|g3 z9}xqvWFN|`iH;`E{`VnWA039lDLMErNH<2r04!;K7wM*m7=R^5x1j}-qPxj+4AU*q zflMFE^z?`rfF-BW? zZko{|VgLqt^pF!r>zUt|Rd;eqIef3AlD~7xhyhsE{uXis128Kuw=9U9~w=>@`T^aG^cII2A^ATTeXTD>)Cg#iSjH5`@$3Mfu z&L|-oW4_$ZU^bzoDdx-Vj4#Bbm@l_8-Gpe4KY#_9?n1Q0m(!9!-2n?*W4_$Zgz8=p z(__Be&P0j^&x!eRJ5wga+?X%7GqGYnwZ(k7ok?D9$9%b+NnUQpe7T*eRKJ3>3uC_A z&h%El1+ggR%k9hn^(X`^i}`XpGfZ*qtcdw?J5wvfs+cdgGj&3&j`?ysQ!m7tm@l_8 zBh;>F>Drhtw=*NfwskRIZf8cRKS0mZj(;PjYgqCg2aE5yGuLG0J$Ggm+Z*vccjiVW zorv$bGdHp2LB#jmncLX#GAy??+(H!)QdUcLME%o6~4q|HFHaX`S|WW6JI-rm-UB)VVojiFaXI~CVhrs=w2tG z-u6)yN%%y_$8hG1%ON2|$}w}PqGB^tsH3IhV|p~~=QwEIFh=0@SX(=V#5Jk5McJa$|eXk!J`^wY+fl{FKs;QIzZf$*qzIUU#sw zz1Cy!51-t$sSYqiXdNzaxsy&my^B0-7XrqpnKEl|ZO;)eQO#17Vq7b_NqU!KLZLFo zJ2}DCNLMsd^L`@tx}VvWo+%2Ez4BXd^c*%pIBZK>Y)ki(rqB?=uBn3)&C{KVEeCHrrA0`91%?BrXsU|xqATHz8C++Jq%~7xO-5O3IRfjLNN?%B9?<2F|Wm|hH7KDsHn5FRsfgI<@aI*i*fNb#JxY z)7b!aowSWArES^?==0obF3mcRt;!~?n#d;MqgMV747OG0CF4TP?MY`ky&hxTGgx=a zWwu8KN=?@NyioTHTX(wC>v5Vji~JiBe!Lcu4{$co46AEaf&XO6pF;lkF17Nv6!_^C zYx$=nwpI7q9qfmb-R*4cw=XOIh*VWR(ROK4yZROya#Yek)t8g=Xj^Y8y5uvK4j`Q# zM;i(QeF`=}t9Ag@5`fm~9Y}t!^OgmDum=qy8{ucGH&FIqjw1HB1ywy4+jiWK41W5| zaa1k64lySdRPCOWHm9WouT&#wr`NfDK_A&iMq6R)7F2CX*srj%oa&Iu#@{9E8y7IQ zB;fg^B;fhSsThsD-Z}YOTy<G^y(Pn#WXPfSQzlD-U<3YTfdDW~g?~e%Hu<0Z z1WnKK^yPm}HBOeNFaN7QA{k_P`trYKx-84nm;VjZm06y?{BN1gXL3}KbsM-_Bc8teP~8n; zdc@Ng-d)k)IT24^ewh$+Bc8teSUrG}wuq-Mze3Ff(Gl_V1(#sFdT=g?c>3}y6#B}Fc*qJLLp1%BAAy!2^eff1ltd4m4g1a)=)#9yu``8ndfJI2{_ZHx2`DOozto zZNfl@#_TVIfeww?d&%H2<~Dl&I!Nf=3+qN=ZlkUDgO-@v=&z3BcgIN) zqiW3!LNrH=sx_-bKuh#Iw3!=)I3{9Lt+`2v)`(HH=4K(LMvSU8w+JykVpOfURfw4p zqiW4g}h*7oXmx2moL2t}`!gfo)~pp`eRLeV>eoVSj2KmG z9u{I##Hd>Hh!C42M%9|%h@PzxqiW5gQnD>#RIPbTO1_8~Rcjs>;%foDG3x}t#j&6_ z<_Vz!3wmRo6spXE-k7Icf_&f?v=~)uo)#eq=#BZU^h(}>-k9GBRbxSK%rjyLeou>0 zwPw9g_&qH~)tcW6XOj}p8?!-VPO_jk<_`iUlYrisXN42LuJtK<^f~Ex{JIvSYR&UP zC7?Iv1<}xIL2t}PDVuIVZ_FQsNQonI~YCDVL@-qX158{A`5zB-g0@%yv%~$n75@xE0loVn0LgoRTlKdY;jK+#Hd;U zy)o}f*%}LaW8RapwHEZoyf087-$+>_z-u^~%HuAx zyDOkK#uKW^70??~BGg1zKyOT`C%cXXy)l*EnUL9RL2pbSp;|2Hjmdl5;94!{jp-{? z0(xWm2{qk<-k1SGC7?H^MyNR!^u`SHI42U&8&fC0Z{KD?Z%n<%owmb*-k9M+C7?HE zgiwnt=#3dER04Wq8iZPAL2t|`p%Ty=Gg_z>7WBr9@i@;`SHQUR!-C$Jqr7XO!GhkHW5npnh*7oXSn0ps5u<9&2_DZgdApCEB4bw* zF{;+g_HKj#3wmSbh`ko{#>|zAWSfBAm~*90hmES$L;p)mf0d?7RIRz#n}H{S*7QZi zrY}lNXPBwE)Z=B;g5H?RM7{;RF-xRRE$EH8+^fMpoEOj=v((!Ygay4Z%S2kefZmu+ z?(S!fm@*+O-i_(ubCy`V8&fV;ZxXy4v$F`WcsHg(h$O03*Zu$lmkB1} zJHfj#!~Gj^Sh0gS(l1&lBmF5DC12o%%qZW^Hb&K&(PD?iyD^PE_auvVW5)ViPZsaS zjQ6>k^U*WhsP_<}CSp{rY4YCyo5i~^6a3NWemJo4@UV}V+Y~XX*6i!^6mIcu%nzlG z#k(>4OC5`MV-Au!tr4SY&B4CGM7DT0X0o)+;@y}-{U1P&#k(B6{s%w(3VX~Pe-*Ac7VpNK z=2LSLRjcb8p;HuRg1wRKPTJz#n4kJT!RBTST3T$-(qe;_B?i%@*>w8cRW06)xx(KD z?H2FGT4Uxyj!ROTgmYm|K0GTU!P1#@r@^#k(=L3t{nY%xWPl-i^7_-++18A$T|D9=`|7 zv3NITjeh`2mdTI%nqT^TP-5|J%zZ+vvQf2q_*v+vOwf*Ri+5ulkp*Y_?$P4Dd$hRk z9!vU;QMKlAsbcYN%sPJsXHv9=bM^`UX6ZMb)zI1RjbDeFQaPpI7u?9R*x43M%C&)B*~~+y_Y1pLF);JVv$Kyt=>nH4utCi zL;|B~^?{P)^DTXlRAW@FK17m?s@0Q)p#dvfA01$y}*_%Bd5`sds<1?onxVA2UCs#ag{JY_PfR$s_oak7l6)eCu{vQRhrBBm|W zjlNheU|GR5yM*a{Rv^t5F>Rr4^kSwh)Q!HB=3A&6eHqic_o;`%CL2|&ucv`NO|YQj znSfoB3GB`DNc3?Mjd&77rnogHl*39JjmTt*Tf=mUTf=mUTf?7$NpWjVM}CT1(}r}4 zTSJ~!3@!eVMIKBLx8`%PjHO95;$oDhxHY`SCb%_RXDMz?1CoIS=@`p&id#eW%IqY} zv3MNQDQ*oPVAN!7G~zEX0x50{$0xw??X3+#0Kbej)KJ zUcM9D8in?!xHY^aq_{O?PjPG5;APoHtk8HK)627)@g2`U1K%lbjp?me-JaI^C_XiE zB1R*cDj|Z1(TJv6o+Xw=j7Btl<LoJFI>oJF zx)6=H$?Cu7IqJ93h(&#}rbz!Ui}c@Dr2qaR{SOrB|5cIx2aEJSl<2q7h%L}d+?s=M z8=v6T6!qt0R{xqN^x@-H{|2Vl73qJ%>bKE|R=bKE|R(}&_cKj^2sX{bj3wXf0@zw(Gi$%OICA>BoaUppTjrbXPC6eyXme)oj(uNeb zhUpZyhGU=N)-WIQ#6}~op*%z*o`U03f?Jd5#^Eg9!g?ug4f9jn8p=poIaPl{W^bRinifiCF$@OA2xHhg4t+Gs@1lN7hc>a@{_MLItz()p>?X`>OXP8*Gw z=(N#@bEy;2h{JlN?flB>6#ZW_o#NI|UW!}8bc$QUbW;JhW-A0rG~z-?OmS;OWQtou z{sL|diF}kqBeGWuxHU8rVv}gZv5+Rwh!0R~5{)PuT#8%69X4=M+?r)zOL1#h1u=3x z70`v7965BAd%wM*p85?UMnw} z?6UwwBl7y*g= z7jDfzS((v@b5YqE*QCgAFL1!Eu|1tcBeJA`Tf>qr+?vr4Akm1|<2E>rM!XFdlr$Rg zBc_vR#IcyAX*41)Hfb~>FB&Os4LAHW8j+iC8jZ+}FpWm!=8@voaN(!Xh+L3qG$I#D zid(}eo<<{bLrbF(xnZTzh@5;bk%?)8u6!0GX@H{H5}G78j+)vMk8`K z(r85XZUMKZ14N2j^B_8_fLp`6#1yxNy;#7l;k{%5w}xB=+!_)E+#23P7jSEMmr%g1 z;bFOeTf^yHz^&n7nz%K0LSKSg!y`?CTf-$P(THZRR%o)gHKv!`)Cq2l=_AiOFk5hF zHC00R5u*`JwLFxtxHTp(&jZRLMkAWO_TfOpXhhS`A3T^l$_r3scJ+B%V{vOtf1i7e z#jP;|{d%xj+!`}P*eq_1874P{Ni?FFNs|S)#tcy9dDtw`h-QJmI|%s=bkiX>{T8>z zoG*9U5{<}?F@x2KVEnhyh=N;V>J+6}+#1uMHc>RA5zQ!h@ojNy%xEDjZjBkEUf{|W z+#1uU{sO|{)|j#CT@V(x#*7p7czA(ZEHhrwr2bBVTVwW8H{fh3xHYCpDLHyF8qw^p z?nQyctucqF--58XHRdoOEN+cCQf)>_UT|y7iRxnz7PrQnqDuO+uNjSq-`yl(acfMQ zu;FU@+~ z+#0h&L|fb%bFEs15{p}7u2TE!i5QJ& zZdcg>Tp91;7SY_H>OriFxD%N*>L?Hvx5hlAZU$j-Ys^#XIS>}N#%xe!n5q`H#=N2? zg0Q$X=1sK(gvG5fAF4+{Slk-(g?bZ&V{vQD*Fpsrx5fnScPLA6YfRSNc_7B$;?|f_ zq4E~D#>DPml+{?=8nd(81ghTR)|i}oIH*R8TVwjVmx5}txHV>=dk3gV7PrO>b=QMx zwzxH>&V3(Li^Z)m4Q_P}#>(Q>n7!TOK~1-~HRdq)W>9l1ZjEVm*`hX!TVtjP)nRdK z%uJW@FN-X0jcIeYfm)_=j7Bu)x;qcTkO8-bleC&!mRvTm>u@?(akf@-he~sQ#azx* zo6BvjnqR2!2NrSOrWx-sgVVK(7i|AvE~jcLvJ~kWE+)Pjsh0O6Kmo~9BtdU#xD9g7 zR+GnylI4{NB)pKtoW)(msMvxGsmNKJDmp#Q_OmzS!k%!BCg*kkj#CP{z6uq^nAD=ZL}e=ladBCdV)FN^yn~!vdEd*~rA<=b zuks~|;Ci(py7Y9Vwy%gjsQ`Nwm-WA|^B?KnpK`b(>?o&8WAS)h0Zy4tTxB~};jI%) zdx9dlci2_L#~XHZdDTPtyDaB!V(W9MA-5YcYW|2M_Yx^VW-p|xxSd$mWX{#6tlU~e zG+6g#CK(nK*d?`)q|ELuJBgwp_?HR(EZ;}764wnkBCDf#AuMAtWpZb-#eCvkok+Sj zt<9~fs5mk6`LvjOSCMehAVVu(+Yb{=`!KD?U9PB}aCgnbu6kWV#S|nAKt*X5cg7th z$jaJ5^S?+qIhDX2b4LkXnv18#9cdMAtF}tAJ2B2%%;B4zu99k!u=a3F06d}ulf|tu z9qzFxvCrnuPaKRGjc6{gE&zgCV=ffJ;?|gjLL|5~<|4Nj1Z08(uoD5%NYb=Lb4Ktj zw3!Iaz^&mu5#JdnbSC%_YUp1ghku1!K86bZ%Zs`A!d>!1IS8GVcuWQu8`rn_qijQVGiBQ+LN?u%GSH7_W2ld>o2; zGG}*jGnsLhRq+-c4IObBD?O*!sbAk_1nh_;XcOUELrL)}5!)oW>ZpFV1jr>} z5*_hFk?3`9oL?6o4F3J)LB7|C5vp&e$^p{Kr+G%j=jVlK71X6F2c#-zTa~+|DrXN} zwI3u5Oj@~iwrzDyLa#wNTRkXg_3GJmcX4b6rzXy>TSg0prSyC|cC}&=*2ZiZ?MfJu z@#Z9>ZKoCUWWahkJ`JtEkMp;eR6IOs%4}&6vRBRlhaIq9I}BLw!hqGiaR^xZ6ijjI z$5CXdi2N_U`NXF~zA5slg2>VxMCvY)BiY)xNaS*6xFWJM5jn9Sa;JJ&*-E9>5gl`R z=nRrxGFw}RUQZi+89@XVIU^t7H2voR5OL7Ih&vAA8eV4ofBq2+kk;@a*}vo!4GXK` zS_=D$4@5-P6p|lP)|WTh)*f0g?K;Zp&)OfSvSd&DnA0D!oRJMI+{)T#C$&2YwZCF* z2@u+v)|Tk8t%)V082Gi7zBn*MhCDl*L*BgIkTXw)9DdxCIPx2+e48qdOjPzQsB8kW zRrz+J(ivSs{nCKsnH(<)Ey>5QPX5_11pCP5cncu+oZ|c`P zpmOhQ;IE6Thj||5*4eO#gZwb<;!Z2=`6pB$rYUL9VA-Lbv>dO^A6&)?PxTwZ3fS&t z-((GGZEwe?fup}5)9T5I_3e<(k6L-*2v~n5tzS*+Im}}G9m&vG+wY+5K;5#Y-$~Q4 znOe*5qUDHLYS@=5?xI=gI9v(g8PC~n9GEAT?dtecKKiQ3sCMmw>utZjgzEgLmAj6F zWyetFwY03OU$5Q1UsuvLuM_<$yU9w<3ihk*rt1scG>whCA?+sV*O5?>@irfCuTZw< zm?!q_;`lUS^kkZF?xK~}zRwHe-W#V^Yu~xnzO~7?pJ(mE$Zlps&O1ZwyE_^81$Nw5 z&2L!3hA*(@u1KaqyPXEhl4;Oxd(*LPIp4PB>G^{{;bOah7O-0eOVch)n>J?ZN%I`F zklPsd?ZGdQS-cRqZA-&Ppe8QOX~S`sjR(*&aabbFuXg-?NRNJtR-W3DG`}8g;YY1( zM`43p_D^N=3lnF?b`xhN8;^>h3I~a#rIR`d;MRN|bJ4loC$-xkSQ$f-vw#SdQ{Xf(8 z4LPK5V#lYtk-ZOvkbTK>P-4#tr1?=R*U+B1c`{fFS{i;_25LW!3l`59oZ==~Yi5f6 zb*O;rR5~Uy8q5=oJsqC{$DYN}*pt=Lu&pDg4j;ABYTGl_wwam2ien75HCb)b$DDEI ztO7ODaem)O|CbJ>(#Wve53`YB-RKjE&pqYx&x!HN0j>=R+XFcsF3z}oN=TS*Bfg3w z!%Biv$VB@Pihgl7q)(8vgoycm$#q6b7#rr=*s!0l+{T8vi^rhCz=_ClyTZiWA7zm{ z=uGn25H;6Ei_spJKkndN%tDtx*x)UBkVzXjCP@YadY8+Xo{u(q%a|JkneXtgB%pP! z4IyJbeweS@P)x7v@E<{236r?XprYN8EM>wt?o`O}0|FPg(~#^|^1q0C(GuCkHsfU?0 zpWwc_kpme%$B!ahyQceprZ0oe`9v;B22CF zU7msHWh!m??k~~c7Pu|ngYjo_M^N6AvX}dq@?NYhOXDAX)!}WQl(&7wn;MT#j0@!x z+HggzRZmJ8AE?GZMURyq#9Fesh_y`q46@B#gO-#}p&YTsBaWbxv5bF)G%xoN-TXpPV#5Q@$TZ!GD(y4rU+wHLQ5HwCx(E&SN|L)eP-4Mw#Ka zD>8FXgUpvaCRKR@iP&;~pv?}<&E)<;xskG$`zQNgfJQ1G%4nfnm3y8xr?X);?nz4y@HqKV4{Q;PB+*0(e_2H{l{P%Gr(-_IgD^+Z*F=Rg7?v)%04Xa%z~Kfzb{1WNdktwfGL9xM@rT1 zIPmKWQc3sHc;$w9xaJ7)wgislIGV7Vj9M=o-LL^iFC2(tmvA_aW#O(kmWTBmu5c|o zGkg+VR~f#5V=mkg=~dxFkgLNUkZZylVdIAvL$={-DBCqGASDRjg-sap%P`%-t59!k z_!4rvXS#nu)7()b5LWIKB;}5I8eNsiJ%&c-W{r^!_?DH;W*1jxx<3LRHaF)07TA>; zC$O*a8HO^H&N#Uf-$qW)84G4+a`P`^M$eNepF-K`dG!1RncS(Ay`D$UotMcipj_7T zq}h-cJ`6eA^Q4)OPor#er%pi)Kjnx;xmy_0>6Cl*{K?7l@!}w>D&+P@6LM#M0(o6t zLOqM4z0PE+*x5{%K`75uv6ZGg(Xok7V}sABLP~j-4eFIKxg~8-a~@im``IK6AP7ci zwT$H+$Zci}7tmy5rfMSog-KySe4Rfs%WRK3DSmfn5w^ zkXyy%Y_5{&mv9Q&TodI>3GHjlUrIl#e}o0oX!2*Vz-0__z2P|v77o4VE~i*^3Y@+H zK|%r9#Ruqt&DEbDRsjpbpsQ0b=xY54jliI*^&>O_gRa((&s} zMTJ3EU(e<$47xgjL1SQ%R-WZhRvigThAm^tRF0;k{P2+ zo65JrMfDyc7l?hX`5Egh5c^!SR77H*YnF*f?6WTyEVBRhM`zcA$rt|>h`M;8c>?snaM2&v%E;ASx(Ji42}qYH#bce|4Y(Xm)K-69!Zhv@9u z=v*W_Q_);>jpf5^fG3a zXP?D!2jw4*mI-t;CjW4Bp;KK%Sn(_b1O+!w885yR$LM0G25F6d==EQ%;Opb0AWnO$6m0LuaA9Fj6){C+*CQ>@ORg^PgBBi6-L|Giy zv&=60`Jj_woXftX0?=zdW)#zaa- z4~X(iOr&)5Yf(1EL`p}$5oL2sq;&M4C|hD8rK5+Wo~<#F($T|`vn?i4I(kHMzKn^K zjvf`|>mvDwqm4j_V(3hf{KL^>qGe5y{KL`XqFGZU|8Vq#(*VoJ6p56Mo)jm&Op%v{ z(QlF7o2_^F7R1N4$;hnph#hoj#~4eh2#{^4komFW zOoX=76v;mvz3nVTft9A%z(M$nv}lbfl7BdQM3B;WMU4{^96vqHQuo@()KJIJm$7Qo2Z_bo8NU zTTF2wd-o&Jw!#bZJ{E18DIzNq{X?`bP4Q&5Xq#vmr%0r9^ojI*)+zFZ*634*CsgYc z$v+%@CYF&?Jb-=nxo8Ea_#DfXkkUmWE20uox=7ea^tH1HZEtakGZ;$=DP1H|Iw~Qh zi{u}UN=WG<`G=ztQo2~r5v!I*72}-ZiL5Cw1Q!FsA;GTl0+nwSL z*kGf2(PlcuaU9LQqRn!OJ-F2Ri#7*dIAV>W&2x(6AC8(`F8BFP@gBBeu)8tQzZXzG(xo1PO%^R zWu$0poFe&$qn%vNvvp2!GrhEmw%#d{e>fWDa!zb;iUb}NJvnINHM<-Gr`iGtM$>Ky^(6up^XbTX1X;{^4kf%lGv3EOUsPI?E(T>6rY((KPqp zc;7S|zsOh)b$@{xY)mw0bhrc^#Y9R+)207<#ph3ga-@skaF&sMbheCLV=MvBb?<_M zmRRDQC-Jt&u;6&dxYK^%i>t=a&e5yI49!2y5{o{O?mbr92@2#VO#X3`y-Um0N+Xnm>{L~48Fy^ z8Uvk;^HbpeZTDwTY|Nj`qQ6K7MDa^)P~H)x5R-p6dRJ<0jLAP7{Z)pbMVtGcG`BS# z!2NccyBEf&EhhhP^r^IcTulDq=yUfGOr(i1`G=z~-2phaPmRex9DU^mLwFH?EP5^a z#{D1U%!SFugwaJgQP#(=b4gZ-vLRl=GS#9y5bwanQzOd8n8cNl z?{Pgo6O*|zvZA~YlSwn`>T#BAiYK!Vx=GZVW8C>T4w-Q41|5ij zE6+~FaR=oej)r(dA?aWa^HK{XK}u`)kMMN1$=VnxaoG5J&Z$;;#M4dJ)6O2(QxsPm zh~qB!m=vcTkjFhcw^E1t#O89zPE(i785BQjrDlJJuW8y zaI}wkcVY?`;AAEvEa+=1~N=DmtRw{G!z&b#T}v(UP^Me+|v?b4*k zEq=lHj*ut{*pX&oul3v>xph37hleE(zVi;6XSs_ zbG^q~nW^zcj?PUUuUp$=@()Khi!v)F|8R7RDDz_S4@c`oS*Y9DZ63F?#WDGZquafD zgtIgz|8SHbrM2Vk@|uyeCMLaPbhjw$GENN^c-@d?=&17S3LG1Re>i$j7M%9o!|A?D zkkZ8L?Wf@b`gUOOdTyEkAE>05(80pyc8p#p>94?y9@tt-RWen5~Ot9 z8Qh;0DP4Caw`VWo%%tzLaALfpAu3Y3ZV6>YO4prFITECF-Ong1Qo3#_WkpKYEu*YR>ADLTz9OaT zE~LEcj%}#0E#uUq`nqcvpvw@-<;(izS$0i%R&Sp7!jg5!+uGyG6X3GD@V54>KPitW ziIncyk0O30LBo4CP*$XL&;FDZDc!UAV2rXNrF#xK2kDBG?m2iVWJOB%Y@tm%eiguf zG?8Z%4&o?-Ql4e5L*CZ>@Sh{0yYRN=NAesiNa_4eTxW`u&bPAlij>apOj(iA`B5}i zq_oP4l+L%^2OC97=l6I7BcMp>{9e30SEO`)3}r=1=l7;hMM~$#Ql5thmm{nyDs3=K zqVN72=uv+BnMe|(bbkNwNKvG8{s78~l+I6J_==RyPo#_o=?~(+{3N<_$lIDf=u(K? zg|{_7+-Wtz|Jm6>HKk0wC93jGWj`L#jP_@@_3%UZO?f#GWoe((SAir z=jZX1ph)TbNiHJw7Dn&}?7ySz+ z=qdhJ>LiwO$lID<{20W_LjGJ{_X(Cbzrd!~C{tay~eN^jzj`GG-{f}w=MBwHh*ZPUT%|D^_6Dgg4QtKyDI{(|Gej=swPiA(^#rP*$XL{!Na(BBk?xVmju@(4$fKt&Q~8o`3r^`jdJ0PEt4L z%)2b7Na_4vnXX9b{Co7FNa_6h>?cJ^=eJT;q;&pov{9sV{_m8hW*qXi=0C8g3w3_< z5$lvT{6p)Md9sc3M3K_@Pqa>%_y3!!^V3wFpJ|;kPM>R?GEVLgM+|HXN%b87xy zqx+WH`IXiw^?yxSk<$5Z=ueT-`EMyF>)|`fZJB=S*>4&1B^(YImv_x-c#LI)yBKjP zH=+45L;Gw@1bMz(ltN6TbUtg?tF7@-=#zYf{G96@tbL@_y~^8N9ZZ_c>#?02x}Mx~b5{I$Glsip7I?tCWXeuKC>?`Q@>9uVc5%>O`$ z$=jOOpRDv8k{^^bhR*`>gALE`Hq)>Dr_fr=tt_0)4>jL(6dtaT!-V_O2t%wG{O@E& zHQ=`f^0wx8HnK(aCvR(hl#EhVPFVR}q%!y)KM#d>H5Dj>p5D!z2SI}a1QRV!g4-hr zj!5bJo|0o@erGqom*hm5e!&80W6W4MXj+#aYwqZew^>O@m>*~E!>OscC;MR^^Bra4 z6Z7NEKA5G=56*Z3n^T$drX5?W}9#lNI z`due?V);2@wO;PT^2dv^Atw7#{shB&=m%o*w&v#=EN%Rz$tPg>d4?Cu&%|3YP)?HR zy(uPdYyM=zi|JP}qkn@y3VosUI+>R=1^mnOk_#foL3q*b^AKEv@U}*Ixv7iE+Zx64 zyaTfZmvm8YQM{N)>8Ou9l*sBmc|o2B*qBJ^s9qlq#6(I*eZ5gbP+$BzR2cR1cw5sL zH(>@w4IVe17JWid^!R4)xR|`HQInWW)LYnQxhce+Oy1T=kkb8#j*j}9h9Y7Xq;zza zw;vSgsc5l0ZOG`Y?b&jtoy`o=_i>^D=42R#zjhE$_#>PrP53A}!wKI-quflt-{DLb z4L0=Fa24)}8l&N6D_z&I`VqqYkkLku6eSyzw>8?y{Fy5|CU0xhYW@zTTl_6^b~Xh3 zNBU%NlvIz07jPZzB4gE%*+F?*qutG&15gurTcb8}2qMhHL`p~dnn#g=zNRw4`~ixM zJt~t$iDL4$M$^nT

7^wnj6IX<}cKw>6q=>Y%j5L`p{|i_#jCw>4TQW_X^6)e)U# z8eujr#@xz8=Sj}QcqZ#vX7)nP)c71M>S%>I5=wjgCUaJqGoZ|h%NfCHQRc6kJo2Q_xjJfur>&%-_*2Ls(jjlID*{qAX5~CX= zXMN127~Lq!hL}j{=oXV7$d$px7~N{xplpn}6Ga=$u~43gx#dLnn|q*ain%XEPnbVJ z*&K5Nik>qCoP)Q-+@+$|%w#BAV{S*$pUqk*+hXoV(MRTaC|?$9>H14Ua;A(alD9Sb zTC}VwUPtFy#~*|dGL76Oqny(pT4ai2m{%=Y!4&sp?+4B(s8or%y|Oi>=% z^>B`Y)@q9HbGFwzS3+wuMe?>rMd#Ph#+f2{Tcd%_W@r;lk-V+ZVCM^HQ}O#8n={-Q zJQ!nTisWsL_Hs^yHp>)`qc+*O2iiPSe1nm-J8aQH{IbRt9WB~oQ{>hf&2ip?#B!9zCwLPn%?bcWL(+8UGT#z|VsT~1D$*mXFayK%PGa)&CV{+6knshY}dt(FHA zc!OD-x21%CGJ$iq(-vmsNa)6?T5`z`{SD@Moj;A#%I_oPSuWf1>>y@w8|0j=rH#6h zrcdrnn$0KfwTY)kOQpG0 zrLvPS-zsHu?@BqB8f0kYu>CM0v~Nn~xXY!=iFM~p>@0`=OooaQJd8j=X%=_J?|YDy z^}U+^!^47-2kw~P_t05$dMW?9RD|2A7D;xe4@)`xWv4TzmMWq>3KIa2D4{gecsTP% zi=E@4=(G8=lNXGLl#b4E_y|24leaZGR}>qcfu!g>QE;J85782*2@dck8+M}dES)I> zR~2Uje}$Ikb6xNPFv{{b<3tA;6G~J)h7|tmNabVbem~}A&?0v;GRmIDp+R_Cqs49p z*L-@-cDDRblU%c%BNVB(%U@y9SQIH2q;x;>wnja?0Z5U@hrG~~iW_0E zNH+g1SXbrQ{iMtZ(q+AAjgwzyHiVYZ5P+2K$Cs$1`Q9l=YY@iP=oIgMDDkcAyanD1 zkU{p$OR0tOlS(#5^qJ^1k4wPnrRGn)S6~LrIj!XcR?A!&Hbg;x4rI?gXP$yb0?CHmLH&92N6}X<7`EtC=$qsY!d>;{ zsly&;{u@a++fE&JD)nl4*T-G8c)`x=N#}w;{v^!5<#5z0DC2)8g+4nqGwP3zsMX$> z`TT1YI9n{QA-b!UF2KUV?RMrd6qXs&HRG}~WVJCgS#96p{eCXL_e)m0XLfm<&08e> zdF;Jf$1V+^(Gv`Plz^K@L7fJU4;>g}BDTiz4mDKiD zKvGx84KsjoJB_S|lbg-u$taHcGkG!bcfK5@6XpZvnA9>eX(1z#7KRy@;f>gh{W)2z zX5OtH$~qb_c_b!;M0;>4TCI11IV@>ys(md<``Dl#ryEpKk_MGjY0wd+293IrFE;&0 z1Lkx#VAnIIAXaPsxj_w2NQN9YIy>R=c{5jvKDz^QbJ1=mBMfWyg)NYqi$?P~A9S9k zNtf4p$_(P5SrTC3)}v+vg5S+>yJhE&VNLs*{gNKpeIrV>f^xA}(yVdAKI8f-ChC5} zUZFZLQ6~dK6D{nl+K;tdqqWQ&@-Ew)Xi1&xN_CcJpU7o0d!NLb&u3x@JNRlxi^g0w znVIYf4(bru9hR0lMko%KX`hW7@-7!lqO}dVkQFD|)FD^)>D1bX{1^_*1q_iBDU z5$WlNx$8g_eJwJ}v%h3z3X2rELXaBX=dD=Kl|*WI{~QYwKzuOWysvJCn5|U8I?A?E z3F|0Fl}cDgxlpNub(9-(L~3~0d7!l9h}7_mD6P3?Fy4~VJZ7UZHAkd|mo@VcVSA294G`9y3T0M~NDUCyjfL5~9FZEH6=i-dA*@@5oQ1iB zuR^-6g2!$xPMiGGtv@QNV(Uymf43 z<&W4&*HO$=PNtjd+1za95wjrQ%+^|rHP zIC%z{tb_l$zIp&I+wx4Qz8U$=5F|i@*H92j`L!{vh>a*;M+aSrjVQmanW963o5g_G zi1ItcfY^xgJ82-iblLn_5Vz-tOPJuwvsc1-8DFRf%d^AjvM(lO#mRG7C!|cpe7bb` z*tK$wh`UU~B|_8vFmU&#cnFJiYrr}#_ju;d9r{n?)n1IeOzj;=HW~La{Fgh%VDKtl zLwZ|o?g@}9TbX>~i!jerI+Rah4cSWmI+#0|er+XRBgoBXo1;p;nUp()a-lL$`%@`5 zR`UJE+ycril^MpgFofKiahs^jIt_JoKMVG)h$VLx?J6H)@x{bxWa=7dbvBb3Y~3|f z&!L*7`ZO&splYc~Jj>}nqADS+pjx0hn8qs!?P#RBsvp!W%3bm}a$BHc z2C=8`z5wE@rZ|9JIXQ8C)Z>2WG;yh{DxTMR-A2c!ncuvqj&TN+KeKit9sda}KrY*hqVj{FIlWX* zrnk@R38Me|1$D5o!@&^DlAgb!d$oXro-4J0M%JeOCMEtR_9-Mm%ujs8QvI}~dYo7a zdAe(sgtgCUg+K1-iY2UYA!|?zoNara&jN!I5AF55XuJ$&xad9x|M9Py3zuoK&Ojtg zH@#n>n_4ONjT!;55*t+{kzkQbsXg?Xj?-JkIE2iX3JJCrxu|3oGVfy|Jeb0VIBj48Hn{Tf7 zliBi{?R@_x@!cRj{-lm~d&38Gm1wgv{oi3LWM#emgnC}8|DjK6a4uKR3%2vTu;lsg zEPT3po+Sg(8%1#SzTH4DO$GvV)^xeUSQa~SELt!&sq?Ci)?CRtCE_F1?>OzLBel-9 zezO_>kyl|Ikhi?yV5&29@=a}co7ypI+TL&x>zSq6tW5DVW=^e1s%-73@>NzTb8M5;@!y=4A!{(;S(tm=){s;=ErdAWiBo6xcJ$4m|py>-K3p*ChlhV z_w>#G{Ywsdm6LqX;Rip{Fa{nzf?L1eyMa2{8H&4_@&Z8@JpqG;Fn4V!S4--u!G?DRwx|=zYMs8 z;Fn4V!SBsbItYHpL+K#+#T@D+_`MU}ItYFrh0;Ordl$?)2!7d_9R$BrItYHLbP)Vf z=^*&!$-RT%m$7Xu5&ZrZ_526HFB_Q<{8B6t{IaH{x zn99+V)IXF`zen)P^b*0Z$jS8*<%;YZaN>RoF(LS6^$EeRh_;mB?P}rDdG8vYAx?XwU%GOi7lvBpwuBIi0_K*1t^qVghRL5SNb5L8nXr2!VO%} z*SP^16u{>bUHb>5Bz(V8 zfSW+>IxdZb?^g_X(U!YI43zJe1_ENOirOji&F`w7ygnBYYgL|Gq4RiuoWy31rbs+% z&HKlrwz7k;i3pyxX6sOj>ruAm@1r69cRZ`VqTi7=3je*D9Ze6ZxltDf9*}8DD$tqSrlddwYP|(%)fTM zD9Ze6ZxuzEf9-9eEEeWp`wLN&`PcqZl%>M_Yi}1tnSbpaqA2sPy;Brr{iECZ3)jR%)jBi|JqkX!?(+cXSJ`1mN5U?*G0pZ%!y~UZ;6&L|Jpx0ZHR2KGXL5w4nJGF zRGEM6+s<;#4}900cvkxtY0(;G{YsT|JqS5=fnnO{tWQJH`36n9I_Nob(T5AJr4zy`PWW!Gu?2GuOgn+9_sc+4a)p$50{{o`PWXD z{!`{(d!)+?oq{m`+62!k%)fT7+W-g3{A=e)yvqD*=gUb_nSbqecvgSl2NL=x3|;W7 z_I&qjJlxY0Dt9bGSLR>4+U4R%@T`9GMTn*%dkK!p{A=HI_s3470lt+E@U0|3IfHL; z$!Do-KlW{*0k%TTV-q~9kS^H-&ss%1t9@5$R_0&(R~Z6j{O_A7S@TByvw_8WH#a+LYk8t+2nDD$uNJT8uf z!u)Hyc;qWq=3iUpodZRge{F(itsD&kpff@iHFo)w%W zye3!XUz^}rtB7Z{37%D$f35FvJu36BwW28VukGq_mMHVD?Iuwx^RG?ttX1=Q;@A$) z>JOTVfde-mjtTRx9pc@Ocyus_d8vgm%+rM;vu%W@vyHze*pU*4GXL6E??5y}nSbrh z9@mpH|Jq$Vu4ZNawWCE*=3m?9eTJ4Q^RL~*`?XBH4EMVP&nnEncC5#1Y-Rqn`$!pO z{ubWXk+&r-*B1{2*lqpr-q_G0fmOiK-DMLM7r>3~)y0r91HyUOFPs?5Lk5|2;)mHF3R>P^5c zk}&_;)iNcN`PW|It!Uy{6VGa|^d>=Rts$O?2+MfNfrmHF2`C<{*e?%{ObJ)G{lN0PoHp4C1oMU?s1 zZuIWpOcLf_`#DZrH>Pguvc-EjF zMa8oQ4U`qn8uX{Ec-El#NN%OMxmd-)pruGxJZms`Ib_AN1}(H{&(s`(|7aqQD9HR< z1*Ia(T*0%3!>>hxGXI7nd5#r4Yq%5Fnc`W)6rMFq;aS5`G*>*U%8F+V+g78f;#tEz z$hNL{)^IQ0o-3X;979?0tYHez8jj^?kok8t!m6P%7KTam%KRISCuOhTS;PIg=oQZz z9za>~tlnnKH@R0w3sCd?Js=DD1KjAc9 zW`NI@*?l#CS3GMtpAA+#Yj`SUW&RBp90<9@{A+s| z7T2q_*zlQgiVO@>c-An%vsPp| z_=0B*msyy?(?yrk)4`b1;qoOoDpoICk@A$nvxaFrYk0|_^wAhz_6~gzR~TNwa*2;M z>VvqxFokCgQ+U=ejb{zlUPFHi!)s?m@X>}P8eW%b-Sz5^c-HU+E&;`}hBs1H{9bqy zWyQ0GH**Cjo;6J2S;G{bHB94K!`nXA`fq=S^-G`Jnd*}bsrv6q)qi)Y{(DmOr|_)d zuNaHsX~PtrHB94K!v{`4y=eb~GkTQz^Wju~KBDz+XhZuS)%u^KyfIb(V_H9Pl3@zZ z8m929VG7S0rtz%dQy;T_8$P>&^%LzFKCkt+Ve1WF;Fgu(S;H4kfDLRnJ=kIUO3L>4 zi7oN0;j35DwlVx8KdvBH(C~G&<dDV{a_E7KLv8otLdP&{k+KKn`Wtl?J5if0W|c-AnDXAM8-j=E6iN1t}I z;U8M3%#&@LCyHkcKhZj6-ly=a;isuOKhrv8oIYn<6LZ9~hG{%&_ysQy3sb{??UgUJ z^DC{Bc-HW1%8F+Vzo9?HvxeVNPS(SBl-n}3#IuGO^DP|q9T&RhN_Z6J->{1jmvR#t zmKoYB^KV!#iZcI(S;Jmc=HIY{XRST@7`Ux86X30{4Xe#_bj$bLLSOboe3TX^^U%tk zn9bA@&l+|$H^Z!!c-F9+6zN+C>kO}ZwQP^1Eb**if@iHFo;A#il`{W^y?~n0ot9^m zTH;y5*!+dDG=_Z)Z(r13ed3Ro?qOe1vcmiu_A}h>mH9VpkRrI>qkI9|I5+Cv zRQm9mzYnik`p|c2cRm+#E%B`3j%Fz2zERj@`0lij>xRuq>HCjfMLeo@|8qH{9Rwq#?||;Q@vlzA*oW6AU-s z{sfSP6Ad>)Vg3y#8EziJ{2Lx*xbTJfH=Jy^AcgrioMO08g!wl-Sf;oz|AtcyH#A}X z4W}7ySi<}p9ww7dn192=&1)=6JZm`J?0|mmPfT6-6LSM)qUgeQ8CGHb4Udpf66W9V zNEr@c{tahH?`CR=XANhX%h6M{#IT0Pm>1Al%KRJ7GQ3L^=HKvG>BWrv+!G#WcrU5U zzu_FQQs&?Acu|!3H$1`c9$J}y!?~sm>p+=*!+C}m%g_^ z-H1TS7=Y0Kb~~BZHN3mXbd#&1!r`?$T#ek^%jk^SBE?LOuW8mc-VV82j$g#7-SJ__ zy)rfY&5X%%t>&rDEYXc#%e`3Ach5MJ_d?+T^N=FoS-bl?5S3=w<~=@l5t?B_G~pRj z6W5Qnw{LyoXYnVcXzwms6Ty zTlDUMnbHi~CNWc*VcRTspb2=^&N&m&)Cyo0Sa*b}G{g2R?@`7~n6X_fk2aLD&Ymr| z^+Gc&o6G?79t{60&2TNjvv#ndH>DZ2!_8s!a82;69bsO|;IE2b@vW3X0MU+fhhV2cKqcp?zMo~5h&9J@2EQguW z4BK1HJy4Wp*lsX?f}%9T_I|U&4tzw(P0&7JCPGn~Vf&o96pGRe+tH~;2_>U6!}e>@vPv^-v(7olOK65|&RGL3Qkr2~Em}cohHc>7 zj=V;t8Md{~6VO_eX4v*{HbZMwnqgb-R5#)pLTQF=(HRJBoYD;2fzEhn6P0Gz4t9=( zHdSec?QrLMXzfZfZ1-~Bg*HoRhV5i$haGXNsx-s4-C>IsD$TGxTC~MVGi>KL(_pz& zX@>1W=VWLrm1fwU;jDoM@N92R(mveLzlvB^pPK9DzaR*71`^U#XXmEwhwL8l`OA> z?3dY`#huwG_y!WBAZKx@qR&f-oWSZReIs3o)ZU!Vomud-BU3rAHT7x4fYjZQ&`6n+ zTyxf7qv*ryP-IVGAx><~dKQU&c#ToYpU-^G^;ABK_7Tg($!Z#L4ajAZX7NH(@BYO+ zvHUeH3z+#oXek+;hmL|0OevyPiTfQo;^Idkez?km>4NK3 zU3AvdDQ#a69s6^Z;j;ekW&Tffzeqpa5x(!Iv&Qsz)xuJy6Ia>yi|{@Tp^ZUSZ|)ts ziuf!=N0(DD~i$#+w(+;GPN}r4!gv;1`aB+M_?za$kJIwma)`u zM(`(Zxu68iFfR-F19hTTWapqn&2XgfUq>pRdDjv=YZtlWkx@1Z2c;Rdi`|o<=r!Bf z@~cpC&32AtkYvQ@Nx72=x^b{q`>;MrONX6<}$E7Fu^*q-7IXhLuV&)Nmv?vRyc*e;ac zZ8hIf3(QqlR%P)HxXl#b#}Qw*M|@6kAR=~)XTs1c?uKKR;u@rv7Y~F@d2vtV zRuq>Zy{z~Gn+ zhQBjYdR%xABFW8T@^S{1$?Z&eC1pH_$uYP+-Jd|~PPyIe<-QH2>Kt74o4s95-%Qo7 zQN--y@@~mi-M}K_<&B-js&5X3vcF5mEp>PlZzjka3$0b((Q2Y7ZNhM>GRg*&{IdL%up^kk!P+mh6Q7cg~lm-h|k0RDzz^7GHEeSZ)z zM)M1j%O9gbI(b&}d<+V#Icq>~d{*-onodddO=mS-$<8>W3vYkjRol)Q1kB{2U3k89 zS8YA3Y5%>D`;#v84DgBWB>bd<>?C1k@CO)3Gp0)}iRDd{pIQ4$l(Q{Arp-$fW?trxLy%c zv%o1vcHuAslrre}qd>9P+J!HB0Wu;XJR~7(+Aaj9NeJCCE-M*2jQtRc*So(%P5669 zj%>|=Eu}ExQW(vAM2-SlZxTjHO~U9?3ZqHj!G$E|_F;kzAKYa{(%w`@Z74-K@H-CN zU%fBB(O@3z80NJd!Ti-r`eYCoy#FD;qXVYf^d_6~wY;=~F31eOnS=Bv?|%|caYwTT z?#F(=)SLX3)^R>>s_|#mUOS}J;7g?rch#CjO$RZe-^lOq?y6rdlBn;5VTHRmiMr{W z987*Ekq}QjVo~DxDs`MW6Xt4kbz=0uqNdt*6uQeBgs#MZ4m#;5fOzjts#(8iHsC=s0L^Oh zxM7hKchLOfft@bt4=iX}L-T?1Y6xk?n}k@lS%`VbEZg+E3CQ0|29citXc|uY{p8`1 zaDdBcnl!$0ng*&2cWczc`9AYH0jzN8JBgme#X7`GueA*CQ(e*-bMHB0qY?%jt5ZxGnXyk zBpv!H+jp0|RCvSxYTS?Ex`Tga?OQ0J19F$k>v{r!nwK6ZCC-F}yXxLW+C%q<2TUXF z(0h{#rVctTV}9lGo&hUl5QgGDc`*)EH%(}OpZjI+1@Kzh__4IHWxF;qP1@Ki<1(Is z`>>~%yH|ap(Pldq=%nGL_AQra*{|&7m2%$T3Ox+hiyf+NQb(Xrg=@E~l4(+9KI78q z&SN+S=ZKpZ|Dn~A7?Xcy?XO`W^_4EZx_dyhc-zzd8_ifCT*FBn)qm%l`_?=P-`+TZ0(4F|U(dlRl- z2Hr!5-#X`gs1AdUX?Y*KG#jqjZyi4Cz_e^?-w$fWx; z;h|~#0VtAl`PveK$c%jRBdw@(I#GitF_?;o9%n>9GjIGs4R1yG`~#!!NJKQDQgWyC z;RIX1Xb^^QnLLz~>Bgt2=0bVM&FMHuK9+HjJlb|vwGEQ_eX*e2O{K1{ z$nvKNSwGB?fyO5_MnN7}!~Q(g3{C?3rN)K8u10RNRDG&c&AElR78*Sd>0G*9D%w!0 zNUC6(R8gC8>13eg^qp-UdR5zgaz_;(u?lJXYlO`}ab!t#R$=mR zDhy2Onlzkm|Oud5XmNb)#hc_vi7*}0hW@BXs!f?+c6k*uI)r+QLR)=8+ z@GdyxaME)&k4B2@!6mNf|DO^n$Si&b@Qo<5aE@25N zm#~DCOISk69aust9aust9aust9aust9aust9aust9azFOh_C}ocny^Q@34e7!dnNH z@LniCj3s0bc3=sqbYKaobYKao{2#D{zd=1uW}NG=!n&0I0cEQ7GYpjl%wK$lf$dFT zg%nG$Lbm+-u)<@IR*|KT8jdMjAR7%++o4G6bEVYp!3vpPf)$E<8(iQs43sOfo1nM^ zR|u@I>}RZAV1;E%MI@}SY?+9J6_)orlTrK&R>TtmRyY9v39PU@&y*UD=@bYOV1nq& zUb{D~_!)-mIywl5=*xB@`m#5R0TF%KPDEe!&egCLEMkRV5e3{=8MQN&;J(UEa9^c@ z`-n`;9U;XC?yGwL3RGP75)K0HtJ-=yMS}aP{{8^O?}7W2>{|k~@{>9FtIO{7I&|mJng=Q!i&|e{nf&u+Y99E!UKtBxz z^wVHK|8gm#U_d_&2K29X-tNW)PI5~>4F>enU_gJJI8ZR4f1M}_2K28NMZtjn4WcL* z(7#a>1q1pwiK1XY|7KAX4Cvn?ih=?C^`a;k(7#m_1q1rGiK1XY{}-Yt7|{QvC<+Gj zZx=YScQxpXQ`Wu|Tp+^-A=-=hsioRAbpntcRDHzbdM-&AE`uB>WU_d_& z2K3WlKtBxz^wVHKKMe--(_lb94F>cdl6n*j=%>Me{v(p3U_k#-QN9L$BSvnc^BkIx zF%iiv{l`Shnuz3<{^O!q6P-lu3Fi;6j7&r@p#P*e>1864Tl&A1UMZNU4`!(UlxU46 z`X@a5B`{z#n0X~IVAK_-OaEE1Y%|dsT0SQ}$C-%amj3h37Sy+&i3kStUl7ZQCL$Qn ze^EMqDx&7>dr7pzO+<1_zXS%12nO^wN!~0Ik=)XMS+qGOBDtmiifHppL@=QLnrQP) zL~=|2bt6KRX{Fvc)EP8W$P<7RO*0TxuecTl#N1J7Zm~G!emo z{$Hd;YfMCPOTPpLj0gtw-*pDy8OeGRk=)WRfdM0e0sZ$R?*S9N%DfU7Fe167ztwpH zo%M`~NN(x>O|(rWBDtmify2#uGy069@}X#3Otg@_`;ll{O_XQe$D(aB5wbG=KScY| z;M3L6wuzQ;B9dGBpGePVorv#j`JXyG!C5ET#?kytEF&j6fPMD4Xay%CxustM14fGu zhE@UtMu(z%{I8uBw7tcNW-yi#7%)1KZ7+cVBa&PCB`{z_a!bDi28`-CVkIzOL@=Nq zxg+6oq67X-Xt8Khov6UP5*RQdxustM14bmb^h;pCs7D2~5*RQdxustM14h?yE;YMc z?(?1K9=2hy`%h>KorvU?ev8YUcCizY+|nN++IddIPlWhGMO*4bB)9a3iFT0_ea#jP z7j2~zk=)WBA=+vu>c@T=DcTw*8qS{B$>ls-=R}+7rB$@`PBfbHbCml8MrDH&kt@<4 z?e2=6c)*EB7U}Qi?gwqF(}U!e{vPhf{a~7a0c)DxK)4mzw{fgpid)j9{uK8@p8p6r z_YZMXXPGn@(4Xf10`V#s&_C2Y95pBy&_7&)RxqHS1_Sy>y3eBKf`9@2G#JpI>mCUQ z3I_D&NxTXM^ykY-Qo(@!c3{AoftMrBitJh(YXuDGpYIMtmul!s)1jxqfc^z8PooM3 z^e+_u3I_Bql0H>1pugPx8)krl0sR&3cTf}z=%>Me{wnu8gsxyff3?fSk$?efns-7p z71_}^*3LuvHvdicOUxq;@U3)!X)vI_#pPv{f&u-v-Bu_H2K3WlKtBxz^xu`56%6SA zRfa&pfc|^ZTm=LA+g!dU-X>r`|5ItZf&u-{-MN_53I_DQblnIq|H{P?zQ81wId0ZR{2K2jleG#>S0sS(s8H$1d{WKWRPlEydG#JoNg8}_C z7|>6H0sS->&`*N_ec$7HR4|}#MNu%I-__$RQ81w2O`=vXpq~Z<`rCm4YX-U4a4NDD zIMyk-r9Z?w5_3WabC{P}C}}XDKf=@5Mlhg1QsPiBpq~Z<`a64EPYMR~ck#HI6%6Q) z7Dd5;ew%kK%oGgh@8NwRQ!m5)E)53s$9nDn-c=ok747dMWfTnP?<-{#4Ctr9fc^pA zCOi~VFrYt4+NNMY{~)gd-V_YzPZ8G&2J{c{eB>;RmT-5R<~8=hv~?qrTl$B2OE_=c zh+sf}y7yCP-P~wAcdK@3QshQoFuv`qQ{yOFknr~zo5t#P?06M zSQ&Nsp>w@|u{Rh~T?1N?4k!%<^j9VU@r`1CmB(FG!GQiH-d*So1q1q*djH1MD9C+4 z8Vu-P;r$++t6)I?O7Ai#3I_DA5=Fs){x#n7d5-nvJSU~Wfd2K~>sSH`2J~<8c-^XC zK>ub@6b$I!B8q|m{q>?K7|_4X<94QCK>v1cGsn7$@%LNQrGvZ!EB4EIpRuKsXtl3#?2?negB_hFqHM@ub z!GJZRMI;!oW_J+@2CUiR3Hm(|^Sfqm5eWvY8803P2CUgnM1lcp_LpJ=1J+Cskzl}@ zNn$`SV9lW-5)4>#n1}=e)=U>$f&puel2n2LYmSjSR)PU*j+0b^0c+-nNHAc{@luSh z>(xkSHXb6NSfUhb*5mzAO!{tQeePf6wMV3sIr0qgA^Dr*kdmGM!|r= zUc5b5Fkmo-vVsAFy*V06ZW(ld0e45ffB`>&kVLOwz+n6!obUw<80^o7GYSR_QeePf z0>f7@U@(z#0tO5w(Vc(+gM-Gvje-G#DW}jS$t{CJmf)yhz+kGnAs8^2#>)(wiJ9G- z^R2gZQAL(TE3!M|C}6Cj|or^LR>7Fko;J%@qt7q`-hd3Je$|V8Ggk5M;scWmsIW6@F~^ z3`xO&es56}4CwbUbgW=NzY`3|kOd4FEM#N)M8WCTvK2i41ZPSs1PmCYz<|M`+hBs8 z;(w)1VkrRw28&OE*ryPjE2zRYoQi@akDzXaLEGjTJCpa9yf(*Q-B*0fQU31QZMy+(=o$Pr*%;+l1UQNPz)^ z6c{i_fdPXw7%;f)=WNfy;P&OLpCGT`&QzalNY#H=s{XrE_1}}KKLrL1QeeO!1qKY# zV8Gyk9;g@fKgbVSYkxkR>d!~C{ta!2>rt)$Im#PT^*^Td6J!>oz<|LM+$P#{B)1Hn z)GdSLmcef+&&xO@w+x>8IqSE z?e7y?f&qh9_oi)Q@W=aTOCVeDy4vz(lOP2K3{qgg;7yLbf&qgx7%+H?RL*_cgSQ6& z2c-Sa*stYE+(1qKY#V8Gym$F$Cmu3??h zhJR?CGEcT~o+ubF_(bcJd7lCU2A`(t{7mbVaY}&!gESa0_+kX=>N7R?*T)_0{7UPT z`oE^EV8GxT`cp7q@Ga$JJ$y&GEmKc0V30Al!cpIGfot~0MW%oOgDyr~3K%dbGqhJQ zU{EfKf&qi9VXrC}Ferfm>yJJLZYzakvab!Q%_(%t2TOr3d!m8?gAy39o?yVBtC<9| zdV&FiZc?OgA*eIF?$xqAlCrPkX-kj>0|t4qQZQi9%g9X@&L2FZ)DsLC#O7kS>Dw6e zF}!_IfAxt!Ub+W;MNu$dkOl(=4N^qGfI)vV4&@7Q&W$>z(wo=(y?NEro4!lC^B~Ce zgXY5Zj^k@X1`Gxpp5JYzo?yVB#T<$E9u#+JNba#?l20I(sqPmmZG8iSJq+r0H1O}`p7%KWG~5UU3>Zu@+&lyf7#w7{ z@C6JQOg3DQ0tO7G7%mh60|p1n6c;dHFx7BF6EI*f&2YmKFko<)Og;ev28WxoF?Iq5 z45pixDH9AB{KOo9#tIlPXqRCXFkogCk`)1PmC=klxMI6AT#4G<%?{>j?%7 zjxh_+Spp2)9LzGjOB66*aIEyAf&qi$4DTh^$!nCs9I;X`U~s%B3I+^LFuaFWFkmp( z+=c5M1p@~23@?@y3>c)rfWgUz7t;g-9*4RG2N<5rOB%re>emqjpXcvhj~}CIh1}B5 z%T1l&^ZZzzcVM>QlFsifisJM9KJrjP@p*nho(CvC&#%{q1A@=<`+6VX5r^XQ{C*y9 zYZRa7H+bB56rbl8y-#4K_&mQ!%oLyJH_J_7g3t2>pI1+Op5Nd6fiVkK&p*ri28z7v z?Jt(64f?LPf41Cdci{8<0j8nw|ANn}CqB;~Z0N04$SwWh=I1CO_&k4vc>-I5;`98G zq9{Jk-^r}x%C2g~zT~%>tDq=8&)?bH3`OyI{wS$l@p*m;pI1jhpmoOI-L&_EbK>*- zHp8bJiqG@+HS>_6_&k4tIUkDR^Zd!8C_c}hX0Ahy;`96&=58p8&+})SXP_uP&p%ld z#pn48#SG6AF@^lI%+AM&g_&onQb1@Xf=lR#0UqVrQo_~YnC_c}> zQ541J`L~!CV5ayy|5oz}6vgNH8w`JMQGA|%znKX|@p=9eg6UIyp8uSA899p2^ItPv zb|~TV{6Cxhp(sAj|HzyH<;$p+&HmD?gOV{3$u0e_Ma!D#x|z_j&PL=}e1h|o6Ec3z zc@0`*qA|>?7Oh|+l3V(L^8xZ2O+<1_zt%BDjFO4YU=2N-?$BCIL~={N-WduR#Mo zFXkle&0S7To7iQHR?*1S4H;UrEJdP&TJIyfdnbYSzM}U zSSgVcSRJMBZHYyUIh{MR;OQ8qa$aldLx=&XXCk4IGAFs_?1j6ErFh>rau%Wzr$_cH&d zx^r+5b4U2TpUxW7<8=@$Wjb+{eZL5AoeR<+;>Q z>M0~NJ^_(?iR2*hO`38$QPX73eNr;z)*`N9S`Jwz;_e;hBJE98X7^3F*nr`On4T3` zKDDgK_F^F}D{e$uM|vSlVm2$~&ZOCV;@&&)G_O>eTU9DM33Ej$n|oKvxzr#-D~Iie z2|?XaD#u+eRZgrsXJTi$&Y_|N5AT&caA*9!2U%I)tNA}XG@_t%40p`$d+4k=Jv9hY zlp@?#wMeo%U0urIFFTz%y{RJF?zl?9BT6WOt}FP9o!+>N(r5E$CodQgpXZ;WuK)-> z&p%fb#pn6wi4tY%iO=(wIB&umzJVq~O=lHZ#!|x>!C#@}`5f?hyeHys#))2$twV{L zlaRuH9jSZ_T~BdQxQZey)Ed|=G!UK(p>^D+ojC2{-3BJ(IGS5OR;CGJ_ zO0S+MJ%7G85NU$gEBL2)CqNODp1;657qX)C{DtzvilX%V(>yK&Md|rJ^_Iab%EYvm z(^zlj%HW}9`orC;9G}JTm92nSD-@Uh67N?~1f}OMli%;qdZP6F3%o0lQ%{thf04(h zi4|FfUiKmm6kv1vLJ*PE9syRcwp zt{joH_SPo6>MMAp^>W|Bw|Rv}@izH<5Z{g-^i?}7o6J+?S~!RPFx}NGkc2HrxPl3z#l5#`0}_M~Xb-u<_Ev3#hoepa zl%kaI3=-xX1r*n%Ns)U|WHNoMmMea5)#mR`Z~0YLgZmDF@o#X%zBwYX*!11$91^~j zEAwnAf>D^scbOsU+F^Ms_w?Ca)JZ44WM6D3EjJ~}ITYvLP_%G^HV}>nNCWTcXx{*7 z-`(`*t$Gsq@3+JMJ*uO1LxI(Jkb~~6LemDk&fFI@7u_}BeCnH3e+Uh0DASnsL<#;4 zJ!Zu82DYQjT$Xt=d3X9^OiliowS2ZW;G=ekC#h3Z+A`KtrzMC7TXg34 zT6EU;TC_-uzknFG(cR+DFb|}KY|+wGK*I{``w6r!z01v9{+YD{1UWW|nV4&=_+VCy zm*)q3-VTF9H0N-vFV2|E)as5G#An0pn^`_x*64fcf0=&w{MQ%6OH4A{ZAt_*$|92x za(LUnL2k+x!Kt$!n&$RDiiMmmQ?Ri)mt*U48|fw?q?t%jIr>IZR3@53C@K>TMZ71@ zmg{@JrT4@)(dHM}N4(CLUA-&B-x}1?rRPO3=y>nd$4F*994d3d>sR(UIrgf^>sR(q z#*?WcuV2|$&q2&q@z=7luPNIq^7@s1LpiDlnYhl^(*_1a$_}l{mMYi3Z|xOaM2U?oQ4n0vuo^Z&M8GX9iUZ>Y z$_Oed;D*a6>ZmwwxI4JvGBY@%4DPt&s58vC{qK8DRi#0kZ@&NepFhu&s&no=_uO;O zUFuf#skgv1IQ*_(Zrr57a~*!yFE=5~e23rl%WY}?7CDO?e%CMe5OWroB@R)Ua@&|^ zf?4M9yMDO^<{Qvw^@O`sivHDj0u3xhiLh>i%W-EncKzXzs zZEE46z*PL>UC|R+mf!V@wr5HUj)dhP#6S}v=P)JjhO;QefbzTzRM3h6<#`)9#9Q&Z zetEZu00YYN?h*mM&z*O-Oox#u+q~c$$XXgjzLsPJt!v(X6G@FC7g>!W|2tB1zeX`X ziu^T_8b$s#$OEFt{ZDFM0QWi`gGB+hf}=)-yLI*nU>FVJ?iUsAbe)d$TxR0Eavc4r zaCfEk3`V506BW4^TlZm8U^op(0W%zQ#JLhj_fm_`&Z5p6XuW%xWkW&2`N9QrxiI<8 zJSw=tVg&`x9(0R)r8OEwi=8*oJ?Sc zZxW`#d4X2nEX*wD6O0%47GdT(x0AV5nEB2)*0WidMNS=@?cOHLdCoVi^mbvEIGtGL z4q+~I{!K&g6lR69i>~^uFqb$r^u(SxX;0BK7QX?toFQ9~JJL%`%Tk&OYZU`rvV4G%DQPCNB{f z8Wrw7p|2EZRJi-3a0!hHcb}3NaXg=5RJi-JDDk7h-Dkur#TpgvJ}VraR{etma=)l> zcfY7`_YWdjqfz1RcF~EaRg4OEUyvwtKPudPQ6%x~ic#V2OX7GuyJA$h`?7F;RJgle zRJglC^6(^!QQ_{Z!ue6*?rXx~xfY|s-9HKEM}@m@3Wuj$j0$&m3Fk+JyML2-bv*N8 zRJgm_VstQ`c`+*7eaEW6_`owSMuofYN{iNMRJgleRJi-T)zJ&1!rlF%!rc!gZ>vUy zyZc3jyL&9IgwJbKxcjkiJ2WcX{nX-$yHlgW-Oq&Etx@6b=fdsLsBrfS;r41&xcjAW z`!p)t-7B1-QQ_`a;(0$R-2Gaj&=VRJ?tUYZ1sWCZek)wDMup?uprNocWl2=H`)^%4 zEQtztzqd-!_DYQkcYlz)DodimUDIZ{L6$^?yS8vOmPCcS&4e3jNmRJIUsSkTV0VMg z(Ha%*b`Wm7Muoe@_Gsv=wcf zM}<4(d<>Nr?TMpCg}ZOrpJVk>19xQ`xXU+CuHd_EKHrTx?Z-mpJ2qqX5)OYOcKxVu z=j9qOepI-_PsF(IOU)^#7cV3qNEcK((%cWFxm8YAUcvX;A7jC+arlWC_iJf;tuu}a zzLgO1(N2=f>ppu2{5{^;3*Wlm*;~=VdS?c&9Y5Gh(CP-KoYxyO@)&aFI%m_INQ9GP zk@F4vJtxA)Jm)z}(BW>bgorP39?k>fM}<55M2zc4g**I2jO#~*JN!h9>qmt<{6viF zM}<55M2zc4g*(ex#*Yej4&^1uj|z8oVGr)Mh;TkW?@T)hOhT9!9f@yDMmS1#IAiF8 ze6f0`W3btNRJb#r%zjbfP6eZk0YQbM9~JKQh>U|h+L^r~SresKL??>4zfVL*o6L>A zVn@Q+#4%MB84d5`J2Id8M>wAf9KK2I4vcI^PO_bki!>DlgXel$=d7Wi4Qf9n!9UJdQg*zKLiAP4BNwH@) zGN(@3HrL?~Ozv@!AE9lL!`lRRtf*e%#K??`M7rYACsE<<36Tzk7`7S}?w%xZ;C@uN zJ0Ze&20tp?t(PYGQQ_|XQQ=N^KFtQi9F7_l?oNqtCaR;SWjlIWwxb(-N6#;YVbdd= zXbEQknHkcu0;jS!m{}2CA&Z^G9L;kh$74FA90nq~XULN@KPud*`~r2#5|9^VKzJ^B zjS6=!jqu{G23?+Q(B;_%t?~_GXoO_E1;m(OdGAi6TNk~S8I}=2fQQ^*1No7>H zbDG?N^7j>Irlc||+?gdLqr#opQjEbH&U~iECZkx?IrmR6TfX7toX7Rqh%dpxIiC^Y zepI;A$RHD&g6*QtnjC18sBq^hE}9Y*?yMte#ELQcYMo^lLL@$hZoGh(EhEll-qEOV z`4E`1yd231`3{()QQ`6#Fh`@pVi|KKDm>Yn;n%T&==(*Ua*9_9Ty_&W8A};G1L^ z72X^9Etv5k2;chH@4*)&hj&4#IPad4BZeZyi1RJaCf0y{DM*f;L6wXOPmVhWNBylNIbPLpF;1SqZ3beUnO(&3RwORU zi?V25RDzWUqOr+o{OP6zuap~Ef)B446`q`)52p!!U2>)rWmI@_mR7;2@Z@Y>z9lL= zIfv2v1@Q~0a4s(iOXRCl$$1oC5$_A_$@y&XsyIu#-s$!9`_!%y71MZ!d#K3%|cl;?X1$KzVs$)q-ZB8DbQRCsa`8`H5MdF~pvg1_S= z&!;U$+$7z|u8PJVqo)=#1RS2?DR2@?NmO`p2}AEX7AG&@zAs+G-dg^QC}K=@@**Zj z7UCZ=h!@B4UWUObD0KHbWOY-$D~Gu$8%s&QlrA-lUTn-g~!LB z=45tMc=C1zDx&`1UcmarCwFK0WJ^~4_hi+7Z&v;HW!0}y;adOwY-hdJuTkMze|A)O zaw~%)QUAj>0vFYvk7oJvF|B_~4eWkg>)%d#TUPx~X#I>fPHI$moSz;^YE*cfQQ=9A z3Xd}?Joyam@}t6&&oUshVrIvAZBSC9!gbXCmG&g$D;3GN*!KnTJGm5QM};SMF|e~^ zee#`-*gmPB-t+6`jCh~rqFT=fOi#pR+-X#}E~g*SPsKWB_K>bp-)L00`X)OnJozbu zQc>sUSF%oN!kU8?@34FG9G@QzC>Kc!;hr>`S26z8jT818WKUs5@AlyMUIc@T6zH#>xwl-OMFObVjf~{f$VkAxS?f zT%H9ayPLee`%&S^N^=y-bdDx_%124GaBsC-qr#JY%u6UzknAVlDv_x0WPekZD2WPB z4v=1o$^|RAUsQN@@VsB(u@jE4mZCf{qPjf$C$j>NK|-ogvpDBM1?0u znq2TDDm*#L;<^uGcM1?0On9q`CRCw}K^9cA{qQaB) z(ybB|o}8$kjmbiNFj}xtaf^hBI6JXAxEDylJ2>TZ!`yRAB3yVX^$A5;Mo(=2gfkcD9}h zX0pkM+LZG$uIcV{^HDIB&RA>!+%tu#a(+c-k%-}WB8HILXub@wS_f0Xa4(dc(aw~~ zU{;zRBWJv`43pZu+>Dm+Nd%+9-PQ7`nFgmBEm$MWT&E+wu-5Dcu|*EQ+TyMg)k~ZN z6~g1+&{3 zNaj!GzrpNr_{A#sZ{`pT;=N9bX<$A#&j6!Q;qE^3H((5n3U|L3E~-)CZq(w?_oKqy znDqj<0*wlH9pQ>KD%@>p?M7Zoqr%m7a&p9*jfupsE zD^!p=lc^l3n#yIZhzAr{$}EoCAYnZdICh(4A@*CQa;OF>p8}oY{Clqr(%YKl5EAu(lv+}vCED}jlsN*>baSq7N zMYFi~(;IRT_a#50Ks`-0X7^VuBxN{pmK|7xw@xr^ zAysni&{@RC8``@=wL7n6K35Yht|43loQ-ji6 zsoW4;o7YLJZ&ciOyy-*lGD%@Rey$Wr4QB%5_%JQPLr3FU> ze}$Ikb2-3zix`IdX3XbxIgnx;d5hB^!GB*0KT9K3qQc$9b|W%!XXBtz;qDUq7BISJ zTPi=)_iA|kct@q|m6oaaOuA%>`MO3P)eh`G{vsG0h3_bNx?P~~0-QlrA%<&oRLIDEG0 zu9V;J(0WFNyT6VwcwC~w-Af|;H7hSl({rE1Auq~edC>=$Kpdj3YbIi6@(34=1LIlE z^)1ps|CXriM|e@@#|qNl;<9Kyy&dudgHE>`3HBM@CkR$Fzf92)rSk0h`MHn*a{3j1 znCt*y4P$aQghelyi0I)zj6)J4ZjFl14v_g(DD$eyj5jKx4EDp{TXHmvS1BWK(Yt94 z0H4#Rur2R!lo1*n(9rL4%BAmT@@H%!@v4m;&$_DV_ekMiS|Y!H)N(c5CG6YhYPIlB zTKLYz7z%$z2{uuNPqAUsNyaV+M2!0oB^xy_hW2<3_J<4-J~5$n%^bp-4CC$l{UBlc zzeAC>k3}Jb?1u5cky6?c!~?Iyty`pQI8r1uIFh;)WDspkTEc`Qo@mjCV~G}yq@}ft z7LHtU6Xh5!9J!PvqJ>|?NdW)x=^&8No#c1n5Cji1RY9h6zU8l90y3TR57ubJ7zmv6 z9m^=lbk6sr6=XW+2hs{Mo%17U1)0wIiL`=D=OFSNjDk$(n8GN?bdDvAf=uT`gi(;` zoE%{kWI88T7zLTmiJE*$p&-*a&CPqkD9Ch9+@!&C;|v7ONeDAP&OqRtmgWPQ2L~Tsnl||G(n9h_-Q1NzD!eoeLx7iIjKn|SrH^1)Mguo% z&lH!#;dBsUWe6{Q3Z)cYnhJb)X%69*j0TS0A_598Edug&r@LjiGsHcw-7?7f@X}LB zUX1C~yzN4g8Y6r)NsSS{gQUg?i-spl;o~VXjWk?%3(U#=0S7-^_*Ie`F8mhA1Hy&> zH=xtAB52LYIM|bL2#}{2fzFFI;us=NuLE;1l9h19^H4=n#4OYpe|+^0Wg5A@Vet5P6yv{1?d6EE6J6vtQD2#ux*6n!geK7s%68 z5F$^L36ZDCgvirmLgZ;OA@Vet5P6zRh&)XuM4l!SB2SYEk*CRo$kSv(`md0uDOnRDPg7@Yh&-K-`kIiZ zDTyZ`j4=lCG#!s8AwKdnIX}kuXUNmcYY362$u%KQlfzRIA9USltydYwL;`vGZ`fXi$kV5wh7ft0Mjsq`nj4jaBTr9(f)II{ z_J+vQ>!9u6$kW@!^c^%kK%TCT2N%c{*`}}XO=r|6kf(VW4Uwm*KSZ9UPebJC4%jmm z#~EV`N32X5AO&mky=`^(I6?|8=fxCPI zuS9VmPcMTRA@VdonwpRRi~oo`&6-2xX?8(~Jk92Y$kVAdm`wrlG}|5`Pu~b8M4oPe zwuQ*k&q#kT#u&)ck0U2Uo_+y2A@Z~=m=eMUm(b483Pt%TrBTsWa zg~-#K%^~tMnGkuJ`{NLK`d>2i3~v1oK%UO&#-8<&r&%UMo@SYYBTv7EM<*fjG}{&; zPsgAwM4qPV5P3QYCPbb-89%NzAx}TVaT_8}-vzD-d74f7CFJRis5visD~=)ZG-skZ zdRn%lr)4|3!FTlhVt8YEgcB`9o@UEJJ6w8}S#feJvL=BgSZPk(^gL*(fSJS;jW^7J3!+z@$s zEtn8_noLccF~&fi{s}+o9UOT&iqSSc&KP4LPxC5XA7_j)kf+In$kSv(bpm?efMa#?;i7g z_Xvyu@-&Nt$kUAP4UwnUi6edFX^y{QjWKq6ai;mm(`=HDJiP~+eB@~%8Ds4B7gkf-_S zWFL8&>vMoS&E+{np56<_rXZ20hvMubPjk`qk*7%-%_YXzUG@}pGRD}wfS0ZR*T~Z} zKR}))J*co071jjE(=^bg2|3O+sv^7OcTSjiaUWEYYF@-*oHd75;9 zJe`5A0D1Z}qzA~;vq1;Q)0C+PzzR+jkp~})G5%C6W3G=p-H6-(d79T)A91RH?|*4}lDjr&UcYW>L!%xXnQ1 zEVGL^-inwBkFqF!J;2dNp56h{eHgvI8-$py$kWGRW%rS%rKloLYZbRmK^3!kiSv=C z|ABrAkf(V`2#}{K9w1N4iWg&yamxjy*Ti?2RJF+`qzovl#hX%;aKf;_zoBJdPXfs75!0`$kR_!hgh(d z;LVOtY3U`ca~4KWYvF9R{i&8)qh`B{r`L9=@F=x$kRg*2InJBXZiCnt$#}m z+W)xLzn%29toonO`UTPWe~&!<66*)@^y#dh5vVO+(E4kz^tODF%ak8u-14OnkRkH) zHKFWlS+cMDvWziq`Nr9l1@iPKlx2Ku%Qsb4#?&t&PqXh2iadQY^#OVMly-ri-t+6` zn0cS&0_16?9}Ib#ehQGMN&ky&=d7~>dY!4E*5o{qYRJlz>vLLCp^X`NF4_oM^lY3d7*r%4CM)1+%c`q3 zhQ;t?QEbK(cc)0~h2@-!z(fIQ719w1M1K?{(lxnKpz z(;R#O^7Q?rA6o#MCzv^KRVm{ffjqs8G$R{;YV+Zzxs~zZr#Vk8=TzL1b^be2o9{RUlRm%1F2(}%eHzK7GMZz59tHYPDvZWP z_XS->Iv#r!JJ0+S=|pS=%I15d^JAxDCCTqfx*&ED7P|ayqzhwjpA0%px;QrVB+%ug zOJaYh23$GDOe* z8e$=OHpN2pY_1+5dNx}Zpl82S44VV=>~FyY=-KRTABk~kgii~y(X$IX{ZDbyK+mSO z5IuV}N(AWHY+8t(O(sOoewwROfS&ydm=HbtFJMCSY*zn^=-J~-pxj5#=93yDzHu`A zmN6TU5u#@|f(g;H$%N?HSAz-Bvu_6zqGxXd6QXC63DL7DhG(J?)YE9b3MNF)W=@En z{TY}LJv&y)rzt*qb}5(;J)2C3o;?6eh@MT=A$m4LmP7RHIbcHc?B!rW^z56!gy`AK z3DL93Y>C&}H%a(6gt33DL7xfeF#GUk4MSXA@0! zPn>bmK+hfpCPdF>&|iq2y$OsFqGywfhUnQ(f@?z0-U+TCM9(Hy9HM7`4lWgFi@3@Lsi!lQ zBUMv5S&L-G=0z`L7KdGsa03%KT$^N}cMDTFo&uH6f=(Ta{*b_7`HctoE0}3Odt(+? zJ&sw0#};E`dLf1`$mYOp%0|H?5~LtUaZpjWAdv%DZOXnsuR&@D4(FyUXp(?n4p>c< zO9H+kg@hDo4sy*|h=n4EI=&dG9XPNxi`$DLZe4=>+nLX?o|RutA(147nzvJkb3k@q zn#KLAK2#8KU-C;z9{)>{lF@Vs3;rxl+NMzSWE=oLj$;Q-Gp$^VKX~P{D9Jf^P|2ox zg*tfUS5XCLuBvFNr-|CXAPjtyWjMiqxy*l6w*wU&Bp{gGS#+=(vwLnV#ARS{h8cYA;pUlR~5-Y;x3AEVNp?k^nD$O za*+|$5X}QELvnB^F4QoxGS1(`)(L{eIORoOmSYhMae{G4(mJxI#Bt1KrCg&lo4+3v z`I_bhrMYNjW&38X4zjt5Whs{$#L;rtznfs%mY^KhyR32|-82%L%KdC`>@gXoFjyBPi z^_PaFez=s6qg{YiBpTpolL>LO$u!|;?}9dbHxp};LNxa)gVJ0?FYdG zINF^3Xr+&%y&gFMjy8Xr%Zt+V+*fb_xVRjjV#015TGH#S%XnoJNbN&9Tl@i2Ahi!E z5n3R%+mz570;%1mCtcd|Yuu8y=_ll8BnJvPr#m;BCqTgZXd+v{tI17g& zKL6JPe+?)K4@Pr7=15un9>Xct3Hvdtzced$M?cVmdSd~L#zsvAeK_evtixo`HM|ok zh!Nt%8uB8lEjC=PJugFxba0VjQ)Ab6sPRZ9=h++lXl|E7cV8e0p_8e8^BxhZ{{V|W z{s7zu+sl1*meKVg3f&~kP>b}*lyCKaFYC%}*u21ukhqXC}OAJxU#gxrb6++OB?whH4W6q0E z`B9xV4VMM^GuNLGs^1u>FK<6q3UHr-Sa{X9(bqTL=(d)hGcYWA;}+qDg%{2SS0U zFBz`Z+vr#Rnd>j;5m;Z~_vN0(a#7gU@5|kd<$N|^we$OOXJa{k-mu#Hvt~zQ`Mu~7 ztI*GXzES(SgWtE?8nv&Be12O&Q{CS2|>DRxvv0@Y**fVD{r0ms87WbID$gp~e0(=WfGR(G!{UnX*q!}_ z$C{vh&Kji8+Zi@};#R>uR49p-VXp(-{ak8#Kf-CzsrEouzKqpCr^WjbofdGVbo~br zofdGVxaz}*P7An_PvEVOB3u;g)o`V_dXJwUxbowOPLsfupZGjn$*1tvrxBed(Q-bB zw?6aPL^+@1Tc7)^x^fq+%(D~wx$=9z{=k(#MfP(g-a~*hnp~NCfGeBr?@HDnUTcq6 zK|puUrPuBgul;kVdaY|X0C)BZymp`OHMCj=;O~5|q1EEW`+Xj*7B4;EdufaB)dzik zb7T4T8q~Pe_tJ*O@-5^a^7(cCfc(ABuWFP5^QgGVUcIxiViL#GW4;r1HBep~1i z9Y9a|{D#F@Lv-(AU7U<=SFz0Pk$e0G9|aHc&s^WDH?9E{>5RiAae1~6!q#8n7v>>MGLYOEoxG96)l<)**;j?|3qlf zXaNk?v=$eBxYgJHZ_gCq%UZVbVU;X${{k^{WUi+)>m94%{px)^I8kf&} zoz91;sS87oOu`OQ7r}g)&fJ5^n%7!pC--3Au&IXxlXU%;ZNyhLS8_H&mYl!aT)5eYVN-Gb+SYY_tKW!k?50Lg|3BnaILriX z#6Nvy7~0$wGxA2#|;*w^T9AjJxM_1?yEaqC8($E8g6%A4d(B6~G1 zWwKY^5Clai>&cQ zp0Rs~$Y`V2r~zur`M$`5zQ~KdNUhQ9RbOPOFY*^(#^^O}plS(3e)L7&5)p2i zjoxqjGE00lZ1MYk{+7iU0#U{j;iGJF);15_-C#^Wnj?t z6aB8o=33^^B>Qzp$mUuG^JIT0U}(r_p5hM$3=PJqSX1qZhw5d&oOpQFG@r-Nkj?vP z!eet@UZ0Qb1{*^X9ThDnGM+WvXA|WF$FpYmY(e=LO3n1y;);tWgPrXUo2`p=-#*7~ zi3VYwRXjfx=Xv&fqPjL~_9y&-!;!VzA7>bK*v$tV{MYRTx~bUyqQ`-5T0SUnQ}F?A z>SUjWc_eOauw@21rLZu0hbQ`i07ls>>s6~145 zH}&+rrf$-^rM->1A)%X&+Rse^M>pL>FMnV?RH1I_1LyP4T>rvhftx;8AI&!#Hcuw7qUyp+hL<%h}OzwvntHkbL|TESrZhS~e9 z_aM&qz+h}!+MM=B&Wc7YotDzL1T}JlSYdJd$$Ot36K5G#cZ*Srau~T&Lx22JnnjRLnM)vA8jTN$)?rCu|jYYPxVn8uW>}B!cti5_0Hv4!C)7zqb_Uh*w zrI()plV@-9d%1!Luhu||zje#~z;Flrr51x{`Rt;{yxE4e%CB%;V~=U`Va^pkzaAA# zJKeB;V;zazGjE!Dom8sMSp*CD*QN%SHmI27cjzkIvGR^~vhS#Mi;Z69p<3oaDS?*{ zS!N0vI^D91)r^Xh==)iLcTwmgzYu~Eae_+k>XydbHfHVQ(X^K8#P5-3WaqyAjv0?+4v&3(YCA?&_@iPxSSh>&FZZ zhC-33;_%m9ouv=MM(b=S_Q!jz&W29@Y^c$lNN3+F41e9>`8;-vat$ewY0Zs7x1%|a z6V{lYS+Xm%B(c9GNx$%DUA$U`mdM)GYJW@e53nTQ14|BRvZUiwSn{L!Xeaf_+R!Jp zL*Ns+&->8~o>j*Au<=Ke>nJaM@_Y{+%)z*Nybh6OzS;hsF)Eg@&E0e8{cR>Uv=0<& zZO!zA$@s^xwryc;hiC!6HtmpY60^mvNsmc}^`vlIGQ^apOf^Lwraf(PzTs9x=FbPv zk7vF9{L%5jG#MWShRyoBFJy&F#lrG-YT8Om9b&Fue}vxREcNvsqt03Cn_E*}(@9G6 z39;CRrQ-rq?_BG9%$tfQtrv=Fx@zh?%%=4%heUeLTuiC61S1aC zR4l_z(^}|TGt20+aw=;%rnyxcg?_=7g zDPp}o6`LD)z3L1~T)PwUJ$&Uyt6fmO63Y9_c)K=G&d+38*HN5}9Z6V=n$*DG@+muTcRwug*V2{%rlfsXQ-3~jFt61I4h@E};CQ~$@nK6Mob;LndfQow zaPmy>5WPUl7C!Nd2q!kSA%GBxo)4cztY+PDtLT3X(H@5!YgRQ9N=_G{Y{V0`-@2cf zhhEG~{TQN6+FFS=M9M~!G7!ih%R@#xYP$Z#Xk4L?OgqqK#+A#GtQO_5X+gH}>o8Ljv=$bA26 zkWA0_zXmDv?a+#^L6XL2mZ8+{3exI|R>nrs6Luf)t@(Ax*so|#YknOvwn9ka!NgVy zNj#W%JF&461C@(!<5UXT*g6V~^GSW%X#j&p_ zlg}j}6{});Yqq-5u&=`@!C5sV$9JG z-2#W^J`GvG!gjht@GZOw8wx0KT@C33oTsGK%WmeXy^f63>NMWmgyeWzMzLC?vhT+z&u+_iwmZk)7n?%wCxkh1_+s~EL3s=bL#oOfc z(sOcp`2#tdq^FxTt*kBA97p=%;Rw=F^LLqhQbobEp3e@^?Z^`2Uqv=G+<}aHn0W_{ER)LW-^Ge!@~dnw zgvB&x(ra^(^Eae^66BQJ(^PQk@6j&vM`Y!p6>6r7TRLCw^hTIzc0g7t%zluiQzK`< zrUm9q!*~?DS%d81p@>wVBIld}%S2={vQH01L`&Jf=0VHSmaJqMvMvp>J8}s&%4QEl z_Jw!ABj!eA-5F$;P@&;XVd+(G!}CwkhNqEDY!h`%SMJFUx%%s~4C58%?h11ZJB{`h z9&+@*kc4x8vfYlE3GpL8fIHa!5~ks|Zj6gIpXOrGOFxe8wA&)9c>`3M1xKy{UCvM6 z6b##r3amdvK|w7oIrKJ?nU(PT00^Co|4I1o_($X!hmJt;f>B4DshMXZV{w>Saq5`4 z(wOe2p1MF=g<88Hzxl6F0JS!EezDdx{8W%x2s^i+z-##5NyUH7sPO7~!osSFavHElLr$Il;=`ijX3k$37l2gq(ISqM4@{V{;PD6R^Ei62e z*WJRxVY5V}cA=b(UM8o^rE)qZUrr-B%4uX*IgRQgr@DvabnJ~hb?DRnD4zP(4&$lc zB%G|RrD)@xH`&IbNxTIsESk&>X<^aSGbvUy?P58dwn0t}56Efyi*lOrj+|!xQ%*;R5n<5)S(TPUabSIOzD2jsNi&vIJyxtz|nk7l{X7IM0vlbkN(=Bcn~`Di)) zYLc8*oGqt|ub0!Mx5?@9$8hRBssSC^yxpc27=g8<HI4TK(~6%q|ujgY8Di zKJ=0tZ~@z=7cSGk09kSYYWEP9z0O?>9DdkN4eAH%2m(I{ z`OALbBsZF$hgL#E93AXy;0>GaNg;n(18;kc=6T}De!Oq0zWJ zc+3ci(!;NXjFTumV)PumgA~dS6}2jVBx&5B*FmE6s25az#HZ*tj$qY4l4ID{KZ-Qu zKZ8W+=^E|Ea#SynYk4_{M4MfSDKuy^25f1mJqF71q9@`g2A&S0Bs?JJ zY$QaPaCr5c5!7tm3ni;4#seg-!l`EP9T*O!V=bO2z2vAXkztO^N`rX=EjOQCr!qcltWMtwGcOs;2bZlv*~`` zzm!nB9E|E`vDGMDXz@;%_cXq0gGL-@)Bn81DPhfWFsf^CO)6b0&+K^HBL$=`qk12% zw51xTu#s7EV5>AA2v10jL~_2vGGLRgE;|Dyt`TLtYdWyR(3{Uh{y>|zQnCd-uw_Ty zMd!}4c$1|KI_i7&@-uP=KJ+`%&zZdGDq#;BLmFuPS#R)r5YKX>Grm~_Eq1aET`7XNRCU)`l zQkF}%O3_J1^-t4~HO}Vf=5n63J`ZWeAvBi*oGZLmIHU)A?|CM2y+6>(A<#NjFLsPafq>4F>T;$H(Kc}#)Om3vhy2*`nS%uvDmi3Z*?Xun+Mn_DX zbf!^yq_ppMEX^@!6qfb99!z0bKe_cTtCCyqvi@@GT{b{&y~_s4J#g9Ka_e1IBe&jV zN64*r*-*LlE;~|gy~~Elt#?_i+;f*@9V7SLWh3OCyKJP~bC->ld+xG2 z-gDz;4mivk-iBRLhvTqiNQioM_DnFf)2A5TvGRNzqWnD~)%9GY=Q0!DI>GVi0;EQ5 zZ>9C;4tQ?0)5bpkVyjaxv{OKP!8`_9L3_PRt!GOh9)APw^e(e_QqW%Sa$yv-*So@E z1q#~hU1^Pjg5o#_h_^DV0Hv%;m!%s-&ndrl0gG&9|q_q=dbW+oX0_Xm-zF*EBZxm|SD znwjC)_IWQ@AECZu%uGJ*d{HDvn;C-kdM}CN$HQulzL$kN+00B}8(xtb>dnl(l-wbC z4Q7U)w)I{WZkCyOmfUN?%{4Ow?e+d7+954|Q7gkY zM!c^r?h6uDW-oj58<8xqG9&4;Z-pziGTT}1U$R=HtPDRS?EPEU4l8pa4D`OYHlgj6 zR%SA7`9boktjrl~yD4k=AS;t(+il@$tjq+C!Dhk@wKAR9V~#}f)S?_~FR(X5=V&YQ zHOET_;l^8;V&)ag&y4j}=1?r9UMJzESeaV(W@q6VtV~-@wNl|`K?{2R8mW&&6ReEm03W)^bqbsD|0t%?+e^4htjzap zQE%Z^S(&%!vp&MDu`*rgm%hTSvogKuiGDW6*#;}KlUk~T+iYbHM+q<}=;9Czk4qJf+tnkL#R}=+T znQ?a3Rc4&6R~dr#dMDWT9?GlCOSI)g`(@OipuOJ7Vzh$xdK1Kdh4G8(AU4V7J%obx zdef!vQgJbOj{OD{C}^)YSL{{LUT?l!Bo(yRJ5S0iF|1S2i>dT=uoIv2!Ex9GB#ibh zvU_z5Oka_0`U>B4!l-(`ws{#<&|dFi(XXJr-X-Ew1?}}Nv-ftUwFK?;F1K^Lpvw3c z?6Os&O+kCT)%HJNx`Ot4Yh=@0YglK%#i{bcVGTY*gX6HdNZ9MWW&czh7`Q9jz+Jw9 zS3=C&ZI4AqD`>Cxj$I8#L3_P-#Q_C^m*l-COmV!B!|#2mSwVZf52OnewAcGknya9_ z-d?*|SN<-%l!NqZ-GIc$QNg$N0<2pK+UxDJ-!0?s!h1QkzO(xr#@~er+6zP#er-rW zdp$EU5IG9k>qR1*9E$|B*UO1`uv$TTz1&D|Fbdl1HH&a_x zBOE0P+Uw3DrfjcAWI6_jZpV5> zvL;Heh)xt4ZG9p-+6da~^%Xl5wAZVWXNCE3nNR&AoKFhc>kW)>HY;eacbG5=+UwOs zZh@GB_IiUO)8YQAxWV-<8?@IuD#CjY1?}~YmNE+3>t&>jg7$hNq>1(Mji}8V85x3s ztf0MKowQ9sd%fc#XQ3Vi?e)frY6b1}#zoFW&Wg-(I_!kV!tNNhcIHspb5i6Ij$1pk ziP!lFk)`1B?F=7?d-c+!0z30h&c}&jMKM;S2COWp?(^YQyrPWbu+xxGbJ^24ShYqNK0q%VfL8gzNKL6>J6w8}SVdJbw@9pS2~puOG|5k8ev z&|dG#$e;VdAh{1%BSRt;f0xs9ZKT)X?CT3HFxNy52cw|9-nGIgXs>sDbpm?efMa# z?;i7gN6=pHaVespz23G+Jx5Y}3&-pek(uI1g7$h(%J?fbtm)WAqlD$xqnVV9iU-lvINDre+DrTieuZDMnbW)O@BYXm9G=J@A%*_NLC``mCV6 zsq?u!<2l(B>TY}rj7>pBQMat6HTq@f^&=f6CiUH7tj`eod9wjNd@gqUBJth zg7&5^q1gNd%Km8R?yyVrKAQah@q?Z@P-Dj|$>xxBI z3f$`z38TQhUPp;yQ{Y~&lRQ@>a4$_3;NJ8iHpnSRpBJBF7L(-+=xCW7Ix2Na0g^m2aSUg15{7cp4@eA6;D z6FLZGYSJ_g1Of0(uiUQMF8vfv9!qU}4LW_GQ$4OxJ`>HD*6 zcp%G$2Ynmz=!dOUs26^Cq#SquebRw%AI=0 z#|v0&`YE+x6GnXcX|;hc0O@CZ8@i*`^s|r9hD3V%`qK?#Ds5;>Juj#YH5|?_a#_QV zDAz+G{Zb8NAph!!>4x!}Q2w}u}FW5<3Ry_({D2!;0l+hGD$P zdZjI2YP~Xk_Hz6v&~N%Htyktn7SM0{>#Tae(R!utzSVk(FOdF+U+K%Jz`yB2Q*P9-9P+9(=w9eZcQD_e&Q!YCOwTb4-Ug{noqV0V1xR-m zM!|p6T})mw5^=UOU1G9`g8!yd=2|etsDKOo2r?zScPQcRr-XvR>V7`xK^1eLz%x^z zM;4^h<|+7*aTgfD*iCChwO)(T*#N-l?k4vN31d(_3ZyH|+o&L#?q!~W1uUmBP|#aj z05K$|`a}DDth}9AmC*9warLC5TIq3n?dr`RnrU!~AQO|G`NDnfTCvSVsYtF+3s;=D}2pw%cPMQ#w>EWh>kzDsMFa5`uyl~YGoCJD=$%{`NkuB3BOl=#}ZwCKu7V798JoCKsE!4>@m-Gbdtv*7ckLdW^}5TlYK4j5Rr#>i)&D z$D5o2qlp`n9&d6XtUHP8*9j&Uxw;bE7Nk#-fmz4LP3e=(=UJA3!08EQA$(p(K;ZPL z=5?eA2%N4r+0Avc*e?@J_E?=A2R+GTx72;WdMBIoura6^XU-IJ1DHWaGzWc}`4>2A z80nqq26IAB^uvp%B4dV0FDf8#dZx+yR0RZ1&k`vG1WwNuMgf7-r<=S#S3uzO9CPcT z_(49-S5?w;O>V>$5I8+ghWw8B-hRkA)8s~-fWS1Qb>|q?o-?^sY7NRLmyMQy>3Y={ z!nk3d=HaUAwU--40n_z5$g>oTm?ZA~iiA-xU9Y1&@BqLopT`%=vjzpz^*ZT;2LaRd zI!7kp)y^t5rw^v<&AOMKCV;M2 zYF71v&7>1vW27F8z_EEt3T~eCT^&s#<3bS=5;k+Ivv1%5bpVq1zkOdwZQK( zJq3%S=li&MvW-EnK*H;8Qsb~|;gXcs+ceNj0o3*S$m<-2HoUJe3aIP#GuNUg6;Ri! zGB<%yKwYoD`CBjwsOt?dS-k@4dIP1uN{pi~b1(=@uiAXBFKYT2>!Vj=@+pb}>UtUT zU1TVrt~biu2Sx#Py)nWlpssg<*$O?cfV$pfgrU&iFJnL8O*aRCQ9xbqOkosI*IOiF z3aINfn!_QcfV$p=lB0mS-b!;CauiV4yWCt3Mgeub)#eRg6j0Y&Ba8y-dTY(!Ax8mq zy>+5m0d>7=&DW8mfV$pw=EqUy`ElffvUu6MtADHsLR^`0>Q1V#aMz2{A%KSLF{2zhUqM}Sd4UGHskAs7YJ z^*%8l2cv+x-q+?AVD@ENW1;iDGg}To|CpJ#CxZJ)xTu-gh=tF~vw9*gVGid~>BX#C za0O;&DDxcQip@+0dpWPAH34}kGxIXm39q$vCb&v7a~^AGYpnoRWoAB-5pO*TuExxq zk6G<`)?46e%}mRd;3}-|z>PLDE3iCyJ*;+!U>%R&|Jb&H*0JE~&CEsQj*-GTV%PCf#mwVu`9uxAS9N8l{a7(yg$@Lbgdzi{WtEpV&N_arlkIdo_ z4iege9>HPUBnz>wOy#HzRMvn#Y#dbZSC|s{DJCz<8H~^XW^q;I@RhIMnQhNSPF7L2Nm5MByudPqU_t~-y?MdM|M*dG`-1G4slJDJ57Aq83~7x=6Khf z4AzViZp%<82dN`C#+wRh$dI33!h8<in#$TrxK-49e-Zc9O{e6J zUy_uJrbAdz>Io`CVc2~o6>*+vl@fw=@G9S;Bq!lPC7Ws!>flx8qVxz(T~*OkPZPC& zK^S;6%W#JOa+&|C?(?aKi^G9>nrf8BlSQ@9KvISiC)|NWc=rXFZ>W;Xh)yUzMbX~n zMG*M~$Qr?gMay%NA+-t#hw-NkE-R9Q#E}%`%A%tFn427ka+ML)5IviT6u2i87wSQ> zGP>`?-UEVPV|eC8H-gEFUdBS4R$P;`j_ir>6tk(5iD{0-SFNmU-%Jy! zqMXZEmU5{gSRcN2^%4$5)C%PJ?*O(U_XTvJ#1y)-`1z(w*v4YW?D&wtQxO`w5` z=YblU%4H9Yw}K*EVYP_AI<-K8ID$VSHRY6$MSIW29u6XFMATi29T&bbm*R1blsQ^l zHki`e>0@TM!p2CSi0V#2#0}U>3U~J zI0Y0-*E=WjEyN0p5tNn-SP65b+h7It!6G?wBb)mj$YJkbV;=REM>=8CDper`qCI9Ql(w!xeDoh|-MnQxOW5xVui55X3r zglk0Wr%3js16vb6x3Zs*#Lul9NYa`<4R2gDXCti#a5vQ>PO>#ob$iB7p}>1*;l+o1 z)@Pl(5Ha+*d;{0!i)_`YGw@KX&}2wF-(l0J!RqldkosqfsYW$Yc|eo;KyP&e^S-ed zd&>9RG^CVvs8sdvvyl%79+c$^a3u_}^s^pWgskr*$b~P(X^f`muKsv7vJR6hz9=UJ zd}Dq)4_QMci!aY<7Q;Ko7}eWY*=)(<8+H5Toj^l(iXnW>PHi~qRHp8d)aoZ@f$k-@ z(qB=6^F}X_WYJ)8(VeG3DkV~dH4tFS4-~CF5-#pjB2TY-QiumAcm-al{)o-469p4O z1&q*w9ZmfJQv=|kp`PzP1tNp~MF#c~JxsalpS+C;>Y>c?sBMv>zChE0wy5vl5Sv~IHG z^Nl-oT4Ad|fZgit2YUj{DpuS$wB4JL?l>m5aOi zo})}6b*J>|kfWJDk-hj1v!y%f7Guaa92+w@mibC#lR3taX${D^fH{0iGCRj&L&s4G zU!2U=HhB(m9%h^QE@f7k>Sq@q=LiW~IXx`YYR*u_KVrHK;@=vCy9x zbl8v=**}jnnJ<4fRfH+cW#w#>ao|UVS)|Y|)?7W2wKSTHg66BDT2=&x{EAs`QtBe+ zkjToeE~e@`%{QUzmiu^&NF86)Z7-LcgCH z=1ad<$C&ky$*}sx`(^nZ`z3v}%^Zx2=M4wR$KE54!;5cc=0*A9?eTIPCn?9vF=AS? z;YpisVqyan=W&f3`R-&S(d;VN9!cbUau##=zTo(0aOiX-Olx1|9ED^10k0ti2rP*$ zl#L{oVwyMqJJyu?zP)Vgh#)w@DoBLLgj>d*Tu0?OO#onQwk))4}wVwuhH0k4FGhlPyV@Z#RorCe)cMR#Vu@y`|iS+TYO;~CAo=kdN z>}~2fmGt;n8|s-z`h?gt%1_Vo`B7Jh~dg_@?dV(?J0eH9XIW(GY zCQ9$h_0%|FJezs`Y^3Hz`3~dI)6tIDx7KpX@qqMg7FM43nEo7>IKHl^S=9^9MB+bX zHt_95KXp0W8`BtEzRakpL#~Alu@|Y}oiMSwD_Rx%KkR)8U{%L;_IvlD%LuXRNh~(l zV)p`)5EyR=Ft%|(ki{z!Bv^>2#TEif?+I{QJF&n?|MqW?oTh2xB4Ime7KH8AUBVM2 zZqwF~v}raAoF+{hOD1XBGzh0@o4@|Qb7tl)(p&D+VkGorbKhNN=FXitbM|xQ`@G62 z(or?Ajo$S=ZZ`Kl>h2;sLuV+0a@{>tZ58_;Q%I!5nAEg-R|)mt0(GB4B_->?;=;NU zKr1;l;r%7 zpMTSSPG{);dg^`*^P2OrK?s%NG*z=V(ntM($AZE(<=u^fhWJgsd)JLvNjVcu3geX1 zx8bW>apwqqIR$m9o9o_-n{9NHLOh-B2kzQPJ^wRfm)-nb^J!KV1S$Gceg^?bU>&kx z1#*_~TPY&cc_d+n#wt+$et=s}JAM6zvKpLrQe6re<@pG#>!jyT@;IEQyVN83Rr$ZQ z2yvRrseHazjMG9oHSWgxzGVr~5#y`qz^%nun`z8Cabv?m%*ie7)C7f(O7`hzXf?3m zFY8eBX5yzPqEtTlZ+`Msda{bnDacff&SGll(^R$2zB%ayEQ4ZW^J(14`6Xt@*zzf~ zr*^n_A1N7x+~MNh@2@6o!aH0%K#Mv@;hEcGIc!3^KxWJ~`)ifLe`eI#+vW)KCcX zqMXtxxL-@>*X5kDa9&5}%W}S$kMl?9yf`qOe(pzA7W<4q#VzQSv4`HCZGP(8OYnA0Z68MaR5FB;&+C#X^rsj(;~UR#q1qnR9Idt2bRoR+ zOJNlH=3j83m()AAFTgS>nv6ELn;7xW;4HY~6~NJNPlpE#{tkTb%hiFv@8Rm5ciwq& z`?XlPKf}e65B;nbBG(KITku0Wf#sTTdRJ)sA7O<56sPOAKMvUWXPiB`qY(FIV^BZ* z;U_-yrALqq0_X2Mx#PcZo3@UpxA5PSJ8M91D(R{Gd-CDmLmA_rg0reHeE9p}ME*fK zG|CH9Np@d6rHMv@Z0&d={64G<<45ZHb5DdnzZ=(Y1_=Zy(`7hPfa{*%JNTglli}Owx;IGL z4oa6v(1MO%NY%SA7g0z2ltPXT!#PP zQC$CAUH|fla31E#xTvmQdm{Y%7%D?>Fa{-uuGzF(4pB^wP~hxVgc?>NA?JUwHxeb} z4AedumW93v$e_RQZ#AHmDF*2sC}D26hTc+O5VV@D$DatlNZ0e#^{1W)|5+oh7pUuJ zpQ!m$)!@I$_5zjosH&<6hC0`v6-P;1YjvSERfxtq#0bf3ec=fx{3q4OZXLj|&|mmS z1zxjAz2>DS_&XLG8-4e?t}zR3R7VYJ+rcn7k*$&jWmon~k@5^gs7x zm{B`3N3`=A_wm!7QT%-`Qo z!1B2#E6Eea_y={ZN9dbD8X@#lg6FqX*LE;;E<`7?&47l^-)=z3?*y;IUCJNGLqU5&>bTi)NUlQpVRVl_Y+JyjK0myB4|?&L zV98E7RdW=W2A_T81Nb7I=g+8leorN3Pefs|6VVs(ehu=HzKC$rXBj8a!1GoIe-tDN z1`r86{3y|+PX{Nc7Zut=_b2v?KCJ<27j4z>=^)v%kbjukeO9$w8+iB?ny+VquT!85 z{+xz>WjFMUr=e%u4Sm9Cs04J{cq*8$8hX|4(Kdi{CHMa+wE(tpkDhWj)S??=n5sn; zU_8%Q(>)&RH35^FeB^F=VPo*<4%u^OV}cl-?!s3#sy4UD(Q8s~f0$qKHGV~b=M{91 zUxDmw)X2j>Y{V;e2WP8SJZ*o|jrZy4+^q+vl5@K5W(dSEhp&DBb;Ci5$)O2kR8y_s ztv2xJ84yKd9s4$Xvs`|`JvOxbh28u*8(KJt>pIo^db!TmDT)SYK@!*XYOOph-+hOA zcP(Mj4NRes#Fan(HME~?{!k|*O4puS*z)t)dg_59#- zAd|pqRlAVc!&s`GzF*?$8&$(EX*{kMbM2jKK-Y6;K_z%~m#EdfEf9G0nQF9~%cK9V z56N%)KdNx>*|+g+yO10?SC5%oxVdU7cm;(NY&{&<^9*rR=f4WP@c09=smJVzJPIIa zKvU-*LhDBBmc5Ju&XegFOMWkBx_yJVFB*Y;fZ6X669RbI$9Zo5ky^!i-^XcgzyHVF z$c~D8iERCVu7Hpfd&cv60)wvN+1me=rb`U-(z@3%B7jka_m2 zEG?k+W3TK-?ISyi6OaYfTe;2>xHaX_AnWcgy$<6t7foMB-H@YqJ&zuGe?wt#-5fci z^o*u?{p?R};NIStWlxGR8xj?UlLiS*)8%q!bm_8?E;of%&7liKn#(pRxoifE#uXTO zLC6av1VT2DEKdS@*h)d88HT4EprTv|pKvL3!(axq#uaG!!B7r(c|k8Os5pen)Fj4D z&_KnqBo(tH6`Lrjn9x)#TN1!YL{f5WlEM^ClQ4zWr#L8@u>4g0IuJ5V(Gc4#DcTH8 z(KG?GDO$++CP~rqh@xeP84XcZhv z7Zztku8jJbWbctwKaUJmW6eA%eq6SMDc;GP>eT~Hb6rAyp6;rP%NbskQm!hjR7xSY z;UUj?28EC|Jj~RL9<~+GYNpv*%```=nXXb=C0osOb$>O}Tv9V#IaZ8*iXWFzBeCS3 z94(CuJY^J#q){3DRxzLOl+ht(tQ9U$Sq2-I3-!dYr@EdN5lYqy>I@)d04W1V84r(< z@bGZK6=5V73QEiyW$;l3AGzR$y*wNRQ3i}MCi+2JH8Nln2xQzAhw8TILQWZMl)*+L zjg1^zNph``*3M=8hoE}AWxV+hB^T#28pVv=HDh%DurI=%~^{>!QA0=d_&cuviQ8N5xLJ!$7I<=|HCUKe2 zL$a4=T+$M`r1e8oHry1tLsrS!>Y{`rAjK1s<1jLWmdl{BNs15SAl@A1fn&SmOjbAG z4(dLVYRwH zP!)BvH*Lc$c(02fX5e`oz_Sg&1EHgzLw^PwGT`8%c7ydAil;>yKG4d@Y2!>zbE^S{ z4~#Mi(5{dKXfA}6)RRc_P#F-)5IGmjc*K1IAlI`DsANFJ1rF)(Lyi~k5@a2m;tb-1lot-=IJ+SIswTE<;> zTszTeP#J8Rqzw3Ezz3+Mze>U3>pA`(WMAQ+G}wFxv~-?+N^7h$7+W%falb76w)+QX zAi*AmT23VbqI2~tdNSGZEt#TyOQveyl4;tvWV(`dQAzr*=xqJ?AbA7+CU}f4QuC}N28Y>RbJQHFsaNV?vA9eGZAqUU9yV4H%V9)5=*zmbLGpo1 zGE%d=BQ@J$sIcFGGvL_mz_}n=$eDulkj{0$b)J?6;tsQh7#g`u-sEu?_vD~4=F~O# z5Sx|CTI?XROv3hY95LsE&FZ&P#0rJCKtu>{W!%gu%wc@&aN|&{b-X!^<74B}OsOqz zw2zHqv-b89($ye&{YrH-HMdtN<#zE-Cxc;Q2HAa*d~b zxxCtRh9-x_>c>@ia8`ynK|xF&U!l?!R52BZwHKCX3I4ho9LMQNe0C=>5FZW!?- z`lo)b!Q}hk!Zpblq_{LJgL=j@>gf+T4kk*&6r2H}3<$BRC=Neu8xb;lpJW7lzXEi0C?n()%|QnOQ?-ZM zH0_}_U3;i$hcr}D4rz|HSKi3vVIOK9_Wqg~tpzT0fGd%s;|eE8#FLDoB+mT=KH3@# zGHz$IFfwjutJodn3MG2(9BTRQ9Bh|=iJXal>wEE1n(j~zOYSPn8|Q2lXlA9gHgWKK>}8PE zxJ6c8rH&V#91lIt$C5PZSO$c~GYHvA=L|12eg*UdnjafBe54i2fKLW|TYET)A z3nQ3dVdQ&v2e=|X-bPAs_nb&#xr?)Pf=fV5xaY)lIExq_CMz+-5DzvQH|j_Y2$@90 z<0%m_i5hN%=~@RFP|4`cT;Px_FeNUiILI-x@yEE2-5-Ra%%5+j17%}Ini*EUMI-OH zOVGot41ut-u3GDyCu^Pa6h}`bHOo`&FJooFG^M{*>g<90iMb{GT(7b_q`OXgi-AV1 zf!ZUVwi_GQ8X+~{(2tQ~(AgCw%Mpv!e!Y5E6jfBqLh7>6W{y$QlbfYTIU1>gyqB;B zG30Ym(uV7OY8RFgt(jb@lDqV8c$K@de5LBD-gJ0iqpRJH+ex+@_R2c9Vw7Cy<~9dP zY0z%B`{CM3M)hQk*UPFjUQzYEYiH4JJUL_yAUSlMyQt^u*3S#B2+^HwIpr|o+>sSn z!IQUP9!}JKB;UBxRScJRIqhxYQtM}{KHlv-@w{iFs{!uPJ+2qv#qV*F?(}PMliea_ zpde=z>!&xXF$Ek10%Z-ge)|^^PKkh_BGU`tJ<}mYGey6po&~} zzVnRJvM8wJ*DYjxY^Q%&4gRkj4GJ z(H$SROf{#l{c_bDccjpHGg2i?2(Hb^K`}il#n%DB&&5}*p!Vf(moSk*w}4L^q$43- zEx}a|h|IEC) zy#Ph8*cG19v<_0!>V+HPFI=)>ymW&a7JXa8H3vv2D6{1#P$5$j&2bPZQ6sMC2+@Uk z8bebWF0lNQ4 zYbegqP(0cBdrST1^0c zu0e7SiCfVt$yXxqlW;nT)t6pS%(&VP3kNt@I^bak2&U55EP)+uX;n*^I4;@9K#p4z zH5z4y!9$LRuEl{HTk8=QGTexyAL^Y7)axQ?616Zx5eNuL*|Ifdo8(0&nv^NZrm>5n zY?*BKw8R&>HG-szBr1UslA1_X#?i%+!9#|NqWHuq4jS>e?`I$<whzmUbCRCT3@ZsVi5^PAyE? zg0@P+fKXMh?k}zwH5uqKqh{EumU&>CFEno zUS~IANNv-?GF#a@(6?{7QX*q2NH46Fdg@Y}dex=Z4N=<;wMjQ?Mi72Nj^hqo4O!Da z@TE5?RkdoC{0mtLK@GsaFoC0y_7xiFb91X#6Hcy%F4gz#Ue)(N??P#haCD){h67ij zI8PGj$TbKPzcM!US?UWgCos~`DjnA@zBUvNgtq>=&ICmh0E!Fmx?yIB#uRq%BXy@z z=CJ^2qXd3BRavSq!SvLPgQ1w7?y8H+8D1TS_6k>e)sWjqF;BINX8;`Sqv$f6N;{W! z*2Jr4YpO6uTg9%@w%x1MT16*iwYg->7|CyZR z433=I6j^sPkWAG;GR=l(CUL|y*d)&38@y2Nj$a^1l8DWomw}{7Tb&hYnoXV7thly0 zt7mL;ma-F(PWafw4FV=D##}Ffn&+LkYcwUj))^vdvB2#sHD6{)U*Hr;6Tu9078tFT zl?)|vJA*nCPB7sKHt`^a6rm;>#)zd;JSMdV?>&dz>L+WgprRW1v0Jb%*sqw!i?81^ z?HsN!O+Uo5+HSMI|KH7cwTp#wv3{O!zEz`05A&8_VYqRZZx)S+8vD@%0@>2`2$Q4Z z{?XsysF9W=}LJ9g!1&r)O-puRaw8kd`uA6N>% zK1N#-HA!46_oubR1HC^jUPiot%ZZgj5a!|w?V_|ht%4USdW{}MQp@291%wqiAS&NB zpHS@r;vpBh*YYBpsbGf09r%Ejm)Pi?pX$&DVpq^71V7lk4KAZqud? zgg^sFYWl#??cHnj1|c-NiYCSWe?02}T?zFx#~ z(OOzq$5zrjJ+vAvEM5(Qa3a*uqbF3s)m7dJC9YX9{)E%#4y>nUgcuJOAY9`v*bkPj z)dZu!0jhpQ=DT5df!)?XKv?Jnghi?sYH2NY0I%N*mJEEN24JcCZ60vFF7A`zBnhL> z3&o9O9fH89jbO_q6Cz3uk!f})8mUb+atF@SWI^HFtKIZTZ(5E?gFvRy?|{w9-NaGH z#1UKr!M_Wel@DBVS|n50)T0XXz>OBkR6(smRhN*(^iuTH@@m8src`xY9Fqs%kwCE0 zjqz96;vZCKxf40Y6oGV(CT+D|i#qsrpxgouNq5kBnOZEGOG3Xqoxjap$9^+)FD^oM zV`1O?)970)Hd)~HF^)5?da>}J1q+8fcz~cCC^(T}K$pX@FX#YR+#3b9UqYwWR2w&b7^m;9$F0I5AaN2!ii-JU?j-0k)o7FU z#--w604mY4x7OXDtu=GzPNKF}sZnHx@Ft!oo{`mPkEc>wrTK#TE&F$ifuFHpF?o;r0<=nk9D3{)A~(AhBZj`rRT!6B zw5YgMhN-_-E9~T2eV=9_AU!xe zKq*cFfrmDzlWnBP3k1sTPi8;}1S-6=Vf(5;!_<31ln!NwI-L>-d{CW2OY>wl3?NrmH=5cBq5wTWNin^OH7LRDA z+{0o&>U6H3Bv`M@_q)Hxu7OeVwAJ7xJA2jlp&0dYpZWrI`Iys;Y(x>3#{NvcBrC5O zNbnly2|^4F{twL7LHyyP=(@15sJ?Dj(VbfYMbV~4KCh{&Dmrk>>Yb}sEw8U@h#pv8 z(-1AXxu~w8sy@0qEX%2jf#r79MVdTC0(gTit>2=r2fU@}U=x*>Ju2EAZmz2eHxohX(c_2_#e`jO3G7`RXZ$l(}AhNM>@1FY)?h6-I(I+-VcJB^X7uI3~0ukJ8!l@Yu z;2m{)!x$*t9d;*jQiJ6p_y&b$h}%qem#!5Lu3Y4Nf)Oi1xoDi&xd}+*e;2 zsovYT2R8uJ`rQEas(N{A-(HmB3qU$w=t78=l@H|?p}4x^obB8l-W9FEHR`I7!bLXb zHIQxm!R_=5&za>xalH3q0b@eYI*y}32UBduG0RwyiyzBaOh3X{NI%&|QLfc>7H6tF z7o8xBX33%xWl>=)&$V6@Ma|LeCq&K5y&cWZaW$@C$Qq`sktM1vV_E()?V|l`)V!uZ zbeg-xMbXwKT2RF}NC)%4Nv^)xdVb02X!{|t)!bTb-dZ3^o1dWv<<^_VL_qG38J7R& z3Wnu-!}a0HCJoHv6o{h@Sc1C&&{%mj;mF>7k)2h08)+$G?Gl8C*3&N_d||MwOO!s( zz#|F?lm^1y@(@2hE?%eS;&n@OcOE(HEam57d#N2BX17Hyo*~ zYfu<@3;{95+JlKTX0Ea^vAQEMFPGJr7G=0KR93~&ZVM+vtX~(T&Lg7PP zrqzg`rgSSVG?!K*uesY0d7>QGlH2gx41LCAPhSpn?%%=d;U6)XM&Pe~tOsH;FF&j6 z!kC(e-Lt2%VfP23;pkXmV28LSEd09(mA2M9Ks zPMXujYaN$rq!=j|RhkPhuECKhuEF{{+Ik7g@CAsj03|lA0CTvML zZM=fktPd*C8cAU-PlAbH;_U|ojXL7Z!kPmk<4?No5GASk2^=1Z#Abd9N?U-^aw zwl{%+w5TOT#4*_h%WCd#IUv`R z{W8h~Eh=enMP^+SSW8e`a-)NJg(#qR@G+@L#(s$`O5Z(!Pg8ygsjCf(zTBh^PT)_m zq^@Y9)D>k*UC|`6=14Ks_m|6oQeTl|9#)~{PKdKT_}3Zh?)iymI*i@~Es;z8OC=gm z?+HXq@ijhf;v+F=W|$6Z>0mxCsNX?rvt?#qB=Pz5S)(W~y5p3wB%jSpcwII4I%8>G z)I7KiWsSMI!gN{nq$MSm*HBD!o{jF{=bC#2Q+SSVB+?XYo*8X_1|^i)fJ|Ga_Eq7i68xTCuouGHr0YX zbqIz0EN=V#vhPXTwabb%)CF3wsc@!3PidB?mQ(dawaiFF;^Y)G;@zrX+>mn8*XCNU ziXxsNU(?>%7whcn5p`VSO=UoRQwzwh8_AL5s=R1>orC`_uq1Tc2mVV#5^~H-!{^W9 zHDVF3cXz{Mq?_MZS6{zrPi2i#(raYlXepIjh;t)>+R|%q0$s2zS#g|3@YrLAO541} zwRLATrc1-N`H4dcnJo>%y3`YGk&E3AC`sLl9}Lp&8$3s%r0l17$_FBlav5RfR49WQ zonVm>&M=nHA~iQl7Onv2)GKQRFn^Jye2CU(>lxE*H*| zh4W?MS+ekK&;k^mLDJ+LE_@Xio+}H_lZCI9g$syqbcp7fL!!0j5ZG)pwC&lVFN&`V z$rEwUk6Yj0`}Dp;?=iEDTZ6{U`Nv|XjO%BV)%NuD_4Hke^@(D0&o*-=e0K24V5#!h zAr}rK&^f$o{oOH9yVkm!jnV7JS=)XWyS=tCCc2f;Yo-3^5w+MC%f&ttYhA52S_Y}s zkkW$qT9~4OAz4a_ey~%zm?Wk2Y5R1D>QvvhEZ0)Lb1A>AIM?z!3@EBvB{X0d%jhQ+ z(PV=gNgHl+nxg|s5wlSpe7a*HKGQJ_e-Lf8mLe>U?bCeKR9628DA6*xHnw6 z+l>S4l?)`HS28|Q6J(sC#N~D?10mPy)B zng)p$l2=cnqyYJQfkEC6vgi=)gIXwrmGF`YmXK@^tuAvL4^I|hKuZ)t%V69*v*u8z zQ5=%%sD>m#+yAwYsp-o;sOjimN%w}UBI8G0N*dDaY34E$5>PiSJLU$(C$;)i#Z za49uw>7e}7YCNnEMSA=!CcgxYS|zsA_fVuusQs*G|7~^{*E_y*Nf8_I(?(&a!&n}o zIlVleBjVKrI7z<{?U$Imc(GfctYprEK7eZ{~2dlh&%@DMCQFsGx;%px@A62zd`Gy^^FBl zRP2o$z`zkvoQ=78!8(c>&7!& zT=O*r7cd7`Utlbf5@V2(1VYkwW7W*M_JL6GHw+bHlEYW7&Eu4~{`J=tEX)m_^6xLz zp=cJ1k{r#N)b?slLPV*gvB#}*Jq&RX5UUqWDu%Ls|`}o4{IBe zp@XJB-JbB*uzF+~;neBmSSD!53Xsf+(T-F`}Rf~tHx1GJlP9(ys1bgMIE)Y z__w}G5rObWD*DA$}XM6PJSbthNH&9kZ3g)5?waTW~NE(c|YYOTs))OySkc< zdqT#NJkdtK3uhX)lF`gCA9IR^PBzE7`?}AIkBGzKBR~Iy^G`gq12^gL^BvI@a)~%R z;yF0kcZyC3Aow3H_42F%pP7DAitrmp|bJd_(G50`Kbc=8-m9A+zTfd0LW;X z3Xpr#EJ=Jz$~Gn2=SbNDa$8Xt$dq3Pf-F_VeN)LH{25 zgy-HbY)gUkgMvCc`nl;n|U6Rqv6gn@m``UwJ(3iCK5=rEJ&*q9;J zaxW^A5+nctGA^fF1;1b;i17d890ix3KIB~cxo^eC8u0FDX4n8O z!Ji55?FG5LEorfjeWJah8TmOyE&P{u6Hv}&XxeQ3AO20rSpxf>DmV9u<7V!0u~u;Y z7+0yUM_MUlv&liDJzZyH;8Js6v(;fh4AAEVV)L3IuBVR?_vIk3~S)v-5|OhR_iWG1DBSJX03 zO4tbpyrQT08^NGa2~O|O8T_@kANx2Xd=A|tn?lfvKKKa#9@Ui3KH)+~$P>K zN0ReZQC1Da?-(mV@KNt2Ed{-2ZK|I2uW?3nR*1I-S+6(csP}ta1-~x+YT5-d@$}j+ z;wg$%w5LBy6+Cu&VnXImo`8_>`@!SKlwUL^q(#)s7o|1gL#IXFSy0F zDK0b2b3gnSmzgz^1tLMFQ@QKcP{+A~$;YIcPE<3qrTS35gT5o_7o*0HQ6^}CML%~Q zT&i?%l0qkIR!K)U!HUw+SV~hhK@eO`Xzvg$a2OEB&Ygq*WT}W5kIei!9w_5@9L?X# zndYR6ZGGYnC`>d^pVVkR`x zSG6lM{}HQIp}&hH&Mj#FU@!C*$eze7jTYMA09e0&hcp!Jj` ze$AY|O}vE(xI`APTHH3w`^Yncb;=)GxgKC79dJ_0daM1{BIUv;G`MR$%3vtZn~ZR2)Xu321})?nz6WH}08ZMheYo1yNBkA6~_6&5y+B zq0U0_2fQx6G#1x|G^fiqaN2`+i_r0;&I!#IYt_ zCtxAuI+5H^3eNd7gQ+jZM77EmeZ^$c!cLrn^ecWtN~y~y*vxILl$z#k)};kLdxkVM zr{Pc_O8ZWMyOEV-AAO-6;x{_=&NE=KqANHh=HccxX?J{Jy_bDiaOQxp#H|6Tk zjFf~Dr1==gs@QU=B^HaGAk`Kr3{<*>M5ylpnFxZp8e-Rza_aEieSw>GieJQaQso`$ znAy~_N>t?MCC_njYC83Pv-oUT{G=5l9fy)+sE4V(<@w%2NU-Cv;V0w4kn6&X9yMna z=pE<4kendXI)(9{>-%zF^iT`-ovmr+TSP*RiJCY1%;UX%KLg~9 zjSZExj|nWHDxKYX@4C0Lp(Z@uNP_f78L-b>QlSa^K{wF8kudL*we9m^g)mkGyNblm z_m{2wFA26*4gW@PgnqW0FSc2ttZb!(Ylck;(-WxBM62AKQfPc2SG*T0Z2XTv-KD|y z7rCNjT$1zE5()V!dCWK*%rg$;anp*dC25=1q&U|qp`N&l z%=wTe$o_0WWz`?|ZBqYE5XI-x?idu*j2)AqD;qJwD*N{hv?m;Ee%d1{bxXrdo@ zROHi7QAK90cjPR(%g~+|iYC%ZH0jv{I?FnG+%oSkF#jeXF8&x> zP{aNye%CCJJ>v|~rrQ4%VH8xJ?@g5VguIu{_a@<nwMNKs1XE6M0+( z8&jDVm_&DT=rCDqw$y$|ayHlCrnU*fCh>r@pUMEBps{3z`BzUIH~&3YfMQq$tpAhq z<=!j4J$RLT4y~RsZXXo0F^%^&Xl*KNkHm49aM=3^%0;>-O=LMJ0 zhY7rUiUH`M?}{+6Wl`rXv5i;7M44qfY0~cZQe_SdnIVBP!~>cyKYXUVH(LVg9NAtj z_4jJ|WG;12pv-w|)%`@rc=AFtI1ykIs0r6BVQjfj;dF~xi8{>#o@de}d z*0`vp#n-Y;N!kHy#~5@{D{LIDn}~cW5LT@+}{Mc)h`k`plY(x@)5@U3#6a(4Drp<9!?YS9S!1Ye5S|!yZK%jNDMh9=BrJXm z;d=-dO=hoc-H6IF^9nC)Kj{QV@4|*1WBje{0!o~RKNG1Xr6$2Y5lu}RVaxcehE`5* zkcv7!4>7F|&bTq99wcEuEeIzyhlb6LVQmEgvFUb(6&XYCjRy&-Z%PlT%5_T&yzY%! zw-+$`M&LFaFS}I&h6d2s_t#fOs`obT*>u;tA9_8&Evc#=I{dgHsk_6wqBVHPiznOI z{)$>fZwG^JzZx3xRKk^H2zk|wK=J+2^U)4zfvR8}eY=mj_JO0*RC)xw8!h&m>xSyR z(t@mWD8Ow(dZ;W$u0FvjjN{3(VsQV(UZ9%`agCkd%enj{&_9AhHeOoM2KXx}h2Lo{HNHe-g4iuvf?;D(t--2Si^2HT8rou?f)^_6>mPhb#` zM!=+Ia0Y>igw3Y0F>^Yd<^lv{n`}WCLX$L)c9T-slW7ubZZOjmXDr=vtDGgd_61vi zC-K^!EZ6=Nx%Q{YwLe|1eYW1uU>-7;CO*$u`z#O-VdhCs+;8UD8^s*1HWkowKe^?s zA&da^)*gW|-!ehUP)36oVtX`{idK&Eu(s?O=V5I*(`WY#j@&qVS|$h?3e?40g9H^r z!59R|{jm^R&4z%5C}_lP(>(%1XfqzR90d18pKEg$Y7q>g8S2gGX(rxBjRahbM4O|Y ziYg!(iEqDrfEN#9E?;j(Vl{mRD|B!I$T0QBGsF@JDyK+hJ^hNE(#~n)n$g#gTpz>m zY0nwgg^b%nQk<9aoAitIJ5=m2I{YK`f{wi(!~!Ag)~`o{Q9XEIY4B7lPBSAY9}Qx- z;w-&!D+~{>EU18H1gNcopy3rT6;hE#=aFc7FsqQ*T6-}MeieF}QQYw$)`~}uIQV8f zOAhgSOg5Es%}@x{bAzS7wBYSg9(^4ep3fR=E9~GQb&*;DDeap>kgSL*^pnzXv`s_%q=*zNWYN^oidT zTcX>~aDGcDCWu7FNv>KFBHvHr_X%c@L-z+_Wwe#UMU6(jwb^=F!FPfNR)^Y;mCJ0R zN#4<6?$~TDy(oImw(m!vU`P9o7-zYn%x}nx84<6)6@8jIVi82k7+P-5lD4vm(pHu& zZDrUmTc@;RPd-0x99?3qnf+Re%-X@VxcvkXX9(+fQEs~@YFMKLWJ$bUNLLX)C$~PZ9Y0kdbo9gu|PDha-V{4r_VcsoXGfT1i zO7kbEl12wFF*+ENahBw+D)*Y?y#gHPaod-KJPr@;3paUv6s5OGU0feUJac>$y*`kt zT0>-cRCz_(cM`ZX>UV1|l(?>}x{zM|RSIv_2GkuiuAlXDd%gVuwZO4m&~?(SBqbc% z;e@_QDo-ck_fxr!A2Ze{&lY0E((^DR)wX1_^}fi&`*5Hp=iQiK$<2t|x*}e437lq% zNz0&|W+>6Ow{4bJQhtt3te*bYxZl{J*1ggul30^endt8MMOV)|bQ)*lR@R`T(~xp5 zV4>}GqzNgL8FV-|03+5cc9ged=5;DIk>zfgY2bAoh&Qx>P%>CLjW8?2lcneHfb^u^ zh=peaPK+5AMzJ`=o2c0EhZ^f5;SJI1>Tu)u%H8#eT?%C_34&z5m2O}-qlIK-+D31z zvNPn~7D*jCLD*gVdP=l_)dAj#z&KS=={e>P&9=)fAY}&g>T*lcR4;f=RMZ?oN08Wp zGSJJ)Vs>`vIB&skUFmedppwXC13L`C=s;M#3sh7nZOb!diwfA zd0$^o-=$a#C<93wyeMNDql``;#5bX2zpF0N6mSdw@?yyP2Bk63@4a)2E9}WdeDB=5 z@1UFZY7QK0$;UaU_JU2uGzy|{LPFCaj!PKLb zZP;2@(^we^Zw>Ex->yfXeR%hCW=MhMGT9%#^(h6^3t@jqYXdAPOQ$p|0Hynvx;xyQ z3oFSP{U%7ehNhn*MS)n$3NfYpPKK~iLl%{uPN`_oX>rC_mS+?ys~)lCCTr*>u%2Bq z_Lhb1O4*Kz>}}@slg7=nj*0Tln5d)TZCr=87Ba;qKF+6OGabx>oR6AwD^7Y!6r1%C za~7<7F;0kEimV7ZV1Q`9Xd^)R!%{Irqi%_9tZS%isvTe2@u|nuf?5#l>N1w*Nppvs z#A9P}66>SmZ4U3(b2u;f6%n;3@dKDyBj9JV&e1BCY^ZE(tkd=?t?j~BUC}zT&pNYt zGTkJn(gc-)q>%ar2BnnZ0;tb^qj08I)?La#C75h@*u#7;&fSC9cLlVTx?2Cslnv>qt@~$P$ ziZanE;S5%+ZgB|Jw#aG`r`l9h64l~uF6ak?bYNM_RtLA-l%tyBjE2<4bJ&(oc7+y+ z-<3igP-&J`Uldv^z=Nb{4P2VuAd5ySy%*A#YEQSz^g`~mRGaWIfq&)A#I8#JO6i6z zyN!*7UcdvevuRJ76YD}AijGf2dLu})mF@`IhST&r&BP&12*K;jMMqyzc}-7mHu>#Bi)3yvQA%sglm2Gw(O5{*%iEZoW}oWN@b+duAL#C`+_VvL$) zf!ObE4mXg0cXeHTU4tt#_;`^LB41Vb9cgac)0GlZ%ag=_F=TNdRZ^seqSCK|;5}tY ziEocu9mWm$J;n0B<^|CcQkON0b^f{dw9BB7bh{kIk5(#T-rMCi1R2ERsWh;f*XfAd zj2^12i)`H6$N)Sn7J;TnW3(!=lL8Z(_Jym!{)1&3rZ5G>CTMvBGDM`dFmW+l14>jrJDPv)t=o6(z3H@}M2g%*vn2Q7J;-{XhIee*0 zCG4Q>XM4;^uXi@{V`iQ#vw_V3Tsof|cY~#juD^vbFaj_6kkCh~hAc3Nfdu>px5Yd_nV@)2<>Su7@2z=Yetd2VA>fUgDxUwnCcx=?#VbaA|z?{RH3HS{? z@pFR`0r4UqKBccD(#IKPz{4L7{T(eWKpG}#bWAe#nc`MLBGyyZmWuD7``bT3EP$QLf4Wk=Q6K`*zQKVM?Ipk8^0lnAHw7L(o zMC9_X$u9_h=G8$q`}%VJm%N+{qU4rhi9n`ESs~jPKKyJkH0lu9eM*|Rv$DQ^S7p_s zqZXNs2#~z`Z3!e-i8R6@6xsnYNx>c|DT1V6wMR0}lJaU0C!X()c67$t6~Y9QbV*_@ zQdRM`-zM=z7Ds!%ZYj{V#2Z|Sm@0AZw8UB;WO?FqKCsrPW16&#>!>a@Ccu1M(ah4{ zbt|+r7ox44QyfzPnktFeZ;!RN+dy${@Y+TW)Q#ZP(~c`JPG1K^d?O2rS*ZPuoT5dE!MxBEUdIb)a`+a4Qz&DE57;z zxjyH>JPnIit=Q4o8IxQ>1X%d3Xj_>v6AGMPFl_u}EW!p@0vj;yi&RFU!=k7eCY=mv zcU0O6QqQERlNnA4CoXur`cDcxdR%G^UlI><3BF2#$V-!T>aZ{9bI3(9Xr)w7qGXp% zMb5dfbsR~lBu_TV+(zhM`2}imsk9Etfj)g?N`B8=y?NVy0v zVDoX7X0PUtfgxd(|FS>&d3om?)QCkw;#mmbfOg=b?MO{e z1t<(ZyAGw#_Lq%Il%i?4rjcej)lhu~ae*p)Kz<;9WF*~p61r?TQ0 z6lgaQi2Ds*;bS=~4yUAZEpe@Zzyi3UmC+AoVd}_+hI9j)axXBD__yI^R`?iQ;d*^P z-4%azQ)XRzb>Gda`^wLmeP89Cef48197`LR7NWOnA1$OOdfG}mBl0UeSithDu=T$~WlFc&{9RsAXZP3qLn7;JIv zqUWp%BmiSlE50PiI%oVFe-z?jR?lyS#o%g?$udwAf3YT`&Rna!p*%}OL*125BY%Ik zS{3OyIi>4olHY-3gS3jE$tNh9FTTjB>Jh_YEC}*_a=^SOPKeS|(l~hygOi{5*HH*g zzpsBRp?(FT$8q4r~lZLlkdyN&&FDg6T@TR%#yQHN|@iS@>zgnPpx zwV0553<(~e_P?Hdm-N3zpW`+O#yF~j)A~)M#m&hgv)SA~iB&(7rRryjRQ*hos-Nkk z`gtD3lcy|2R=#_Yl~1ONgyx3-=Y+=ItO&($mM{Weyeu9p@k5E+F-ra7kep1Xu4Kkr zEBCoTI)U|9^Qo|Ndv!Sg4A_VIr`N!sAgXC+xUsRmt|3)$4xI4GN@}1&v2@d)k{1wH#tQrmr-Sy;3k!KJ`hmZUEL~ z(wB>XxTH!$$U?GFSrREFw{S|y%}POAkg5UoDy?>1M%qxQU8!?fR2ea~O7D6DJxZ!~ zEu#{=o~FwkQ+z01iFnPCrBi8Md&Q-%p|ro0oV_rAI;BkxOw8h=%z!qLKQUXb^+|HA zPnK(a3axeWyurs)_YAfDi+5CDx$S9A!|vNFqV1x&v&WU5ut=1P3S$wX=0!|gV@{E7 z1d_F6D|#vc`^P*S=~PfTB4~pK@qKoQ6gqaf*?CaqxRHUQ*57!Q!rzSYo(fCq);}w!#NI!w> zkQ*TcnrS2l+#d!)?Td9~jmZ$fgk>Ig8_Z0|{5W4{SDP`J-ClYJZfxAU=bkP1V>8TX zV|cu|+#KJc5MOo>30c=b2YqGD=XmqAv9_xDM4+{t&pUX1F1{=>Tt5j7($518^?NIK z-??|szPig{N%M+dkjGf;>K8WpupYyC!S}XH<&NCKV@&4aCOv6%-@d(#k+5`8Lhg+{ zmE$cJcT~?Q442aPIHec?nN_l7EL^c1mLR2&U6M_X)Nm?^sN*aO`SV;p)(r8h)L1sN zS=32jEZ`MwT%Hw;HB6D22O{Byrn04(;GyMtzlMpT6`(ip=z)ik5%?z@ z2TT`h{6@x0n_8s_vWFbQuXTaY=IbF>=4;BV3rO=0Ii4xiA3gG_{_xBLub7m;-MBeM z9n+PC|E_RzU6tB%#~wHuhAVg{>CfD!P)u4T*)s!7{O67t3pmAyOaT~YX)Fr~x#?>v z%kA5Y;QXkWMrQ43Bi0}ifZZD=+x~cjqs|8v99@Bwj_lqYuEseqt8N9OlQ!P=62_4- z%KZC7YVvOgicSQz>}M9x4;!kIIS?^T!G_9`zS+<;iz~8Ukg6jq5pTyImpaik6{Q@z zhOuY{S3#)RmPN91HQi74!KnO~Y$-4-QcjtI1~X}L6>#c)dxoN2q8t0WMx(`LBDzt0 zihIRlo2*k*k4sXQl6K!NsVpN&EN!~z_o+>38A>3FtU$!1(^2x~PbG_V!C{PR_yWb| zn6X%qFmi%O^?|31>+|7}#_^0CO!!5rw1sQ_&T>(9yZDB{FpC~>!B{5Sl}4^q4R;x< zL)g8$ICREXlE=-Fk=-yWIG}>F2VQ?toDu7yZHG_9_6sR+3FRSWl;*@PTSaoW|O!6D=%^9ub<}`v#gqtK1A@Y^t z<Wmi-MJ#=L#l*M>k~vA*aIQ%kMo*tQ@|~iU^bGk=Q5gi#m1m=_rlFEvh`Uj27!}zq&uhYwz560N ztM)ch6;$pgy5NqcqUs?@KWM}&{ovWi(@q{Je3G4mMU5yr1b24I^LEo7bOe9qr-Q*3 z5ds$Y!jpvuunE||W{E=c)`(ei#C%wv;pzVzJc@@sSx0gH?BfxfAF`@({?y}i{|8xx zI6unwpVIf&Z^e`DtcW=$f`@I7Z!2rVjc;Yq)yt1ZqP1J@C~3x>FK4}`Ur4RL#;u&o zqSpV6TmM4V5qE)aae=?r1@1r-pUK*6hN#`Mk8dl*^>b))WyIWb1h-y&{OEez`m`?c zoyWH=D#49bSDA04%*CJZ@~XBfYNGg~3xr}>2SkB>(A=&cKnAz=e+;{-VL$V())ILZ zi?y!2_*3Pz&V;zy`jGhGC2kg3ZqAFm*pBGaqNcfXznQbSq)TjA-^UM+YHPZHmq)i) zz&CA&RGhpq;Lf=rRJ}t;7nFz<>i{8(b@asEiguk5tvqbdGez3HR;o2cbAdF3nLmL+HoRayHCESkE9r&7~H@8z`g zU`}#T-n972Y}!f}RK^s)!|l9j9TXw4^{kLyFU&(25x88G5*1gu@#6@_p!RZj@hbUF z313CV!u&fG14G%DxDoh`)TmNKXAI*3>Xh7BaDvrYAv#oJ-lx_txOTsrCrU0g?fApz z5AFWg$G0DP_8XTTd|8xtf9LR89Wj}b9bTn_OH(K7K~V*YDVebi*zu}-wdm=5kvlER z^Vl;{O|Y-yGToPm^|GrT1+ymQ6N_~{`5tlsN>yIDG9p%?^Mok+`P#+<@F{v{t$62l z34JMJdht#<7=B7NV=Sb9P&%XRXYSIN5UKFFj2&n$UnO3Wi&G9-%87!rlGXJco;es68E#9;qY94TdpOX}5ibAVh5eAa= z%!^iE-!ah)H@P;2AZ3jBb-C1zoE7KJepf@)Xb5Z{(4XDV^EKjwEiFY~I$O3<6xE2* z=rOewjcj27BeZR(1MffD{M5h>sfVM{ifFM>L>pv?W9EU)$j5FrZN|o_tz|c<4>_); z`>1sa-+t*ITg8GV^$|dy-Ca@`zf}w4$L9a0aYLw*USRI0)xwh1jFX+__T%Q#f|XsO z24P99NDc!6&}A$PiA$pMBiqFn56iv2O={1?hFSC@-a|(k2)$wJ?Knq^I2xAu7>z2o zKkMR|R@9eqayO{Eul8)vB!J4xDWIweP#t7u31f`1d=-CDX*ifFr4aHZTJW{mEp^x0 zr#=I7QeN`7h?a=?3bTOt-5WBB^EO1`OxD%!ug(4UOw?ReD3vyttaF_Rw4X1w&^DSO z5xT>EAVKXUO2j(dCD!{bLF8PPrLzr+4J7#BkD%R<_=IS&Q%>LNmhUWT7g2G7)?;F- zey8-nOvH$+&F|8i-QbnoG1aMy$~9S1J6;KdN3x6(I=A2#i=T;<4ZMxt{+iV(^3Dj! zV4%-$xEdAt`^7_IWlW6?eXsTa#OWR2Bf8C^H6772t?k&72I(GKuq#wqk0@#_FKKJX zU0lOA7McY7-(xHf;s16{ZGT60U~HOtSm3JLQ&|(<>By(!#ndzLPn0YF$p5|3qy4YtH0iPs!E+Ysn?!r({R3nR8T02`O>bdW{cLJNB=q z1q6)zxQ&sg$}=Cjxx4Jwtf5BaVob^_ZpqdAVx>{zAo#tb7qsA3K(9)Mu!+sl7=YEp zsY*YeR%ylfrJOT#<9UULeDxxYcGD;Y~hHc$2yu!GRO$2&;*%!EM!+iXC=&f?Si$ z9RtdLM3*mfl_$>#lN+3Hk)Ee&KS_C4`yXnR)R+`DCLJaahscd*TjeF{DUE?ihkj2b zO{boiG(Qul0jF-Vr>le>2$G#SJN*P6=>(r;7bmjK4(|hsyS{3Nr?^3jjC(%8GZhI7 zxmx-VD_-QLNdt#RK1?t=&O?L7Gz-Hy@YX8vW{;R%gTEK(!0hKCfbLf=_Ox*V4CaQJ zUnf4dw&EG^;O+EZ#Top4f<{9Y3FDNDi21Fx&&0c5)LHwx@$S!WU7Mi%y7}UhqO|P{ zy_Mhh4A_|ViZ-NF>@5BSofq@@iupGg*N56_#NzvF#ENA#tt)EH9C6g#Usx+%y}nkg zF!!x7r>~j6TFh?~e{!QZ7N`6qls|y-U%8=H6q}E&F{e@aBfWNy-%%e}hut$@yTlh* zk*)BLXr&`~e`6MO{(plkB>pmD48Q)rpZBUe^f10@!14Q1>ixPsH9L1jt9MrIJ6Jdp z&Rvf3f1v(e-hq-FwgRt`o-71T{_Mo&8(l~zkx66cmCt-vaZ#&+Ml|<=*ntU#u7J>r z$?Qi`D=ny`)G@GjKvwc2=nbZLGRkN3V%+ekE~y+!Q>&gZw#11}u%wogH*hl}oM|?T zxF}0(Q-PE$MI{ZSd@r$yJgJDzqjMjDlr)=jWa(6aluC4D?SLS3os^3t)(T~`O)=SQ zsWCuNBHM-(8&fqddm|J6rDPt@%AWXPOEa^f)x0A%8$21WS!z=JFzGZYK}!bIrkZRj ztIZw~>f~zT^8`Bt0|GzGg#Y=o5GhHGIbVc2#DcTfnA*8qWUR>RpnuA`BUP>`AY1CZ z(kLo3Ri^sN&*CegT3N`Fp!U`cfcy_wvW);VBcsBS zzpF0Nq;@lP)AgZ~{77SE6HUP!CAcU!9tF|{uUhewL{1Nb3dWUyi7+c|lP zBNPw^nHB}Dla`r7>lSD90QS8$(X#%j3!?1Bz8Ck4_7l+4&3FD?G9yn_m{SYPn%CEx zGYiCyr_YPBm)ej{mx@NYYo>xwTLi}Q-nc5%Cz9Wfs?t`C~a3XE$2 z=l_=-h_Wt^1CjJFX^b||G{V)Rp}NjDSZZJd?>NBY!{uG#^>@dFGMu<(ny(q;Fb3qR z@zSru%ju!0ye8#*M@@o%<+$(uN9D={ ztcjhXf~SYM&^UfN%ks>fVzasEcr0e#XDkhxMFlHG^;^dBS<^0NF;NOd6h2~W``2ECFR=0jJ8qFCq$=l z7fxoPhIzlSi#m7{zN!>9RKo-sxrb58WFKJWKfYq1x+oFB#+@cPae7|HO3=yxKil046a*l-z#|e1kX<4U7LZmOe@S#? zLo8RO5N(gi#Z0eERuXDEDZi)IhQS@~GxGff+$tTDDuT$VL`ma}k&^WTRPvY#=8L52 zGs-?M=1bPsxX#C5P=nSV;z*S8KL=m+ziPxxY1;AgJsqlti&FORMlQh?8tx%-4N8`6 z23Cj>cz(Bj}DgWF_LG^v8f4ubUm`qaCH zleR~`|0(<=eLoc)((;y~#9PzUf2LGP;OceD!NMQWkD( z2-n}ySOZ!6{d*x7jH#{oFbz_z?)YNxwNJ0g0m|6#eZb+<3OTh(I_g$d&ZNNq$GQPi zo%j#O?w+2QGO8y~`L1SDi|9}?Z4Cq8m?RVTX;8cSK)A}ug+E@DIu#Enz`7==V@6XU zwDkD@=fo!@qKpekc*J_d9V!wdZXqZdryx;J1uGBlV;}K30Js580LdjkGQyFlNa#2nipm^)t^1fOWBFl)eHBUT(Fq1=~s8oDNthpd@KQ=G0usw^ksKY-KJV95P zcv!so7D~=Hmgb@C8H&#?6XnW+|C&W!8;}NYf^dtaQ;bDdy%iM?!^imoIEo6Cmd_I` z!PR+a7Ue~C?_p%xIs=DR)gb)y`fyG9AUTA_jnlcQ&DNv#J>JaH6#uLqe1(6dO11#! zD#S+ySPE*sc!FEpY`rQevZ0z@H8XZf4Mb}9Uqaa!OdIY`!QF$r8M}{qca(!!?ghjY=ewFPA6Jg0Xym%bme3OlF?{@D4`pxQf&#Gck1gHsgF1x$hmd}z zWj}pd3p_*F1VF3uD9v4_#kP?-hJVQnlUEegH)o+oM+8L4{c((7c$QQqHpSsbGB2{LrfP@yTuWBxVQ;v>!LsmY9X`Y}#kv!2l0nVKA_^C=e%<4v%FAXeKdunqfkl13Shgh+B)x zN1=+tM-3Gsx}Q=Iu{;E-yJQ%34|u8LtFG;_WW@bIup7Efvuf_p}-}&4lYG z(N}|*1p_W~^kdc=>uu~m>&AO!4BbCeKi8VHoUMmJf34N&pkPz)!50zl_*2A z;g~$z#||)LgABgR>!-=UCSCU&Up)YpVr%i(W@~Zo0w)za!bKB95Vomo(9q@e*GFp% zR4&wEzezF8fBZ28G>e0xpr-@0wc?y8Z{LpTtgViIweL95z|HLov;#gIwx=@Rg< z&9P>r&6C_8DY&?h=cM!HmX_{lhp16$zvA-Ys0L|rS?Gh}%!xw?4LH>C_Ak0SJI$KN zoo4o$b&w%rw*9%D4t{YW9)4NqV>KZCwaNMTWuar<^a%rn0Tj_e zUU9#Jgn`o{d1sb~%t=RLC4Hi3rI{@vB8pguSBQ~U}{7f`@;;ftt9E~H^ z&XWJ+HbUb4HzBZ{AGt>;&Yb8nZ;19(uRHX#Xh}6}r&|ucs4_?kiSRbDOGqje5u;w*xuvMkRaeuE%BtF7*>=IkN&_&FL{p%O z%N1pGZfMN`?l>MAUeO+SNaH@8LLIjpTBx}gT__zXA9abV`Ni)mbhI|u$x#EGEWubl zgXf%5a;V530#nu>$%QSx#8m77RqQkp_eeo`AUG30&cy`MT)rW`W2~6Tm(@5TX9%T) zDiW=9XftQ5f$Z6Pjzns6Qnd(~QMNq*?@{51^=Mz8dGI36<+imJaoiKBAwgp9q-{9l z(B)*hoJwcY=rElwbLlMC0$;XPQe1A6a(a=-^(e{caA-#v^)~7^J!w$gM~<#@#)^C( zIrLGk-HR4-ExeA@4o1;c#;U74bw1acciT0AWKiv5dV@W-x(=QPdPg z%_kt_(fi-N}pCtjb&JwpIFB&!ZBp3;;NjJ(nR#`%tNb9x~LMNR}| zk2PnIPaC8~T%53&Toca@r3cV;&n@5<_ zw|Om$hQ>BPTswC*)zvhG5h4N39Eikw#ZQ|n>s?Rl8mjlYF6~U(s94FnUPWN^$Yuoe*pL%oS@yaZ9hv>TuHf&;3P|MU&nwUV2XHF^^bh zw}_(7*0!43tuWn0>6%4)WX&Jwd?%^l{XH3%3M6{}nJbH&#!5`3^`iJy%Z5;3z` zejQRqVqrtvl9A4YLjFH-?*boJRke+u&OjNi77DbWNXkvFZIZTW0xh&n(>C-Lk`!8Q z!z7s`Lno7vnMp5RC=~GaDg|$Nr4_FT2*~S&Rs=)@6s?N1sITG&DR_HdvV)g?!I#r!0a8)}r327=>L-?ATQ z^(UrmMdn(6N_J@E*6a2aWgWft|GLZ*Zk`d{$X{jtSe6EDU9*$AUU-6?-iHdc*_hDMM9p1QYiDgI4mGPDoc_zQA625=@y19QuR{FxV zsnw&%un(r4zX`tS-UqBfz2RWEiO$J7bPb=z3EGGZ?b=C@;E9Np0ixKBzgGMJ)1UR zVlFXKqD%QBx4t@g$kfyv=>9g_La$3biG^5WsGYbZdBoH`N?78NefBq@dm55=jDNpg z#EHwvgEu2C)L#L?Nd@dssr_xp`vhl3p2y2vf&!NwjS*Ojla(jF7>-(&Q1Lzd19g#gL&1aO?!6kc_uaIdYpLjkHpkT z{Ih*Z{r2st+fpl+rOr4vb>^JZS(sd%P_OpxX0S@8Uc$e!jw?_zh6swt3@l8uj6zCP78=z4eGR46YlqOQu}FN0Raa^Pd*F|LIsxj`lqdi>ErcZ}|aKCR_T)~Sr{t31F_Wn?Cw?G#XlgU!=~E{^gWVujPh@b; zgN*hdJER4~O~shfi@SX0QA=iQN}PXG!?rzp{=}0|rre1GPbQ`;b@dwM!Obu_A42Sf zD(xrzgcs1rzZy#ICqYjlIcPzLjs}rUa{Tt>5s8z=N!BR!*zVNoJMogA|3dk4Kob7< z$Ga{PD!o}>88NOj{=!e<%Bjh-Q;((I=g#PmeoELY3ig9lN`C(8KVW&TCY8Q__n$}i zr0TZ4m^warNQriV;I_T5O_P zB8`xO#$(eCPU||TW(iV|FDQuq+?mR4nt5}LrD1a4!+hv?6sXhSB*}_B%}5cY9W{z z6Kc8m$0eTvQP=-v@@cPmF$tuONuo;lB)^X{Oh%E2k|Tz8Zi6P?CORgGsN|ugJ9cl~ zbJJa^@>aajjl*w=Y>1x?ScE@fjB|D$Nzf#U<^58$Dw(Y`!(8(j7 z#(i7lz?zdLCVNx8bCVNipUP_|KAo6_LzfcgO-$Z)b*eWxWAD=PWs{D_Yh&+MJHDdAvaw&c~5vAk*_UVM^?%ac^yW1s^y6eAETlT=>-@rd+B4f<;Sx5dV zwd;my;xxp^-&NjJ{a*dXH>Q~{vL8~w-Z@Wvk+;d(n3Cd)tWoKA;pKw=o%>|<#nrl$ zan8YFwioQ(Z0p>U=^^Hvr*&nDx$gfh6%Hw=Uw5OmyK2wJE?;n_S$!L*Rvr3`Mnl)^ z@CeD}b0!QWb~;Oaj$AgtwKzjv9R_YWpn_nY8KuOo>_|fmvtmQ>UpN!gtrEC<_c9+w zUL@X@qvBS!?-(=%)(#G@=^Gv_52bs{1v&8w?nFk;#S=JkD(?qfGIi4~*&vD3P3-^V z`Y7U|DX9~c@C5zsBcHo1H3OmN5^Q1FF2|}RrXS0&N#cLJwQFr+>fwKSKu*uQ3;*r> z+0J|K{ORbJz_8 zZN*ppy>nNpcBg(ucRzIJT|3c&(cO3MMkU{rXgG4$i*{|NB&K%mOdj?$8h~n^*f%l< zlk#<3afq`L6K~zJ3uh~CxpVhJqoaN6v@U-@Q{3hinT>6G;Lf`eEr+j7&A1CJ=WMd| zg}B(P;i&D1`^1qUF8et4-?Va~7!%7i9F-i=c-a;>5fmPgn*DXHh|CsjauQeASrb#+ z%Ud2$>ifq(zWtB-EtcBm48k_G6>Hk7r|;2%H1lxHmDq3d^=&wR_S#eNj>TSVO~2_U0z+ll(4 z@NQ4MBCQsnY+0UrWa4zJb<3}ljt09#a$(}c%F4vKsq=N}o7{~{Nzlt5eHmBMcJ?Oi z?8M{--%ST%Z~JPknRFfZgG(e`-o5A6J=mSKM^eB^{U>pn&4Fd&0W*D;-o_v2*pKn4 z^^ZR>lG?U*w>0gp#NvsGMaN=GHzX$i;^zW<5m_dZ{RzA)TU-oQRo)P+dzaQdajEPI z)S2I9P|=@Ynwva$Hsrf4^~J<#6HZLNZYpA?ZC_8+PPhmE-L1qi9hjV$cy62(_lfbA z)kar*5$|cbNAkT%{YNs;$<%Z5Z{l=o>ni+6jQ=P8m6Iw{Z5SjF<~LF|?&-ewwUv46 z%a7P?55T6ttU^POl{zP3&rO#=BBJ z8Kjy0Z=yLTl8@A@HuX$%s*GnDw~wTzY~AxIm#~VlL$k2`726NXU*EaKb{C47*!JMQ z?vlM4^`pC?)}#0>F}V7C>o6N7F<4xc>f$#YlD4CO6y< zwdtLL{e5;Tsx(oyWA3usB`x>$DRp~Z*gkj93tyiC+dR7cu5Fj3PE4KqM;d8KK_vZ* zmw>TlDJ)a4N|O}2J88f1qR#t$Jz1QcJaqO6(<>`MD0B`E%uaM-hYy}AI$kXM@pxei z_5!mV_%n9>8ylH^JZ>CPtk;Y~TH{a{tVA=n!^92n!xHzn0WK^b8(&;1kC9+kP-=BK zb#tO_BF@G~Vsw=-Ox?dveuswmN&8Cjk0#0Y+azDrpzi0&xXE8e>Y-E_ue#j2XC$?2 z&+ik{j>ays^3KYSneOqAXqCdk(Z+G(Mt5(5TBJ^Y%i}siBt>p!!)!;B{H7T;i@~7= z+-E)TQjXR2f7*sTN^0VCY}@Xem%3v&GU*dF>v002o`m-}qNKg-1d0luM+S%Sc)|Zx z{5&>D{{N8(=|1C(X|n?J#%T&o8?$;mwzxP#!!>!=4?gsbG6sll4JW~6ohLZ&n*#UBEwJdhpE zbyq70%p`#8kdK>x9qNOnVe(vwTT;{?Za(F1IE)%p-HAp7H@a)C?YOtPW9xOkSr;l0 zTwXKTcQKv=Q@0wHlJjzzzua6{-ZrTm^ zds7*E|0izSibq`fQrD-jbpy6sAL(6-+0!@Vhb)B5!J3DDm*;p%|@i(lAw?)@)vvxlx_DRb zOMCl@_H^^omU+w5%`297wyf$*&s)AA-PXRMt);!QwWY)BA1s#A#eA+in;y!Qy8FGM z+`4QYyKXmRy)?`{-d_RZI4BQHd6xWvl`r5-mvm32gtEnc4rJDeUxKSb;hEvSqL*%6 zk*-hI!TM@7y|m_fM6WaX!9w#gKF(pQzUWk`D%kGl?+a@nE>=GqO_3q_Ub zP!7IOb}gfh*kGcqRF{voTTw+%jkENUH5J8}R3vUF3!5R7i}%T3Cv{tUOK0cm^um?P zn>$-qEKjGcL#OxP1tsgO_1Zw|W@%!kr1fTpYhP2*^0^{dqL;OswDIhx!QNi%*Y<`o zCA1w<6GduU(Y!Q0uYFOvb9GxwdijdwEy7po)|<~jUHUWm-gK|jR9<-Fbq@|_A@0m@ z$*Dx9yiW6j5=}t6y350$&6fuXo`qtrlZ2k~l{RVN*86?gf_iS~gm!&8Eg?>0y5tpy zyG{IvQdB1!W*{78zQ6o`E^I533aG>k~Y+M|rnk!!qSd1wd`eNj8H%FeTKjTk52CHvN)a2SohRZ=asC?ZG0F!b_0p6ym*!~7K;9A59KI`w4jED;P0SFW=%-2**W zRgH{{J#4bWEe_ytY_s{cV-B>E9#VoGW4M528Vl!5^~`l#9hJhSL}xXRJ9}! zjvS)eHR-fOu^R>pW~Z!+WK7zKfNjBdsR-0;2q7Tk(*skd15J8b%?17niTsu^9~hyi zAT*?xZ9{Gw4+bDUtkEcU(ejlw&2@D(v!>3RT3d70K&f2F&Y_OsXJ)v&e-0)cXEn^I zIjgVctlqZPX8a4q>0WadMt(^x&RLMKYMJyT6)BCuhKL&VHHCouGzLZcFoa7RM6oK; zNe|^Q#${Zp!fCHdd-M(}TRxrX>4Ehq3QuQ>C@mY&=sz{u6*YvY^t+u@L+$CsDe7r8 z4HT6=*REBOn&KY4SZ~&(Kb!08H;GGy&=mn`ica92Yj9j}SUpfN5JVYcF%05<`i3)L zLbs${IEb;BD`@e<*-VdiAqRtXJ)2k=QKf!3ORGODId0A8MK_1akp-I;!jZ!Bpf}cJ z;5tmB(N)qSsHhD!Gu6e+zz~LX(Ni(0A@`YZaf#yTY?#0k!TI!Kl!BL7AvNs6bg=76 zC`Qp)J?-n5VWL_XaJ*!$Hjj;mUSmv_F~|y~{CYQ;L12IYp`Ec(NaG4pNFz-i{oIQ15@ zpz>;%A>v1|l)A_kp@fcjpe#)UYF1aP;|ob(rakM;dd4(Lp~^vT*n^pCe^tBG!3mKK zDlvIVoi;BCVh4BcK10QgpC61*=|B*fbb7`p!`_f?k1J=kJmpMqPcN4IF73Y)5 z`{M%tP*MZn#-5BTbczMQGUlu1LzInz4h|4szO6go4EtTmHru0W3x@{>Ix)_$tnNCt zs)ZU4!*8sC#;AtVwL@@75%tvBpL+W<-Tut5KQlxAXK1jPliVK`%qV%0<8}ZX*Y~N?nu4P;y2X9D`+yAr1^!ije^i-JZ)7;6X|y%%3D+v7uyN zi{&m+Bm_a-7>XEi7H*p)px6?&YARdpcT-+bZyh(R0&SLR+E8yHtsSl5vQ}M`SVxDcUclj-Y3oHi(huE|Xivw1 zv-}nYX1a0^g=l(=S0m%%5VYT%+rUs6-U%BE;1*+U>dg;gq9XB4U^s}8#t6dcdm;dz z{|b)7wiT_I7`KDDOma0)L^ln~gbOna)e)H?&@{?dzuT%>j7oecrbBF6Xhu+(nS+T0)tF#_$aM}EVTwpp z=IZJ)VZWu>)>V4bm{9=5@mWL*Q%rMW`b5oN7H4s)1U1~N^nDJYQVzi%V-7VS7}VGT zqHZ>=v6qZWpUWOBm(pU8UGf1l2jB&-*=-e6&oQBgZ2BOxiWB}Ay!RHTi?n#p=<0%*x zD`B@9M8>LCxnQ$Jl{Ee0k<{7Zs1Vvlhd-W>M_iyB^fks zL~6^V>ZZR%4kk)Watp=uTC6a@1R`bOn=fl@hyl--&U~-MS?dekHphm>x%7`nNP4w8 ztL}lFU^)(Tet&BdE}z3K%R4DxQsAAj1ks~u3QT#@ki~k)KxRrlC3Q)zAXk-G)rVIH z9@AXufif}>2$DS5F*shy<5eSA(X{~xpYfAQUSd^xB2BjNT6H8yd(|!a9HM>A=3!4% zTkW?{!U<*8(=p>}gbtx+B^-l$aGplPY;#7!tr!ZDI_52FTMFYGrBBXRz#@xr6LCUd zRvnbxP0bG2W#~LCi8?WxG3%gIe<#Mq?4D)^z||)Q@E6;pQ)$y$%^ScFO6&pEu<$Vh z}P^E!6m9W>M8%PHA@J*}8DuN}$&;V^;=#CE|; z2jGujtMPFX1Lg3+V`)JApK=NC8cegr|Ty zWrz6SV&&LBn+f{p$J`qz$%Vq2C%!<^#8R5f#{5U)K?q_1WkONat?DiN;CNB4)ZbR| zW8jZ=ASEuYky9O=LfAOAuj)26qKGar$WpYfdLL8V0X>PSYeiYA*HJxxPqf4FNxudN z{2gAs5V%i!uzF2;7@XzCh$|Xq1XA@no%h$n`f0vSMajqy1=d}bwJg&$mjx{swl=o} zs%7_dl)EaN#DY01ob8kONmb$%I%fj987GLoR_`OKmIPYqV_RuwM8(>X#j9NJf=gHj~>F4hk+0-Y1IRGF<_XF?kWfo~4L-f;`7w_qSxeO(p%e zM->u5#!@(nD-8ghDp;(V%9yZb_{79ZT!cv7TZv{2F@50kdk#O z$9m;*l#vfyWNYwVfeO5!5)?PP>iWBL@1)>oTTvv$ab_EKSTfrp><)c=+(T^CSL*kj za6c`U798RFU};508r-^ky)FIu>t>e5SKNGe2)(2$)}|rg(t3mfIGJ8%=NNVQv_Gcy zFC=5}cv1U2Givi&m(OdLWn@|%cnVF`7uR5nlwiI(RyH@cbaX_w*(woGkTDf)UCN$_ zxS~dh`4G56U5b>k5h>vLvOw2bSfWd``w(!D78PJ{ud90S?a02l*}XzuX>d)pKo!+s zh@uX(jmpnU;Hr@$DvYpLs%+XFeW&Pl^+utVI`%{n`!Apn&Ed$5(d*TS4WnX+y(9}8 zl|@J_4fVjbAkudfC4RVwoRYo|)O3%o@Szl>exEu?}ovjO3V}BX8j%k{uTJ7o$ zY-G_}*t)#6W3gBRjl*O@?wSV=<1`nW*oN_12EWfsuV|BX@($GJsxsbEP;_ZHET!Oy zm4b+?c-1hLhjSx%)Tba0%foU#(yXA6#$b|-tg}^7t=Jgx%6fX*m)ihyzzZ?KfgJK0=ISV33cdx?#?cp_5Gqva*J0nTF}I z%%QVPZ4@lE-7*hz3V#Xy3n*L|Dl7S7eB>J@FxYY{->S1{8O25+Qe()md_g;Uh)wqj zn5S>xs)0@ql{L&I;;HpW-OAq$f$BuDLAAuGslA8Z$%-0M9azp}W@EiCcY*>dIRTmb z`{r$8;U(pe!$HNu1amS%Iyciu&lly9d9~V9SIA-n`8Un5>eR>5r0I1YzpQs(Hee_q z_gkz4ubSPKw1KZyBBFIFsP$Nv#P%2&TDcIt%Sej{b33H3u`*j^q1!!bj8+?hlsu?mSv6YQ_^{j=qqMHR@tbq zsCp$d9xHdv8}5@EIbtw8*7*vt5rZj8pdjAjz&7rwYF%JiRJX?}=%-Pjj}XO)wMPz1 zV-~Wn5Xh{kG%$oM61H7SEox=!mRyB!5pD%WoWBHX5!o)#9Y9h&zxY93xq#|mKw&Wm zE79Uf5fjLYA*v`P+a(MalpD>#iW8fVE~TeC!H~V&rpZSdq$-ebjN8k!-?1Y1LZ1}UvYC{pO27}D)PxD8A{%wRdrR|U*4j(4NL{~P#4W$3jxP*El z-i?D;oxTaib)j9U&{wBmnx6}>=McI`_TW`!kHTl{g?-#NcE;#qDi@>fZ$>CsDOT2o zx-=)CyBqk`SD7lcb`z^uMfY6_E9uvuFO@XND|6Tw%mloe!)^zc56ia z#;|~f`^gq!q!jxBO0o9CzRId)kW!G)-4q&UzT^@F13Y-irrSYs!#o{ySZD~`RW@xw7ZJFCtgCfm|zReaQb*`t8j7>hp%Gnqb)@m3l9u>d)Wbx8X1^x01 z-WL)NF1E-XB5+1t3865n&B~3v#&V6=3;_1rAPSKkf(VPT_N}{_HPn%n5=>!m;P3jP zBnz+zi@h4Mo{(N!%w9EJ7XACNkb*ybvh5i8Y{f9S^`))?f|l&a!ogv!SP_lUC2lMu zN?zW_hMO{Up};DnHIZfoIN%ly=(GYdhTKmL5^;4ca?RMRheabZj}3^id4d2P5pfuML=a`EUp3y zNX~x&wB22rk@9o3P+jk=Fq24qEH8xI3c8dE$-}VBM|JZw7c#gJ)~!}#Ra0~@_^aV` zGC~zq^Zm5u8dqYG)>T{Qq|!Hm%>W|R5N$v>sCk7+hh}2Xr0PmIR8#h43zxB!Haobe zgE1(+`1-IjQ(O}$iCrf+iWu7#wZ*t1QIZQyIDwSZsu^be*Pgn{A#QVVie!l0Y}3=l zKFgF=Td&;XO08eOel$rbZT$i)`pGr}EX{@3OkWVkXu4y>#>^vVv=a%U@^BV5W1+IYFFB2P3c2k9sj3@G`_B|Hh471R*Cp&`e zMMzEJi%k1qa`+X_3S0LoxJ?8lfgzR{^B#E|!e^5)cmg#tO{^v@d2CRU>5w=S_kBH{Zs}^qPBd&B$(Fi{cmx9!x(8|yL(RVrJw045=$^DP zh7GoRVw=<$5?x~E1xa0rxEU%9kz8-)4mcP-+cT#7p=7(5ZYC=B=Xy&Wh@k?_W3VAp z?q>OCf&8=FTU@^|JzsKIwhg_m0r6)*c0)3cfVo;O$+VvJmZeh)4FZw2#WOIH-I1uR z*(pJA6S)g?kg-%IR)S3?u`2`9bd&gWwp|1iZllb`v6P0UdE&OYRVv+u86cjR!mJR@ zp^SXH|DDvm^s;5?j+V~!!lf%a7N;+6XViai zpTSbOSPG+Zv3fxVsg85eI3%N&%Y$Ie{x0_SFp|^@?3x=dvUpaunm}12Sx-k^gb*+-FQ%Zdb85CaiMS4+>mqTI>6TuwEs7)Ef zWK|4vrVE=kWs%Lz2sK?S0;X&=kEqhJ>IJN8FZLayNBJnH?5u(Zf~KKa*lb-~3z6Af zPI%~8pB<6FNcZ<*aKrA}1`>I9D{zb0bgLrjYbzyb+0~-5m07y`K0~sNa2QzBk{zfs z5TTa5-s$zT8fIDHs1(`BK04f{boG_n%Ce!AypP2^ZieU{th3aiXsX&iH=E?7)ANa7hTESiO%VG?)sU~+1nDys zg7$K?qQSn@4dKIHZ(aSY3W?sJBCoWr0%_lj5rxVV+s(Bu){*#un#kk96()MY_@c^A z8Qs^h0#>flNnoU*LN|6@W7jkK1&=Su_Vv)vx3NP5pp{X%R4U+_j$j0d9(Hb}ewFHJ z8?{v3-Hw-A$p$Z{DHZw(H(#$>4cLp!7mK|^ETWgx=v97yC$)&-z}Tv<G0yb%kScX;WqXrK%7~9){eS%2GMhFa1x~49np?GW`a?_ik zA9kPa7@_c**WNH=>5Aox>Kc4k7Yg67 z>c}G%s2v>opk!XH+bydmz2f_AoI;N%>dY+6(~kQ=URw4R$%Y1%uHC6mjc`xQSiGl< zRqIMHYj@aiu!qVS?&--${<}$IlP>>-0qpNa{sdz|qIoePcs2^5HKGM9*IT1255#qq z2GVQsLOuUvI9aeE7}5{+bB%%c{fO*n-C! zS`nLt%XB!rFyj3#Jkyayf`0_28mtiK%@gtH>> zrgObt$`T6M7p&gTSBmQd^)k3Y1cj${OPII@JA?Iht$-sE8*7DP+gPPgzhEQE=|!s9 z)!a`i^Wwl32km1&12_dK5B<>%S1U`7NKxUhJZOX}yXV`6vnDij0+cNvTuDUXx#!v- z=;ZArAJE8T_?&}FjA1_?aVbF%X{ zS5%vG0#s6kijBl3(|{OE*U=wkM0_f8J$4|_1de$*tBbNFcdHnpD%Oc)D}1Md5If?2im!54vpp*$6i8-=N4!j|`wW+uQ0;N%6jdwg`)W!hSsT=rUTWH30$*ae-(UC!1{Zc}xdjqj^qB(QUt$piNGHfHFEe8kUxyF|4H1 zBXYq1_ zWt^&^mJULM0CeJODn5+oHb%15ZNie{B|jYvDxLuxrvuxjR#E|+Hip;fVuyjyK&@q9 zK#e4@%vNvX{JJu065hT^6yzp{2O(7?b_RpOUzV6k9F!z!Ou}M=NK+a_Ld7^~_6+BJ zAWiFUX&R#s4=#keL+Y1yDJ}6(kSJcNjHlpm(wZ81-%+Jjur)%OuLcr}BkA5AS!a=5 z;40K21|M=`i2U^@4ldEZT5UV_#P|QUA6PEa2^SKKk^wvAtpu) zYu=4xNswRkU%!CC+i#&6r}PaoiTWW(-4} z0TEv|^kaf6)L6x$mS(j_ZMmFoqS$aZdlQO^9WRN;RV2*{|hT>QCaYhVR1TNiH zp4_dPW9Ps5S(wl`&M2^egkfu{b7?10wL|b$J~1*2&7vZ%xL$O!^ph|X>PYgEOS1z~ zctnhf%}$GPWows-1D8yQZbEY%BC|VR&Dgl8a_Jr2B{vdWGsB(b>gVK&(FRDKxPT?1 zb_RN1$2N8;Ik&F`!GuWay94X3=q15eJhv#oEk#H}08eWI&uw5=qc zi#dB}J74T_JG&7@1eThrv5Px-3tM=>8fIFX&6)_A<}YNK3Zak&*JXLwpl?97=ExVk zkty#Dtn0?1j|#~-aGq753zjvzZV2bq$=wW%5ZEA9k*-WjaD)xGbob-287zom|1F+; z)~9U-oI6IGs1uo9j8Qlouf1hay1lNJf9v?So`0wF?+pH(iRVkCFj*2oXw1!YUN5w( zPa;-{LQw&JT_bZm{FH~vyD{c*v0IlLrTG{Jnnj0nEUgKNz{SGBRJFz=SDePbP@RQ1 z|8e2c&J`Cf)EYInTTNRQE_IjM@idt}52#{O6bH1(4OUC*6E#O+99yzht`| zf><2V2MMBN>u8ahNW@LZ#9C^akosrxpSQ~X&Tr%2Rq)`LoT%Z$4sNX4N`GR%H3f_W zFup-uHU14wVe1gD_tk6hssznszM$2ZFIa{CLY=HjS!3y8?1_}lUpFw|WZt^`!ixJ* z?Z)tD93ax|{5rH3&wxNv!4kTLGh?I!AbAroyvGY@fb!Xjt+{xqs^1!)TlG8 zosC;aU7CSwdJre(IADz-HYio5Af;s}A6GP1lP_H|{8Z$P_NPfLg|55Gb;MX0d5}g$ zGjkF)!9BrgnhYm{+d!~*<3=(EZIbFX*(1EX(HL#OOB>9`hQDf3<*^`ZkRaa*5 zyRM>vE0!);Hm_rea4As{lsDzLpjDJCkA$0cKX%KgsfR~%gWM=a`1}Oepc10Mkc`ex zky)3}AB9S9>rM(cwe23n`R_&K^}GAag*AAh8)5b^9@A4&$g(S=!x7(j#T|c9vK4f3 zsVl*gV_QmC<`Mfjn7bgH3+a59PG^YS?5lTy*_ zFB&8dFX07&)s~5{*{-|}pK_O#H`Zo@u@(iRtv1RDj{e=EL&DATE=QH4=dJdg&u^X*sLmtG{HUDIU?zF z`_k5B>E-yRwG$6;+VN+I*ELIFE(D1cIkqI-leaO$g$aG`*>+3fG*4{Gz_hV}&eJNPYjhRTvE0J3CvKwO9>JngJea zhGfRsOIxL-RVt`1N1EyC)X_Pwd5N}P_x!M?^E#{m_<8IIGdC)`kR%}R)mOwOXDwss zyCzbg)+<!P+6-RI*TdW7Lds3S}z&LB&ikAZQt1gCOgLkc!^ zA{s;jic@e^@v7f}{Xh@$fjzB*j17d)$QlHC9)c969Xf!JNyYf#X_n&8M7t^?v)HwI zqH^$p$tj2hrYTwrJ4zM`h!cR#?ZZ^z^k|v!TJo6Tb&wGWWa}K;A&(h3Z8S%$+jIco z#C4>)WW$^;b}8#1H`7bibXYU0oYJ|?G`9K7cV=|87ff{-j|T+IM6*R>2LkD_!WS6t(4k*o4@ zIXXspNoa01pQ6(i$iQ-~>uhUpT|vI~T4A(Q4xCmKY5_dP6}Dy-x^Yq)#)5ij<<9pI zyBla3ENv)3TmiY8Vy+9`7-N~lx{XoOSWzrwAD%nGktPfGL5JnkXD$w7K@kE=%k#c+ z9<%-u?ovyyFqXB9VO-XFrx6(8j5c9cX|vp?n|5T=8TnZDD#8L+-n3I2Sy!SjXgQO3@7 z_)GQ8uduNqpnZ~1wA1uFvC?iA4k{(gMa_JBbMW{DUe4=lpjJt?^+Y#92D@Z@&1m{> zRHae&zD+?s#?p)0=l+{y9wOwD>GsiDY*m$vR5&CV)j^XY4Q;vzM=Y+uww()0cSSdX zviB?J;6V@E%Dr7N3J}VgzwT@2JYfIE&MraefiYinNZ1okPLr4-YK1{vk z81X>s3;SIB$w$tB#Y&dWn%QbCcGuB7mGUx zGBE?f0kL_nZl?bpQ7fby;q^G&SbtUSVC{W^*BKy=(npLu(1o)R*LFjrhOLS+k+3~} z&@0o%U@#~Jt%QqFVck|V6XRL#~RY`efmto#mhTN zXebiPzSpkRO%MERp3%}aB4Uw+IUL849hPTDMImH044Dx8D-DwZB%(%^5Yhj|%a?%l zEL9TR0FAQqgIl|ncGb1CbdPkkj8ywdkHQ2ar1J6fh~zfF7;g!)_f%*sp{h-(2aQ-S z;uE|`2TeqO21JLJg%nYh6%A?aX~F(Vjc#0mwXRm>sJ(;~ERuNGE8T;XclS2YF_;*zK?G0<%*v4t zfRu|v@go(h$|P=}~&ip8|ZjRVzc(4Y|6q&}p_D|4h(9WCukI%@HX2ft-= zwFSSSu3_2hU|n4q9$tN&f5?d$HlH)uL zqPKrdT31&K_rsJDtl?|AXNC;lmUhwALbeY{J7+I@@jMR~pJ;+TIq zuWZ<&OrL+&^AgcjomRnbcnuOzK%FsfK=v43ZA2AJ?D9|! zO1Kv9el=%jZ%9h!=O5WQh0f5>u#KU&nwT72Cq}?B0 zvfi=fSVW+F=-4ECTXoK+H7N46_O}^yw7E10BOC}Y&Bdt|*6U(r{-wyvoQ_0Z6;B=2 z{g8NRu*-u#^TpKF*(AGJVoNm2HxA>y-9SsD3lmxp2z2LV2%Jjqf13GKk(*lm*TgZe z{!^L)-!3n?^;@&3$r)?yo7`4hmmUBPjTn)s(rax}cg2B^^g4^IY9Jb_s=)XMjgqH@ zq0V^6uAHO?V-cvW&ESd%K@mB=t>UG1q6Z5ip@nHreO=^ORU-j=X<1Y^>yTUGV_A$4 zY<*@ZtMQgR0Mv`Ez32=qD;4A{bi6fDCQJS}nEqUyiFXy&ZAu|EQA;=`oKilRbZIn& z9}-H%MO?n{r64c=F;zzhxVQF5Y%c9dU))ie#n={Q$P7#$3P2Eq?auOyw~F6*YLxXa zXq(K_#0W{1;C!V<)w`#|z_zSgC*Z#A9J*|Qj2@Y5j0w+P!~IAWXLVN`YJ$mE*gW&m zYrtx#ouZ#ABaL$?l|XN72V1~qvd4Z8na0-Dc~_MOp(N(p?3LctxsbkJ635J`gNaBh z+W6zU#pP|`Yb6YlzzToG%(H*@;UO^5kBAJ=^Sx-L?246XFy8-wQ{xNhbQHo^x~Veb z(eZ-z3x(3_;;~G_>~0Yf4s`9qa8S#FWg#p#b|c|n(_#3i>LA66jHDxjZia^`iuihU zwHnhG-NFLDlZ7~6lP@&S0z)km(_nt1k~pcDap!{PEp1)2yk$WekNKo^Q2|xJZcRx7 zV1l3Z>go{4x;L%U-}wVk+Z1l5So#Oa7EA|ZvMf{E>Ktq>&T)p6Y$B#HFq3CAvzjqt zwy2Vp<29S&kE}(-3Nn^dfjvCHgrG#~hKZv_#09DN7(0PlY5qnZ0<6KWb3;>=YAz}; zD+*5J{3Ex1FY#kGGJX`v$je-uWON22$s3&l_DYyq^bn|93;mu+&7%e~8pNQvnmgIs z43vqg*JM`~NW5tIfy$&@t0PZQgbc0|qzcAOXicE6sVod5i;qiq2SQFxW{@q!c-IiJ zuyBdi_E3%{6wrt?mV%;AoZ6BvHJ? zBv_`Yk#r$4M##pNGJU~cW)RDHiTKsth}#{&3Mf|HV9Q>Ayr-XM_!rMB=qJm+_N)5u zZ}Gl+`FsMewwKS=^f_H zjl^H)ZN7f|m;#dC1aI?AQTXBB+$T?opg+P}9Enf#+9L5Iy>~?7M|r!xAI1M@&)X4& zPx7AqVH7^ud-g|B_%UPZEx{EaaKr?K*0A-;vU<1+ybi7NL5;NzhGTMEYK2;489b7JV1lYaAH zu-v{F`nQq3<|?C~WbC~U#n5jj{ewlLKbiDDj-me@>D$UiKbiF76MJv(=8<51j*Fq6 z30&l}d2NvY@)-Iw>1*C<^v=1gi=qDy(hqGidgoj|9YcRV>7Tv9=#OH1e-uOSP9($D=+u>R{~=$-y-{78`h z`(o(tA^!;;?I5_U+^jFzn=Fr@hfgO+P{!gUevCZgRe;sx}bUj`F?${pWe|!vmEpX|_9S;WSTVv?EfQ$S;pEUu`Ht~DC z#7Bwu5-*Ye6;Bv{PCC5zkbX(8@mWmzkC1*Y>0N()33yb$e24Vjlg8hT$LC|{|4I6n zzHjs{Vw;?b=I`v!OFN9-$^Y~idKVA8^h2X}_VBzI`gY*syh+}Rj7#xTKbI*EX+33p z+_)Zz;qxxi&y|6OkF%?{#n9hJ`i(n{-s$0!G4xIj6P_`8Cx>6e(EpzNH~-Y=U7T~! zfu?VF6L)-01RmAD=a9bkzl@LT-_96%=dZUs8!UHC488N$Tb?(1rw=#8(7S$l=>?;A z@x#Yr=)VkH#@Dki8oi4Lz8^#XThibCYom8|a{q&(<>Tl#{x(Q|LJYl&AFlZCApLnU z^ykOmoiTVHaA|Mt{}_L#w;N;VZzjF>lF>VT_(BZ5>#v$W7`^MSM`P%p0WS9H@w?4Y z?9tKTdA}yU{T{)Sj_p;T~^?P#+{Yvt` z`<0*^3NiF=kHK#tpV~hgA2+V=iJ^D?qUJ9~@BHjTG4xN9{}q2VdS~DMCx+hHjl2IA ztPi>*Qf|(kzeIXx$BvAlKLfb*mnVS)KF-c8h@tO{!F$MOV-gM*A18;m#?apwgKv$& zzfAsD9ANyN+`b<}KT3MMhp`b*p7&AUY9FRqeVm^BC-EBMF3$Wu@wvnu|6eIS+50W?gqYUZ2ZloU zUqN~&&trj0Jx?C7`nY*Y9qBic-r1`q#J3Q4^~@4~khqiQ)x@6-mHT1hUahs)@&6L> z8sg3$JxP2naTi~`K>P~guH11LIMS~DKW^>%6#eFrz(o!lN$>2*RN`BRJ9#cv9JQl7 zYbef3N&hVAUB8SF_v)-&Zl1oGcn$Fr$>(_=6!l1qBc}JQBhWCH`0lKZ5voL--`(J3{zz#GeY`ClKEi!rw&vXCeG7;?IWg zdg9NA@L9xP4B@kh|2BlrBmPndUr79qA$$q&D0^}laM8DyzhwHhj{Q4CK0^)GFRmZo zNqh_ODWv}-`RsVo_uZB3Q?_I5Ub)ChH z_jd!AdS3HqwwL98jQH<~e}nS*GWiT~UB>ZwSn)|-Ez5-&(a(<+pX|L#9MePnxcStU zxz=8g>F598i_~ZJE0iZ2@E7v&o;pS89G`<>FlC)0Mcj?kHvo^4b3N%Ng!nuH10{SW zhxp6}z0~LKzgc~bW_?;>_+Ju(_mR(}e;S`tXjn&L=r;kE`n(}jpPLkiUv4(JIs0~d z44<#Y;NK#j&n+}Q2#56Z^B8&;H%?w;^aw}w^XnM;zmxwYpMr^e9F1}@{JZK=_tIQ^`Qp?CZrTyFFr)6bRrpuge*qjz!m#u$1xf7#q_^v>UX zG=|>Abv2zq{`bVte*?Ja;b&KwhCBKHfcTe)Bb?LEbHpDa{s!vp{}BJL)yC)Jq<{TP z#hbPkJE`sZZbK9C74-pu^LK|BU!%;>{Vu-%k7_;L?vZ%Mn_Zi^gt1|h_B_FtH{k)g-AI%y4O~h{}{S~Blam6=Df991&-%msH z-=yD2dKZTufKW#2e>ZWE>8FPH4&u&EHW7b`xNC1a@tRd8=PBf~26)tXSs#PHNBKyvdhZ{hj}Mm3S?2 z=NAr#KtyhHiM#$fo%j{R-MDTB9#w9e(ogp0vRxq3&t(qZb&3JR3l9HT5PzG)pEaE1 z-iL@U2;rXtE^>SM!$x@#<+e@fkw?my9Pm>=PmzA~BSzm${eOYM5k$wsBTHgS8ZA0&Pv z@yCEi_16!TezMp9tjXE=@n0xD$!iP64}T*4M$*qU_v!7Afg}F$!RLbgbrkVOLij1f zA0zI{olbm5h<-ltr$YDzz@^`>zuWrpDz-O6`e#Xh3-QZ{Z+zbB>F`1Fd6|3))Q9Vp z9(mMW>qplwx03$K`>fn^XrI4C`nC}Llf;LJJAe9u;*-3&l(TU|8Vz_|FI{G@N(9F7I4w?A<{eh&;~rJKC4N8_mJ^- z_I8-~4&tu8?^b-WS2Jqzcl~}V@l%OAIeeM;nIZgf;-sR zvxfL)(r+aFNyI--{BGiIp7k*C9mEk%=;x=zUkcIx5qMNT{zK^}c~^wy1>?~`Sr_XM z;S-4uh47PsiyV$gS|0eDtk2oRe@6U0lz$iT-2O)If#B;AL^l>H`W-RE#&hm@n0za$=((0FQ>PcD*YsHBjo`1p`X97 zK0|M_`Z)d*vGGIXxsLQs&reev^9a(raW|j%7UD3!`niPogT$SGFA?8C-08!6iN8eL zjnmH&pK!I+)6qXnyq380gFhqQ7Q$a9J{00J0g5X9vYEKE1E&KQJ-KDB$-kcZFrV~y zlitbmQsO&8d`5`BMBK@FGw}%Dxu*b9YGJ))3zk(yI@U|He%whk2fT9s(}% zFR!xtU&@O94!HE!v!p-Q$h{M$82u+MHTt!r?*K0JHP;#cI?{iX_!Y$G5PzKb7UHXk zzf9cYzSeeE?g_^K3gXj66A`0qMU${BNHze2@h^O#CnNjqm|!5I#R6{<-%V;mHPj zFB1R$bqPgiF1^HwR?o}MHwN#)y0v^J67QI6e6GiS3;CQ%d>P~3TMYK*5`UKZe4G{I zT}piA>x}>3SXQX z{=KZ{FNxp#Ph;>LaqlFP!yCV2z-L*{r9?|sr3l*oVl$uf>6dqW|6G6lHk`LB*LKAR}FI^u~34LHXx zd-I9^reGCueqjai+3c@(u-q*17cSUekv9?FK>S zcHv9J-?ZE+@)YSGBYpt$_Wi_VS|t7c_aB)YzCrwN#5-;>0bEVHaSS?6=nMB*xvvs$ zB;GXD%H2pli-CLI*yj{3A^k2sPLjkv5BXe0{JX69^_24s#J4|X^(-2>w}trqvJn)Y z3yFV$_{TqBc$xS%;&;Dl_5T6!CyBp**yxwqAMZKhCvP<1V$%PW_z$|Qy$gvSeX7al zj-|%m(bp1xcEtEhr(P{4{>mKVGM|Lx|IFBk$C@2R&E_{ z_!RLEc*cK(_52#~n-4Gr{N@36;k(3tbCJ=%i}b%B{?u;`c$5>!SBM|^N#k<}{my}> znH&bGZ*M1`V~C%0yVcX#+v&i^d5E@lK=FK^R?>fFs|oC7%I#9(UtM6tf8#hR5Z`gD z3E-W?-%b3Q*^~qEPZ0m`2dqBahUncxe8qnlALlO~B>u+7jQ*Xh>ko-P_XDFpmi2sr z_{GN=e!bo1jXT}s(|Ll`$LY!8#Aj?Z!tav)OyZNejs98o*KFcX?z9458E+L@M*QG$ zM*lO`Gf(_0v_B^je;e_0RvMqx9Csfi{`SpAIExAHJBW{`;dJ$Xl=!E=Z0&vZV7qS@ z@psd4{hICi9q}o&d-t+F2cBW_X_{hu+K3-Vd;*_`a`U5;i0}A})&I{2+70Ise@Grc zz~>NS=XDa_k9qQLBloT%e!>4S;9$GWJDzyB-1ifI<}PD=DD~>o#Bck9;SaIB4-@}% zhXE<#PZ6K?W23)=_4zgNch9$eoJ#z!#LuMvy_R_DOq1LDuQOoJ!S?q!;vfCJ@lUay zO~iM<%kbyPXCd+LPyyde{Bq(CzuxG}n9Mu;AQqB%biJl;#{M9f%>zU`0d9TJ*RozCB!Fl zK6QiL=H-cB!SUth<5v^E1!14hkv#weqvpt{)LUmiVLR8J`~#Ka=<`A2Ptj6$^>!7xnWy#1CU0 zwUK;w5ubaowd+{>Mg zyO;Q+%M5V!e4Kchd9&@T=kvfNuk{%74`k!LMEV~xj_TlrLu*Yw55Cvx^P-av@!elE z;8xN%5-+p<7ZG1Zd|c7wjJ1WPILyq}}%^;=f&L z4P8Mwf0_6%YK*b-gFA?SeTvERL#*es#Ji^%{aX6#J;c|1!SG+Qe~+#+`Q-9epYM_n z4&YF|+Mj;M#raE!AI8MVZ8QW!#Fubf-%UQ(5^ozY{_iLLKH}vI44+Q?OT=rwU=@_- z0p#--@q;*@z0P3om&D&oyotD1Z}q=$f8&4oA$H+t;%|A%@Y5)VGl~EG7?UT&rJp&( zCw$N3CeJI%XBqKq!Wf*zae5W;uW_C-(~9wKApW;O12~=YK1lo#<_Fxq^m~b)exA`^ zd#IKBP2vkV?oKh-+eQ4;k6Ark{a+&f`A-<1ceDOU2wd#O1&m{_CZ9JEe}QpbC0|PX zFI$a&2kEYc{G+3kmk|ATP|!a4o? zkodHVjll-m)#r$xw8ZL@r2PLuJVyih68TJ;VRE>ShW~r)$J2?wgYtZt^*o>Wd)SYI zts;5y*+~4T%dNnN$me~;J2@X|C;oBbKl!`yN7`RMUm^a( zTdcs@v>Q(m{~X7c%WwRX_#7@o*Kqv4Zl=j$&Sl0Q?nXbS693D04S$gM4C41NvD!;H zFC~8OJB;4>my3v(9Dcncl?DI6@ z|6OPGKaKKfA%1npPhCpiGP=V%9VRN@q6#F0#{PszDoRq zXPF?MB>o8TYnK}1|DgW=l=usr7d%1w-x1%yIB+rh<$zfxpSw6tT^|1R#6QBk;tJM( z7V+nqS9}xkX5w%AgfadR@ym(-B^3V*5kHLMr4qjpf6KkbK=zl*XEX6vIF7clU3U^M zFJnisT~81{>dyw8XWirdhWI0#kGS~o@5H|rnok{y08jFw>zEfMd+#{lVuvR(aQFf1 zGmH59yR5+Z9M{W<@0YfYa^o~j{Nhg==U-cMy>-OYL9RUv<|m3TX!&+Q`p zy~KaY`Qzu0whNCC{{j=YpJT&z5#K<6v5|a!OMKRGMtCCe{o%Mp4&VQw0d71VL;R8< z>lf!Y&m?~5g@(_g+~yEJjOz<oOZz?vuoSGSkZa0n7ab@h7jf`tKn9pNUUdY=q8#9d)+J=O@g!|Hf|f zP9g4{Y8BjhtT8%|`19{J!s}S>MZ}lhY4!OU%PkWB!9hmo{M!47_nct#_4Kn_h%e-N z2i%H&?j?RI{bQE+Bg9WX$?EeL*5?`G7jhgqKEEbj;QVeC`TULe6YRi;h#!XdTlD9y zP`>MU;?FbwTul12i2vYK8(-HmKAcbd8;cF6x%5^OKjrgQf0{GzEsnn&jDQcq4E=nF zc;{bDZZ{Y^ZyWKyGJpO_(*KZnJ;(Jiq#q@|k^bc((*K?KGV0p`;>W=di5$-Sis{4C zv>SEA8@SGRBk30qFK@K^uVT3u5})&k@wxUoyP==>shD zB>ouJt&S&t8}Ugqt)4D^_$u+%b;kb&>h1T4=R^6KQR1IvKH2sA_YmhC0Xc-@qWj_B z1rNswuY%7JtegMOAy~MN!V@sO!Y4fc`ahVM2p*oFu55|sb2KJ6LLXiidzAIOHWas9 z2E`Ei@Vd_w)bnuo-xovs7G}rPzeqmeb)vQy`pc$Sx#2uXZw&ogV(=F*uBHCr@m@aF z@bJ3K5C%?^{1;I^;W+b_m~y`mgEzrnOMSv|$S~p@!NYOaqcME`6OL8r!+EeD$I#bO zp5Z*zuRvepS3rIrI??!t_X)hJ{15Toce~lEwKkl*N#_au*v~Y|KkOIkW9VOq!S6WJ z>J!cQZC;yvLLj${8E!)F!zn$U;+^7y%C z-<}WIw@JXG^t_gKHN3B2D(Gvxv7hsSNAbBV2LBB8Hk{8a#L!O$@JG%td4|`^?yEOEoPT=&d}_S0pIyMC$&qNhwwV)EZU`ssIPq7deeW>K7{kMf1uqC=iyI4yI``$ ze9qPO9^yUvceBqhzxuf#Mh-dldpN%8jiG;Q41RMAem3qpnH-^1&G?<9SA zedn(+^?3{ZLU{kxwe;8F{d*H)>Uk&Y6JBS0l72S44tq)rpR;4|#f(qF>w|4E^#4PD z6yE=K6x$Wv57`sL=gl$rjWPHqWAI;5&f)!LH_(2D=Vg~OJ`Cp@zsR^QoCmuvrrf_$ zZsB#whhylUj=?80z76kdno9oR_1psWA-sP5TnzuaX!pYVNnVMe|32+%IB#=MbM!cR zV+=k!27j7yNH{;gnf(&Z>%Kxg5APpX5yQV5_yo*%!t10(+WGMQljGR$;XLgi_{hAe zCiGn4J7dcICH+n~um0&6`me>{4=a9%_lvjhr}ot4Ex$&&h1WZtiQ)6R82s|n%%6t$ zcfA@ze-Py#-uLnk`i1a5#w#e#aK8Ej^qb*z&qEeieI{_-5!? zCzzgu_vbgp(4WHb9^SXM4D=Hs_E9XOeumfgpJMzOUT?kpM5|AD-+pIIefA@L_&I_G z+Kupf;?XgDaxwUQj8DS*NscET-VgJZ7(PpAAHw@WKNLg1jrtJY?>fpnQh0yw?J<0= zWc(T4Pcn}339s8e5X0wDr5}5q{10O2i{u|(hxPErjAE(W+dH)z?^42xZSblByidA> zH~!*X4tSd!-d{54UVYw!*B%zrnKIrEjTaT<@j|kmsk0i8LW(59tD_4!ydq~fvp$VC zU=QO>S;LuuY`UjBFo0KB;f2SQUwCZ`-u~?;?anvjg$|``v%RZs;qc%LQsm&6qVqnczhv1(qAb zqp_i37DyLmOQdTp^bW?-)(fp`&cFa(_jf@#TaIr_Z8ZHwcuh)M<@LI;rA@E*OJd)} z&1#b3+U4~tXmx;|Ev#aDZ)QWKne(Ag+2ObH0rc4fNP#MQWAmekk=1(X`^1sjltb_|w>yJLH| zv9_vWzMJOf3Yp>cF(ozCs(z0tsdZ`W4RzJ==P#w4%a>XUOR~d-Y`z$Cb7SL7(QO(c z-W@~RG;^jXs|mVF+MS<|l6tCuzVb(3H3vp`i5}h%1H%a`UDRJDJiKG3um-QoDdq5z z;UHBSFRMzg&*D{w<)I_q zz&o44OPp9N-U~U5_gkUD(KfZIwl?O%bQxqYIP&K6YGb?eOVN<(@2CnjHo}5C<+1*V z6LG`zT2Qh(_DTv5VlG7NV1-iE+kVX(?u#jg86{4vc)i-dUFV|O}Rtkz16*hVXMOADq>_fb>W{P=&b2HYJ zkzPR{3P0GE&y;!xhX-00=&%^#Pl+8OTy?{AF_qv|xoxk$Q#u923$i1*?kr1)WZNjV zp-O2?UDNmB&Knz=qAM%vYn3&9*P*#LFW59)s=*GrXc(^wuegFJm_DU)sd;c{{n#6- z=w5wLgVdEpS8wSY+^ff&HN)pIsQnq63rL(*93lX{%`|WGK%x^(Y z6gO-d>V0l-nZC|Ajfx6Q%anB0}hxKZ#XK0w^6Lk2Fij7hpaZ+f2 znmUK!2-QOAkA1sb4m_oe~QKfZV zQAMJ(2i{Xc9cT~fDw6jN)xFkq)(l^Chv0JW#A<+0no?EDvUbWeYSGe8yj8Y5oDB#( zaD{?jS-@M9d+4G?IMxamMQ8y~`+-a;Ry}4-7p0exvkq^sE+RNtST1x+kTOqRy;n3F zvX|@N@B9QR5G~(XV)t6Rd%(ug!ci<)+^$uo^EU@VM0|V&h-RwFMC~Yd8F6eg8?>1w zFINSh+%$qKmvVU&uiv|Eo|VoO5j|yk)*CvI#k*GJJ&=f<2csKX-`Fr~dZZX|rLjKt z$}BG{rYoK6u~+*-*KtErL);CBnXRIzUMZWln~UoUakZLNJ0phGENFj}gzFn;O`jQe zWkxKwnX&gY#5HEttk^4!GrXKkC({zXWJ-8hF*Gx#QjIfa#a+RKNnXiXE~ZO^csZ-c zwy`m;o0{t5n$QF{6D_KySWz|2h`nbqwV-g$(`y*sQ;sy@7Hbzsq_2E_XG11x)kg@&dVMfhmlM)357&@VkcgdMoI*-}0M8pM|b$RyIa(#>GjPy`$T{iEBh^XcorIMuOZK~;V&T2U3LT?OC z`rJTYx~trq#_OXoe53jY_2+OY4QIL$7=Vu7G_jS#sNRt6;SiK7P&iB`v%_9VUhthC z?CW#i_3E(RW)}(A2rLivU^w=8-B-y3OkTpvca_^^qb>Ri;&sd!@m_kP@)u3+!YNQ3 z?9Un#3*O8wZyko&DD>u}W!b_=x;xX|pY@R6>p^C3#0X)%@aEG3M$-T+4G6Ux`ZF35 zb}XJ(m+l7_p`mgLz6_YQ*o(q@F6FUhGk)6_@ z6HHJo#MD)aaZySYioCC}&KE|577jObw`OeOifyd*1+{W}cEB{pUp~NvNM$Ks#99{A zVpawEwn2H9u6f(arIvNsZn|<6g9Wx)1$kZY^m-U}2t8Mlys97#GpdMqm~=n>amvE5 zSO#W~POCtR*IVP&)WzILHjj5xXNOH^k!vXRM~kZ@#s7BSlLd88XL)H%-Kx7|f=a2Q=FIUIc*xUz*+ zkQXNFcM)-#7C5Rhu>aBRYHY$3CHf9jKk5Re$8}byc9ZC{SO)a{kaSroYi|k{HG)nT z6u^bbZ$EXwRF+|_{0iV{ib;%##7rYFs4@Ab83+R)GRY@8<&IUJ88L3BX(rOCkdOyyp+H~w3mn=G3#Gxf zXl{QQ8PsAPNoy~Id>wpSA-fi#2VnT9Og>wL-`1bebwCt@+z%rA!Gc=mhz!FlOwOVj z+cXPxw2sT0Q0QV6%jfY@@$SJMysEx{V8MCj9!DAh*}xL9x!}XG9fUvET!=KT!h-Y| zu+jBvoE{zgHZ{(OX;I_M2Cp|;>hAv+3W*8fn;M&9#$yvyBvPJDF|&>)iN3ps;39Rs zj^6}9g8M3o%ChnUbETd^By6+2nR1?H)zOICM9^#9MFyZO!CVV2>!$x-X;%{)*-?Za zA^a(VKjqr>~`cm3(Otu)24)+kKc&+j$s z23X%P?3PyluzBhJnU4bBtQF=Q<=}sUs2%uTIx{%kBT}pe&3nzu@!Z7EeUHY;QqV_u zkG2WX;1Sj}4cG2$-`op(w{}BNWi?*79hTG4yAS0Pa7qBa+z5GVo*mvU{34HRnr0P4 zQl0gDI+&T4S#E4@WXhZ&jZnH!r&Oqk@iXgDiN@oUM&E8M)q1GFJE0B4M+b*_n~=N4Fj?=~-}TM`#2 z+TJB$MV$MpYoQ5|D>9owSC1`jQg!N$^R8S0tcXk-QwzQ9RWYbbqOkIo|%HgDbU1q3#n#SyTY34@nwpsSX{loQ0IBa2NV z9H7}B+F2(Gx@wJ5Gqhp6b(PgGcH<80WI-D%8@h2Q%OhB0AE;OV{-f7?scJ8H^u%8djoKwA0CKa15V_DROXR zWP+t2!fv>J>zS~3!!+QH-8(TERgYW)cS|iuSS)!-zi}7wF~p+Bu=KHaA=wh;R4XL`#oRboTxpt(wzCPT&OvsgoL_iE zAU{Qh;M{P)DyR~cC9vfs>z&VWLM+tPc&o)tvF~AjInKiMgWFfP55nzh*Y55eg`@4O z2YW$gWz1xXRH{6$4l%tmcO*o6s&QnLlrUSwQcggX^$t$uQ(|ztHfP25)I#AX;sOFP z(XQd1y|Q4J)u$~XxlU-YAgrM=(HwVq}V>fBmw z7$1SY(ELQ`*qhCelp_>6?#&rfg9kqeNTUjZxMQizlB6EUBj{BjfuFx?SGKa~u?S>| z>rL(l#7$TEyGto^1uCf_qr7mc!PV{{KeZ46J50_v72Xyfp+wUp5qtHSsG-er;Mbo& ztWtcRr()V-rb)FGH{J$0m1thE?M0Sdx$(tpXJKgHCdF0}J21k|Sqr;Nlp7Xf$UKA6 zRxq6(H%M}@TnWH@wrEad2WZ+!oMY*;hC5Fw(pwb;KYocwzN7icGB{)*I^tJA6PJS9 z(=&Ak^D|={TPv8-Y_gamOaQZ1B0M@OkFJH?v?2Kmx(>01$f{#JUkK{LO2KxT>l%^h z7W*&J$xIsqO`jt7e~ z;1KquVzU694C|2+2$FQDq{$j;)RKP0hlR;*qs(N?sYKz}PI00jwdOB>O&R zjqhLqOo{od3)Yua;7DK}>@1?MOKoZ^DB*9!OCh!;Qn4APi0E=v{g4ojZtj>IWHa_m$(C#88DZqaRAPn@=nh z9IXlx!*?yxdEl;$ps_le!-r-z zVjyYcIJ6*%k~Ur#sut{T9(9w!ZBd1s+E}0;OtA7a6$9aZqVw zVbfb6slZfs#19XUR~U|&SQz@2r^K@=W2;VU*jXUC)y-s;#~7TtuSku~C6`_2q7xSP zR<&ycKVYTlrcq?(o*H&dk9dJQYKBKgma^nrj4q>rFNY9VWuWYVY9emNw<{% z4f_QOG>st(Sa^62LPlGjN_u6yNDwG8idHESMllP=!kaG5vr^^zpeB38XzGF_Ap!-8 zHkD}alx~WBOJY^NEhW;cf+^x#%Do!qOZK`5YeHPwoi3PyN3plr(A%9C7K2%@99r0l zUOgj1f}|_-a`@%t(3#>J5QDy%a=vX?m`8MhqDGwT$cM3;6iuu4hc zmT3p&mJ}{+$T-?eEfZ~{^kZDFbQhMKzZ{vR<@qGvdQi1Y81p#=j}F)6Kwgd9q8rZ};+8qP5KV@*WR*m5JDj|q^(4kU8mh?hr0jGpwyldY}m2m4od!g^!9 zfgGa2jKTY~(iT^FT#`r_ZkBGrx?uXWc|I0XqMz1|PA3cpPV=%FnN-tBJ~*Rl5+an3 zh>Jw&(yD-sY0}x;4E?;*8b>2s&Tt0XMvAV5sHXNQvsKdJ-C zLo&EU#aQ{$SeD#W?Y)&F^AO4vURUK2JI)Ztp(aWnLD7#pqiI^HoRHS_dAyNU$+{dv z=d>r2p?!aobdboenDX3ML+G5ff@TM%@J2E{i^2{89uYOB?meC^ru_DY2QM`!9`=uJ zV#D^=1R$)ymjoQO+DMye(??tyLnR2PaGin9bTX9@x`TDJ-Ps8j8yi`l)JZ|PAq`F#35@Hd-r4K7O$s)j9((m1J3qT%i ztGm>3pF2OlK9P3KK#_@MYc#vtX?5 zqC%(;+W3aGTAHd&qpV-Vk0?FNWVFy1rOLX&q=MsulJ*6)X5>)fC0T@2`^JaBuuz zWL-Y{tf)O8i3P{3D01m&Bx<~R%?*sWyg6e*syeJMK1JGF*2vFC^Hv)rmR-sdzk-R_ zYaEXk4ROuBijxDm6L-APJs$%ylM+lLPjD#9@9`x!0nf1cw%E|FrpTLY2q!jh**Koy zR?a{hvL7~P6QNb32V+iN1as0wCw`}(`bL;cApDw8919%?Uairf16(F^4ucxHSPckV z)}Td-@C)kjf`Vhf^K>2cdOM&o>yO&)8P?S9;NbN98dUO21CQP*_5WOc2EZS}-y_z^ zEAP__FTZ5Ll{f8^?cztE|82%jopC8Z$UT%F{ z@7WEzcKAC-s4sKmDlQ`+q~vZ?hY?dN;!VO}s~mA1_}v0LS0Q@$wn8 zeJ6r{5$|*2$IG|mj=$}l$uE~W{#KoJalvQa-xTi;*5)!Gt&um2t%#N+?U;JtiqEk(e`_5OZPfxmF7?Y;bnH?*=k{~sB=uiuuz zdwKgwV<3aSV5Jv7#synA{EPOx@Lv9#!V!I{7Q_&fZe!5pK58Uf215B_15t4)5h3D)7bc{{B|s?dKAU zUL4NLKUd)Wcl_S^ox=aAfYgf%mHW4G&%S*AZ`pgfU-`Ylzf^e%VHN)GfaUxvY=ZZB zD!i#Pw9pUR^5f+@(X~9Ve}6OhwTc39IB(0}nXT6G*;9Yf@qco`HUI;tw*7Ps{I4J5 z&X#3gV}t0E-ennoU$I)p=8t^&vjTPX)%0n4hu>i__$vK&|N1$B|HVToihmC8<<||q zXkZurI{Ca@aed>D!+9O=U3YjL%eMy#KMh*@6pAl`Nu2L||Db+z-qDu&|G8Hc{*QZc X?J}*%UaR2u9{+*>9#jyn+-Cm)=_XRuw27&^KNDu`zfFJ^5L=g~!VHp^ZNLT_9mk>gLup}WQfV?7+fF`t~ zaYx*6M+J>Lpkh!Vpr`>+QBfmqJ%SoH1XTFWsimtDXWrlYJ>Q?-@C@B``&89Ew{G29 zx~H=wFltz%m>8e_H1-YjF;#V;l%%K&E8|aBXFgwwub;0O{_pJTB(Q$(%D+$I-)Jg? z`sni|iZtusm6Uho^^|wJ3b{U(NwF`boe<-{L~+sx|MOCr%2%fH>0=rJ_~>J-O!}JO z$nQ2*9N~C|6#Mj%SboyJEt<;nise$FhR45;7cieb>U?96Pf?J7|KtC7b$zU=FPdGd z6hD1bbOg6HD5EjH!!J$uonP_N6O)tjCp~{Sv31rTJAKWsezGg8$invud|BUd{AR>- zi|O1s$aMU&j!jBdH)(W!>pQyl3O0?8Z{$0%P3+*LTS|t;=eBMfe<*fFVoYpo=jN-H z#;ouqp6rW_N%3{`#l@!hu7k(;V&c=zNKEYD^G)^nE=dd|J>K-W!AUSetE3KPEgC0Y z9)DbHi+1psu3wiN-?Yu(CS^^NVyFv0SK^zA?__-WK@s9J4gXJ9=QGrihg^JT<6D65 zTzm`hosX~nEYK%7UXAa6@Lg=lu2tty+_x0pQhb-;yBy!^@wGoUAW^0SD{#CK-w?hx z<6D96ZTQ}W?@D}E;mgmx^5DB)9Us7PHNKDF`zXGT31d#P?Zz`FS4S zm+@VX?*@Ed!Iz&`@!f>)W_;hkSAVwPWUESS$8m?gfaCl4*5JDf-w*KRXE(kdi!+~Z z$osF)T6@9CSv#9v`D6QObAMfP(a+y>xNh3msdHcSPrNGk$_swUe)`=NyN?9cop(*v z!D*jAT=vS_!Dl`{Fh4Zo@0YLJ-FrpxyH%Bs7WD0T?7U@9J-cJe$6NO}#rK`oZtZIi z4($2JONXkq-g5B815;`apSStCr=QFVpL4=<+b-;R?~xDvPv5on@hjeKT%58l-~IH{ z?;e{t;pm*;q9K6~4>Uii=_hxzogLr&=WBlX3o z=$BSAZ)vpZo#S8m>_5+))_vg1Pd&UL?$kxERjlan$it_d{8rrw_ivaw?dE|S_m6(* zhLX7lq0=XGGdhge|JI{Jt4{g!+ykMc8#^p{c=LCc583$jt=D|}UEi{uO+L)M<(028 zMxA`wobwO0JeD!1;h34b$M)E|d3^7V{bp|a z?D3Kj-}Za4?HlWMt$#E4P=4nrb@4S%eRtYdr?^|&p8e4FU9HmBw#@tDDpp- z?TH5m=D?7jQX!hPG&lynk>C*Dc9)Dt5hrq-=UGGcn z(7tF+?z`u085Df?$&oR;ef|ATMu*RPw%`Bzkx_XoWBxp{`0foo`&1>>sb-IOn2UJU zI>MD)1dB-WnZQPp??NDoB!44{ygW+1pCEuoDyMCW$nq1KN0xsarThz{l(RMpJ_dXf zBiQHrDELRB$d5#+_q8bY>=~t;=cCy3LMR%^ZmCi1lN&{!U!%x7M3FCwB5xbTZe64B zIx9*!KSklSFpAw6=OfwSFvihH^4?MOY#c?O>?r!DMd>fcqLecV^>)Iy;U^bM=Ae~D0YsG5{H*Y;Wa3Vd}b8+z-F{V3*V7H5vcLeb5$;ID8J$xA@(f< ze|{4DQl9)46vJmJC-e9;6Moymmpnwu6HzWdH;DFX;agGy06ycy|2|)_s`rHxP!T@g zV*SDomw&AH{%um9Z8DqhGhv4ozS~uOzkv@wJyg9vjFa__Q24(U{st}_@aYS?^YgpH z_k3C6KT^C(aqR?OJKr}SbQL&Nj1Oxwq0c6@(6~h5n<-vQ&ClDaoHM%1a+)Sc`7Tw? zr+s8OI~D#irDuGZls_clzAIGwj(uM0*-7bno|51Dh?Ji%556_Z-`-y)1%6e2jVeF! zDQSlR(1V|YP>*)_c(9aDlVTsMqx}6{Qt*$w?7Kke|K$x*&vO+%q{>N;lR%BXU$x`9 z3DOR<7e5D;p1W2`JulLJg7%O1o#fl5{7RzX7s{S@x0B_xkq6%`iq{D@%YvtyYcYhO!W z;w%MJ4G_Of1Wx0jW?`x#PxL>2drD8Gg8#1xtTd#RT1KgymT z&6I+9svlhq_1KQjUMBI=l--6azQvq4;d7q6>^n)d?`=;?`2tn%O$z_$I4O@+<$SH| zaQO?;4m*`Tt(E>ezLA0zs{FOeo}a!e<@;4RjaB(gmHl#OwBYS=s;2v!(v;O0)X*0!DoBs5BVI zZ+?0zyA>oVnU?1t3yByODH!*PY5PRjm|seX4*X7lw@e$u(Ycv^+(SWcUVWC1Ny zdp)k?^HxdugUHX%9>r_SKAApVmA_Z_ql=~DOO>8`l|Ju&EP;O_&CevjSZ_`vS^n9o z9XBicWS=ALut4D_07iVPu`>U|N}o?uIS;G$8YD0KURL((qWm*W$v;!}9CwS}qf&m2tln3u@TW0A;q$nx-gkn<<*wk!GO52U<>k{?v{c3mn3+McVG{#$m)a*tE( zyI0|NsP@wMM5sr5ChqPkGN|Ik9xC-;r6wR(s|)ujUSm&?yr#%%e8q}ajPjG=O3rp; zIp<|ayzWQw7~d!_QTprnR;Ai~ce&)N+iRpM=bTBhoJDGU+>Rv6Szjb8+^gb4j*2I1 z)VQF>qf?art5my>R(d|7$~lpnAS+jE&<@n|mp@c%;v;~E^MLE7{ z1%h88M88{W#!HW zVn|_5R#DCn2^&_JKWF^a1yCh3Yu>yZ=&ev=b7tpc&C@VnK|YF}o>P=LB|m?5W`03Y zZhoGxc;4)soB|X%Hm4{nH%}Gmo0BsqyWnbwhvyV2*@(Pp`Lc}cxtRrp`O|V|=jKh% z%*mq}ruybcB1X44bF%WLjw#M5CMB(~5l+l4nvqtRUjTh`bLI&Jl(q(AsZ~!Xlrj>b znXY4S@w90=ARarqf^r`31trQ*(PGiv?(yX>3l`)abIY zIoU-fe`;1iLuh*5oUDS>oH?VX%xnNHoRw2ZTTIN#EgA*)GQ|weDlE+X*G1K+c3HCN zYzYkHC6#r?S)!pi^NI?Kvy1WzhoIG_z`5X^g|l<=JhP!?rWa<-p-(`7hK&CY-G`}5UW@qU_i;R!<0Z!xWfc`$m5#uw1EdgIICCk=os;96K8FV}qKuo6I!I`pJsYRPoP0fiZW7?tZu(DUWI$ABQ>i~%q^priP-eCR9O)w>W_n3FA>3qwX83!(+4<`&Yt zQ+WiHc{x16H!llpbeE~;Z4IPel#?i+kw9gA0=lO%DaU`xpn%x<&c3ee)M$sy`oo2;WubvREWw?o8QFkPs&Vi%vr*uNKNVw@wyd zMuIEZ7;-X;@(K23PRd&#;6gYJ(MO1ZDlTAr$;2Rn`B3JRtBWu%hFGjcctZ1Cow)#m zfNys0l&Q0O&CBoAo2T;`ffgdkL}3x-=kgRwj_e*in7n|=>Dk$t^Sq1MQl2SRDd^Y> zg`F0nHrOkN?Ey1QnKw_>kBR=aRM*diNS&IqzMkw{y$h_?VE@CRkx&0?tj@Sl=GwK1cSRzkltuEYUtN`HT}C53 zdl&g!mmy0xm}a6hmS|-4&-9g8UvoT>Ca=ZdDY5@Q{__deRoG+V_D~|e#i!(A=c2i< zm)cLGRo=0#7oBx0R_L+53$5#Gtm`s=(|Y+owXTovdNp<`{Jvpce!tIE*HOLi_FL}6 z&cJcLOL3h#waLxJSu-E^A(=i&q?`D%Rr(fzZ|s|+(z59$`sGj50 z@-!t+YasVsEH^ST8py9ZEcYiSH<16L0%$cy9U#!yBBdF@y!pWHwmUnupT z(LkQ4+gb86EP0|O?_$ZjS@JV2d6FgXX36_m^0O>?iY4!E$%B@> zhb2$5OFqVu zFSO+P9wRT8SaSU=73F1?d^`o}Q(?)|wGhXZmVAOGUv0_ty*OT8W63ite5EBn*vy$H)s+mi%(7oM7pJ*r4NIx2mfzxV);U(XpCf>07aHdWd6v-oT|}UEad~ai^!? zjOiJ?9B+^ru=8zvw z6zNG!a|#xY7wNG~bLbEIM0zCC9P-0;MTr@s?_-)nbU0C@KV_Ojb2wh4cQMT&IqVbZx0&Wp9IpF^ z{NG?Yk?C5I-pDkkq~RKoevWAl#o;QEev)Yp!Qo1geu!xfz2Vg&y^3iLx#0?tzLjYX z_TdtdUcoen-f)3PFJ+oTZg{dtFJhWQZ8%M&3z_B+8%`1FnM`wN4JV286s9?(h7(14 z64M+?!|@_LmT3;5VV_8kWST=~xbAP!{!DYo4A+YEg-mm(4A+QsZ>Bj!hO0!nJJTE* z!<8c4iD?dr;ngC23ey}4!xbXknrRM!;S!NPj%f~k;R2Cv#59Mz@MMubvJzTFT z{*`GCap4q^{()%@ZQ&%5-p4eDv~Z$Gf66q6vT(de?_!!mSlB1hZ!^uID_r-NXn&?t zn64G+jZAZ>3fGAAb4+uH3Rj8rlT34H3RjBsLril>3a=LFRZMdz3Rj5qtxR(W3YUoV z3Z^;qgbPG^DbpNs!jnaM5z`!M!f7I1$TWwTaEeIJWST=uI7y_ZFwG$)oG8+hnC4It zju+{%Omhec`$T#q)1#TLJ0jYjX$~dfT9LkxX$~Rb8j49Gl}LAInnOmoQlvXE z&7mT^TBJ{5nnOgmLZmOeqpNRP1=8XZ3?;{)!PA2w|6u@w{SO7pzb!f$A-fT94B0KO zJXSlcSKR5#1pdl58Kq4c`8P9PsLw_m(t~FmMgHLCqm6^*b-~T<#le`Z!JS8o+M&P= zx61-su)t{Ll_Zy=9AEJ{!P4ZLkpV?QpB6zUx$v&8J~tT_a)bD9t3M047^+i3#eRDe zMWWRSzcM@x2%cf|nH(%%ye(K>Too)&Umq+_tsGSzSTi-~H0i=V9xM+WI=3oV9dKDl zuypZyU)=KD^0d+yw`@C49sl)DboF)Nehmx~w`>iB>+$uuGe2wW+rY6W7)t1Uj^DS5 z{R$=-mV{lPhrev>bNu6w6;|wmQnuX&130L2^G3!$@kkr(kBsgMhz0vQ1N5)~G3aa1 zU%ddj86ZGP6eN^z6jGSJBT5LCS4*8%0VK~p__VRFIq?D!vDV-tJ~LJ2NM5t`r_RJMs79XjVw=Qq9}!9rwJ1i!!4Bgg?q>wZ0T{{Wp` z5PZXcVlB`Df}REl+B{$&95{42R}$g?B6a)y6I37A3ohZ2m=A0!1N3!H6x=`2v4Z6R z7wzf#id(=2^!zZNWehq2w>qT>VZl)P-k_7ZjiO-rdoZB;<8h5xBn-3sARxE`x2bmj znM;#>Q@Z#Yc*izoEnU3ES9Bb%uc;QJIFz39I86vj!bk1~N~$>wYHmQys4rmy9@E>z z=@(4ciENg9P7|JktjdIs+~S##a|BJj3>n=D(As|zs#>B!TVGEE$X#$qm~DmL5`Ca$6TAP7C+0fMop8rNWAVf+>OP2jGzMD0(~ z zg$N}@e)kv*vHeXIcoGqKV7Gu9_fksYvZ~_Kcuti+IcSO%#e|;`j_FT)w5zYQHbwZ) zD(EU3tm((_AL*|Nt$Ma+MG=|E0OljT)QP7j7!E`(MaIfH@M-32g|TgNI+m@a^v?S{yycNk3#eakV=Ozm6#l9D+?~iFW-1hYCN?V7kal;4ab; zdeka&P4p;TTQ5->9_=HI7z@t`YM8fs?w@ZZU$W=jQR7SRxGGls4>x-xFd;Md27c??Ah~zWVwYpZgt) z5BZ-*2@WD%-0f9yFIB-4MEfp#l?6Edjt`mkHSXVRw`t$Fv(UbIvbxT!E(O8N@z*`* zwQtUi5!<)68^B}W<)VF4G)T2?zu#zW|5;kRd1Dgl(4luQDs>Z(S2pmqA2e1SGbgW! zFZ`U;JqIe73Br94O7sYz_4wJH+80Xgb6Dt7^lZo9+i3r|6H)t4)c!U&q0oM*1}W_q z{VKGdrNx^!a&f1%e}#D`BCph^3co8yi1b=d1}P4cam%YEl~t>4Dr-C{*CMN?vK6In z;?RtCUqvb}BPclj>By?avAruiDv7{pb?%@#+u#jiD)6!aaRIAAw-EHOa9#iH3KB}V z8B+ASRXpf^_cTC~*!MfpEMIVx@1VyuFFqYYmW^CtB73GNCAkO(x1^4i6S1hYDr$V% zXyxuGbB|;0!6LVR)&s_C??oL_oiR{H2kjw1Z%P!^C(mKd6^Idz|3YL`#(JjQGnPH* zw;}i*IHzd$6&j@4eW|Q}o)kN&2&*D6{dlHjpb&CPjkeY(zxCQa4FlAk^5Wf?7-NE* zT7`-6dW@HQj4RxHe`HsfhqAOQyn^aBad{0_SVD!@qQg4=E09&O+gawZqZM>3uRuok zH8`(ug|!A`yTbJZt&|>Kt{|a=WuzGDb2AUx6&?miGTr;Wc7-pS_%NN@oqQbvW!$o1 za13#}pha>CBt?ng4FDuB6<4z{K~64}Kn%y>`*8`*u?GVcrhbTxhalj5q6$TQ$x|n= zEjS=>D6VvyNcpqwv#s?6gi@aX^wHJ|b%nLKSpaK|AvcB-$KMNCm9-we!LydVNLY)E z?#;g_Yh7zV_DKC0K{EkDG`n0uFhzl5Y=gNT#8uB+ML3Uz$@kp;neyj@Rlqc*+5bV;fyehc477INwDWh3_F=nar%rf%c$Y$wN$|7kBDAopCe0I}0Q#!;{ zAq4-+p?)$3gF|f*DgR;YSD3NZ^s~?LZUSwvE}da8wHOcM+--}H<@mQEt1{StWf2WF zl;AUeQU+^lK(@gK64VGF80@!FS&nh2{0Fmb8@jW6p7Q0?S@km6uhaMvC=2_>{AnNXiS9W73O10*^1fp*~ZCFd+_ zL{Rmg4sA+nW5fdR0TeHRe$qfsL0Ji(psY>Yd`8pIWbQyj3CI7_ogR&BRXsMD?774m zWOPTPaxs?}s6lEjae+(K1658%H@Or&Rg>sbYHL5x(|*A=vAAB}IPQ8iZ=3=hOe>fz zq+vknZh37Y)bAzL-$#v>Ac|oB!>IpAiRW4N@YjXliXW6`EioXwTb@qPEP&uyR~QI~ zTiu!ogaiSRrhT?rw1F7?^R|g~-rmADWw+J-gCpL7+1>mz7qDT%F_@D zg&Z5SVi4h05<9ENit|K$UY(MpPa*DSou{aCOl7Ox)5MC7!*sRV48J^8+e)aVD(IB( z9T;f7h3IER?HkHCi7x;6SWS7L7Ez`WdpIjf}5Jb%dZq$Ka9m0 zh{fPiT#32$8qBR%R|j@bzv=+@mN_k`<*_=jM;?S-H6mc=H5Ht&ovu0cg<_F7wYNBZeX0o+6X}5C z5l0+<6T|81YdlW2*EgcNIo~Nx;|<96`hQTmn*tCxovR?Bgd>oG)5|<)PL}{AIW2or za1sG~m7lFA+Ej#t^)ejns22{<`ql1`;a)h{EyKYMhJ*DY9PD5?*bT#|aBv#-U5sH& z3~hv}bvSst0}R9ab!hB??7RaID;)oR?5(KAp8TJPh6y91I||)Y%#{ZikZqW62s)E} z+3~kC5IY=*a+&}l%`ykCq(f5+vy@_NR%U@0ufdWa2b{xvXNMEm5e&8A6po#GjoQ#n zz6~obY@{dO&STR4@qo#R;J>lxk?1hWhK<5MqNM0(+!ZJd>}V8LhTVd#%}9oQVzV*q z-1abRP#X4I`p3R4H0-U&stns~k!M(YaPrY+zkRI?yYFfbWDib9P`djbKrrm<24dge z2ov%YAkwgHpc@P;2B+=_S&=Qsdljp3BV8=qm$`DSQd?TvM9d<3z+9z^_xdoLF^ZMk zj_WXuOz@Q^{R4aBu2-REH8wbnkxZyrR%MJd>J%7hoHSAudB27}>-gs&t1{BQg&zO2 zfYYI-hu{w~!uwt`pbi!&iJ&I{f{|7!NGPEnr0AB3sM_n6F90OX^yBNoOrLWe)mYH? zXUeRD)=vV?y=SAG*OANTPC>Ausp6I?pSdMuo5OU*VpOO*WAe!` z$0Sip^0O${eHuO0@n40k${Zgo@XTTR%noF9U;j#(<7or3eP$~`s{n#I90N%~ecG&R zVH9@(AkrAMn~cvKq*`KYPi(gaJ7YxSs_QMv9shIK?li4!=-r7TG`*|Zf!7GP=~phaJrpDd%uq$DcpaP0rANi4SJ95{Uksz z$UO=YO4tP{-2ZDHwA;Q2kTl6puVPubm(G8^aDJ{Vaj%Y>GGdj<+i-zH;vDvQa9AUT zU+!wDn>P+DypiD@RoozIN?t=XvOl950x56a>=8F_4tTl6&6`v!_XwH$R_5*^a{DjE z?ybIMV>U<6hst_EQwFqNYx>yOa}aDC|Eb8R40>ykXHa`Va~Z3-7JXJMXy#~;TF~Uk z`X@-SlM1!d_kdw<>ZpxafZWoEPvEvi>EZ(zh%Z9GloXRtKkrY?M3#+m$<=2XJQgh< z$GQi9D%xol_Fpwcb608%3e*%IWhYX5ey1|$3Iq`B|01KJ_{_YB6z8*l+=IX-_kT4= z@BhmB=P9w|cNZ1Z{3?)D^4q=ccSJ!r8E^n1r2i>%6G ziwixA*}d$$lK{>|ZxFpK!+`8w<`Og%AoQ|63KB{<04W^gA0D&;M*}1cSO(Q(FZ=iH zg>q=CZ!c^Sr6dbII_?oY$mQ+N=(iUxv2x!abJyVDe*Ljfum!epwR@Q!^y_-#UR#0Q z)KPTcY+i(y*dl+{cWV!++LW6T%Lh? zA-6QpbZAt+?b8@x|Lg6AB&Zn4721#;COl}5gi&O7hGdrrQ@N=K9*+MXY*Q+Bg#{iv z+ZEcA-Bs{d;R>k+WV^zt1of94sk?%N5;~G1Vg(P{6@~&NnJ#`=yMlw+E^jZ~g1Kwl zGEQ>EDQ+)Ra5kG5&H*6#R&li-g0qvdx@7c(ngiIv(!cUZq&N3i-lpaG+ z0zl|4O%=r4R2YS;o{>%mN~)Iu_2f+jzQ|369I|_%e zK*dtWp*X@eJ9>Lsy@b2kC;#qn=JR%Me5^CH@02*F+9{K9V%49K=gGC?u2hx|H6 zmSZ-6`{Al*ljDJsHW>=_q)o_oJG)&9|=GCVz#!4 zzKd`Mu6j246DVnuB&a8CGSC}%o0Rj>qyKUr;Y32Qg<|>1KGSz%(B6OXH&O}++7+N1;26E@j@w(2-)Z?Qqgsnt$W?^Xcjwj%Ok_o=4don3S+&}6W& zZU?NxHmNa@ne*QAoH5aMnn>+ApUn{bHafTCUxcj6L_g2;*fUh?>Fi2mbU)jzO!T$^ z@oDpVpqBuGi5^#wP{Jx?MF@U?2OWYp10*eUPA2acXbWO|;Z)Lr%>!r-Qj3aeK!so}hgn2u>d;NGPF| zA7KSoj2RdY!H1wyZ%R@&;?2c#=`>s@CE61n`YI?182LMg!FN zieu3!YS1@)!Rr>+978bm_U5-2>IOj(;_> zD#{5)Rh#kz*vgI3louHeh+_HtHC~19MkFvK( zaR8f_k!aRDZD_`lW!+B0Hdl+`5I(&&xERia$5tkDbFXUk)-@vjz>AqqCAR}zkFX) z4nj9g`Ml#KbD?z6L1mbZ9QfLuRBJsc8)x@VlH%*+c=S5J)Lov&ZM~f$@Rjf)BY@cR( zPtOi|vr(!S*tmDU|#;!^Thq3&;OEwmKEt+-#3+M@!2_)G{4f3bsQHsEq|W z#%4|e2-AEX}Yq*U=4JbD19 z6;r8Ie!;KK+^gjTV={DR>q4BQPa)1Q=Or~f$A>6fnxW6}^m1Li5@RD)-RMO@3pE00 zh7wtR$sV5KBg-kN6$vlts-)xp9!vG|s!i<(#lBPQ+u$u04Olm&rHI^4N(y_JzT>zB zyQ!vy%slsr$4v`;)eJ3k4a*EA2j~U+(EV`#2U%4MHJj|UP-ozD0IWpUbbs5fT4-OU z2eKc;eTJa-07A>Wt{|ZVX2m@BWmN4o&L;q^Cs(&Mv_s<@VITXaF>kGXLwUFa|A8eP zF_yof(9aAL0wOY3f|plbHuMk+@(A zi>?QX*;XxH>QRa(8(6=%hxtw1(gG+bGx14MaR;A%kO(zpTZ)~;w0;Ux1q>Wi_)1V= z$VJ?cAHae|!rP}T6UAUN(iyoBOQ$Mq_@klUKURZg!H;`t|E7Izgznlt?18eFG-ObA zN`;-i!VgKd@rNY+--uhb)I&9}Vo+|FAMzd*C=nW9qRIW>?r5@&4>E74PYXmVc*~hM zxV_&JMrfEz>&q<@rE^QIGjC>AG&JTAX-v##IRh%n6NX~MLH$`O5#$vrb02EnxK=8& z1c3s|yuoY7o)V}5=Qgz%N zL4kzi{2&S&@1+43KWuXO!=?=ts0u%P>VV>; z?g)H0zoGhFbxI1dv+>X|s@(;YoAo|6+xQU6dhrm8(B0dhPZPJnuvJi=zQcP4+~nll zF}12LMa+#9L8m`tBIr3Vl)jlWt`%Bg^2J{pFlEnhWf&Pcp&MqbTpB5K}r zHVqX@{txHH+t5!P|3YL{ynf7x$g3+={si7Acx^Kvn^zZtUJ$PAf7C$iXL=?Oatk1m z*O3PduWz_cuJ<_TC)l4fjMhMHnd>#=(u|h-!ANa|KEaXsAUZSle~?u%YHu{L*A54e z(QT#~9h~UVw$~2d5wveJJE#8x1qmhWgA|^66%RU^{0NZLuB+s78u`wgpSnt)Yr*-jsDOiC22YOsT;SOtv2HmkN&_+02B+9}b1Y*z6EwUDg- znIMUW5_(8hWvJR?b&|&_4cejq30C}5Vd#HVCiaQnlzKg^Bh)nQW_p<4V)$-jFzhQC z{=zsv5a9>UzhZAyG0aw)VY}9zMZXID+|gBv;XnhjXVC)G9U!z@djqj8^#aRj28e96 zg8KwN^tfZ;Npf9}34plMfuk=N!>w%gxptg#=tpJyLfuGA+oLq?pT$A2=isz$wOd_=05 zu#vlHlcJhyKsMFO2ucSCREHVJbc^aaq}m%0N%fw44Ateaf|jD%a4W8{O*ISKqmifv zP@JaPl2nIEs^>CTUyE*!-~S-1qWbW-h*XP^(Y^UKMfF+(vZ>|~G!r0Dz1%=-s%vSD z;ebf0m8%TZRn2q@_OofNz!qpET6xH-Xpo<3 z*T1T0J#IiYt-A=i4It23W+3CO7EC6s0zf3KPwqCf-ZZQB_%0>Xk#o+dTWD~&6r2E%hhVHjbO#ke{cjeY^ z-4ZTotbN7Tu!R|k?t>^&)6M+{bTjBEq2v%F!>8!Lj(-KRD!Ru;N2FVWjPCvoiteWd zWYc|{psfIb?#l);-)h}5`uoFxNV+X7x(BfffGB&2V+$A6&e(oIX9XK|Bfh@ipTBU$ zPQrVbbo@K8b!iBjl~(1QhVa6_L0Ij%-OG*?M%Q-ycO$DJ+{!4*X`mh=CQ{KO>lNYf zrJhw1EzlH#J_JaOgiyGw1lJ`EY=`fc0h(JCR3P;5aCYSp**HKNkKSZM>-t z`Q@uVTCiY!gUnm3e1t7b!`e&%H{M}bFZ~Owr%;hl@?&(c-_XPH{uN|ZtlJqv_9Xi( z5^ADZ|CZ{pwkNgS2>SA6(abdp5=vlJOaU)P)gH|s0FpF2Lp#tsLYgh<%2>{sy*Mra4)bs-jL9r2oG`F0YOoYqS;HgQJ#I$(nMsuHdGK@>g$!6Vu zC-mGnN7$xMDZ&vEs^>Z3jCbNsmegIZy{}{0Zx=v^IheUS&JJBi`D+0Bd_}02O1x zoxWbb`Dkc)>LVyB9(`sdj_q))m|FjBNcsssYzu*`c=|*f<%0ui+$h3UXrvyNajWTJ z1spfjvhfA>uv^fN9seL?Rfc_Oq-WT!s6)q9Qs19gjcwUToR%S%9vtrF;GhJ|(BGI4$RImOMu9T@{(pbI79^z0K&K#D)$fw<4qcx0BI5$f_8{8)fZ<#t1U{ z8}29=?GJji?cr_+K_APmxJ^Mq2^UL7m8jZd#Qq`~wI?I7WQ>!H@Iw;aMt@%!nNjV{ zhS4EL_H!kpi`Yj`7L2wdt70_JC~GrX0DauX5M#)@H$RBvAp42@GqgEE9u4JSZ_A}}C!x1R(`)_PmDn_%FCJmladK4Mm zjAvCF4L2a0(E|jX2M~JF86Kn8NCjKmJZP^Q2#{=}1vhC%v*fY?Tc+v(KPRQ1*k9km z7I6F{p`__mW?A10C1@WUb_D$Rjzak03VO_Rgc1Dy-|)L}nCD)J!0AYFHdS9(srXGd zAp3Egvj`do5VRR;AQxEWoJvR%Ad=nPA+QsV;)LYtp-OBT#w}Nz=3gF}(2LFEe#@fGUjmHJFDSkfC|Ba%y>PM^sDen60E!-+! zwPlNVN8%k=a$|o%yU*#+04>^&ik$cqb&XsX?pv)!4u;}d=5+iC*xxlyvl&83;;>^3 zd;;Fcu}RjHoX+;^jktmP|JWK;2A(+7GjJyqp`E6Nwj1)aGH`DLvR_*F9zmx86jkY< zQ^BXLpzY5?R2b;xp+A8ZJ`VxI@qdkdOGQ6JsfC!ppC4%Y{mE?TIz|6H1F}QmP=Zbe2=w9& z#C~3D5FvlB)f{u6+j_hv=~(zOxk!n?F~;K98;VBa*w*6M>!9Jt{^X8E&~W_6utBLf z77g~A*zQ9g!Z+N>&`pd@qYcRJL%RtY01!C#G!UEPyM(m!I9^u{j(fP#X-T zR*jh%gIt2b&W*9y0%yiQ@xw-Bj91o;TmHGI5;?^0wZ(#n=UCQ=2LPZ|Fh!_?2qy1} z|Lc}F?S%qj-VTbNb~8J;wMGfC;(|zy#ZRfkL)z-{Sj?Qox{7I7hVVoMM^eDMS!u$P zkgg(&`v-%KFd6QXJEEvG6oaC8r}iU4`X1mLDEI7d2b;*(1wQ#0Jfd3OO%!{{_1`(@ zz+t>8|73kT&wlcE6kd9Y!Z_83hJi#JSO06u{|)SEPxYTf0JR`v|XU#cLX zgtsBZ1m!ax^aSNTfMm%1XgRv7nCi!&u&bP$ zmLjX-^k=fi$qqhgRQK!06{lSWWCx$o1Z@HcoSspTPy(}pQ!^ekr|keqPL0S(4B=<1 zX9#(ll8@NLEmI@MUhIz=VrJy1T4sm^e+MzOhPfA`he*71L2AYI@bdIVZR$Qyu2T0Nkfq&302u^{?jjhLA5Sq;VRD7Hf* zvD;T_*vy-0`X>9ju_vB#|umV%o&VQv2GXJ zG`)XrR3Fz_U&Yy^hEvX#XRk<@YK`DB*HQ;nL@$YR{z)0wml19B3z3Mw7UXkT-G_ zqxdL{e!tEzy5<)!N`dtecxq_)vjwBiv0JjBbNI?m*}v7@ciEHlq+hCrd`h zd5rEMl~A84sM=$6hR5hiXeSw!aqV#3;;Is;=iU1o0L>yb)9Dte$Lm09kR2n3{P)KP@T2)dF>yD&MQt7bmDn;h>>hD)Mzd+FOGxf1N-8gfr~o*cokO(X7;jGKK^$^rA-`Vhvi5g>8VWZXdxEfBs27WnNL)+KG^ibGp~FW%>10`@U@LxMb?94x1dUon-1Rj|x=N>-8( zA5&14sKBmjBuf;bHf@Q&_rnsr6N~tpMy1xFry~v^tFpwd^F2#+v=}@OKXNzSuPm|7 zfb8eBD+#(AAXs9BfpB!!#(J2L`G81EeEA<(;xAg_II+Zt#}WerZu)90G4KKaOsPYu zHT9Ml&D0X(_Pu(EVGYO9610?9P6$i=x8;QEEhk(tg3Gl8&oPp79l@tpxfl?W{ZDqI zO0f*1SiFfI3m7rrw-KbpOR*pl&BRiWQ!F=X7<@-xAmSC2la7sRX*dTCkDSOF+%MHn z@KObsj*{ZyvuIezTW;bE@3WtaoSNdhCiu;xrSvbWbQe08VOF z{g~*%2pD+&6j>FgZRdKN>{<1L$mqUskK**O0ok+a)dbxP5IB`62;Q0qDL7^DpgFAq zNOJmMAvlTU!U)bp=ywg`A_a+XnQi|=TwIEsQ)2@Y7pI{XZG${U1I8&tgNN8LA3&&Z z{G*Xo+2E}t&jxl}{1F-5%2mn+4;WA)3e#Qv5J3(=u)#G3V#mdQ2$=zhw7|{?(c$yYnTxx9DS=5o{d)Tx3=3zB|WbXDj{ywc2&J zVz=3V?3Kkm1g!%I?Cv)Zdu4GmAu9lp?0%aMc3;qEbLGs1tniErhX4+KDvWQ(24^H{ z>yTYjdoc`Z7fNbjy4rW>t&aarWL4CTH!5|q+T?ty^yf-N?Ymwce|s;rx8M&DsJ&?* zsa82X33&z(Nv$2Ji49Y1_%Xn&HVd7@*p4)OOn~{k*zoxluie!@W<8mF+6q2fkyY^- zVDzwO(7&UN-7__xwgzO+Qhp++k>vB+*^+W7;Ri_38Q($GUT19SF&YN#Y?ARWLZy>HR2@%$08DuW%Th+;R{9>*IKeDF@?0N?iX9KasOeFW_U2oCUuf!O2t zZ}33(X+Wd{oCMwEI3B_{4pVUu+NM^`ul&Q+@Ls;Hi>v0n;aq`H_bV_mzW1jZSk=DS ze*F0zaWxhu!WUzt_nR5~lB*7XPoy-!KT#n;xWj?vP5*$a|DFgf8*8Mz&+#Vo-6-e5 zee!XRh*w4mJ#IaA%vsE72Q>aKJDb13p*V=2$~l18*|hlF&4%FSBquIncM@$ZW*~L{ zZT2BIGev-7)=D|$5XG!flZYzT*|wJ`9%V+|hkWMaMtCFAt3LkeC2mgQ?LU9V$i*Cd zw%%VoX@0wzO1xDPeJYU@l+PTb;8=lDgE*Eo*odq@m558R9oO{q-{u;}y_`M$Jn6XS zu&4J#=y3ddFZLXFOn1*G?Wsg}WOS3EyO>J!G$4B_(UYKd0KsvaD@Z6IiCsL@XAlp1 z*zF9EbloeUot#Pp@EkXY)|*NUfMTXI8cwHMoNi!eRJTJfXJE`g@WA@ta9Vtp$H|^b zyo8Ky?rn-wh5^}Ai5CeP3J^H;QIJpqvx3tc9yF)X07*_|1>hv65_9!a-2We@5`D3U zYiwWwQhU^*N2Jp4U;_?*=vWgOKE@*=;Q41{RRQVhZk`S7sYD~v%Rw&`?K<9o5>c3* zO0*;>1t8eqTm!ME60He26%c8G>+@j&vBb((kBuh9Iv>rOsqh&YkXUOQ@bc>?3*nsS&{gj|wfIw@KfpoE$&x2jvVSq?l&*bTb zT`Bio5fmcKA(mq|G!nI3WY^SoegkR)Ws5z^e)CWvsf|TeMXkE4N6nr?#8Rc_D-^Yd z49K2CG$rUJfIw}Df!K2hA0e{9-o1co&Xrrvnr~)qS4uBpaQg$~Wo6!n_+Db;vJVt9F z1*3~mwbw=+Jw}tDoou5aTz1u)y$^s|k=p2Vi_s0WV04LObU7`WfiQ#d&oElt$uqyr zXcH{#=H93nWf+jnXgxtg0fN`{QIJqV9UBMZAFB2kjRr`zQCY5LG*>RQRtLpnwX1`r zRhUom{y4T_0!+rsB=4{5S0Ac{SPFJ*4Rtf@oq@u&d*1jZsH>gD$u#|yh(UP&0kW#b zT-q@r^*7NJZl0s4Uui%#_16d*0T8HPXdw1eLw^y{6%a}M<{6qe)&p`f!yhw+Qrn5S zDPFxgD%A3O)ikmtyPTyY8%VN7lIg9&YZG-uHdYO7^1KX+%p4x~}TdISq<=RFX>Hl88>=C=C zVvOE{3RcRc4^P*~CoQ_6B$X6TS|nL%c!_unq%!ElDzRD*p+|~bxFT}Fb-grm!7W94 zwX7t?Bn3QZr3p_{=|r5*Q0LunUZBp2$x!F*a6TF5RqPiJB0h0dwYBqmn zQ6n~cFKelqgb$`ER(E52H4>}E$fv{fx9p>8h1Q>8`XzK=$3G2O6|2wMd#vnfd>blU zy{D}lT1Q1x=rXZmNW(BKvP_@Tu9YB)RcT)r_u|_M^>rNT5;+Fj;+Dk;L zM0nk{|F+$$Zoc1!4OU|XGgvJ^J=zLi?u8Z98tpues+9;8j(;+;Dl2?=if09Tjdm3{ zxSN+LD^wa#qU8X&1lxTeIPOT&-G2?yeUn@`5Slo9t)J$W&J=sv}jq>%D==F^7jRm#mkyTOa zX0*0lHVYZuHkw*v1F~IqGC@Bt71V0mdF<_#XMfn%-2sRs)(5(w8w!Wr)m(H#_+^6y zn&Q_q3cnvR4ZpFUf}eV}(n(%J z)?2TWXk!o+2K%+G2dcMTC+Le3;Slc|$Xu)U`UrUi5a|$SKsOjntk^d<6SQCuko9pY z$Qv4lY*Ud|WZfQ-$?mw)kUbv{cWfFXJ9ZhnpgDZneldguDlcB-}M`(@9WNRFu7c4+9!2MrjRjqMv8_$aN6t@etExT5=#sUMf zr?{O6$_5BwcD#YuQ``hXQUH;y@xm0a~!u2D{jcw44Zj0)+jVtL_4xjhoPh&_aPQ|vW z1(a-ykrUx3j2?KO*&B>`w}|uPrlehpz~K1LLq_E%YvMdVX$742lL}UI`_=Fh{>->k zgVfKA|09iu9$7$hcTuh`$D0(&ju{w(hwR?)Za!i1dL(Z!P>aZN9d zg?+G-0FBu^|KEBs%7C*}yYq|TQF;Z-`>2pjk+NFo_b)cW7!B`hp1Z&-vJXtwi){Xw zx;*s-{ITpcd_=0@{Y!Pj8I{Y5NXiN*$=E?P0mTdw9n(!fY0g2S2ek|(i@rSx(FXfJ z$f_J=NlVXB>_KABZaB&;Xe|QDBm=SsiH`{y1`s@?uY!aUm=%M>J|47}i~&e`$%^sV z0TL7SiJYp`d)#Xlc2FZR8jU=9*z1d8_2XWb(Dlm@qa1%fWL1pTweT2q1#|8C6R7On z@NmJX%z*4YfDD3)WH-!FkWj)Eq=I+s^Pm|m0Z1}hKMst<4#09b+@sgaaE16%@4+Ak z+oXn{83OWAg621oLqL*jv03b`YtZiMIAG|dPo4HutD-Tx@?h5*d>Ip}b{ulCJj6C*dlfib2D zcJD+JB+Djn=@4BI*&P3e*x^)7F!DIB2|8I#a3ZU}phz`A7Xz~A_a_i^0zhbjMh0Rp zW{xN1r+K;wCPFuP^YIL>UPNq5nat5m21Ex5HJ>&E9=n8fy0X}h^F8Ze zY&psOqZ zPaX%>i$7C{QpDR%i%ynTunIA^Qkr5~!)<*2JW`la{Ha*M7B)7+^*5+*qr8vOr?y6z zattZmmf$(Y~sV0!n^iyhPM^vIE!Dfo^p=-L&|^D z4Dab@^bAitZd5!MHm=1Cz}3^W|NS|mcx0{O$XYFTZ>mttHZysuH>sHXym}5<{;;u@ z*>=tWV>M3VA+CnQ?{jc^y~lkZ1;elX$E5x}?8@EhdVkQb23w~lbeqBUg;dkBd*K_K z&Xg^iLL>i(j*Gwlf~=}#TQ~Ju)^3rfkkLH~9YyH=(eHump=ljKpNQV;e_KI93C{|D z?DGZ>x=VZmkZjvip`9F>s4;Eoq;hStN8Z?f9kCfNc;;WL z_qv(BnYbr+co1&O;inEqRr5cyPB*_Op*V>JW9VaBV)}NgSRL_!GfbO#Mc$cs=-GO$ zC#F~@&K9jF%+41|H+U%QcQo$*+L1BK&nqadLK2U3h$_wt}ytrtMD)uux` z*=l!3YPIGcnpX3}T>Iz$pH1dhH_`rns(zE5!KQN3cZ=m!y=M9geOqWFJDF&v*)#uZ zGo_*xy=E#vCtHagxeW|B%d?&pS&l8wNR5&^(lpAm@1aq+;fqFjlqP%#Q3}uhAggMW z>PB9p*z?vBWOSd0CZbUuG9Y{2x`d#c=yZ7gM?vscs3Aq8tl&X6%H06TM%gt2jUwi) zo#ZTUrI_8xU%#w0#Z(yzze*?2p$(C1%mEi^} zw_oP&&)gkFZvRMZqW=3Y_$5I#JxF&0T2J_AGiNSB0N#IzjLL8~#dwCZ2kFD_0=#Gz z49AsWt_G<=I!o3+R*LzcF?XOteRd(2XRO)CEsgbPkbeV{FAu7dGZxTZi2C^ga-6Cc z0!(HKi?lW znS7s@ssk%g7Jl^p0)NGXfahb{YvY#f0|wI^ML8 zKLCNeKJEns)^Z1~2D>-?18d`!{%{mcU%I%~SJVmOTEoSvthRn-*bgcXtl!T!ps%O* z+>#@_M>GdyhjfIwV!|4po$L%iS3z@v7$Q~bK(+L zUmS)3Lt)R#!Vt!h<`1KbH?c0+D`^F)gqU6J-e9UN@T!G8pK{f|P{KCrY3}CO@kJ3e z%zg>RYGFlhB!C`^4Z4yd;_-*vG)rcv0|2wk6 zig*U!zvb^P;O`6KM+Ei=a6dA-&rVY#z-j}sM}YeXx)C6hvsghw3CxP1wuT4YOYZ_m z_R^XmdIUICcEdv^>c>FCjhw6_`y5e9@-kMDJ4Kj=NcqPN4#}4s%B&*fZv{?g?JcwB zFl#T7)jts%wK{;UMg>xrWay$p{&=7_@v$pd>T2d>IC1=4kx^Odp1(Xx*&)9R!8gDK zMF5+xK`MadY1M;GV5AIn)k!}Dibu9t7Ai&wQmdy2!$MHRryBpd1EL3FCQx2{J2tz@ z@PojTQRU0ALC+3r%?aklNA`$xl&E(`UPGmu*wWznAXUF<7kxABOXuNBW^@S z_W}5?m=oM&K(Hr?GY7tO2P@;ezp&D!#E2R4Z)ABfHOFevqYh!igfpZPpZ6Eb%7Oy|hvG^( zcY^8DvG;1`OHV*3YwwTRwQU}(hHcc+V>t?U3j?%GCuCK&x$CfJ8+%b%g^X@#wz5s3 z0ojYnjRai<5VRSsAo9<)mcckL;;Lty89+((9!!C8#JX}Gc56kn5xZg~SQ3=JMi&sl zRXPLRO8=SCp@d_@mEm65_S3!!BL8$N?Q$QkdUiIseUfaf zHRvr^>s)$aD7g!{wMJmV@6V7`S*!2Ch}N2njBe-2%37@r$hOubf{tbiYyJF(EXPT$ zRn|(!RnJqIbAL%7%#d+r7*AV7j@mVzRUW`LXC$kX4lq{PzZq6hPyW6C1~|Nm4vP0*Agi*pATr?j3uIMx+5T%pyZnlb z?u%C_yF6k*wp|VoQ~?m|a-D*R7bXb1e2=T1T~+}l?eal?ZI?&Eko^c#x^mvCj$)Z@ zo@I)dp*m1y^&`tNRi0($V7oSwWyYXtZJ7ePqk5_bf9G+oq8$(-&21%RI0NmKh)|Q%W8M=--Y%5m}XG?x>4snN7&( zUN=curoez~%d98p3V>jlR0ZK1wPas<9#=ifOan?<=7GN2GIP0B7O^)CK{@JphNYV}=+NTzB5U9_o+UW2IyN>gQ!SO77}@&AGCNoA^; zKSwmxZOG_OxJ;QUU_iF1LIj-)5KPrcLF9%Jou~{~JyQ(;N}8(Z0&S{ca)>VZFTuFd zGtj*G6wxY+ zkT^ME_E8cGu7B$1`TmKjQiwPj$(|;M48BC5C&lo_Lz|Sxb}aZ?{}@e)=Y2b z|NH-)&*|N>_p{diKF|8DwZ3cZwb#b%rxT_c?Dftc9pr>LpTZ#WWbE@BY3zgs3Ew|P zQsXYezlntL$6W^zy!WX_n4KKRA9oEQr~^O{=En`1Pb!B=;Vp;r$Bw(U1xT$s2G;3Z z?4EQ0PW@z+t)zum_qPQ`T|Gv(JqJb@WsuR{y#LW?Nbvnz%x)S+7a2?1ZGrt?Bn-!$ zVi+CmKz>m_M$jODU`_9cQ8mtj(WU&cjD`bb{C|io>64%D0mH(HW09WUj^xBiapxLL zv21D?iqda|%b*~$%^?C48C4;)mKR7cjFQ0w{^pRXaFXO7O~w?qd-jE;CpBn+L)?-r z@kaC8D!=+DHM*jvLi|(VI3&R$a)%ruI2sFi|S(1<4+Q&aU1@wr`t52!q5!UvF7_9 z<}qpWg{V86E=}#YN{XAyw(td4%&4)$;W-H1__wdo130O|E*<1F+VNRvWHx;1PewnZ zt_86Nk<@6^({O87orWM`xD||+LH5sKRP4S+`w{dht3wcbOChWQsXV5R^w|i2S~dWd zS$$4t=q1ygd!}cK8Ow*pAnVNd#+FmsLh2$hinpBjBAa-Z^ofygGTwv_Z#E5&ug#j7 z`kOQ`Et{;%gz4e6CrE*rT8wZIs%#7P*NNC&ByIU4n+j%=8EAxmn)Ucu?~T<{>SZ)|a%Vpz*W~YoksD zm3IJ+(Y>F5pYZeJqqpy>ruN{f0Sg ziOZK&|AB|LOCG?#iSo*M@{_8-4;~84k)KovPs&Gy%C5$tzc?oxT$iXXYrt7CA}P6{ zJAV~_qI1{}GqC9*l$LtWKwS&4h{tISihV3bO?&ST*EY~rs{4`?b2P)qpVR$_pPCDVq4&-;j zAwj2$SH?ygNGfMN&Vt$f{ISd~1W1`xAuv&9604kpR}qrSSoDtAwU`f0N9;@_wZwjT z62#0T*$1eZ;T;1>>{uixkG* z04cHhz1t8g$1}C$^d4Z5jt}D9=K8W4 z62pJZoQ(+NPZvFwk#-a_74_ZhIxBc|9q%b3BN#Ll^R-T%hjCI3m;eK;JU=dkJVy|p z%72dqHWyVI-`_z}BhRxRr4k|IZ@vL0SWKhK)kh_J$w?GngVU$zpLzy(K3%~8v!L{P@25#4(ib8ou^ zMRp*tM9PLaWo#P~SP+3g9UJV44E>kj13KAwTUo~OML&;^lA9mILiuwhy&X@^y zdcTO1N~xu<(>wVD^yY3z(ECCsGz_)h!}(;L-YJ!rgLF}C%s_fId>9PZX66$PcK*Ojg((Y@KbMI};bhI6 zA?2|cg1~67tK?yjVqpPHVKr{-T8(vb<}s53zFRC< zmP@o|2L-E6_P+{t>oR6)3fAYttft(TTqgI-Dtm==uu3jQU8V6Z&#vf;y6LzS@z87y9n|`-=I~>iSJ7kr< zg`m@`rEvDmdo4nxSNfmzQP(cdJHI39NLJ}J7{J)tYbQ^%`%87};4~=on{xOJhWs7U zC(~({pi;aUuM`HU8k)7@(~gPMZrh;I3kwgY)*ZK;d~@@a8TyGX&ZP0d63zL`jtQ>S zLn|i(f;lT20);wN|YUqqV9+erT=MJ%WbgPBa%mY$TGJ)@u2?QERmqaJH2> zb^*YT6qwfPP6yh>1C1o83?Q^tS2;*;45h4~kYLe~&a(!!b9PgY3x;~W zoVg;(LcH!Y0-fdmmE-*TNo`^-^~^?6qi5OLNKb!N+{Y-0@CsBnSrs?Yg3PM8@xw*K zk@ob8+FfxE>vT^tZ64C9J$LWw_!aFD)vq$7VBZvRqr%#CiPnp7Ic*ns1jNAlS0t^* zk(>8;5x1>xD8k@>m;$nH{kRcEnpUdwg4)*lN~?XL`8>1??dJC$nWvW@j&( zXkD>2N@iw$9_sX|FEEocihvpK@oK&NY1~SC&iZhfUg4i|#TH@~gkvEFm38>n%+w@; zGeYbNwwv7*tb~Pf{mMCL-zJ>#;Q5^BH`Sf~+Rf)*i&?TVzP(pNM@l|C0ZTX7d4 zVK@z(Wv<{72lA(P9wg{g@zz+vKvFsP<1Fgk6a2B0Am;$2*8O{DG^6pKK4u$wiA)d3 z!%D;H+Aq>`IuogE$FLPLwhz_FFT4Y-3g$nM)Noq*M#RaV*y%@bHQY<*KOD#({PrR! zDLxo0G7x;<0%yVLgZn*BHvy!a>UR=OGOKe3>wcRDg%7Py&*=uFvYZY?#`dWi`Gqg` zB&REp)Nl%4Ps`~o}h(NYhqOflFB)mWKwxs@yC`^EkMfY#~jCr zgJSeDAARl6j7*!o0f z67=OEtp2h09AvQPxD|xF2#C@c=x9`+SQ>Pjg@$0&)+J`IV*1cEh`BOnbUe$JNps5v^O%4x(rWFsoF4e~PvWRXB(hBdHPX>sKQ^ ze9=0R?7Ih>(qH93zG#2l3(%7QLA3h}B$e|U&Z6|UMh;QwF9k?t`*{bJewBFiql|Q9 zjZS@daUQbaBl6U^ML37wyfw@Sty!;w-cU8|6h_J_b91g3*1>bw%L(c59HdMet-5ieLosc)yN<9f=kvi2cwM6~TpT(iTAp5{4&1 z7O8+oI*?xk*AlcpwF_ds9E7jb+XiRthphR99jAN`OBM#?QM}zi*w5aNkrVcWhw)bz$ggTj=r+(Jm z$#la#kSW3ZQu+U)#k-;r3u0@K)Oc#aE0Iil0%z$pBVjlY_Z69Tbs&G~OGr>>8W+S` zU)Fq5IZO)5XYt3jQ`-ZiLLCq5;Hkf}Kgp!~7*DNJU3^dNtvQ6n)CKP;nwQ90jtt_D zdEQxvAtnZ``uff_k_|?kR{3>zTjlpR*~r^hY+8z+vC5w`$X9;coB(foV71%DD8bQH zCytQu;0Uo_4p~_1zd#ZM12BwBlJJq^JS0H`f!DtEv%5U5!*PPqC+M2!lc6$8n9Lhn zj;^paym5eULmVmV!{_u|oxp7_-DF=pdF@~eu}>+P24}Rk2~^a|sFKxTZZnd8yy~4{gZbhN&k>>ewxq#kFrSJq)I5&eZK77MvL9oZpei?t5}2 zQ!YZ2fblO98nNDaDbm3oudPACa0NV2#%qf#$c)#jjrG`7Vj`;9EqXZ^4fDq}>UKu| zp}AJ8YGkCweY!2*VcmcRMdvK&0)O3zd{$s;)AG49;`1<)SU&rZk9ll$KTT{x z&BgN!Yc3$^G?+0mhK-q8W+B*YlN8tN_R!7GFQ5*5<)YF^9cJ?nL?K{Ka(G>=3s#+$swilz<>vsN@= zViR+otf}>3txX?n0cTz+{@;0tdtLtjniUPqNXF&UPpv@)KKYb26H8Xvc#@FRkO4Lq zw@)0ei9d}p>{<>nDc~~xx1~_le6vUJ-W6MZa$j}uaLO_Zqza4sM=Fk|jpmvtG|ye@ z;$2HH-86TNF%Y<|&t!pWlQNM{A7}2M0aznQOk$dZreX6i$>hgtSM%CHckOELT7O>4 zcGvp5YtfRK@Ao$DTkkKKkt*>nFWIq)*|TkxZN;N59PZTqE#$v251OtE|FpB~!ndA> zR@}UW{1J}Bp554rzk;MF4!(taL|xP?<-%~=g*V;-@V;B4(Dkl%%`C1@-0|Jbk3 zYd)!*w{RAX`bYe+-BNdeYN$(i9IVqWeDk-Ehr>eqD)D`iOa3#w#pnIh0iyL!o4xMT-CRb4?xODq3_^8YE^$&B}Y-=qkBm^Z-xDBnlNV- zVt6cdBDtZ>EN`6uap49bCc&Na`9(bONi2xp=jVOcb@({J643yd|fNJFe zsQ4V*i=*%o`3?B^A_|EmBW)2d%g3yuKbV>RQ7^%-NlhbPVw+G#t8tWEMiVoNc1|v% z$r(kRYu>?cOShV3{E5{p$4`0LH2jOmd=h?i&yK?}w61MJuJGN3ESXgP^DNp6_7os< zS6~i-pDJ*c0`Dd;A3$@@;{L`8*$rep2B@{4X@YT@U>Xy2W6t$)j-si@Jp7OcO=6Ss zn%r0y+IHu0dAuqSRLbQ5hx$yfo(`76>ySK^${Wi3k+`6*B$(5@63dowZB8wM7*gBC z02cPyv@ZReGfSAw`i@mM4G6a44&6d;EbGDDO?b9dps>E*)JvHU)QI{)bqSU&(>&STrNp ze-24a?VS2t)QM1 zk<^sbYtN=FsY>4QS@^k>RJ8?}lB$AKD5+b_X)UR)N>s&s0!j7gD@;#qR#G#V0R}&| zq%aC*SM{e_)3`h?==$(rNQpJj z*@;x_emNDN(|j2gJ^2mMI)mLtutmB4wHM8`Z*fEV?bp`vQ62AMG0D3Le2bG`9K|o9 z>S6IgQ#pD(4MWA+ej)1m z{NafNww3!8yJ8d~3k791Tu`5pD~#AkbP}stI~4bJE>wZL>x|&-yoyiJG*8-^mB#wm z;8uHjMQuNrYJ=6cK`NyGoBID}8t-Tr-?dCN15j5Ny zYjo*-XG!*$Pl52P;DzFSY4!dc^N;ED{`hyN_aV6Ba(VM8m46zCKs%%H3$UL}r1xP@ zrPaF=5{CUzk3{dCEy(Dd1?%9E8TRyw+F_nYE?`-0fXk`g<6w_(Oa2u>{~EFn@;HB-<;5|-w2^kSI7RIVb>FA7lPQCNNODW?I+U;{cj`;pNBh$ z&`(&95&9vR1)=Y-r&rV-=m}lHLP{d73caBP^v;rfeR$B$D)jkincEB9ACs!-gzg4n zwgovKw_1LY3OxrQP#8z^g7@Dsr)q@0cu`uR4@AQ76!?J%9k(DObUw_2(EHodD`azO z+s0lFM+$o)tqPs|HI2}_G6!rXXNAT*Ry&Wz8+H`B4>I$G9-Grn=zq!sMiDvysVwV< zTZ3addA`9XUadUG+yHs5PgkR98}GX|cc zqu{nqcxbtG!U9^$+P;!P1hIw}ec@bSy7*@&+_p%){5lnG5W}KxP`k1JFOnL++Wv{O z!hL{cHsRkWd=c)O#|_8`_XVtn1@D^E8km;hUWijvtsjw8j|Re=&2(GI4Db}&>Q=Lv zZg_0yhwl9)b2G^LW&3vG>9AA7q>GsK{|FcdAQGybA(G_XH5(@5dzi>PJaGqdVsM!* zU_6QiZDPUyPXS}pOZAPiGvKTa3+7+h4$(i7d*CS21urmigcbEwc~gM(wvV?gw^lrl!zw$x{WL zO5dIFrg;^=`7`l8UQJ9wK)&&ROr|Gz_m@#v$c+=`30fe>L4hn7FS}VYYsGvl=A7!o z`3~H}1Ix^NiMwWI-Zv1^ZsvW%7H8fIYe$|HX1BkEFUnk})PK%4f14@wipY6#WdR-E82s1Qn}gC*|d009FkmZ673aoGC1U<+`#5r^%Tc-5CB0Hqc6Wuu~_!szH^bA)xKlilIG z==#Ly=sb6sZx1j_ExlBJb{U*(DfTXh4OO_7Tt;r3Uj7+PFy@uN$4`0Lr8tRsWfIPa zUhN&b)bD+(k*juh2Y>5&-b^d1z)Gq&ly>BlhHNEfLhoas$mxck$4yh8A zAS{8W%A=ag9ms!Fa|=Ne#GPZK3?!9vv(%rwyZB=};|l;%>+boc0|Y%COxA*0^W$h_ zlgn6!8Cj(t@ZQN~EJ{c763nBz=*-a^gN$r+R$T&`e<{sB7;w$`o-~IdsiFD!gAq-C z@!a1@fbWC@3(YwW17jGq0DJTF30!}H_&)ABq3R)()^Yj{5EKt9g_1U(24RKMLou&FHx zrt;RK!bHWn2q5Lz{B;|izvA092$NVJO)nC?zq1rmrH?I8Y_@wyOlA0TR5 z*NELJI_oZN*vVW}*$?8SmNA!~WR-o~=3GL~nU{N>ekQ#NcQrc|ew+pswo?_3VQ2d` zYBavThNMP?)_Wrray^2-p&EqiyBZbVb|AlP`kA2T0fGvP9OMQspWZ0za6TZaLJYQf zEz=GdV7oF_72ZQ?(?mzFm#%p_J=KNy{;VzVE~L7HQk^ghcMVaigV;PIHB`5CCi(@w z7aF$kw`~m7uqx8SFYvwutpx~FS2@TKkLpW=JPC+W?FrkIsuXyC2xq9erEgE6YpUfE z7SxKLu4U!OU(8${#TSAM*!0p)rT&a>PU{>25OMd16>QYmyP*|m(!bbEXA~hWE0Ycz zsSZLa^ml?Tm)CG`k%^e)&djnLZwu-LOui@UI-tws8$b3WU;NE(- z(HP;$-Sm=~hhNu4vLHC||2C`=P&5x;Db}^g>>iT9=JZG$A1jS zjQD@-M0TbHq5`q^4I-6y1a29%+P|X^)T$w{DySquld!ySM-yxm_cf;&e3mi(i1Ow* zP{PTUk@aQcNuK4Fs^noH;U=JrQS_b9pC(E4Hknri9%aWQuCsFwZ=U+x zwSC>D!X2Oy8<4Zu;%@kg?l2!ojXQLopVl4TzY5^L;QHbY8~)wa9oBbXk;UGzr&rX{ zINMR4f(AESfwbxf`@kMs1kqIa3(TQh_7X6YKyr*$Vh|Ka*oWdjTqe555#E(#SB$Hp^`x3f+ zc~>NrAJS|`&|6wT>S%6Iv06)LiAj*k>nO&X$+35VRU@-tWFP|!t?$jvc*L44cZ2Q<+W$7yRAd+?$1%vceU z8Woyvk5useVtd>(dHyut; zt9o+t+(;AdLuC890||L2D!0R7o7U&=Sf6`Jn;q-dhKcK=w)U8ub2*sc=?s}Hp}!o7 zrUCE2Er^(0=q%{vS&%^sPJ#u(d$nUJrCa51kDD|Bj{@lMqc;7^_+?M-okGUPYZV&%e{;Nr(&yZu__?wc}RqR*6KgL?A27 zRx+4Kw|VN9Kl$yqq}=5IVYbRXM z+0M?1ktF;5-d>V4IiEhfV@6A#*J&W)#%38~*FyEPm8XMa@W*YZ1m9|ORLCo`?=rXp+nMl1%4tjYD z6tiYd0S(>oWeyV!m&ukQZr~w*gG%F1(ap7ago9dZWPu(-% zG!_$M^N>bt9MXgrF~T-4VdQQq?!gx@uz?6($G|tWPSme|>x&pHFntl@<@K=2y@-+A zFd2_TSC<#B#1Xe^nn>yx5jhvcZL5XOkb~PV(xeVAIdMk zbQrxdz6LXwu4-2Upw(yL+pcQQW^B73vqC;r*zO+1{2Qo@nzH zd}~#DO6%Tolom7W8>E!x&~0*r66aqHr9+*yevI%oL)g76r5p$HV}#cT%2Y}}+@P#e zIWOZZD9z!IrPM8=6o+-7glde(Y77vP)2m%E_1yxeE*_^Q27qi4QA~>|>IgJ}LG1f` zB2E_=TiWeezX=J$v8X6gOd}k~=kyyv`$^S|?GbUxQcjC;G2%27AT6dV-gKPaM^#Nu zUy10PaXQ%5ICjUt#B@%$57OJHt^?T}tnA*UzUSh(YY^MPv71vK`Ilc}y=iz6S_NTu zt^@h(b|q+xG##-514-qaKw7E1cM(uV>`ntn+1=LQ*!_X9OxTqyAgbc$=-pl*SOVN2`(DYnSvT4kvV(ad%+GO~ zurf2Nl)VG2I2n__P7@c$55--rCfP7-`H`y0dSQTCkMEBlsnO*2*^wrFfV0icuSgiq z{N8ACg#-DIU4AC$41i$G2@c{%6yFhYC?KlH{jZB6^2~+tZZf_OyOpdP9wS4ztAMgx#?4uHd{)#Z{Y%tMQGj6YcAQzhP4W?{z_BhVTEO?PV{8#mX(cxWcR| zqi82A3qvo2FIfcfD!aXlt|OBrIGcFS`luJenzXI?vOiXzmE*Gqv>w5Dys3o?ewt9> z!op={nydYKvnAjD?be$Wpnq({w}2YZLE@Xr`o$Ns77B(nxOV1aOi((nbDiA_uR5>0 z0V5;ZGc0FK`~%e#^KVFMye_va@;bkr`3Dk)-M%wk_eW_2@@wKr1bqeT6G5!eKvFql zWHgp{CVyFm08y%-@w!rDzHICEMmw?lO;sp6$vnK5=oZiDs zrQtNnnVSootx4}7VK@|)NZYx$1Nj~Jn*{BkoH|9E-oRP3E;sPUa@r%}bSkU^Cs{;t znaoYeMh1K?ZCZ!}VVo-~*Fx;%@%or!jy&bHJ$a2m^AW^0VXoBhDoRGh;Pd(m3B!rs z7+zx>$mjJNLBjz;Sq*fMeLQ_6pB{i{NnQVnV|9nj+<=u`#}+Xg5A)J7I}E99+t-QA z`1Szm>rmF$Vl+P32gxzJYi7i3U(cd{)96{KXi{Ru4&=M)Lj;{IEkJCngPh>yb3Y-6 z1ES0xep#5k&FEwq0xr~0!;CY^rpRVst~MR9bCK8*+xH?6J4}h4#mX@MH4+!2t{^{gY4}Q>q%ng1ER!UU+svkmw6dkx2jJ}KBS#s z$_Hp1X?X6*dDnDYry@Vg^#}}0YzKBFE6F0%WbFTfq=xG|C26_7i-h3{d;?wzaghW0 zTt6jfK0x4_au9!R@f6B&4Is+(vwFw16?`P%&_T!gX5_;$-iC_k{H@;YR29~EWeFrw`}wctuP=%K01a%mG#H1Z6}0eqm68IV(3le zP21DLzc~q)lQ8QYkxo{%woa+lt}Qx|HS;CPfc^h5BkE*(5GU2N2{6sdw&w+qt&sRs z{;9$MwIBQcBdL+?*?&c{^##s0$%nGoAB0gN+gu028nw2d5`bXM6$U~|sl4Gx9<|3e z0imYVuM*YXqG}(>yjiqByPZI(9WgrrN70W3ZG;*&Z%9ew=8U(xe0t0(FEE54w=)HM@8?~Q3zr>E<-yTWLz=iBE&PmOc(J5!>g z(dgj&ceh4*UNk+@(|5y}D2Q+zj1WDKb|BvkuP0~_Ek*okAgP?a;rfvDVca^BbT~jN zY0*mOh+MZsW!(ClbCI_8be>M-Z9?vFgB@@x?D{!xLh%bH8%+l3-&`p#=a|cuzWLLQ z*`B4@R%^EJ)Aq%m{k_R94?jXGxom;75ydB~e$La|ZcNxEKT;g^;V7PWAJN;0oH=$| zt(!2e4#sVeO&B*u>$e9iJUif8i(0mejFf6>eXW z`M8Ig(+lR<=WiQ;UQQo|(_F&)jz_~1#JV7%5o`Ljky!row`IJ|Me89J-uZ})w;=QU ztx)ejLQk9h#K7=&+GquufYfTy+!Y-#Vq=id7{2nFw1#&gcoBS248O;MjNx}QNy*MJr*#9dU;SG7Ad;%pAJ_3o zvGnoTiRfdLoKWnId&t^+P|joGRQ`+j39tD?3bobMU1nW7P>a8-A{P)j#voCtCSyT- zV=)FqvbPaxvV0v4O=;oema)-Ubhs_u@E5BgDh_|mG33BPH1h|udO!LLm$LB(TZln& zQop>$ZiuaB(V_J^!fNTGsDl2wvl2F{Y5)eT>%-l8qU>~AC#FM-jIEufydeqPHcs5} zs0V0T!K9@)R7vt$|L7XB?8EbRkjr;g3Obo>xL2PGxeisi)}155-hoqL?#C)D4Z2{V z8Rg{4Va)bE_U|R$i@7IK6w%_#oZdNKqc^|(v1DnJ{O-Lzv3ohLnxB(<~x z$-7G~`Tg({#y%2hh~%4SC_veu@J|$wroM(Ad%2hTZ56g7Q`fI!>exn1PTKnD8j^RH zx%&765-rb_+voRT$}F@pSOtn6Ok~SC( zv$5+}DsMh*v;u99)GE;Nut<)gvY&{uuPHBHi~)T$X1uG=<8Zdn^d~)FUb=AL&!x@{ zipWf>XnulCWFDHKAoc|&E)BEO)Vc)bLVY(lip-9IFN+)GIgsxLhZEFWDne{~13`a6 zdgxF1V_6OaNLii_>(mWy-bQLvjjec#vu3`=G6-Ua!#v0A37pileLQA&oegG#waos> zW^n>qv>?{iF)JyG%FLe?dlCu5OHt>9*#rmjXT=s0bgWiPH4tr0Y<~p@qZfnU0ze{u zqX1PK%bo+jH`re;lr>14!!lvqDrGkj^Qw-W8_^$)lr|1nIuYy+Qg#)rzSB{+@f|!Q zHRX2Sl@U9?+yW#FZ-V0qyXg+(m)k6YE>iD2%|Q;p{cL#s2O-A*qO2Cy+ERO;GcTC6 zW2s^2fIa%)p6&PO!#ti=(d_*BnD)^xS;@4X&bxB3EPIW(h7$ETH`$t5#C|}JI}~pZ zhsS(cSDdQSnbk(SL$zGU#`Wq>#r!g16@uw_9m=Q!=5_6|ofkkz?GN$o2;2J>QrnTL z?Ln;Vz0ooSu{SaKX|z3NN~En{+j~)y1JS5RZSQSCrnc|$zP43~U?}W?K83k3=Z`BS ztD}SXO1Mo>Tz3i{dg;_+ynMyT@>Y1#duMr`)Papwa%HaCeF*Fp5wc2IH}t{5i?lt6 z^?*OF%m z>J1RA*u;h7&8o}(Se=lLc$;Y>+*;f z#|^grK1Gvf!6l^~D0ZM7J9Xr>`orydwyLTsm-Py|S5&F^{XlC&J10*%2w)CG7yIjxkI1R7H zC-{Wj9S-ErTaF+o2@p!H$U*#h%RE9R0;2q0UIKpak>8Ix*0GJ!Zmv<1pflp#2&43Q z*Pb1;q`PF5_TXU0Ko8HY|HmYp}?xxmu>a28nGb=!2=0? z38ePXNAy40;psE>0B?qzzQ}wlk@*5o4ro9Sjy?YP2*aU&wNUQqDw)YW%Ih#rXv!-6 zgi4_Q$6Tya?s=S4`})8>tK41xfO1!=a{mOKZ~~eG^#4d|lqB39jHOftJ zAYZxL2|5-aDfp}V@+T2+oPnP%FmX>!2RTQS^SKZjy@^me5_LGzs?kMHSyAtXiWSI$ zeJ2JZi?LEf1|vG)sd3@(-N>nSs&LCYoVlj*$FeAYc~cC+JYEl6v>n5jJhYu=CO(oT zy&;QD7YoYhNrpT7Z7YL5&TeE&20E#d#e z){9hisxXv>RX4!8`DZ@iL^^IBVu26$rzUfD@)I~pNfZCnj`Qw{kBKVc3GNhB|m zZ39~E9XGOep)P%iT`jjX0SvrgNvw z1U}-7HAac@B~|z-ubeM`q$=>kk2uRus)Q%?)%2_NFc*uU|zuVjXIpKJnrRGMd_@lzuvFkLI-rc;+Lbgj(UY-J`RC%DShjGul+ zZ4P1&BB^O*dQOU3nZ1Cs{qGPY47YmAv@$2KzRgxDwR6+mVu;lhT$w6^f><5 z@zVxY77_~k!Md7t;M81+`|*{;Hl#Xkfz($|I8rx`2dOE@45aR7u#_vL8m2|0;?CY) zp1sSFFdPh1q}$DPAb)W2EJ59r)L$1U?^MpyI15t6{IR5Vjz|^4Ivo_&^@CY>WfG)N z(=j1~aX-41iAF273#V1_FSE87@jKZT*J05!HLMshUa)} zD#nkAOX{){1F)V9)wr&6Vnx_dl9cNL4YjxmW{aK|ZvdYEGt@GQ5a8LD&PLI1HUT_ME_&Qud5!W%Y z%72o6`wiwajo+Sge&mw5z*)cD^HhLOc*7KNz61G@RWE`D0F($~Jsso_FP|L<*$NP~ z?efP^z#r3VKf#1878_nI9EECt4KK^Fr`^FF@G(hN+~hL;i*X-b+rvTgV@Q%*#@5JH z-d(}OiQp>lrbnF!4~&BdGgXA=7+eiqL=i&Fj~WrqI4=^xf0T9;)C)(xZbTUBKs`N% zw-D49Ac&A-AgP?&aTb1_!mT4eKL8+=VG^vXSwk5b`-q=wQ5R$*AQ)CghFP;rDIW?e z9YI&hdw2w2JQ)OMDZvjI1D%S-0pEdk1gD*wmf+(sFP!w6A$Y0-`2-gcbQC}!c#wk} z=xMNwkX%5NUil-I-fz9z(@VB?yo%w#fv~f7j@X>{?nx9Ye<5?}`7&>0d|$ix1NLWF zq1*l96R_eFX8^+^nGTSyLp>w&Ds=mLC0U1>WBWPN+bF1m4+7o;g~${AeZ6D}>$pgo z-IO`xWjVz1-01G?k^H;MmFVsgerPA=-`#-B-3>@OyyP-wsNcI~pO=BD@8mLGa&F%j zldaAxoZEL<;N1T4vG9t!Ng6QnGOHtoZ|B%!a-h#8D!t3vqOCQvwuo9I>`sPvx+SLQ?MbKoaX|b~%B;SKbKBEEA zqI>!w6x}Nq%BmDXYN@dY(W_f&DL$)UbN{ z%!rlW60e0rh0m`xte$WnzoB}Mpt}HqO9l=y#A7A-Oa(+)H9ZJcFOyZ_?zV5q%$oHs zEi{qj@c5jF;uDx7O-J!oWMe5FG6ocxJ8Int41w05N@D#7k{XH|&qz!0`x60PS8ph; zaUh@KPXs*!5GYnVh);0+Sc)f~ z0E)|$;w9|gL)2@me@9Y7aa(7aUy9q&`rlqM6vNXa9ej%230eyfD6Vo4pW?p=c@hw% z*fXMd1DqTM$M&-p_FzLa#ERQnQVOrQ{xfCuC z9st{M4SD2cv zEH~ZjSUzw(Sgux<&#~JYiY5v1A7(lY%QK91?O48xk_bnxG%SZYkk9f1g8Bjkf6a+l z9)%KS{D)gdEDr!k%W@K|QDvrD#kQ{f4%^CN}W|&*@btDJ&jq@bRyqXIEdV+ zBA>$UBM=xT^t&$b3 zZOYaSl5!EIc}h$bEUv->BA~n$mZ#)RzL?~tfk6}n#c@?m*%0ek&d=_ceUh`3mQy-}PL{2W_?J@;g z1wgexd9+BNaus=UYSh@k9PIsC21Yk)lQGtynvA;vZ8NEW>cTEv2tIR&G_+bj^w|Su z;iIueP_QGm&{2rz;wFeF%hoF5x$6=slkzg`YR$@1fFI+4OK3fcJr_UCpUYzuA!IN2 zm&-CsJK6Y2+e>NuYU{71{0h?IC3jrm4LSVK8|a_)@)s1&Xx`O%VB7merjSzGOKIHl zU(Jyzo8xV*6;+%m@~3A?1>HystL^>7l*`gyqABgX`o~aAVWnibx6MjjA<&|8rbtOT zQ(C$tl8;lcOt_T%X3ZW&H_*Q_iet^W)XjS5Nw}Ak?{LX~>QU}*sxcK`dv5E4!{Rkl z_wZaA*9*h})Ia12x2;fxxF~KlT<$TI#clp3zo~=px7-|b#L=1gu3nA9^@L_l#ak}2 z)g)_{gnGub;gV_A?Ekt_z}v^nIBT}d8koD{E^;^AC2MvW!LF|1PEr`Q1G| zo3*e~O1%7SxZ{6vk+CV7A5kqRveo*k1CdYKDqGIo4Frk?x`vaV$qA4^7MjO$F{x~O z=58n*?FYA-=X&O8$1w0?!KLzFK#&j~F`qs2cbKX)J@a{mX?y15kuWT*H9hkY7G!$n zL!M#Jyjf)*fhpfMeVH^4@+v(=vVKXT_;!kx;RxyfSh^#Gt6YSSn{Z5)j?|4&8}T~Y zh{97(i6a0`<$W0UqJVdwg+WQXR;244j0DmPifM=|Is3MFBxc`U5oPkm7ch#-e;+x9 z^Op!UDGUtmWu|Tpv1=4NP_evwI9ajkn(;Y;J)PKB6`P^hmxw)DvEoP=ZVGk~v9Bq1 z?Ga+blf?E=YwVx+7u!#^@FSYK8X9W6CS&1Xs!?M2vFEmg^@ zq}2AKrhNEn3m?yh6%{=mZa@dyfTtlCAe60DLh}a>M>Y6F zOwWJrSlB%DP8t846IFvVMn~14FS4)`fwPVVcqF`CMtMUW2=R1VP+zGMu^fdMyHj~( z$RLVU2LYqTT?FIw+1#rTy!rL6><8kjW#w0gA~c>nHcQ4zcad=2T+PfX+gksI7ML64 zP^ndB^!RuhCj#8Btby7_8D^Eu!YYpXGK{{Yj9Lzc#0ue-;ssrTN?x-2H3Y~c3JCaZ zfwk-eG$XZNtIzy!n0WZVkAkEJ|5yCfCQ4&NMo>}( zjakqw_{H$xK+Lhag09C&)pDoXTtPpFdo0)c<-bMe9D}9+@BdAV3cBd{sG#xSAu7ZD zXw^j2J1OWf4&<+X+Lxf=S~>rh>4~7iY?ro`$tacA4QV4s*c*AM@e^;gjv#LluiBba zZP`qY%7vf(#S_4$4%Fin)uRtcIX&DY>Q>0oPEs2XcYwQD-B0%HwQVugQN)Q0*KPR;ucF6`#EiDeplNCqvhsw zGfB4}d>6$(1U9FmyEC%1beA6L=)TRuDy$^k3`e(kWJDLw(jq21(af`-GIR?a$baW2 zhoHj%f;Ia&h);JrLUsW}>CUXMbQ?+cE2+4)bSFyP{S#KW63<0&S1omSY<6&KE3TEl zX&UnHwfT?sGTRgLyXo}kjC)!=9vcQdzSVZ`MVeNCb_eUgF*#|9|NKOx$9|q3SB(Jp zL{w5K{sITe^+4AXG*D`CYZa!Rq}}rMC_)TdsqSE# zv#}FpA&WsV9>5iOkIW_122b9cXuvWUc$FtAzxD2#v!G-iFCvhdrHg`MA7F;k3HdOt zst-{!Nvg@w@>OcR0W4DautVxSJ{)M4@HwuC3pU;HDG za6l|!T!0lq(dH2HS>8mdTZcr-6ttENV!O?EG*A^`>^yv84DlU1Cc|kwXu}N(lVyw} z*fmuNXL)r+&SlLk{}mGh)3Y;*4&lZ)#ssyECUxV}C|16!nOEit9x)I3u3z}#!oN3- z(hu#bu!(b9gG$noH4qR3tF2Q^+7f$~8F?2al&zdB1}zbJQerov*OBEfGk!(2-iYeyKlbY8f#nEPNPBhj;BiEMXHBbGGsvCUsAmv@*isGkOaacA;sinC zjIzLPo9(bETG^|BC71EvjB6NXe3g$d;}CjdDla%l!i;BdDtu!>6lMgKgqK$|XpviI z!Riv+i2GkqPIcx$AF9YE9H<2`K%u8@mw4Oq&A4g)bAD2!7t5@rgEBE-L^!JjJIF7#$zQ3YBpqyS+t7YP!9C1yPT-7jU-Qy9o)y?w~61 zLxuz4kyZq%AZUYB(bz{vXg;Z&xj2hz{2+g9zxOLZYTY1Mr;Glw`O=gwv^R{pZ-LRz zvmB#u7!lz~HyK@l!9uuyKt>;*95Fh{Sb`^EeyqD2jXeT(2&4TS2(5U;XeWYtDx-sWF?(8lRxi?{9a{7&q+xU~xb2|*FZ76#XBd}cMRzsPK%gL=Dk{VaMD?cqa zhHl}khYh!42lBa{LD1O%f!kOEN#)EVsZ?H1{#aMM6d>jHV5#L+*4c6^bp>Z%PP}ex zdU9tWxg~e%At1-$C<^XF$~!8E#Rihf zX~9{L%jb_JcQZgrZgtX%Ibxm|ZKxN%Me+%{3>rKrL| z>{28(+&&$imfIsp7{2zP;kML)d~OdAR1FZg-Dx1HoI{k`*|->SdkP@swqd5__9~)g z<@OE@OfI_`jj5wohiT7rb?yOVVCl`z1HFDqFPHQ_LWRWsD@bbSb#RvZb#4t3hTlG5 z=zVl(q=8Rw6+tfp1bVdwlFFGwUa7p9xERq}3y{*=Hlp`UCqvJ+Cn!3*HP3p?sixz$ z`g+H$4xyBNJO5}pQKoQv5=jlWy`0%Tx9^ZJ+}?7_bReHwGeO_nFWf#Jru0)e>q#n= z_Yk8v>z1tmDYwC}P8-Ya5w|u)XX(YZK(D#P(ff1===Im4JD+~k2klJ|d+UUV-m%84 zc6~uMEgu4-q`B-+&ZGSOGIxhtW$aiO7xG1i87^EQi6~Y zvp<|MDC4LF?yx7!bOq?9e>!^%HysFu=B4K(U8w+#4#xkOq%;M1zOf7p+5Xu6`z0EG z;(dl;fdl!|VJit52oS8<-9e7=@>x#E)_`d7O_>3HGSP)S?m(iFaZE581B`gJ#(dqk z#@grj(&Y4|8AV-!_|oP900yIP45s(MU`)dv9nS{E)rnNRZc}l|iKEL`jKKS&7*qX# z5`eB@D<*q6UTl-q#}bz_3LRI4fSK>iV#hfw6~V_&k>;)cfQfncC!=@|oUY^P33ubP ziKO%`o!cd!aTU6`9p3|zXUn(V%N)H5>8wyu<*!kASm$FATfx z@%=F5qi=MXyUc~%$<|zXF{`PZBZH0Q*;vS+8w75|a4Q+F!48Tw!{aw*&6L3bnKL_i zmx+fJI@B45V;HZ|_Ye$TMECZ)0^WnN(>Iw;!d`|$xf4x!@mkpxuzYl#A2o+~O{dKs zH7hZn>8h8Dx2mVP2BeVHixWBM+ID31`V@69h~0vurh09a7d7j-z}f263kky?V4O4{ zpB@x}cJM&E67(9qFNiHSkW|htIE(hNFMn+N*a(nTubmyAn-DSnG4YVhC|RAVH|{+ zOT%ltv&Wwc{~ig$qhXJf)({8sySzUM>ZQE09fWV3+fx6HkU!^JUgyKM8reVZQ}kty z7mBOY&^iLPr7NyIJz8rH04-XA;<}kGbNatXtDB>B{eh9pKCPZK=Q5Zhv`%**pH@GD z5&)sNhB?UI9<3>a^owZSbd9C8jiKdlmS>1vfZ5V?#EwH^8(ICZKZvnY0lfSUNnMa*UtSL6V-ryCa_|{j#AifC=I|4 zC+u;Bp%jL+?1lT|t*XRlhfB{6t6|zEd!k{Su=AHkAQYv zhTV*+V4`&Kb>-P2di%ZeJKli;ORgL`A$nbSa=T4_M#*a{{zg9s9Oe6(>y&pWcE0u~ zeXXxB&7VPvqtK=Vu|JQDeC>+;B46WViEW;*MZ)k5SS9V@CPy=__%ysd%Z+U}SH3F3?QtAP6^TVq+XJL81tU50z0P-2fGi=a~n z#$u^h74yq%*wh5SsX@KVWifns8+F4TP`XzcHV!HMo@p5l4aYaPldI*gSVs_c^>P7D zsx@C->9m?L5L)$@JLjK-n}xY(n1Wcth)ApWz(^~T^TxnDZGJXt1OwuUT%^= zv*u@+3>y@p^Ir+3)>2ub;(1)Q3s>=|v$v~rq`A;M@?N&d- ztKA6kDkKcMR~mgX9LU#aGC> zdb|ojavMz6U9^p!Nl^e>ttfE7E8r48vQEChs|rugS3ly$BFAS!^KOdqg!k5?3QlUIR)s*$U>VCJ*ae z0xFU`EJ7t}=O;~(CesMTR#fRVX^b>^2y>+AG`Zn&r%9jw&}5Km@(Yf`7g4qG{U0PX znuL3&)npjKYi}`{yy!r_CPN5X2oN->GLV2DS`k0lA6Fwyo&`!Z`Qe{d6Ra6Bl}ARk zn`?p|*VLU!5meqz>A^{uQV#HKFNnuCzR>bKyEmxLLcI@S2P3Hw@6o-|iuWfHhIdpL@n$)YFWxT%O#ukvoogV$ zXv_(Sc;Dk{B;GWjRJ5w)K5oIEzoEf)ih#Dd>W$`tpHIRd?bYDA- zR!31~9FwN$G#UUStVS#PL8Cm?sFIA%K{J5;w~*9mG`n9~jT(_Kyz*wF(K!y}YxEXD zCjbPEjxdm5G$uAgqt|dX(r7$Ts?jZ%8jV`=0OTx46xV=Q@x-x%nPJV*rW_L>C)7Si!pQ;hTU&{7wc``K2xfKiT*2qRtUNB-XtT zOPgu2cH4R~mG_)x6lMpwoi|&Y1n!4Ah(G+lgpj=eQT8`q1on6jYE$ziQT)bs zbBp^UvXY={lD4&f0<)7re9?ZlqbA~`gITkCBa=1(@?6|53Xo^x4;vsim{)+d(Yt@6 z5l^cZ@qDhzw+)lm9qeno01)L-hi2buJiZS!9>&zE{DGwV8!A{3TY#iS<2`yu8vDKb zR1{9Q4N6t&&~LdB$Zu$_An1!5MB{f2#D&S1;%ek%-vOoO^@n-dyLTc{F7?%ptbO0! zYFn7*4>dG`PJE6~Y;;6c!S1insVAaM9uxzXuO2l90qNzgKY;EPWf2tJx-8swvKHPWdbDAg$g=BZBW zGzx-FJ3_9_;zAcIKcztE)XCH7KPI`Xuf|v3XTc8b>qFDm%L|Y?3UdMVbj*ULlkMya zoNT}Kf@~vHw$~tGco*t-5IYV@jcm{Fkyf@I1V1{*$aa?l`Lb6*Yew1c|epwK^NR9{4yE2TcdHe zZ^>QV4RQG@`75>^rfAbC_rtkPxf^zeawAo_Gs$ybv?W38Lrj7ipP-#tJAzmj195Tr-MAVl*BdBR?lhPuwX|gzC_%Oaovl%&lbAA3>YG2} zj{@}ep;K=8^gm_OxpKIxe!b*PuuV??6H@`4&x2)OVk*?>8vG*{0YPgLuHnkhWk3+(6 zC@c~m*xP}8Zes}ALAiB`xXr{_aGSy(%WaQ{+o`b5bZE*g#5#=EZMl`L)N;`UsE4Q0y$?<45u>bJ>5x2>^MCH~4I2#ddP4MZcX~OMz2kPp9{zC5IA*_x; ztiOSza(=;CaJ!v9mfMj4X}MiD5!{eRM$5iZXl5t>RL*2cQB`EJm9zzf2>No0i-I8gLO4cj2u@oZpO2H zee02y0e4$Z&Q>I(h(3Pdg+;#=!b}IIUk&{HN)N9 ztl6vDhr4CaDhhY6rf@PJjQP!NNl526zu}>F1ukIg2zpML1%T+?zdFM?!OcCP%z>)R z{m4AbMGJ%ZU(8n;W#T(U%J>1>$4D3sE-}jFI*{KCG!oPeASm-!j^^V6wzqLL@`9a! zQuD^ZJZ%S-OJF+=0baZcUh{$C!+n`yo3O)$ZUK|j$HNI}wQ*6|SD2tor_GwvoiwW_em^o!m(7|8;TFJaM!llcp;ev`c2paDUIK_>CQEnbWNwt!U;~B zQ+q(0GS%i1mU4*dhW(F_)M&G<;nYr>hmbJ*ZMxAW+#zxk_0snO>9?IYTLow@-D!;hvJZC-UCUz<{bY5;;Z3k<}C zS2J)m(&h!ARGU8#c&j!TSWp{{tWtT~sg~h;%&?6%QFPTTuT|8?`9fnFZQQKpZJ2~j zr_EH{+y?Evc7ist=>eXnu#^{}w&VFbk{WH^>7G`be^AJ#~%_rlnHYb1~v}rJY(^0hypJRq?v}uU^#?EG<*|FNBoz0wzIo5RA zOu)^pHXU=I&0O`Homt9rQQPtTHzYOMJe!?Xo3Th3K6tIsX08MI+KeKo1R!X0g@L%) z%wusi(&h%BRGSx1HQKb9&1_L{cpr0XBg|?mj0wr{DcM%M`*4tb_aXZlyBrIvu?o2w ziyvt%ANMMzIPn4Vcul*gre42WWS*eoUi`|biQxNUO4dp0BKR(_*-E+*mM$Nll6In` zBhW}-|3^$z8c8q6iX`=8oad?5SXe8O;0OouJN~5v?FSGf-NQgqInPm@R9-!QY>YD$ zAXW5=Q=q8CIJ=_BNlt$igx*r;sdJ-~1Pn~aZ6H!xZad-zHmK=O$3Gu!5XQfb+YQ@A z-27%{2+h63o(m+x?R0>YTje-gla633qYl! zRTrF|hs-S1Q9FRDc}g~shW!U^LJ%8`q=xDX-6E>KkM4?u;S*OGs{e5y-$#28R1OfV zxz<4rfPFTee~OR`08yfEoMb$-WtyJY_ybquZs3RAief>0LklXYuKwJ41FwWhZv5W4 z27&vgmIR6&D?oM$P^a<1Xhq5Z{-du6UN3IJuRJ5v!3VFixtL@IR#CmmEMOHk6J<{A zS8~2n6g3^!Zy3b!LHV-QR=m)+3q9AO6PEvCO$mC(%FP67{nGLsVSYlU8B9HBU%l@K8qj`TJ#Op!FDWY(|D2&aOrc z%->1Q4nyaphqL)&V*WOA_HB5H9?rf4^zu8&+3pzW%Hh=f{7gA>0i>40soD7%a;6uC zD9s?nu#U>MWCTD)+4YrcQ2e$+GR)V|8-7`TLeWILwj5Zhdj- z9bW1!e;;zNJ)9j-&Ryl~1Uzq%!>NPwd&rp!`s0io&in`+Q~oY;_E-!~^LxtSGgu|p zJdGLeA%8C&Yz|@IZgS>uRNnmE zgni}Ahj^eNhse2)ocWw2_LResLy`RF68zmy{$7754)>D7?pQn6`~ffRBY!tsXAY51 ze>pP&6()ax94;*Y_;G^wmA}`Iz~MkSJoqSFx|NrXmcO@}Xb)!}m5IZla(3QH_E3dA zP|jX+JkIhE!X6-JHXVaAayavjI1UHN*+&vM94v=_j>PTuw4MMUHv_SI;Or-&Jk+M;hfi|uf$e||Av`>Lr8ow>+- z1>p&5%Z#hpB3~)FzuI!!`D{5}eLZ$4<|m8!0QL6@iSI=9wZ(<(>L$BRQGYkQ%zUMs zlhl@-N3!K)^>wDWv6YBVRewK?$LX4Up}R*hyM7-{w_jb>mlrH>>db^@t_&{hT_=A< zWopDZT})QUxP z1|q2SHqm2II_h-GzI4#&%` zYQJgrrmD$)2W@wjRg<7?hCdeC0hY0?L(4^kb1D%m0%EOz`z!A7k! z8=35P$kwn72>LyGLvi0ltP@!(H8d!s2TzkGj_OTa*$UYX) zYlx_2FB(ad5hp#ex6wFcOVDa$jc`&UJK)LyS^GMXsgf>Km#n5L`yIq9Sqx2rIH;$GIJOB8PuCD*33)v2nBTv{)Igm5Zz9C|FpMV;H4w*p zQ3!Foh^|Kj#7m7N%7~L5;!S8AMEOM_4RKz9h4|g&3Wf{>SSb+6eVZ!RBAg*sysDUE zwU+SQFuFOjJThVWHrelh^M9uU}t+#2<&VT^+g1*?nV-2#7PhAWHb)g31~I2Ob-ie7SjmRw-shabfl$gcKiz3 ziCos_7XE&W59K2VT-L6eJ8w_<9N&{#Xi zdv&s2r|~t0@tSi?62AD$WhzX<7b1Ec5kbx|k|-li`XnqvG_~o8qbk{=zB#bVA7oI!_J@jQ7V~;R z-9`?c$?F{Y@X1*U^`d%E%YKLYTb4AFP`{qSd_v~wF) z?adn?&c!RWz}nc0Lez~#wB}5O`pb1XWl=_)^i}&0JaDLgMXOQohm*Q$pL|JxdJy$= z)2LO+7WJ-yUH%Y*`c&=3EY8ye^>cFYOx~NJ4e&GgI1#+h?BZjm$Dq-P_u|zN3B*RThzw{cKJgM z>ayQ7YWi_O{nY;U_RwY~1HN%}94|lJVi5xFY%%ndPr;Z+kQ$*O=mYhG_BxBt#IvLDlBpH3hy-eP) z(90GQQFYmrdXgdgT{5ypmE7)hgK)!bez=nK4M(A$odYiGa0I6n~dWF zyOIjf8rnDgtZ8!!BeYlisc8Q#AGYd0D5zatHLet6-;<-qDt=cz_-`F%;Gd#fL|gm@SJPt$^PPXb=ib^ zfXjXd{IDj;z}pbZtVa4+J=XzTA2S@t)+7QSjA1a=WR-!6dNLsUT?S^dj+)flPdvnD;ML#BfIe=z zz3_UUh8?BKFf|$Y^M}L?e1c*8%}FK$?|V_0f%iqU01+8@)<~j^IO#L61dYqULbN&q z|K`~Y?9EcXrB)%->db&D+-BfMt`=C+jWaOCXCPNQH>*Tv;6m{!lXqk2+TIdIb=kOj zG9de11`c6OHYo!;5S(oTNB%|z^l{UZh1c=)NKvX0rX~YdexI0ulQE3X9%wRfycdNT zI9^0YAR+@DjU>v5lRg85Xj}%4LaQ?{>R_9JDXdpmj1@wy&J3u+Z3Zq3OgGNJG|DpF z{vE4z8z|KosQp!CAQiec=hZ=?{d(Q3?$`XTf(!gpzF`%Kp!_mGC zsN!uF&hf@kLKfs$HVfsebW0egv+(6lDhq$fhn)aDaJvHF3R9DX)p24L-o!9o-rr=Q+Ka+0REy|2L}X!-kwh7B(zk>; zXk3^tqSaYgbAZjlPF(JFwYNfXt1}C#c$Zt*w>Q<+3&Kj2aB>vS!hatwk>r0ku2!rrtcJH-RZ2j|Bod}lZA7?P0T_s4CDU& zOcuI(QJ979BH9lTS!iPm;YazfZQ=I5DhosE$%5>6S$Ke@(4;Jk+0SR;iSNmRK5lwT zVfGR|L6o`xQGzpCh^Fg#a3(Gs$ zEHvgOBi|z_Zgpls6>qapeTp+q%0f+$h1xH57RKu=93_5b@&EOhtAE(`vAIR?0yr=C}% zb8xrL!Tea|;3N64En(ErDhK&A%_(_5y^g+gK;dnCgouGUOoqReoGGYantKK zVEickK$IGQsmZ~TFA`hAR1D)6dYc?P>P2A=9u?7Th{(YhBZ)HNr0*jGexNtELa-k1GRG-R{PEv#zz$zp&*?TuNKhA%HM zHq@{EOjEyKQ~%&=MSYEY*ouBfFGamG4rf>N^k$Bnp4 z20eG#@3`;9N^27LEeOd@{tx;J?)tdtyE$OogWe}f{mS~K;eP%niQJFIFdk4~xcBg) zkb4gibwmXBU5zBlh?Aas7c`Fh!Du!23wN{J?>XL6s}O2+b}*`N3;Z-O<>z}jU|oHL z?03|DVs$i$+WWhDYX5u*wTD!;(q~AFThbl!{%K4NwSzuRq_*t}#Jlt`)VB1ZklL0a z`tvA7t@a~bPLvTRJ+($?9JPPZYHEk#r0yfm>hGx0M>N%U5!G=+hbrE3YbVClWom`uc6i4*6(7u?bFY3iz-@sY{|F5sKPC^HGLJe*7Z=6 z{f=5Gi=;`^&ch+wMsNKBYL9AazetRgG~g)J7gIxR;fIOTCSw>s)7?<3^rDbjrHF1u z1hvsd5@p0mPi;IJN38;_rnb0^rS{u#0kxg#qoxYC)Mkrmby+J8SXUdB{f=4@tG7wi zI^mF|cJk*?drVWCD=`kEN8$Zzm>O!gevn9Q1cvc7`G(psFAAv*6VZu?pw`PsqKr7{ zshy6-Q9A{#rgq29mfHMd9W~mh`sMIC$8lA>~!&2aMK`zo$kkE`HwTZ$W* zybhr^ZybT=+<#sVblLBqFJ~n)3HqF!JoJ^H0$m?BT_}itqxEzB5mN(w2kqR3lc&Eh zjGN$fc^MysK>!AadQ9$gedSKR7^u8OzN zTZG0X`2qAJwLi0_YUuZh8=1Trp*I7?bM9ZS2fFNc(9dFZHVJyK9X<4mKLNTvZu&hz zR6^&$^%qPH^he)wJj2`FZon|UEp4Eecu@$wL`1_8QPT$-3HPsOK`%z*ppQVSp+CNZ zh5r6AA#}y9PUxz53w?q&j!Ft)ZtD5l@9KK~q(;7%IFZTg9{RGft3tl+&$T2YO&I!Y%N<0@IQ{9{6e6mswA1 z;4c6H;7jGho*!H$Ub7fc4{+J|0 zK5lxH0O~-W!}<>kmj?N<+PMwu_yHKkhjlT?J9truyn~2x5rMpkk#Mhl7VL;mO(zPu{UoB#4prRVG7|2X&*JtC8prKR zw3^!vIH|ey@fgOZ9c-u-c~MBMNJL!_L2Yj%;kNZGYDc1R)Y51*wad4%)E+)E zpfHuY^P-U2b|Pwo2x`AB(&camdKR_jXdJc8&}wS=IH`NK;oSpjBkH533b)ic zi7Dqq^-z=jj@qlNm?qV=DJ?yEPLxIM12m4>J7_hv&2dswJ0$=A3pG`^rS{hmirP#LSl4(U z`yI7gSno`tcIlR$+P!ZGJJuBbNCrJH-sWS^s%&%$aF%{_3`_IG{Wgl4s-IbC8OOh3c>&oMPL$1F^w zc@~E8MF$v~gS{xEIaox!5kd1XBjLvGESmk%IGROhHO(8ETbj?N10drRkZ8OvL#?ly z_bK<6!z4Ujuap+eH`4%I^fDa2lp%1Uz6ZgZ{gwo_&RWxXt>7XaBwT?fGhhP+zzbeCc$li z-?m%GTMXQ{HQajyMNj&SDD^uFng;F#3lib>!7v_3ds537J-sM|+fzgbN~7af?~1g(a9aZ?NTzAhnL1tc1;%Lw5fuG;p-HCHJu+~dNsN^+P%bGF@C^{nI7 zIb`{V>v?TqS&4Gdo>s0=f{fajDQm@x8+w=X4!?J}b$%D&$7OjR!h3s4xVi-T^B#Ng zr#2$WxIq5Y-TSz#JT_Fvuupl*K9|QcSTIe>W5H%Vj~7J}{5CQ8dN{hRId>R?dg}I)N&R<4b`G~iB$K;Fz(XcP;Ku;A=UOG+7=O1Q%1r~!dX;z zM&qcqMysjz!%5w*k3J-99STS^UY8NV-B}Kk(Df*#h1*HW%9J(b7M$4x&4JA;rI$QJ zvZ~8Q;9B++?-xOrfU#XEs!kxNVz-~t4fZd2z1FLFifvrABRSB~q*GhM5bzF8< z#anJqi*a??kb1bue#h-dmTQx^wZkRL?bw&$_O<5rtVDS_-4gfTU~0IHot?<-91PkbP*kch>F(LNVvB)i`xlk9Jk}pYHs5iSZ*^847ugh%S{z;xm^(&2M?Ow zES`P2E1nUif6#Pew+rwwlh+`0b<*w_bDu52WUpHNmQ|BwzstyU zRyUI}a%Yau$eb!NqK}(yD_*`yzrgipOif09o|%}D_b`k<-_2y?O)m;F@}`JpAtEDB z7zy{;W@Y4MG%h1^(dvx+zMdaRSHJ7KTPK&1sP72V`jeNeRLM3O-*r@#xbH^D)@31+ z{SMh^7IKr2oq;#D5|_^h*(zO$zn1{-rDx#xZ!k5;=D(PTtP;a`Dz!j0{(HSBM0T%; zZjh?N^A|?K3(>NW-HOH`y9upE_R7B&*^dW!WEF#a9ZsgE3b)i|1g7~TO$Gho1zkaF zbp`Dy$Is*q3EgNUE?1WoZbUn~g35kJyCn<2Nwk0Y$J5?%9<=pw)7J@`ed$kl|0rvq zhIT*g+=drF4#Y4{w>7l)^`emWz9MRk2-?kzgu4KMQF+txC42Ki}8e<&QF`TWc?7{isookb`IPZVG+aPyDSe>s$|N z+3!$yVO2E=_0B|L`;dZ{K&_9Peq6wuNC(69H%txcYo1F)JqW}2yqyi|6TK)zeWHkt zL&1$HGRpf$9gcve^JpET`; za{Nr*PN5s~cT%)FZbW;X*tq$v?02+ZXQ?%b_S1iO+8=^_o<45+aA6bEcDeq9siECM zJGWsU@&ktPA3GY_@iQ(ZA?;X1%Md|(p^f_mO44eifPT;C=3;Z^3%Cdz%`IXm++#-JcwH$W`&~+^S+Gn>$<*I{O5S^cl<4E84;4pO($b^U zotT=GG}F#)*ap7CF#fHzNy*BmT;9TztQ65wM5JVak#NUnR!TlX<5IE=txib`oYZZg z%U(fBgj$^`QH9%-{M*i%W~F2s2**SJw_!?d_9@v$dpm2b950i5fjF7TD+_&XFL70u zwXP>6vfriTU>1mzQquZ2pOXCNNr^sgdXfO_M|TjV8enQta`}^vcGyY=Vi=!EMN<>W zeqI!&q@Re=QX5%+G7@el%}Pm6G%h9G(dv|3{i{vM5C-B9ch74>UB&Fwft#`)ON)% z-j}+dsO{)QA+;Svw3$?xD79{?E{EGmv#7N~gTjR-UE%P zf!D|cy}>2Wl^Di9ZfoFu{)8hO!uwoAOArC?B_rVu#VmO5p>gouLaX6zhLalJLAxt> z+RDN^|2bt9ef1(c>ij6 zze=bN(U_xDKTHk0*B*CV!uI+YhVir87J|-@esj@A!Ibz98SRAi$7PNKpmxCtz9kkun_5 zgp~#D3^WefAha6V_#Z8_1#KNP-nc2QYHZ18%c^io?FMhkxKk;%{2=z&0lEB4Jc5fJq#yxeLQy;hqf>pH$`C?ugeIR z#hYN7)U1`#vfe?;;w7bckln1!b9ZJyk^K(ilPsSmVZ7~ok8#$MU{tBdq<5B}m(b?< z{TWOR#vdO|#JCj0_>-0f;}S0lF)k6&3y7$pj~NL!Ze?MdkH%q~jaFm)Wwph)3%!YL z%hj|;{z~WsRiT#F>YW4fCDW5@rrNA)W|erfiJB?<9MV%*SxrLP4R39uKX)2PTk4vr z09-+j!2BOmgLLAgM5LoJjK^(hkY3?MA<`>EbQU6zo?s;0pOl4kI2woaJhU2VWvxZ} z#!dmBaWi$z)MbPG46{TueNi`S;Jd%j<5Deq)EeytvUKC>ND59MZfw6^=aO+JL#y!zEjBU|sj3?uy zZs27*DvavF!lrAcD%8^IFDBedSPw1P?`VC^N@x`N;Y3YY#Eu4U-%wdt6qlM{Zn}{f^d)tYjw9x{s^&wu!zn1zOu_T6;-s%V@t*YAmLP z)*qFLv_8f#{(5smYnc~?w3dme3K4bd86)9Fq%2yC(KuSKqSdti`PS0vu)U+De`h$U zA*wPhthKF`g&B9NBs#Mgvafbx)($G-+_7@(Ox^>b3*E)t>at3l%x=Zm7Yk*-BVEL5 zZ4&8D1Yt>^{1~M5ano~!%P=}2o`1vCkiPZ7MA9QLjIU{CNDuR(kn}JSornn1y^Msr zlCnsjj>eHb1+6B1$2XSr{Otm66^dJ(Ge=dtg+9g`NBNBn?>P^skY=^fytfq(GI{%l zj=a-U@t%&W*)=vP5A4nN)87~UCWkhrpBDf7R67B@Z;x-12<2D+t=Jvu0%WcIrj$2ew;;|*4 z-l)PYwa3Ji8&2w>Ci@+=!&s3`qSgk7EVZ5wLv2q@?For-AYBpff5p^L8+C6YwZRz1 zLmL}v1HCAuHc&)IA%fb$M#2pzS=9QVanyRD)znJ9wA7}tXhpq{p(LspTOT!5xTSW9 znDR?p^-z=jj#^_DUz4cCHJ)0lhoH8XrZ!Sy+?5U`O1;AhrlHpJo!7K1lNf7hzESEvObxYGCfW^_ynezk{=0#pR(rQY8&azk z(T9kjw#Z1hfSg6G292Zk30h5UTb$I?^Z68goX(cf}PxIyXXE8O@KEBHV3CDw%FpOWzG1Q*-qLAA2B6+3%?B%QA2hwN^M}sdb(RwF5M@i4tRP z`jaU22aBMF+C_IJQacXA_>_MQwccJ7QtK_EJVa1yXC&O-nMJJ|8b_@QT21ZJPb{?u zng`S-)JIJfZmFFmro1p{BWl?vaI)V~`<wR0uLZRk&;)GL@8 zYMt*$q_#bV@gDyeYTI~GNNpPtt^Zq5TXVZEhl|=-)S9Ak)EZHsrq&fFb-y-r3q?)l zXq#ReP-R+Jhlm9ir0ant`yH&stY0R-~Fxb&!VDMMC?ARvV@6!qmXp!UVa& zAg~(4c+=f7A?*9-V;C>_ z)4-bLMIo$NB6<`Nuv6Oi)`|};tSy`U2Ux013+q!(m&_PzuMqR2 zV>&5u&vm#X3-@V>noGSKA9veEz$vZgS4|ossNlz*Ak`vhp9mt z-I|DWIEL{Re;B0adQph&S~bdwh?Wxs>hob}rzcx&GG@LJymyu&oSQ4(qgdKlh+ zz?!9jckC^R@D9K*K8)O|6Z#Hb6vFEuqFku}+<#>xT=C6<*9MJ)w>?@7uRl)e#(QlO z1y5U9cr&zB++GS#fTz?JURNoBpBdn|b)DGDeh2SumMW9rJ-5um`{YjGb=B~WkWg3C z(xcQwObxuPGKuhh#xVZpcLQ(r%}x};TP>pHh=8})NO&S83*MJ#9K27_YIwOgso`}` z2|W9hPo*DSH}UwUD&BHyEXLJk)49l87dP4OxZTbAZ4$R@-t*iZxdU$9G`G#h6Xgt= zbCfz8Q^W0}$RPq`0KXdJgmXf?Ob-nHDC zv6A7rO{tfgD&BH?gU%jqC)HEmWWVEfI*X`D+>XK}+tXceJKVZ!Zf{GJqv&Cxl&rrR zZV%m*$ZZUUapqUUZIl;<+(wCLC?dF>Y$V)Jo>kv2LF2d$N2|F#`i|xHjwWRujp!)8 z*16iLinrXPEYLK2 zOYFbXw4>ByObyK)$0gGI8^d_BpAF65Z*-!N=I+ysXf@4U za8mVpwa2=qJ5JGv#_IyYtB*g(F%m`&r8Hz~Q>whesCeDkg$EtHXL~4(OvT5q`Dgv& zR|XW8e_dF*x^H3mXr7cTt|}}aFq7Y?Yphg-jAiWOSF8Tb3A;PF?zD0|79zI;U#=dcAGc z0*SS>AeP|b!ov${T8`P0oi^0;SO~T(lgkg)1)p+QK9Pzi<@iy-vcjmx z!ZpAt-V7-QIi&^58bk$4yA&+D^xe|YpHj{nY{k=WSjF_z9$}+)aLF5<+L&9QcC6?# z=?^LZ(TLuK_itiqsI@Okq;?#J@wPNXMXiw)8ESvkDrz;fQsX)Pd_Go}l*#Lgv8(3m zf3V~_N#BwNb(H!U=^7Y8oqObaU;#1ESP9+E{sCsXB}JeePCradInNC?mhFV6|s?>r7ve z8);NjWnR4S5%oA4uw3?(_uWaG<46v6s*?F;jG{W9dlRG%NiCNDRK+Qbilnn>Zaa&1 ztAoyBh;$a^#ji?VQCMCyy-#_;ls@G}l^gXNs(Kez7sOI7ea6h3k(-x}ewAvwST?Zk z#lotuwo~lU<87As1iv1U;BwJt(nm;5>_blwrT%6u)g<_mF|OK%^Q?w9BOZ+7YI5Jl zi^87zZ%U6lBf^^wMv}>0&sMHRwxI-<>7x+oMm1`218KYJ*|R@nR$e-KIx$Hr=IazX zMiX9Nd`t@OU<$Cq`s$kqFVf)+kt%s1eM*$t*@t)g^@-u_E}maYHC5qV=tW_8JB#RK zRhLso8wo#Dslz)$KI}6?XCu<#-M`3(w*%qL!#RQ9L&_TPbc3Aof|XkN=y?tKggri{^ZKJUnB=2(Z1bR&EX3^CA3xB zbM~Nq_-MZLzwraBq@B{QmyV8eiknjlq+PE1MNPB|;_CdyB4aH4lomu2Mp>>Nn!i&n z6Dcrd3yrui)3Rn5%#EiVHmHDO^^D?wMJxm)c3wt8FRy66taD@;h7&T^FBI#Vcx#N~zDl3Nh7!Q?U1yrEX+H;o3=6fRgn zY8fUP6qYw!wU0WS;26O-g`>IKl19q; zC#Hr_6BF77W9fc3ApZFqlld=4WoQ1QuQnt!-zYM9b2+3VR6_|S|9JKPpHOXc%3wxX z9XD#E%L8l|nUO72Z?#aMWlWZX(mESt0(pjV~#MCfa zaZO_DXog|@7L7p7H|AQAsYTDPP_1LK-MnCSCyp_XbV@V)R`}kIt)}zW%dU0Q<||Bu zxYN;uSUS3rF_ul0W<8zC^jdz~28Ef_p)}ekhfad;`|zEs`|PY`(3XlwH$xdmC@=WC zI$wHFlLF=;?AzV%Q|AD+4Jz!Ro60Uf%;Q3{NP576N^=Ub;kn0$)ChC#asBEFIZ*lN z37mdZln=O>8AVB-^0F&w`hChXBlsYy3ABERh$Q{R`lU~jpEG>1z$fWYc+Kk|`b;{K zB(gbA~PC z!w#w~5$Ti_;@!+;(wz;K%RQx|D||#9HW5*aAfj`m+U=twnkC2YNgu-de^`$+5nW(B zp=oj-v~zZ>RJ(!jRuT2|B6;S=KJ$JwYIX@DaZ(#fSjI#~3X^pg_OVf0T?>N}QK zOX_0?@sG;&_-8n$)`VnwV{xug02R(P4(D4eohIRYcCN>{`515>rg5Go!PnC6xc>uF zgR|A;i8vp}F#h`sgR{2Si9(!@i0DH^;9O)RQ4zBRh4TUSI-Fmk(l~d(J&p4KnbSlA z&=(QEf@x-yzet&-b2p;OcxR~oB9~hv6(y1irsyrpOjCT3l~IqyH^uwrcr3q^fTg>} z(o2F^Mmy#HUrY^_KSsKU+oH3b$;%kVUw>|}Ec2qU51l8XDnwv;#!JG<{p{b;v zX@YOM2i?)cMFlBT^S$;m>tR-`M$4oMQR6DaT-G*yf8&`-LS0Pr&r4TAa%NI(<5#Cs)%H0K>^xG-fBCYF~ zkELE!F~ek$!ok$H+^W{K+`$~d5@{056J~pu)2;<(p@x|wp=YQk-2aHFf%)vEi7<~L z3-JS=8kiX`3SkzA=qg0O9A+d@5lbJcb>*|y!7N3kVa}OlVZNhJ#U>PH#;Nets!7<$ zZjquB8rgEk^cGu0R8m5e(ST`%XT7WE=}YAlOwLEnw2(KUf%^N)9rdN2`excthKQw( z`k$;yCQ<)n4>(5X6?h@&aRP*j+OrgEr+EG%_dXiAZSo|>#D zAoB|v;zYqrW?C~DYbyl>C)!fMhkfW!FS4jd_F=DJUUcaW9Dfnr?aXW+#!9m=CA5|j zstZ(H*6y-4X8e=oU!z;|L7+?Uf06UQP^_v8=wbPEjV>6{s$Ba2Vj(gq{a?J`(|_nS zq93@wWj}I{K3?uKYejx`ai%h?(mUGe`_xa)4xoxLvo zTcXnG?}dAs&>&T*2cWk-*xTA9ZYyObaH?{g+KO#;!E_p3!08*EUCH0{mZfHld#^N^ z(~Hl0PA#v7)5)6CSrXM6x(3bzF*TfWO?(^N_45>laRb_{>gm^9=wODNrikcMsVhGvwc(CbJ9Ku+AbE(NrL`!W4|x#}_&Cv4BFadU;`wJI$>in=#!Oy2 z`LHJ`6A)?d-gyq1pUO$fwtCXAR61mPDmk4M(WIE}#L7;#hhIfZ=8R;zG^ICRl$b_i zYGV5N0vFTnfxGu%7=Ql0iRn!*;sFg8)4d{^g@~A*Fp^BJm=aTe`LHp)ib%)w&$Gnz zvBZ?G<^l!umia@}K=TttlU3Q!mTJa0*uPbD`#QFkzauMpIfZL~DlAtF#+qg_jTKSP zU@{tglnRbAi>iksxkImnK_~S+Jx!X)Vj45Qzk;b@@WU|2K=N<%`Ynd>$IA?Z#a`4l z5dAEo=MlkRvX{v5Y&mO1ayt_3+h5N>;1?m#P0wfuRIQ{*;GN}aqK)n?7CMrmdzKPx zbT?f=bmnyGEvaL*G(qP7n40KXd9Omp?-8&5e$PZ#d%i;uPISkM=tD$Ax5!Hl4WcU- z$qXbqx}7Du&n3DcdTt?6wc0aB`T?0un8f|UI%HC4A5Qn7J$*T$nNyozs7-Mz60SH=IX3hn!$E_#`E*u=FH$wx?ZXh-7P%!Lvf=l0UU z$aNF5uCseqJide3EQsfeV&dsa`E)S5OFa4XK2d57tCA+3bG#qn%=`y(9QVTy)xqR@ zQP^L{BHCBSv$K(8a#ymI#<-9}yT*8^i)aMbL-erroF4c|7FWgm#ki|>Y#_dEu0^*v zk!rCcTf5fUpnw6-MB`_+PAaPT-Z!i_$XBJVDD!>O%`3msVNPrb+ zU_VQEE$P~#l&rrRUbo4{&p~o-gNJ%6ID5 z9pd?EZ*VDfbgMp*jFtl`S;t&nm|+znQ?#_-um(lt3%ZxD;|0^Rf2AH#JL0}u;$i8l zt++(y!?;KIt5wzkGFI~y7Ypj#MxLKAJ3wfN(ogp z>q>9ex7^Zf>8mC7wvh4xbHXdVl+uwzOyD!0&k8;Z`5d>w6<`|pBHzG|WHr^-SKq+f zP4o5jB5B}eq&ZR=`02EM*8eay^>ysouAYT6h%|=r2z*x!{B$o0XAnn-=omzWy#Lim zGPy@d%g*GTARl(LJrR+vvA0g8#;O@afh^ocG}B-PaS@B9Nip@u%8oDhN*g>#>Odxa zk@SZVeMXcz98(k1^s`({;S6FihVjHVO-zv&g)@jnBDw+*F%316Os<#`Q;B@on8qN| zF}?HzF{v5EC2HxGm^PR}$cDC5qDB8<1~H74RL{WAAdclImcd<@!5~jF7$*JDjdTQ2 z>QGD#gK1|v2H^}MSHhb>D^z*C(Tmzrm>p%>is&*#FgV*w!WqP#BI%1n6PWcl1k?=T zVp*Fdt8@|RHC)(mYXThncKrCWST;a~s;q zO9;&jwKJvNyiYTYQe~K$(Ec3aLJOx3JBmx+EHRpyd{nbR> z&U+h9pL&V6TjQ;2g$=wYoIZ6I(f5l~)SsWG!DMpNY^5jq{oz$?Oa5sjuzXv|<&>B1a6LUQAas!c?Ly(o<6ei8LXM7ul8NHV$e*-AwHIkX!sPC%q{l$m5B zIx3}dROutSh&9)wi27q=dy;!EB%yNHV#NbVMZ_+C?-5k&fu4M{Gow=rgR!LUk`{rM-u-<rzW6Z93d^C*>%sR{l0Qxik~fKz6wknMin?!*ZvXWNQTDF^$$!<6?pb!R|Uc|u-B=(@dK}zDvt^)HbsRHT@teCT_Xmn@JZJ56g7)SQWIcEo%qN=QOn}%FMmx?g5 zfj+mN%|@1i-$d~eP7A$+eA z9Pgf@PP?jzXARpaN8fU5PPr~_ET>#^^eGn${nc?zdw0q;T28sT+M8<^Q#I|P?W-l6 za?NDXb~<<0PT`!Zl2zLYtlCy^T#jEbj!%3oDB18_tGYlnB|0fCc-Rr=E!IhW4fV5v zXCLr2^v?^Zp=O1%jr13_^b)LpVQOk6bKsi6jS|<9NRnnx0YVP+DwWnBn7THJI z-1aJ>#h99ix_M{AY572Lc5fTe_FfcD%TE+hN=Nkj03CEDS4@d$OZl)7wQ>>l#yfq+ zRVL>f>MmiMqrEo~(Uw6(CrU43&LOXs2HlG;CQ7YkVbVl2+<3w~Cyb~J!}z56CP%%z zD2!;Vhz>$Tj`na7-OE-Y>M9>LqHc(Ej;@$!BYIAsYE0kg45}aA`BeE4G7nQbLXJM4 zzz)&f<3o&=@kR7cQL2p(?2Z#$y$S=HhGBf&JQLVOUK9p4RYa#CBCun;q(e}N-w?^6 zNOV}0_t~)Y^S}jDHVW%%Rzs7*It^1B*5sjt)mewNMC!}k4@y|QFg5v_b9`c0?_(HG znQOwj+l#`mmWiko5n+w=k}#}2C9EMxbXbe;wPB^2QvX!HnxA#eV7eLu)!Jpf*9@*? zaWsj*AdX@QOgaw&X6bp6)UWY0>L^u!sUa}CzatQy@!u!GO`c;2jQ66pLDqzCd_5uv zTUN!;Q(3W0&=6_i8xSR#iBm$>!6ieXYb0J{P zpkI@8+(Cop`D;uKftmdh3ETs^c+yLTz#U!`5)i)eb%-D^!b?H|kBejw5=~&?1WTZS zoSFDKpyoh2{|yQ%-Hvh@d>tkw(uf+Ug+I+tUq(czCQ6`Vez);NsTYgGNx1gGC5!8_ zbHLSu1Ttg0TXJ_c-9(hy7E^<(ysyI*PL%$Hb6h;z;5yrj!imya5%om`uI^qU!=4?^ zz7xrQNHngy?gp2dD7~vs5(v1?AkRD}Imn(AWP`lrY=Sgrch9N}(rNG<45lW?NyjAy znJdm!%rZe<=S5+VTZ`xdLi}{3a?yeE&=n^37fp zF16esqAL**^7&pOO9D2pSBqo-5*_lq@r0}{ul*@Y?^$=^KF9*9kH=4!O0lu=ynZI} zn8)aTl%nU*=A+bbOiesr7P)xB6ZFGG{N{@$o;h9=p3@yHqA7@o=N==;(Hm;@ziCwP#wvcki^e~?f`$JH^merO zV)9xry|-M+sE%7T3s3hxR99d&DL#+O9R$7kOrCC8zlx<7=~i?nKvBJ0tCPIt)dh=4 zhd#-ZolWJRtSyHpdCTQ;%_2F;QxbKOx5%F4g`4Cg?+TjHY<*Idw>#hIGP?2+KmDwL zqu-JTQl;bvdSz^8)z}Uv;|lA52x_TZ*$2ux)M1so;BCMZ0OFAC2>#*3&QqC)ONH5J<^^sc~8iPo#75bq?^_F+h|tm%FhR? zZ=~)-a@P{85T&b|QiqoJTVGtUzIZ}Z)RAxP*B7^4U)*|qajW&kmFtVAtS_G4eU`B3 zUA{(r&TC{&q%Y#`acbF+tQB3B`qVwFV!<&uPJTV>6ZSS8-C~>=FPX+PV7bcfklWx? ziK;>^Vn3B~qsz&Uu)yh)?VxRe4c3d>tdAX{rgQ?)Q>#`06H-awIxMKi_JHuY**tCV|!&1O$*WAJw?`kT)D zJ;g8Dn2XqQY$=32S&KIEw^&KoaAA z`fFQ$%ORhy8~2anD3umoCMD2=Rg*0uefFaBic%k*;_7Ul0#_Zw-m?J1xHD0!hPjs)g}rAl5p9ErI@{PtGP%dF zmELoZeAwQz4I*7@2jZRXJ-3syjncUS&M!>m;aO5p+Xq2CG?<{a(?J!Sjtl+iilS6Q zAJi2+Tu{5CvopS>7{+HkWrFJOMd3;G+al_Qh@cKIl1#3c64Z_IVT0<8NC!10A}Dp? z{77{v!~`{VPt`EXB*aL5sIlePZNe>0)4$7=<+6VzmPpBXT2zX3f0b0pU;08r1zV+J z=}Ql+&XyNcxlPQT%4HqgDCo-7-9lx$3Q7^mpazBBqzq#Jrx>i^PjR+SR3i1s{8bpu z%=)WB78Ltr2G{h-Fz#iFDhngs3e1hWjDqW*mrB0=d8ze1qM}ya`wh$K-gj8ez@qYx zinyhPruf8KA}M_}e^pC$8f6~-$=8byOh)ABs%@#W@A2|*)PFbu|BWR{Uw`~)^-;NR z6la`D{n<;7kV&T`+gslv_2*?wO-Fv{k*@xPOAs{}#=B25^=E4@YD;0ZlGTdn->Itp z{Mg-<6P|#t5y>Y=bnWSZZ+Z!$v6@gkFDc-SYC3v-Gqj#rjO!PIg)3O~|LdQZtge4v z)elnAuRC`v2Xl-+%}jRlUUrT#wsiDZb=7$6s*D;WEp0Vp*dwIhKzB+mA4BNGjC1-! z##ZfT@*LuHR!oz!a@07VmDf)uD`r{bVgWRYCeQnyFg00uDBscCH30tcDTp&qn5>NQ zqHuWqP((u!74rTkFA0a&B_b(6qOY`3T}wte;{+P%8M zB6d=T^hn#;i1Zd^m!G{!>B$tE-FMD;Jl{^Bn5w z(Bo@2G5pSd?El&Ds{;Nn!*9d_tFP03_`SHy*Xin$sMAsn>1i&I>NSO?9;Hsg)YR!W z)2>d3!|z=f#(w#i0Dp4)af!K$>dICDVgV~-(AJum6J_S?|D%; z{I(U*d_)8_-AFRIVoFfUIkXFE2_hX-BMB-TesxfG_?5r1hhM#AgW*>;`r%jqwc+rq z+L^lY?}lH!$qm2ePZ>>@QoD6a%o=|6CO7<=KgB?XUpcNHezg~N_|<<+4~E}LxhhM; z)gy)*D#B4>6T|O5Ylt=&esu}?S;Mc{Mj!HDhu_OtLiP2>55K33@%87~0n{I4N`xO!qn8CnTNUh6Ar(fPDDIulBqv;cu`vlv%_y!5nYFf`ZK~y!r`~ONCqL% zwP)e=|2KwTl|~tO*FP^+z@OsFFg))MGyFzJYZhxw^tZ$|JB+Izh;NpvG z(|WJ2HFocY!|$@MHZlC>SK8tCc$T<^%AE-1#WU=U2tBwU#El5di3+3>%Ub%2J5_NW zD;<`c$1Yc&SvMjS^a-n`A4NM{>#JtJ#CWOT5=}6NtD_eQ&Tl>JjB(ya1?Ee zVSE|Rt5Nh!FA7J|-9&UOB07vCj3krWj;#zhhsuW?MNdYgE9dQ_?I_xei-x76XZR6x z>3|lDA|Q3CpmP&am31G&!>o(?-ovNp25f9ZPxT|B zeRV`<3efp9-zar4rY5452Pa1K8HVxF2TVlsy(o<6LlI3yL_`yfB$N9cTZ!mS`LGea zfJjI5<25#-bLEtrJG^+c4TA#ngoQ$3cmq{)}P#_5CK)WnL79x>`h4hzRu=Bgy1$r$c?5oi5bZ z5$RAHyHKxDr_uvhd7e&q=JjEd8*gx>X8@|GfcE>^{HDYae>suE@l?OL9<%BrP6uU8 zEVJ!Gb_=K}@&F3c9(lrHvD%cnnYGTOS~22kk7~kkpfc~{>?1jRoL0^G7p4Z)TL(H+ z;Y@L$P?(Kds^LEFMd3{GL=lY_-=owGM#B9z!YGq>Er)ifDiLW^A6+GHNP0uf6?fre zJ2}iqb<;EDa+G>#(1d&s%d$x!kET#tJ03ljkh=>a?k^MvU!dJbsdF(kA%D`zh1?dM zowv-v;kf!f6Y>jQ6kgSwDWZoF5%L{IlF6MVv1alj4(&pI5|Ix1n=4hw>dNN6o6M}f zs!+V|#z5qPT&DT^xJpgnRyHnVQ4`7=*6zQtmOV-G{2}PG)s!PON=?g0rZ=%qPtc3o zB<|)?g^Vk;xruud+$e7-7ZOn)UNEEOm>vJ&q@ZXHf=hrc%f3)R)Rl!+G!de?y_Kw^=&O9u0NR(kXt$j2t)$7xEBK4{>wW8!}e(C;WdZt&)WjIX8I*>fFm{eeK*A#HC0+ItM~OJ zF6A6tN|E((brSy8CuSB<+p&VpxX$~(#8*3hORQ|$N4Cj5qI}QapB3kNf zItK2)#niO@FZOe7Kb)tWgkk*p-KOo&_M&i}a*Bv1Bcko!Z6ul8)7VPezgRx(AovU- z-S)p5Y3C`wa2f)dSP>2$R9na*W>TN_IF>f9XO1B*>7I#efOxTjE`{G;!qmjInRhvi zs|3UN7wU%U##ij?f)C>wBciudWlGIA5~eF`C9b>W!^ZUyA|2P(F0LJYT=GK9mSwN1 zW|{h)mDi-W-nq=j_0iG9wWp42w0N<(ifcBeCawd#%VAtoFpPJ!ac%BJVO&p$==bp| zU*GPdL(k-X&sO4^FCR9p1}?6{@J_AH)b^w|iVJyfwk+@JlgaKX_F4FwGdpE^rFGnd zAiWZ8c#TT0Zo``|cQg6yr#LUa)6V3X$akdH)4Yq9ZdmiH3)aX{XaT{C9`0k+G>PbS zmwKWD3Cq@$za;*dmrG3EOZ+XM0bH=v_oa^oo&Wa-U!;5nUi3HloiE>4^R(5y@1&_C(!t zt4EJFsweXF@xL6465@B?(Y2(!V0G!})x6|ADp+0H+SD0pfa>=@V2D>o*zn2UBX1At z%m_L8liSeCd8c#YFYM- z>cmoASi|)#Y%)gLubuJB*2jgtpmqqm=!<7b?KzPygWsRQ)KI%-uS9B}Vi=!yo1u21 z7lqV56w#50pmva#gv&H(q5;+IA6Y$-o zoSsN@U{7CY15>Z^4pOY9zXj8yf@%9rr-7BOYuxh2rA>I1N)=~JWt2#6Qq2euc!LnN!*O>*U_LHS-#`l1fw^H{F4Xm!TY7JmBy>a!Pqeft;Gop+N0Y zE-tC7dc1n&A;ERDI#&7_@%&i21%7{%6;FffvOOKH@TJ8ggv9B$f=hnt;8-g%ubb_8 zvmjE{nf_r!zW-j>*?*VoMX7DHDIw6J_NP?WqB>E!_ITKEmOHBp*0mN#)nlpV#mohj zypzel-SP55mquTH!Tx6BCmNRpcrMkjJvo>%7e zqjTuV?6-R>FjVA>+ya=?gy2<|cSs4`x+Q1i2G!T{HBzWz_nNP5S%pnPwQiV4Re2Ps zjuY!l`c4U~6Fo?j`jUlLQ#nrDJrUK7FpiJR7*w6SC`5I=h;~KA6wBxZ{;T6LXBH&{NbE%NoSuq_A~zvSf@ z4edKJ8Z2V=pPq=v#p*W>=#|?m*@6`*vy9Q8V5z+B!~6n+^xdr^X}(hOn&a-!XnKG3oMpP@a7Y`!yVL-q||b8WjO;pZ*_H+yA$9 z;f5XBgK&y(xyf{BqpiqvXvH_G5YM%n7tHR@F>IgTQV!G~TkX$F=c(?hCP?4s;*oBH zyew4K!-%JXRn??6I1+o?UG0=lJm=_mTFGIaq_c=pgE2Mnyt|8wC+w~oNj&q)P5Pen zqA-2`PbvlRZld<&2(TyI{54RKA?fqD#T* zmSYZN-ba`4YWq_M+aEvbXd~X>{Kx|6p&LOWfR<&Ccsv_HXgOBq35A&fYoa0@x%x4P zK~+Sq!w!Oz`36-cowTPF8|9aPM#|mqe^7H~_fiGt%{_uD!0-9+5kFHZ^+eJ1#lEa8 z%Nv><8)ZZR{f5x)OV>4PdE;pc; zaaU?`iA*B&8^6_?#IKZVJ>m4~3x7^nEtJRhQ^#UU#6QkZdY6zc_XcQHyT13e z%fJ5llKqnz4jAGYo_RP7FVGD8NnN<*Y+=|9Q^WAN)`<+qVi-R-#xT6ai$aFiis))Y z)UFGRM8E!77+%F*$8anv&2aANmf^F_g&`BZs7Qi`q3X)+kpdHH88c8bVZBNj+Bd7q z*_#YDIB^;aq)DC8ksQ;`7>+v(B$sF;-J}v+LthZ3_QBL3soc&XX^YNwM!RAd-+aA6 za*Y>-ozc!BIu8-voMa@K+{tW(NIUtkozbO;G?A&N+0LkP3tg)iEt%)aRSdbkN%t_T zREVmF@h_vkkVR1+ui3hThtBD!3vY?M0BNc797r4AIc*F{`{N=H0vu&o16$@BQ?*)TapQ7dFW|1KAh zH*QNZ16Jm%u2M!x`DX#xH~uOFLI};7qTkq z0}k>}kv8Dd4kh4gbihTDt()i|$Umkw|J%BNv+|E&eBZS;|6Y`pe-T}dNb+wa>bJL; zGmwAwx`<0qnf#yX^3O@Y2KoQ`x8(dU^7$7dO{JMTLn>)2r?FiM#2qn1ZSGkN_GIYxsJYcI#2Vj11EnS>(+ROkX!S*;~p-)o*FN3pFYH%o5r z{WXoJtCYqHNHBT%O7T)%i(diRn&n(i&sVMAPxka0GiwBjHDMHo8&iR%uKJw;rdO^X=Q4te%(aIU^y`%Qy;Tn>9I^^qdxAufga222ZH4e zjb*k(x|Sx%`~NUCSaveuFzF3fk24Z+QzBMF(%P1Z>?VlltE&{2WnOX;$FqI;c#%{g z(Y|%Sx0&zBC5bi?R&_xYJ&f&Qf~kF#Oa8AcUiv6~>sWrGkMiqIM0uxG?g)yB8pUF9ya~NqlzIVEgJK^O z!UhSSNF4EYM5$0T@uHB|VAZAp?4MzjRs7TB~bg8U=}$!Czr=ms^hyya_9zftzr`R`O%B-8WeU} zIx@xD<@5%n1(UcNW)dUQ%qyN#Taq|WSNzpL{~m|spwl^(9Cx{S?;8)vSdUf-;nLBQ za*8|F>6-5K%$zFsatQAK-}S!{(FW0obxC3M0$K?-)V!=Xa@WYuPZjdAy0u(?=_{jL z2`9(rR_7d^6NKIJ#v*K#fmzK;Jl1 z!_?H7wOhDcht**bhVfU$rp~Aj?~ zuB53(sXH+>(KhpegwZ~XVJ!JlEo9~94oMj8L=i2OY8s^$7)d5~f`ppMdq_U)wDc21 zI^u2dZsu#!DbjaIjf_=&m%BeqSN|hRqCPI)^55&{YgIEBdRWeGB6%N)j;b5J&E%Kh`6WQz@^w^P zn<(YtMiAWAn+WdrzCO4%5}dhZ`cgU00rV@(f7-aI7@=GE9ICzk(* zyf*=_qS)3z1F|6~m^ehiF@Puv9C3&TglJUuF(?S4QJlf)=y5_w5L6_PFl?JGK?QNb zsHlLbaX>?WkRX_-pkYu^Q6r*u3~CgRfMDML*Q)BOgvNW`d-r|s``+W;<`mb#-lTad5Q>p*nGrJ~14>>IApqp~z@+B2ndo+IE=F#&#R(VPhroe?5v*zGgE; zY%IP2lT)TYHLo^2I-S$`PSnL0?8aH7h@)}qAVm}dB*FCC0Mw0I z&%OaELO(*R*#+OD&FsSFGW|r5;iU<`iCN7s!jGXyGdMdNH#aNC-lbPmA$Yw=E<`oq z?U7KzqsZmkyENe{3vvk$Cuj*kApDAk#8Yk;!rk~|a$*HQLO6khRZjd7*+YD>)jsKB zZV-0J^P6$aLK`McIxUO=H^C7eck){)+91zidN#};llohO{G%%j(nUPr4XVEkfs6J3 z5Y-0R+#)bYDsU#I7DCXkx>FlunFYCX7q1iaGC(lMQyLOac>`zR0Y~!3802k$#2~+3 z2!p6a&!55!dC@BLb*g$tS0zo@g_Xa?Be<+N)FY5~(_0`Slk#8L7eqBWs-va$R6zvh zCaCr(qemu4pDIxqoZ~86`-}><#$w(55tSI|VRjLVTx&aoYGV3wi}01$P=Ly$WA;Z3 z|BluJ54K&h$KsUT9{fXaK~JC#Km4uduQ5d-jzi1Odm zddr>$m(`_U(0G7AafF7%Q)+M)RrNc6 z46CUCNu;dIG^yhN=}w{kkO7>OScvJ}2)v%qka$XG62gQFe+;j;01{q5pKo~SO@JH^eh4BZoy5`V zyRek>HoV28o5h3S>&T9X&JD41Ti~NDjooyRBV8iWHTdx}AuV5|UxJ*20P}GN8*uXI z6uyejYj>f4!p`mR7oL{l7{j#ll!4INY)5(@86+ZW;`t{8b)|K0=!6CV<}ELA z7hR+h+#cbsR*@wdkY03=V5~R@G$TL#B}MQ7OgwG-2~K6TLJ6W(2DEZHW+O<+5#w0( zKa)nuCOdUs*Z9nasZ?K2q){fRc0-p1VHBwUz{OTmq`nEf@+M{d4KR(EDhTydrWaKa zK`$YWnbNS3xI1b?q93T19<3~$-j)WNk34|&hY;1){diAcT{qO*LC|0PZygfzEyxXt zg9(}m5KKH-L*gk1(!BAs!}()E;#q*i%3ol~g7gxOqJzTiY*N1sA|KtrVD$K(Has@`37gf*5{9Lhlc?YwjZ8r+@U=)f1|c+ z0p>Zw7!^RqFv>)+rK}4ux}DYVKB5@k|AVMz^vkYLM%Pi(O1PF{? z)R1^e7OBM34&#quR0fbR+IbEbseb?YsvEqyc~bu8P!L(USyDb9zo`6dri3dngK0_H zd4iWwj3If$aUjXwC`eAGN}CblnE!yNCfVBR?^fHr5cIdh|78ASXJ84JWHv!n;-uvk z!j~pZ7g?3_3Lrx9uz(_-m?tbt1=4T04pxex5y%@`pOCYE@h@V9IXShBGVoZ ztMqGW^T=;u!P?QrR1LFO@ll^onjyisy@Dqf(Hw zRUbHwK=lV&x!N}Ps}6seHcyVRSnNl+zya!@b_xa|^;ZwM6FQz_veEHuMV&f|PS1Bz zI(>>C|Kn?oPDHXN`4vu=952W*f?Po43xb3tKo__0@CrWGop^V{KKPP)>|@nan z0uWUuH@RR%F4}>)u^ddd@)i041(;Zy0+Y|RqvcVUPpZ!fDkpqH6)&L0?wiyd+^6Z&4U1m zOTBxR$peWkl^e{p&BA7glt_OyZF@&$^47e?)z>JK134rXU4m+wi<(V_6ISvVl^lzf z$r1WbEA(|V$QXXB>!zaeS$=1!s2NiJ3jFxHuT~Si-K#)OEwTT1q{;H9lOP!^e>xg} znB`B^Em)k|z&Bq_({dKQcho*0tHOk(ttI=6>6(B~?WA|GgoJRqBeYHEk8K{b76+|WM? z>Pd1N28J+WftR%eft@#YicIoMflF7LNuHWM1leid0*qz9rD4PxcJcjk%wUFDqBi(GXb-Mr-Vk ztARB>0ZN?qK&U6yxI~661z=yvMAyh32xa|zxf@{^{DymnT>|z7W;Da>F|)U|$LwQa zkAEnyOUN}$9Zf1PRUaUPmJ@s6^l)~E}taSTEBX*<2ci52^_eGcYA!>lm{w=+#-!7;E# z53$Bu)V&-D1@nIp)zfS|t?k*=&!Zb7a!ek14=fRNEZz?!%gJK~3c3MJ0%e0SMs3Ri6<4O&`@8o-0rQRVbmDa=5H z{FE7-gprS*9tIs?`U>B_95nQJxArEUt;lGBnxOaMa0pyf9YSAdHz;u#eat_YQXDns zjjc<_VPl^Q)+Ox-#^*X|0qnL$GZkM(GqDGPw_{@kxkPYkG`lsP4Fn_&CTp(gn22!OwZ1FJf% zvLM$4^#m=Umt+24L*gkf5QB|udwZpH`HN(`;G|lTv%y@=X z?XuG?ugg)m*{`rStyGW1;YH>`RP#F8s?2`4>GYfh<^0x$SN)cNe2N3=L(pawlaWs} z1fSUzUY%WDzXBw@x`ulN|QX+c-r=7#1;_0n=+g*C5gkuIgJo<*G<%WfA*hYe&hm3- zA{Il?ZvoZSOvKh&Z3I0NQ439!&T3NZ6S>NX>Ui2Lm;2T%!@V1nQuzs~@|48p!abOT ze-c7wzGh&1#rRhI`0rkfj&qjGf2(Dy?;fO!31;On>~U>(F-tm85l)LpNvm1X7?u>> z7PJF{kP4B9bE;xlcxpJH48xz|8=GvJfo!hKh<=usb1YsD#lXtTVZR^q<=7iIr??@f z%*$FexL<51J}9^dcTOl#Xb`=8{9jn$wIUTco9}=eYW5OYa*0XGmt>CL!c?32UvI(f zDk(dX74n`HQLcevyU*hAXTJVZt+O$UVS*ixo@nS48bA-6Vgu-S*v+*4_cAfOgSf={ zQ;2Hc*;o_AMk;P+0%$u{P5PDKs1n0F7UVt$`;DOI0fHYtsv+@|UvU;rw2MC`H@*sx zc=nGy7(n^zN!VG*U>oeXt@FaWe}&U}34)7|PEmHsK$Km2x;jpOo_V1fVTt|+L^Z95 z)tHU6p>-!U{tce5XjS_G_f8IIJVC2ectnHjPPOIuSJ7Ng6^}~o89gyg!sU+gS0AW{ z3G&D^DfXOV`Jh(Ybu2ZW;;WyuG~UXX?kOlDPq7{zK$WDV1bngs<3YsTB_FVZ_Eg^3 zrxKE4x;HR)w`)T?JvQ|p)`q7a1{-F8HnQauCcu@a(lv4*s%`kw55m34IC(LY^gkV> zU1OyMxlQ3hf?fv*WS_GTHz?*1@(>{67(2Qv3##70Md+n~DVK@6+5H@Dz4Pm2DsO z-rdn^{n?zW)>15|RbKSbX6n&w0jAK6M!lj1BPQmJebmk$o$+V5#g70h6O79bg~hLi zIM9s7u^_jRo<`8ww2v3*VIfi6&p39B zkfQ(*i%;yPEUud9jp*otANQH`hPNVp9XAJ7bw~7DQ62t)7ip{R`7Qvte*7~* zH7f8TUuZ}?Wm3w1xLsNXZXLwwHh{#g-JuhzF5L_IXUR}=+I679qF8pv&`RH z@ple(budQ4C9L_BZwHiF*ml5@CjP2zM)Mj2mKg&UH!%lPKG%?^f zddL!F34H$wqS}DpZVGJU8t@I!_gDAV23%r6t^pSiG#4Pa<>MNH-LGI)7_i|W$AE7F zBnI4aqBY=ot?44hQnZ|FsdlhbnYPqi3;V4J%r&S3+Xk3h5B#V@4m=cYtUiNh?#lXc zajXbei_~x}2`Tp^I&YS~vt3B!S?|LNI{@(~*|E2Ak0B)lFDtpp|KdRo8QqCh7c? z0VORzo1czD87AwmIuQI`6@IBS_ccfl`2M@)H{lyGd=q|GAvpXy`)PjHSdh!_N`m?U z1b$~~NIa#8aTQN1#I1u0yc8f|7(d=J+>FWj(mH6jjQx0jS|&=0MGKL#3T>C{3QX;W z(M(4{hUWKeLGyK?*^3q(g%smOj)$nO#wWiHrP+-PCgZ-UaT{epF3qk4T~Fn_$R!$r z9mIs@BHTKlc?Up3^Tp1Jrh25dM+ITDFqo#Ybt;Rb3K7B>BB?K=AOY~txqPUljw>=! zK@3sM^RlD5YzU1%)sCYZ;2HdmqI#RZH?%5QtSpiCCsm85Pe&yA-tFqUOt?kkLfg_wCXq*T!v>ULzo!eRkum6Wcd+fO)s(#g4*CG zeH9qo9qk@Z@L}h};M_EO-HqH!XNg_Ex$b4N#MhmydriU;r&9EEY0WQ?G5o`kLcB-? zL^az!TH_{Bv>Gwsclnogufr|KbuY%KzaKy_$hI#7tNjD_Gg+cLZ(1ESwEICfBthj| zg{U9hA+33vDw>y@_U@B%EL~;#q)GXC3e6{LdYMcvp3Wp7gJxexpg!H-o1#uD_fuP+ zqlnP&mc);0Ce^@C`!wm;R!JS4)364cmnM7Be6ADQjN66vZj&bb6FouYq7`blRwznd zY7~RkG+HQoq~bPNMTnE(JXMh~TKT5w$EaOY;o}8U9N~m?HqKlLw6E4xwebi%9ir;3 z6PAJ?NvKWII`I$wv&A$OlhBP7%mOM9;~)HI#X{S5=k8E6%DWdrDkz43@SnQIzFMq+ z$zT6jhChqcpVFTmg#I)PCezrukF9NZzfnY`5nw7sPT6@7Mv9bMg>&q4V@op8rA(b* zS6rW9C}JSZ-Q^Rq+oDV!F}g%2*$@`>guPoc;N%tZLQ){n#>+zJDk1$`rRj#SJI;aF z_!T5+wpj@_^gy1>fradob?hmh3&oQ0wF7y{kKegh``zEzc+v@a&>4U&K%-NP-zoSl zgMKsv=Xa{aq3{wA(=_%1=Xw3$LGR{*vvoY%-+bjrzV8<2PTJ7PgKl_kjeUW24jS<@ z9lP-yRXfnrvt|DytyD{^%RXt*8TX>)DH?pE$Iya_xTKBtiB|vLqxIyLy=k3;$_{8L zkBp6-h1x2JWleRqU+Eu<%hv}~J;|pAqU0t6yJ!y_GOahMJ@BAEaCkGz4urd7iZ!gS zaL_R}nV+~nk~xzrlKIbQA^i(FGMVqf)T&PAcYPWp;p2fbNw~f>z*m8l>MLAeLG2yT zE`rXWpL>xLG$fw#7tW#;OTw*#R*d$MR_xxR@gPEd8Z=!EgyE1(kGg@n0*ZxkN{5u` z|74+j^C-1FIgRR|`ahP_lb-~f+-__>)t!8{>Hk=e+d$FN zi|znOIK7Cag7R^lTh$=6$p`!Le+nmc3&aem+(eMlPel8+feO#2lGMcz)fM&L`hb)> zG;DyN|HfIG)Uy`k4h?q_6bA^T9?+0@%66Oushjy@NX-IBNUb^2JTZE-wGJ`P7kox% z;<^g?Sf6B3{%JlHB<(VvpcbfPsUD{0mQhT`*~k_MPpk^T_x6eqj%D&U)>F&M7+h1U zWRP-oRtuoj!6}9I?VpVAI&4!8!~hhSQ_$awt0@-5A6Ijz5AHFvF(fZR#EdkA_TAk<-rg`DA(a~mNq0wOh-1l{EQ4!!ZH zt~B!s;1*1c+F-Mss@hvlegvG(6HW`@{eEl3X%R#haxV#)OVP4<30~(-4CsC2uKdGyf(DYsjL2q+nHIza% zd6Av%jcJTcRh-&&DeH_s5>d>DI$Mj2jY5Mal z$W4w96Ep)L(3@l-Cp+a#BV+_1!tu+)z!96+G}O$Nfh?V+3CCs*$GMxsIZj16hU1*( zmg6m~kd+8b%>P4FbNuO}fTJ5jov7QVJvGOb7UafIM}l4l2ppfY5SQbTgggX@aNLms zjvL6aWIxuU8oqb~QcfyImECoL(JRieM4mcRJMq=U}+WBx5ft) zT>PJA_R}DLpcle+c4Rhwu?fE^>L^%uE-xgW2K9CKmX3zG23j8Nu<8R8OD(9Oc=0}l z;xX=ShtKbP!1Y=@(%<@%ar0a(-Nq0>m5NJQx$^POR6+7jEN_l+!2u=J-{?yW>Y+=L zVU_tT8OxS4N+_cd2;bvSpZioAsBV5tZQsjcd1;73+lAx>-WWE0> z#{8i)eLOvxF~1rK!HbNAsP_Kf-w(Y1c;HOab{ho!ufaye{Avqw2OoD3w21EGMdoWr zJmqejMW1#8e@qLu0wC@G$?$(Q_&6qH@Uaooonf5HAY~%Dk`c}H3r>9)(K`|DUSu9b zHK${&x^6Dt0YQI%!)a$_K-|sce-QNjsfyF58WK;TC^)^!AH%5*AmMZ(IjKhk7laKy zTJ3{WZIUImwV`=JX*(hHZ)S|+6sh%?u+*gbS$*C1bp-WIgDR?`jAat9BAwC-+ADoIa)(O+|LW_b(u-IlaCz z;N%9|gAnweK3Q{`YC&$W#RwV=5IEgxA@1PgTyn|+L^zeUF`@QNOAbEfK()OFA9MCO z_?Xjp@G)oa!N;5t9DHm>Oq86YXs1;}Nz~|0>e{9&b#(_H_Fj7rJ`ViCHE=nK6B554 zU=6(MPZ(J4lzu1f>UTvl@giS-9vFDgion2buWUN?>GKb5;I0n7NQ>I%LTwp*+|X$7K@)@xK9ay8%)hqoZ|VQJ%hJD#Rox9~$BS&- z5YWF^tA$4$?%-qBZh+IfYx>gO9?R5eldWA4mg@1|KJYNSF-{ zz}IbEg<5{qY{lTKlWb^KAN&V1*(U!PC?0r_0jcUtv@X}oeErp^o8%A zU{X`F9$6O3`Yo8tzwRW>`XUQ*S-(NhnE;{YyIP3LdIb%0I3U70x0PZ2>^_GZmm{S% zs{2v@r-mCl^l+oaEpQSw+;H3oivbc;5BmQnLyOzXP3GO_&?0i19$N5WpBh?>n%2;O z3P9L39bH^|f*D=#QwKw^(3xL2j%5|a1}wlb=R)_H1y&GOL{}gH_++V4{mRF$-sdUo zyMcL7>%MmMa9PB%kbh2XsJ%kd^SSnbB}s|m|A{W5hK=& zM2z6@!Ie$;++-Cdx@BGv`v^7T>TW!eA`J77IMXXyHR1z2)^R=}cNwNit!->)?@Zj? zg!ZN#u#JAKb3CJLB;wwSbb_e1&8#JXZQO*`jI{HQ*R~mHL2hU_pmhH_fMAP7d=>v#Z=7s%~Wz^hY0h%s+?iufruY-X4@UvNDcps*CEj3&1*FoG+Yej0OK@!z| zA#=EeKz+uY8kO7l8vYO^fJ9gEB&C?6nD%h8Z{%9A*YYX9;Nr`o3h zB;+caD{^X);hSiEgxsf4as1e#(@}b`p!!M7poZ}qhY}3G6L1r=p!zn}MsZ8>y9J_} z-&a_B74p(-DFprZkJJ2$Ey(3pOwb&Fz;A|z#8dVYet&Lv_`L>@@bjBle(TU4HR5-g z%WpBJP{a5=gAxqC^Kp~XUQ&M^t-S#;?M0?QRP)=jD3ssV5cF$0X?`0l$mO?zpcMdt z-$D(Er`*Si!!}ngzmEYDeu)mht!M+5b%A#Nug9VgK5*jxZ$S-yjtSH-hD#GH!<)8& zVIN^QkM{lpk%|2mAgUQ2X_fB;oC%ua2ySH<{!tv5!R=9XB4|@b#c-X5#8W5=hP`m> zATj+6kT5(R+95HiB{CQxv6ZU~)?aanWrd%q`k{RDoNFl5Gpu&~8Q5fukkke{I;|JBZg1Ly<8<7gVtG$P1Db8AzTH{9@mKo1lfoFYCiF*>@dpLU~ z*Q5#8VxGG|EwamQjrUt|vqCjZh*BGWiT8n2P|RB~#H_k7AOCEWoEKr5HOxPr{wpDf zfQ9rAjv|r49;d&4itxwt2Z(C_`19?c>QjL;{*ezs|3|2y8m><*$ZfcC30h8F@gAOr z#8V!iW5m;9{4ovJYJkK)T0*-0 zyUo)UeNOKovB;557U}aSJxobQ9{iAN>oU}w`ct@vs55*IjD_0AEmKYdy7V}8oPIkc z=OJ40{0D;CSRXA6jODJaYe8@cf>^Dsn`c0JZQZkS|A*zY`hpN&z)kyht7D)AkQR-; z-_5kQ1$h92BRcd3)r#YhvR*1uGoLET-W-V@w#Nw?cldcdF4S8;e}I2lKOds~{NEv` zxf0U5fX-dt*txm$9kznQ=bgQngh9>*v-1W-5P|BJDjsI3k4-pVZQ`z6(K>W6dPV)D z3I9U9<8Mf1q%xFe9w`-zdGS<`61F)10MDBqjoMkO!oR~b62S{@n8?QGskw(rux8^0 zOn8RHMC?x+6R-b{YS#NaO++2|%Ny_*Auz~R8o55ER-B2bddYIGBbp?l=TLNz5{-;OS~USt2%4hHb%HiT?t{?jP+O|phZEcZH27-iYbYYvQsp_8*W`;*{37o6s9Ly3aicFvjm5mF zjgxsn?GMACy<%E$ORD9wpmsb*v9Ss&V``=@)6oLPgs!KdwPzb zNdUnG?$Hq0M5GwTc^X#(;}ifT#(94SjH5cg+34AWRVCbCbp?vhkaBAuwR`SdCNVsL zQ$jWU2*q+i)qltv+r%bzBN5KKhS_8QZfb0j^DAs}A}tzE@240bv3QX)AgXQh@@t_s zc@%>FV{m-sFOw|DwaG&SjgaWPK|^FC5!xh%tAS1K2TE+R@DF2?p)xmx-h}E?74aEl zBb(F(Hc2BC?Fmf7m3*|xch*nrb|P7rO%1b2FWl7FWY{mTNmsE+nqq(y;zc?_RNLgS zS3_-*3qe1xowmsc3vz98FG1G=1V0(5A+ntaZIXklflY1!N^J7%c4L$N(#fclk9X|l zC4OL$P6T49X}Cq~W<=*<_B6~QC*YRGA_IShMY@YcI#K_jNI7`^0a0y{iLZoOVWk88VrfoA8Ia8V#ScIMIy)054SVZ;S z(Fi*hskT01H(5CabFE<(Nx?0RMbfvxBAkgu+#E#xFRG_S{=yWcw#di@p%%Fsg8p@f zX^UKBL9RtEBj`+kV3DpGBCjyeA{XOoV3EE+iABb4)fTDksuGEM>tio-pr<2gq&Cuc zmzAOB?Rqpo==96$^;iR4gu>cosu#(ksrYR)7gc{s8KVw%VAMGiEk9${(%Nt?u8Wdu zez!KKCB+J+~;9N3Ug-%P)y5`zAbDcXknTTpuk^Z`MC9I9;i z<9sP6p7IgS!i3-O$Fx<=P?BhOCbW}B*dH>-s%@;z>e(ar!KmRk%jg6YYaU?V$2#nZ zwBkklWdWmWv?fhn@3@T0_Jgu2oA$IIcl4S?&~d^jC16BRFlx&m!{}sygwZX~P99+I zWQLUSxb9E%gIZyA)ZJl}^%EE!&gzY)&*S}XMq2P9hgnA6yr7OcIp)uYpnuOHn$gV` zMft#ApKeq-ZVfO$gnMnedATQwkXNYRI z9X&VHBzHs5zwuygl1nVeHOX*-&ISl3>0u$ga6jYz(+N2W5HSc}rIji^OxgyHMlh79 z@Q03sl4_h)4e%PycI*uu1$&fgh9>elIu~nTD4U7cdM5CVAT@T`ETK>qv1pSGFi&h z1uXQRYE@DX=Wp0}P*44LvA~nY9B7_5U^5f=HY~2z)n~>Q za^+cU#?>6y#_;KyhKByJiJ9srp23syModpRM8hz#ha7gnVHY{EjCcw<5L7? zcj?v4#`D1x`yWG82kOUjfmyPx%FB5fcsk zF}dqmfFxAEs0AZMU9FRP`CxKUZ&yjka%rCala8oY0cP->%%uDeg!v^asfAW05JQd}d)N&C?l_Q81^CAm!M}Zd*^puM9NZdls zaLPH0kTHM=?@d1$-m++gA+Fi+iMy`TMa3>N+h=$h(uP^|doVkjmcY6VCf`qgA+t#k z)y)2UE@0-iN1Gw&|JX(|`_zKm>1u$4Tg!l(S||2d zawBf}=+YRjp1jo|<5yL;rkzTfSY=_syB)gvbIW)wc)ddfW8*hG^eH?VQ!ja#KaoM0 zF(yjbHhRxPPALK83yn~VLh+u*fNH$!QHD2PhcYldl>|J=2;!X$3mMW43scp98T96r zkLLNXomzEe%^4&me`3+I+MV^JIuH?g>4Xxw` z2>Q(r(3SjKVE}R~`7eUL+Fw=jM;5|KWfKOiHUm-uh*WVW=q6#Ss(2e_hAN^{flsLI zVf@%bl&V&BYS5}C;~HO+QL&9zB--air=oogqFS}5Q%5XSO>EP%Y-QW|Xk`Z~JET1d ztznK=sQl2DR6dYsdSCral#vC+;eCSdsXoa~e{myq6o2-i8t7~+2F-HDtwK}JE% zH{sfhwXV6|irHFQ>z3;PlxMhZ|Hg8i$Lu$$hFs5psOI|e(*ajEpPo(~A8Vz#PO>03 z)J`L41VG?=gN3+UyAd)F5aC+9*>F|Mm|_Qz>kO4*_zs1NCs{l{@J>t~s^@~D(B-)#tOfF4J%xR8bE|S&)CmJ;Gq8vQEi16o(ins zR_1I3jXxdXsM^b13qlJQ1jsCcZdWlIxkf|cDUaeTT9r?5>%hP70Z8mn^qsMTnjIX! zzfojZD>7dKWJ%e`0`h<;V`k`b&U2tNG@Jv3#LM~4Tn7$YQrlLZvKFXwqYL&a&34i zL0tfXC$+bbOB^#_Pe=q1vEZGX8d>n{*2(}uK1S#HoSSh98<1fG1Qe4{OMB?+=zMX6 zlpo}Ben5E^Gk88TxIw85o1Hr!zp_;Q3Y$k*z$2`3U zpM;u%I!Y)VjsM6lChzYDP%K)AF)Vj6(f<4h=22~3Sbx6fTU!^$ZbV(&s&1RUj^0;< zkVpRyqPi|Vc|52KH>zhN9r)$Auk`;c$c^f!33`gkp&zax@f3=}pCh<+;Lk4sB(+gp zZE8b3NPoRm;EqW8Bmt{3ZZSKB2v$y;jlq*{{DT5k{Q_|+xjT2&nZn0;JjEZV+ z34^6c0!(fh|B~)qG0tX^N?sXmA$LKF$JHu(1-^;@C+&<|aHTx68I}%clB$zetj++S zmLjPA5b`jv=fsE8_k?(|eeX&;*BO|84Rfv|zOl}={Bt;$N2TNGqv#5kAPZpr1ESiw zMn4ufm)lWj|0TdTHq*{^i3PbGg~JIt8z4AW4-LUaTPk+a=Hk|Yb6o(CI9J}+#<^5y z;L-?mlYJn}-ZM>k2TMLR@3Sz;8pgXLN;V1ZgAL$aAiN(y*7mPLhQaqAA*y-j&J5+< z;R}FoN5re3y2^rF-p3MjzDf&`o*IJbN=8#Wtq8Xccn=0hcza(p;(c`s#anlRl4Y0K z?2BLueX0w5Ky6sP4CXAEsi>s&rcLAND{&{k)$ZM}yN0XRw&-Pvfj=eJ-q|?zW(9k@ zqIlIchD}|5&y4*AuIDsV#rP(B6dHiLdKStL zUFg0|9P^*H!fcCl_eNV`?LR|>&65gSO?vaISz%W~R9D!?j|LTX6mX_T`w4w;@n5^8 z!sc60k^@>z&`f~fsFN)OL;9ec5<*4-BGt9=OH*Cj5aTtkfVI5r*M%YP%}7;0vV&tB zE3)Hp)?1@j{&wrhvD`ke7}wx7?f_k(4Ef&}KZcxvTbTFy3dH=(b&*ps5o_Y+Rj?7| z+d+Br7=1f@wR9ma!Edt3@nWP0FVYNx+OVS@2@H$DQecpG>Eze%fnm94)5Qj)AEWo% zMPstUhwB7~AGL%X;Nt|0X+p%qG?Wv>!?`F=biD5is3h)d*Bh(@w&We9Y}&w2m9O7N zHICfr_5QB74ik4anu^VAqQX;fQf1+qD!zsUSJ!xSNQQb?CiNG;u;gS1N zPUz;PV9@c{d_5bRr5w)71s-`b*q>I-?A)44+@heiMFF}v>bn+{R_O%N*F40{ zt#cD{hJyDjn+kIO*HeMAEADs(*;Pafp%iKw4vcdh{X!5R zSp`9Wxc#q-An2ciI8aSR4-0bpUjqm_N(D=#t%k%?D2mXyoIhr~d;&lc8bhI-JS2#> zQKp;aW!x{TtB{{_p(;L6=|vyyo{S8WSHFMK(6AZ9|mTiaDNYi8T+3?ROghA(*l#ZL$KQ* z=pTrhR3_W=Pylj=4>u81qe3I{g@(vN1ndi&HWXI_n{5S3)awTIWO2q7Y8cAqNG-#F zmyK2t!yS=%g!7n|*UK}y5sZhTi8KYnBS}vJDy!I~2p?eTG|Vcmeqyb11`?Kepm-8F z{P;Po@)$(5RoYm>u2r%i=-2PiR{1d=Y89qKe;q)`uPZb}mLkw97cf~GPx=ZdvC3gk zPpopD8k92d3(BP0nFEND4lC@Xdh>hP$`5Rnz#=N5)sC%vDT*Fs7b(ofWNetNCakx% z+8Gs%=$0H86u~s}l)c^@q0AVheITs1rdi0R&sk(hymsKwBMy ztAVXv2TE-9(>i0T!&`%>N|I)zurK3O2gdmhR)KNMM`7qlj&ZPFp;DPDG9HtmVaB-$ zcQ+a7XDmK1J%V`bzq%e&W+NeZk&7Uzjq|P-YMeF%zqVZ)XSM~o#%W2=g8;!e_i2c% zSDCjH`ih?g2`SQ?$ky=c|^`OFUoJXpRamv(CPkD}NzrB4Lr!p|kRLWFD%fgLQ78vIwOwNWG=Rn-u z7-wb`jFT$Hd5~1jM?&%e}~8V@St%=&xJDGQ8q7iB7<#o@*&4vfA=Ohbqjq@-;hXDlRw9*i7K(Y3mIIaf9 zNd-!ba|P6s#%t1kASz*1`1i&s4vaIHG8Iv7XBLukiUQ-*VS+NuIO|ti<6Qj_jB}hA zXAr5hMMCl-OChR_bLyl}9|Cl;$oVFI^8YhRKoxdvM{4`O@@zhq5%sInxH89S8 zC`yde2kMD&ZdKz*>yJdP74z?!v1wPETA7O!Fa7Vwx6EPno9nbVih?c6z1HgxjUi*rn+T#2)JPhgeQs zENe?_fZrD@f3W^=15`OdR5_;@U2C*MZU0F{;weMaPudt)t<#~_GPokLP0j9xtjyT= zScA0hl2=bq#yY3CgOof#O1@J{p5~VP#THX?l`ka={i&u$bIrT@b{QaoK5kBRENdeO zU?qPQi12MV=i6-`Vsg_~_FUXvihJ#STiN7klEjg$Y#$+t{wG9rWw#am`4P}m6k%qt zT0+p@S*t7CA0K$cAr7cHLF)m6g;#1wJSBmg<7o%*$MpWc0Z6oK2km6%kpm@x`j`;m zGe=Ixqnz!Sx(s9Wd8K7F>_Z#fQR;B8VznHin$G5cE44RtH;<%c>hefBmFb z{hBMp<0%<93s!0TF|1k#tj>jY!m1}+M;5Xo9W#$rq1UaswciJ~J-BW%*TfHOc-~4) z&OqM4_jhIl+^*6(HOX8{An2bDwN>Ui!-CxKe4C(-!tIcN+ajC=w=4K#xOE3eYV2lc zCyDE7q#-Z55mP2VT(8Fad1H6uV>>IQ=6XX#TXQesq{tuZuzTr!u@yF>TqXm_sqo7Om7H|KL@gWR=MHqFOv{JTzt*Q-9pufZ6xfnej;c zroS4H`*_3EtzqAb29dxJR2N+{a@InYztofOv+!R^pD(& z8Pd)PiQvK~Jx}1I80lINT*QosjM);}n1=NU+VU~PvllrZqB``Jj14N#ow@y_65zSu zpk{6#Gax;4J8iS7v|Mw#yyPFapGijZD0@3(MW0VrXw7PVREmQYYA7lHE%jIA&4)St zERU-!iN_&irk|&vJmZB*ueAtYFERmwn#7L#LrEl4k?(%cB-R>`Ch@^{io{}by1b;f zL*gjPz64nzk!(oRc29ZiMvfD)MV^Acw znB6ec&~Liv%nEf1_lByG-k3=>Zx23>Q^KeN7#Y7i3niO(rN;6uS0ep*k^Ld4?LF?^ zz}{}~BoTZYVnhYcl?J5!Zm?1(a-KfzVFhnIt@b^~z(XM_>O5Yq%?cVPQO>+V`=*c> zg*j3~qA(zFHKYuQF(}yVZc&V5e+JTn7l}eplPDPzO5y^7Uqp;35;F`)lZaRA>Qb*j z-lMMymy=yCkD>(Ovbszgxk()C?KRl6S2>gQnpaVz>hQo+2ebHi`tj87U*A}-DZ}H% ztWd8R?ntj+sia#_I#EeSPQjF_G2&B!5f6vD#)w-XWZom~M9D!&2VNurg4&2VqeG3j z0)qaqP1=Zq3`iR>Q|{kOPO~`@13pb1O|)GCX))l`WsMBTonvl6Cc$_$rzn=S08cOq z(e=dg7IZ=I42g(SG$fvK1Pu^RJApsO4i^F>cE~R! zyHzUh{Dck#IN|+2^L45lFj*VM>3m2TPUBX9)9J$LJ7&^cggw?DKvZ*jVN}4$?bpnP zpg$eHuQ=seklU}BMbPakKqA*@2-bh$EI3`lAH(S$fP_=gQpHIv{yGeW<&9l{jwq`P z>O+elCmKxiSJ)sT3~0mAMvTnyMP21wX#TWr}~ zi6sMQvWmUjSx90WC}FI_=4KTpJ1w;ZIH@Zh!iJh(4r*r#wf;;qHHbree-5IW+JRQ< zF2I={*EDLq>nlyIW@NzsNC)(Y;tvq@!!w*pm1QG~H9DR)-KDn?K+!No=>5WG{ysEw zBA#1Fafe_nCM?4Ueq3S+wj#j{A$S`jKT#1ZhNvdk-Rkbf-SH6g+Z%$37Ub4lDnZ*e zHYNDQ2r)-Itr~!!{{93|2%ZiNkxx|YJ<*oO8=zuBZ5J>t%2dm;V6WV{Ak2px<=05$f7*EBkw_H&tIswx1*RIQ<* zG1XmdlrO+S37P4W5Yb87Qz+!aFZa)v0= zi5HmzQBAJB)!QX^F!hcYa@+0-EZ~xBLs0eSirg9viKje`>IbNo`DaC&kg8ndB`2&`|qNI(uik}T|j)dA& zZY$JyAYLRpyETh$%mXO&8ayfIy}Yp-Z9{lJCUV34X6W12w6m7Lw721|=(_Aiefp0h z(!EGOh-%Z8-Wgc!WZ+CbeT-I{zd`%W;}+D>0X;|1M1bHoqckL*@*2* z64SoFP?>fKbLq+Qh*-r#bYl<@&tvX0jM!v|nt)ig7{u-nVx35=3~}j2hC@^n`~Hql zVx_2Yf6Zr_SeXU6#NH=p0YD)3w1&h}YUwQTv`293fY>_#39-7jEV0WHl_Tgj5WDgP z+$u188OH5pNE>e7m4Mq_!tH#fsm-_pzCR98&8@Xn+pV-sFuA||Q_XGj?STPYZa))L z1rWHEYe+ohY~l6}ZXIy@5+LDrsKf2vR!}Bdl~>k07F}QSA=UIEb(op7wC3Za2(HH{ z&CqH?TK5XA;q;ugiq=wyYFejSjomQs3PJxEL#wR?xnbU!pq-zP7MrXDX4=TNw}}a` ze$MsA<^i$Z(9Z<=vn@@h6OVgAaY1j?TOMYMNHD1pL7SwvzF`S=#hg>=143{bJ*XSf z2=@QQw529^vDT+agLMG}{dDNAdY#=Y$R*g9pmvI2q_yFdvV+cuw=avGdg}y`nEz^M zXXq`DfQafz=Rbo(RAI0k+u-#uTMSbdG9jZW9|gT_om$EX4&|P>Z)u)Teu|FO3(3ce z)L<@CQ@-{;ffsfH&g7T7ptpYk?yD&GvY^8p(47QzrgC`yUPIz36b0orF6ABo3FTW~ zSCrM7`;S}jxEdug`SPdcW}IrAUorP^RP)kAq;8pKjIN_G_G=1KTW@Bvx2Mgs=YeqZ zd|PBaqWwEC&lKDj>31y29Dx+(MLvM2HqY6&hMH#(y}2tiQRX?qf?V?qB&a3T#q;-D zw5O+Bjk7S%&u=>(aS%Xao(rHIJVKeL2%N)d;;C{8Euv?G4~BRsQ11#DdJQ9ajLX%M zQrkhw;m)wOGgNyNMDxm;sa1EFm0_siva)q-xhl4eIgOXw+2zE>g6vkt+b~E0$J~+H z-Hgri1dcdDmBCf+8F*dj#R@f2VR50CGb>c5_V2EEjR9H?Q5~RX+z7Z_K?bC!Pg_$ze1G%$K=y3h&$O}UQT7KomFP1N`pD5L(?QVl(AO$&b(QRIIn}(pgyy^^;)?Q=sDl@Pwo4X(CG7dKvs+XxH+Pn3I0@0}=x*H^^1aE9~ zYdwCzZt7OsYWz*R8^$#>=<9N?mU#nqXVkXHyJ`0_FS zjg3B3)JL!yMSE66>$NI@J-`C1%8klKl1v;MXeI%Lp?o;KEpP0$glrhllu3HIo1Zy= zmXR{Iz{XpwFi&<)fUrIl8!J^&kAkUZV__e{zD8o=JQe6z-IS=`L6 zs$T1o-i~ga65WQM-={>kG{M|x>Q`6Lp5{uT#;IC!!bv(3#8L9bvl&?1N;j>T`I!XESa42o*e;&k1PByT|kgR?%`=_4& zt3g&ig?JHXxyP1lmX6;Fe?QfwHkYb#2@6igR?EHdXN8}EWp`TG!@@c>m_kX(s_Rmh zR36!OEgsxr{B$mTT;HP#1r0*4;A%{o${Vps`OhFcMc;FuL$3rnu~%{`j8l3q6^y4J z!@=ZzSOkUr7a^#7C52Z8Jqx#2k^n(}DgsRPO71ft-76VcZndUYMXuC|rEVPEKSpY*$7ej8x zxX3^C1sZY_=0vq2FTWzxkncdyKMx_O40);nX+xew(jGRw&x94DzRF~gwi*;qdmh4p zG5>)=M6rKEu_mc_FtG{m^~7ox@xc9kE7ElV*=t|wkr(QVN0 za!xIhQxl^%|xb^T)R_lx0ZKr$X8Kn4k_2*%O6 zmod*K<@4DHg6vgHX__FjW2i@;wn3IZ4?(t3f~<%`o7K-U$i_lY2ifmgp+Pnng8tXb zbdaq!ARS~Ymr&Kn+vaq6$>Gq*jG-1$_BqH(Y#ji7Xo^hrOtdWUwSL5j_h;Z*EowxOpF{E78s;0BtZBp*V zQtqa?D0gLvb=*Gta$I%;>5ps#4W)X$W#g^5mE+1wP#Mo+!qYgzJTMgFPkz!m!#&WT zR6T6P{zY#>jWRTGc>V)H?F`>v66y?52>NT_o+{&(8IX2{VvuR9s3hH4g7XHfLND_W z&<^tM+bBxZ`xS1hj)Fvy8niMVl8+F#71ai6m$YJ3#M2U9R25Z2<+2wk9jw5Ry!!pJ zS+!rh0f|JB_~->C@eL);Z7hKr#s})7zLI&7GOb1C36WVxnFAZkD792Q=TJbkeDSnf zMc@Mpd{wN}@-2Z2iEJZ9pDoC_;Nia+AbZGn4Se|kvi^8WdgNg2yu{IjIq?^sbL8|> zCo&R|-Os`y5_AUahlb@uUfA1hPOVpM8vH7E7K0!dX~4sSl6k8fZ1Thc3RpR+WPG1l z?HJ8_aEFlWW7KIGDFnBYK=^Qnx{asq?34G`Ex2527`p3_IBf228r=03Un5M0udNP zffkJg*sQfYL3;`#$;(YpEhaiL@~U{X>S=z~P-VwlFhAzdI5dr+I@Exd0o#waJXLOa zwA^wLZ#jLT(y@;DkUb&JR)d}T+snlx8aa&_{wAlzrBnnZO`Il|;Rb|fXNgNdLT?_0 zoQrw#00buL44>o$P`=%7FbpMutFy$_GBR+!2`soS^Qr&p@ICK zlUwoztYMmX)bW;^R$k~^Z34w`p^5SWZKBox1?5qWD34KGV3hy&ZK+!j*Qen7dDX30 z&xg|JJdUxC2h$Hqu00G#;F5tYklgb`cFv{uLXJHV)Brs?%OAfr5!>s=hHM&{&%O3sY!8+0LY6qc{4o2QZ!tf#^Aqt*&Jt5*>7$hrqCBelI^w%T!)Z?yqEy#V) zej!1xNrb{)ne9;CEDhyA0{edh5u~k!KuX&B1p^v+@95JY6!5T#pG`9CDu;%%TL4kR zZcZWC4HtG@I5hZvCfUt^sAjjnRorDa5`z98Z)tYlUl5qUWp@WbYXFk!RP0JLl*6KU z+EgF{cAo($?2-d^^{S@SkO~i9rIz_rjceLIlUl64&gF^aT)GxlOt(CjJOiF1g=Ypv zLjIl^SO?16OCs4bj%P(-ZNrq1Fm@5 z+rS5`zlEHzJ^~8Mo1RTxUkV0+As>$@V@8fet8u$xIb@qqjls?*$~^pTo{W?8R*1cd zFppy&@?!Ep+v`z`DvV!Doeg{CiM@Kv1H09a(q3aBs_pfAW?%}}UROcT|N0H>7ppDE z^@}Wm76Am?%-0Zn#}EFn3ReQlQ~)8CNq}nN?KibRRFYrL0bs1Sk$fKC{mkEF80!U* zNw47&L&EIkvG5v~y6x>hj^@o!pZ+wcPZjEE9CWNjHo*H&5Y^OooF7VkCy{fJAy5-6eH4C)E}o0(sQ?6*SJFTrm@<_^rXW4z1;uu@%! zynl5#*H<30Twiz!T&D@we(ZSvfWY%2Ga;(E9$;y>)tn7Me@Bt#`oq5hgScGpBxo%_ z;QFqHSgylhiJ+=C0wr8ipq_BOmwJ(F4&Mu`G^v;t%#h}(tz_Zg%rXin)+4-gkM#r z2C0Q4JeEOe9iAj?NSdT;=!C1nYv_Qut)cy%L=7QHa@zDI^kDtIND^LT8)i9m4c!_I zjPKUa+9v?cdQI0*Ukh?;Xca*{074CQ&=6Zg4Y+quLuUddH8gUnsi6(xf_y%*A!&ki zEF%{~HJe^+jHN-$#8`LSw-kL;vRcDV>YWI1FLI1!^+?}PR=Xbuc-*U+)twgPvigId zD**zl3pK>Dy4YoPBT&NXX-~5Xdv_EaAKl%KVf%JGl10VWqkGf1!R5TGWp29@y-~Db zej0Qu#f&zY@8EDI8?lZ3Mq z2g?U_dNYv1{u%U1CF-Uy!CH3kR^3?|GbEhdH4ruIvT*-WjOfU&J=x7fG<%VMK~%F_ zd~PVa-H99&VtC&17-wAN#K&WU>DcL^aQK=Y;a?13`b;%bMq_7Uc3g zgP5}v9%AsS0};vOTWyAwNLmw@%dkQ3G)PBE-8o5nh=(;izL)aeVCxm>Ns#vp3A zj-Lsxm;xo&D7&l65y<%dB}6sXA2UL^4uYV+ZocNa+=5)L7ZCI+K%$@W*vB-KnLVD? z&t>-(kizcg$%dWo02_AJV=FMt8WxW)L)5T)eg@cK%^BJKo88sT2v)3rhNx!O+A8km z*AWo(x6jk;HlH1sz-4zkK~(@b>=tRLc!9vF1ya~01?=jTSHcp3$7-Us1N_aU zE>G*R?@qEj7e5M~i-hMmc2{>JY`w?=h-#i4ECrY6gAnxF8lJn)3gwwkkU!V(R30lD zi^r}sV%lR@qSgb})sPd`?V+&BLjQdZ=0=DUH^>mkM>|xVH2G_>n2vF2ulby4vTmS? zFu!Wu0#6}L|97G_*VIQ~u1Yc2aQ0SLBl^+*g{U^y@9BXhI-m$Mhkqpf`RkXoTdcOA zLmf~%f))XU25P>A^miZ!5Hb@Gu}nigw8SK2Lrr^?!J^gR>IYo4cNng?pt-IX9L{wv z$}=HQ_%OJBC|vW|J^dEZ??t9VRC8@UE9Ou1S3FBv*|De-@WuBb}PrjMJAY{qdN_ z`Y&B&;>F?SyEV_6Z`gF0?=vx9ls(?(5W9H)6QbID6}p| za-M0V8HaAF*3^K`sGLZP;R0(__2>etab%}IpC%Qg88s?J)ts)HMx_K*iov6lqRQ*Z z+vutfD^K}PSb4^=R4Ex!ioQ8a-h|tKr#3UucUi)rPo#GI^l$}PV z?(2G2bzRp9%%{HmU>tGZWr?FUx!$y_Atar*ltm_F9|8@Gd-qbex#wtHBqkyInn1mT z%w7|DZqx-hrTF$%m2nU6)q@50R^MhAK}Py-!tOc3;(Oxnk^5T@#ueA_+~jA{Xyyw~ zd6%9~S(w1mV|ttLuq(F^5V!*TFyL=Py_b?7+2w!2byYZP_u*2uve3RFx0l*yT$TN| zxULFk%O1_L^Oo`!YRjcYk<@D<&)?G_*o|;lBh^_Sl>gtX4^MyCZ~)iZwlQmM=RX~+ zCgpZ-Y_j=)whfMCt2XDrFgtA#0 zW|y#9RBxWs4KAP;Xw_cH-h$n`3PZ&z#wgmjj^S5g75E4z{bhM=L^+Ux1#gDb^L?UN za$d9!i)iY4N7s*-ja#X78r{ZRT7&6m++7{l-p`fJ_U;hI-SJiiRBBuzRKhybetCCn zL9y7uD%fm`B3>2>3Eo`7nGZ-I)_iZI{@4qatVg$@pl$3^Phc$v`ff>@a2@Gk8qUkw zqNdpFYFgLUT%rW4;#0-><3KNO?0OvKsNjm0dF&*x0xQLsIXGOOSzf&#h64T(kF!oV zQ%6hjf~BMIT$C)U?tXoWT?CYuwH}WG+Xbbwmb2D_U$ZK_m9Z%bo-<)H?2xfr&%Vu%8$!1kSn8-i{`8=*o$H!6~_4p1D%!=9m zMio$!2&`7Q|C8LdD*M1-?a7uAi@9k5S5$2o(W7a3tUc6cNbTi;9R%~Dn^9An;Ympo zZq_w}=}$fz70aNK0Bf>3ggSDK99Bi;)rv7Jf0e2; zu-=53%J_K5P&TxroqOdLT+snkGf^r<-Hw(IK85S$W}|t6DY%4hugg>(aXx5t*hQ;! zuW0?K4u20Zywr!LocrT}^(-i@g}bmH!dJES(gX+##2SuJj65M{12PfwmqGlFW&Gxq zwSzxkNU3k8T!g1vI&PvLY02F&!&v?UHoJh!CU`m9GRMDH3}G+3C^5MCSU`RR1X&Ls zH$iC?*pIJoeIniZ&fN^yZO!mzMo z92PlQL*gml;4HX5#vjAIA3(x=!brn?zkQPQxVl%;2y#kN{tEaK%-a`JwPA$2ph!bF zbvy`vErk2B*%@{Z2_FPeUD=bm282@`A3dL3M$FKJhgr}e4(L3B1^@(Y&eD*0N?#%T z;zWn=5P*d6^bsJ;*AQw`@}j%Z(CqFV-JCSxXygwCkY*(|mhXf)Ylq{J@0B;TXdd*# zk^ze4pjpbQQyT{6<5?>0(hZZUofGIupg36WdMNIS4{5`U@~v_#zW7A+^9PPnQV*OI z*rB~c@lBxo|2%56Uw60iM5M$~4}*3LeTqn5Eg4UHlkK~yN0+<@h=tFO=hJc|1>!ujVlp6SMqbJf7~HXA6b% zH{g8j{W!RT2Y6d1lEZ`l;NSrs48y@B9t^?31Re~;!FV403kOqqkdA|CJUAT((|OR< z9CXCNBRta{2Qzt)jDs0GXr<2tK9kA@0vQGme%U#@JBH3#P77+87QGJ^kEj0xIe*bZ z^y#RtU%b%K$LSEd5dO!7%gyOuC+NSEz1%*k^O2Jx9(NbAs`NS8=@@EYb`_plyc~$T z=h@fd5^Ao}W3Ln|^r%58!kF&mce}`K^O{g9Mf*`QNhpoDS5Z2glzxZ{B`+gbhWiMi zlLdOdKzG4|{lyvznuanh0eT3p|Bn6Lqh0p5{BN*Vw+H)w4EP)N)o5W#9urF0V-)-L zq~uR^*f&=TiWd?(OQ8J(dN`p=HM9wPgwub`ek78tt^48s8|>BX!M+D3w{3q1)g!Mw z+r`WWk`}k}hf9w@9}6yhEn0m42Txf5>9WEvlg^sF52zMPZ$P>!nJ-d(MCv?B6+z10 zt_NPV#nWnRjt<9^-O*KRtzu~*i+Dqd*m;k-@oY4={vC4T4a%PA88Yr-#J(xm)q<@f z_8g6clj^qst|Rg-K|U|YFNr+dEmam-=$95*{)v=&N2Kl$sd7qv`=Bzy25YVc#70T6 zAkP!z$wa;*$hsz>&cF8x^?dZMq`Eig@r8n!kd~yefrHyYL*NUIF6x&UxVIY9N>2>5 za9ssI3X|aH+p$R#-&GD6xu}<20(~dELbA>2x1r5fJ`ztk4m3(vC`#$64E;OqVw-<7 z1a+JLaHpWHI}oLs&g<`^0Db_$ua-dHVL*Bb^i9Tg>Lo5s!fZX5p~3OAQRs05ZQw8z zCJH|BAMPtA6uh36!pk@%h`WI>6ox^Q(h8w)4TEz2ouqIj1T}?^JBCs?nG_Zyf)$1N z2BaxG7t_@rMq#W5$J5s3IuvH3Fj4R`JS;#pp;{{Z$tN`9F2C%89lUDMDoNS0!dc>V0ro~BwOgZX^jvs`CJS)V5F*>6UV`D<&&^S2WCg0yu3A0{x31S z75xbQUGlYv9xS5mAAsm2i0Zp`Q7qJ5)w`g4@nb`#r)D1Tn=zbUOFa<_yt1mi9E^LT z)pV)r?vPvYc-_$rPTFmpKrMmJBwO4(M|oSY-wVcIgs36jd$trzX3jH0%2=@+!Gtz^Jf0X zI|Joo^*3YUEa@F=ouKxifHo}>I^grL*e0XyH0}pcSB#N(Lacn`bJ9X>b((slcQ_HMp66o$w>4VzM6(}e-pxuR}s(n)1o zlddS|E)D#<1R5pus!Do_n}zJypmwOJD``um)~u`My|U^&C3#9^r|52T`va8n+{vL% zI+tpLh+zK*?vX*$=aMXjGTTn$RvOz3**kW#eg?x1REz(if>f$onKI5cZ$LdvOA~BE z*39h*6FlXbfH%OkMYuLDK#lA5dq&bz@8SHigz9nU_u2)sb@<&eTp*PiNa4tlLTTYr z#v(pgm|LTwPW&K76-9%F5c}^N98#|YbGxkFt*gYSdd&12d?c?va?gN9q*SYo(w(|+KZHgoOi4-#+^vIy`8w$w4$?)nr%&6fv zkq(a3SOh6N4Xo5xaJq-S!bv1td5<&kYT*~UM=ZdXav(f~YH4(tpvxgqr9iP{^I}2J zn@ddJ2F}^|N+ow~NKF3&f8k|ew(zwVnv*n(g=`myBHYD5es2WXDiCFiM)YOR4rq;_ zmZ>x6b2l4%gwHdSUGK~@AY+tfl2|-_0TPP8^JX^K^B}4l>|>4$8thKMnLfv__XE5? zQjMBe*?B|&ayRb!g`n^AnP4NIYDheVqUhw-^T!Oj>i|+7;Gg(^u=X|ZSx@i(TXolZ zvPGj5CQo^;*jHg%baO{z#fU_n<@rlXUrehtx_#VVd593=+n0F`JC`6qC zL>CcCEOUSU7({yph|Yp`Y8~Zqodpq|gcw9ap;n@~p#Bb`TQI;i`w*uPQQjr=QOF{? zsc&F@7tzCD^e-*ai1I9vi)apsMt}%D8lp*J=}+J)5S_svgJ?8}l#hxh8bnv2N~*dL z_kYD?Gm<9ebULKo-Wl`J89(C_>7ZhbC z#cse@xBPt4$FiE)c8|W8hS+jw;M#xoNoa_B!01nyp$#$G61j%BlSD&7lt@=(g-~zB zhYSzPJiu|cvCI%~Da%|5^^~v2B4@RfWad8kY{0-C3f&XI?hiQz_AMCXmS3b`rx11? zPT+qF`=;Fz!hRTx{-x86|1FUVdk%?4fGCl&guRF2Q?P3};5M+20hfY3`8)%AJdzam zEy7dO4ym_=)PNN|4yIM~p^)qOI1dT7a265ql^y*8{-Kz8wWe5(yGnL1$Tp_mrO9`7>#P6@y;e*KDy|I`XKVmLwp#u=1G&6V8W39J zycrm0UpQ(l|w?t*uok7&CRfr66UbLtxe*X1YLvGEz2FS5*aLGGnB<#z?X!6pb$8RrJWA z6G1{uBYmZeRDWP%BYiO58i@nVa?BjlNUyQRr%a@gO2Mj)bg0sueQ-CKp2`tw-&?hj zQY?{cqzH*N76e8T4Yy^a%3T~Iodoj+M*12Ol#%*D^@OWmbJ4HI2nicwU^PQDrxGt9 zl(t^ECOdz0gTG4S04z4HQ6o!)VKIXUu39qCHQJN7s7ik59BZ_{Fh(tx+7QcH!hG=& z>ZBJc0;@J!)6PL;4S)#KOL&R)*l>$B+DDcs-649BL@Pi9Z5CP**Z5D9WFAPAZXxJa z`X+jTb!T@KSXEw<>UePg$rE;}Qj1kw@L9h+i9!BRok7NohQIWH#PAb`t5~gf>p!+vsVD$?Ii6?wZFYt!(U^G+)h$25>>TSa6DwL;>6%x+#D+vBRh$nj?bL;{T}j#C2&!E5zUKn;7xiXIjJu z{u78FSBSr*bvp>+m%*wLA7C|g5oe<+_`5(;=_GfsL@wgtB>HKpApUZvz$mUuXOrYz zkSN4QLN|qYR;Z0jt6Bl|(831%$x|SqA+-Lxv4&4;Mr_e9dG1~{fjM?f|0QZ5Gwz0P< zxr+nvvTFhGDFtvJhEFzKMc;eWSWN4t?x(U&HVD0lxb2JXZs;xc%hH?4oq`$kHBZHX)Z$0`2U!qO|zdR za!qp~iF$zurs-fwT;Cr->;05(e18^nQ@+Q`^rkvi;C@r?Ba#uVAX+gZ1;rL$U}tr1A#&UWj9OYTH_27bp#Qt zv9Vhq=LnQ%`T%(}SnXtEjZx4|S>q8lPtr$WvsLOP6;&li*#Rz%rkNFXk5X}jikDZ3 zPm6M4Q*}xNlY8K6RF8u92d1(&1YhQ!C<<-#5iIeA>o}xwm*h2K)G6bTYA*>(B#%#U zHYR%6l__Xo7nt%K1`QdZrUD3UAgjCRvs=XG!7?37_#7jY7rXV_%iUWq+)>=^5z zc~`qP&S%Wn_9-YmbhD>(2x(Vt$>RE=nz+z$sI@?d8itmQ#h9DIrc z*>>NLb8$yfT`~UP`g+5%&aix8SUw>OAFqG~=`yK45A>^f_a8X;hzEz_poRzg;@~qL zu=J04z|z<7fTe$q10xExFR7mWVTk2_(+jpNA{|6*S;hm1*s_oZ5V56<2N1F4B^;=S zJqkemU|f9JkiBNeUUA5Rk{T5NGf!C5kk+b`ZkF4fEK}TRBa72Gmt53Tc+0?6S8kn_ z+Tsf4L#Gi?sI(Bi!ff}fk?9+`Iu})=<8#x`y1s_8Rr_5X~tD3Lk2vGximTw%X_de6%Ro>PI(qaZ)~m3`fD9^ zwq=)Gi#Y9@^?s*5A;3T3XAiR0#i3A^g|cI?p7qPL8Wi#x|@o#-euAF@}&! zS${Vxb=<41)oEp)rxV#{8CGv9ce&3f_@IGvY=yV%jK8-|!%o>-T82W~=NiI;pcQAP z<3K#9IUT>X;S@la!$T@;N}9S`1Qkk*%`Km??%p-=4)M}5+vMe*;E`xkB>GDv`VNwO zEuUGn@k1m1Sw9rs_C^-hvZC}4j&GgTRUfysNNe}wQ3WbTJ}bwO=G}r*uQt|(9)nyx zIK-}Lqlc<3SoPfiJ*rhb+0&efeW8ooqn)->Hce@UU*s75;g`0 zW-Vn?FcKeZz-xGTCjsB7^1_waAp)J+Xm~xa13$i10IT5~6u1Wp(18LxmV!OL3eaM_ zHvv`Qi2f#8=U;&ay{IyxcNC_YQ!yhnE1EyEm0HsaX0lz)&xEL1s8tp5@I0wPeZBBJ zmFQWBmxq&Ho$wODJf!S-9jAO%$K5T#EiLG^UN{Y}Kk|WRq5men(k&6u2Hw-JpmLHy6&LRMw*Kd|;g4amts?`8Cb? z)&9gLbk>S0fe?lc&w{=2XirvOLMHni`KQLi98D(igDeZI%tdmhWG;Zr1y<%9EfXde zp@3V3r;D>?2?xZoqm+*wdrOSi5-YaU3*$_QErHk)EB3uasf6fSe&I(+H zuZbCf&q3gGR$zBL^(Xe$dg4tH*n&Y9eCpuf%h`*ZVBhk|rF*aiaY02iziN6xl?2+( z3?~#FUWL0!b;Bi!sw9e#9}(WmcypOQtyV`-1)@ma*unMC&6g;r*Yf0geX@Zklk|zt zlZpDI0i}x52jePBJUa}|Uixztx};ZOvsvNKs8{Neyw7H()jb0nfm2*NDBwgfy|}^w z%NsQ3E8xURh%bb7Y2FvmwEmx96z3flFhV6+lz>l+XvRfSBsZI8k)O^=t3MiS;v!pK z1KAppl>;_vit?BMDpHr`t!H^H-`K&54K$B$VQtLl=m(hpZItyLSfZBWV+y!-dO?G9 zS4Ux~Y;yi?d;%BuH*=F@4ypODS zYuhnJKGw^_(cKUX>5`YUZ4oAdiL=clRlKi_k?45Hc* zi!AmNF5?!L zXQ>|A^nwL4*29=-fsDv7W?H~89Y)YzL8+HhBJ#NGDD017|85gSUV=x1_2+<;<3kR( z7RV@$%p4hRpSb~#nz`Y79>hO~9Ne7mH)DD0`_Z_+1R)`wM{(@n1=;KBi%j<-GQFV@ zMu2UjTjG);&2Bq&5yn zE#_26Q9;DM`L#<6aBMJ@fK9_KxU(R;9C!$BJbDEFppgVui^)q3F3&185OfCIqf}}H zloD`*EKxs)=m`?_SKxYTl34n)xQe9!pYz8&6F(q;Hxb&YH^26D;mr)-nKd9sLPzU6 z3$Kp@Z|Zq~H%h^~iq?-2fs5>bWd{ z`jjDgMSlUsx@JM$fl1IrpsoR%0rmd505w{H`tV|?@D!??7di{98q})a6N362*6>Tu z*Px!VL@ucHB)S(w05wyS;KRVU%GEmjF`ym=kpi{$SOaPfoQ`^y-2&8NOxq>`^&r>` zs6WR86yD!p?b><~RQNEPpk{zogW9w)0EK7|YS%>S`^|Y8)Q6U+mqT<8iON9)P%mnd zSo)a?)HK*L0QEMA6sQgag#klzUB&JKiaFVOsunYyi9l5zV?pgpPu&*a7gRBc>Lv)NPc=y_{eGf}Wj=$V15g`5q(B`C?G&i` zz5;4c3sBv+0qWtPbNnESN{*UDd&6Vb9gIw^*t?U+408Ku-7N= zRV1uMX(tn6QeywGMcN zem?lutm-@gE>t~}p11|Apy5vIM} zm0GPnM|+^c5~Vvty-D;Oh}uyd?>1Cpdt9h5gf zQ~#~AwJ8=`BDY@NN}|U>1d#VwlEWQ2HK$zA27dG7<(qsqe3!M@p zy$8|^(qHo|(m$BA-$kADLN|j|BW?UCK4S}bT4!VST*409|ORy*Dgm)`1PoJl1)FgL~b^{kVJ1u1r05=B<`bRzP;)H z2P6t`4+54}?;Z5L9l(tQtUu!r`ldr-Wbq>{vIEWlvU3%(gV|Ii39^M?)yVd<8o93g z2TbDkGRTrFk?YD#5;dGDuKc_qu#lSv?neUg-v)_7HUzq<4BEJJfDGAcVgxZda}yN} zvRFpMo1=zGW7E8Qns6_=x(t7@<2B|+)P~n@3P-3WIk730;R&^Ia{tp|iV38TWo58| z`yG|r3;h?Y+7!E62#12sq_WNQUuQrNC;wg_;LLD{Iuqwtd18tWEy-Yqq&rE>O>R`sF}>M-2`eGST)qc zt%`d)D$Xa=eo#@McC$ooqxcAk{y0ORe*Z&Y4YvZ%Cdo%2QBaSCZl(A>b#vWc2;idS z9B$jb0XyF<`vzUNf$>L})3`Hd3@~1*Fpgoq*dG}T?;kV|Fc?pFSW z{+7s%?C~VpSz+vGNnA6mXYl`gy1_UOx~a(C6&T~PRTi{UeS=^uFYWSF0N!5TfcM!q zFkI3%;6>9nXnTWwgHw=1$}SU&WadJDI6s#V(AZkZ- z+cy}m1;sMgfj8(I+yWUY3>PCrRo~zSyjN@GqHmzhk*IHQ%U0JEwr?;I(o8T`oN7%` z$=H7omD>xA0;@Jf`S*b-+`hqCMET4ZZHfmik=x2#NTOm8p~akHN!$u|K1nVFi9-7B zVL&Q&m_)ss<5Uxzdujd7vASJr5FvY*!+aS(!E60%WcG z20Hcq4}F7Wn8-{t(43EYXiSlG3QTdWGDRo!6Z~}uIWH6it2V{o-vlV#zQLFD-w!$3 z6zeQehU4TPNc1L%V2UM{1aTC^!OtXl0wl^5-JzT68@%1sF-3cQgVjxmp)NkiLQOvz zP_I`|`?8h$3ANh`JqlJ0br-9m+c%g=6`MzEs6Txj*u!lUr<3SY5CQ7Dmc*^V$D-Nr zmx4q=&46yIZ}3HmGjCAS!RaWxixVWVaj3;!?kzfC^5lzUj`;{+x4Spr4K=(OmGZzQ%UzeO@dMFk%)e z;z2>Le?Bf~h4T-A0#sIIb)$efOQdY=4$@jWqwG-4*+SWDsG^kBMQUZU1NF19lVic4 zNGxYyBnC}m`4yvMJ#Ry6Ax}8t{+ajj%Y&1Q=m}#R6^p9(Hc&O8>tRycxD$ZtC57r-n9?7N+~S44`XxYhrq#wpwF2hw zkB2r=uLoNq7uBmI+C!n*$&#Gxp!yz7i2qmEpt=aUDO7t4Dujv`gi37$wOGf=#K+Ya zDs>JU2!#`Q5Rb$HtXCZmtVuAg{l86`jy)nRg#b<%#-G=&J>Ubt}6s%!OBhaoIx}LXzrHw+#uN zbaOuXItF*J9W3^>DdbEzuX41jrg}ifnQ&gbzFf_Ox4ps9?gVI8_L`U{^Fo9L;(P!V zek6NKGuGrqMtiY=EYFK&;K!RIPyfBeN|@RqJytOLtSdTTpy=N*1C(4DR(uPHi@^6*G}Ux7Y)Fst;`2w5A{1vUnRb9 zi(5Z|>#fi=Py@`|wFq}&na@H9iMt?5K&)OcNuBv3i{N^tSf-~bG9eE8IPDB92SG?t zRm!Z;-Z-fLmnNK~342(=WFhP{E7bHU2y->z$(ryRl&K}w;C@k6hgqQyNXT6VS@eOL zuuKuAq39QdFnLz!NgSYgt%agVbqB-#v%yqLD7PGkn%;?Pt8k^oQkV-NCs=QlhJ7EP z9v=lTBO9xhp9rh2Vy7f<@61`aZ#E>ydjfVt@>u9_s=-}d$~YKij(=CK@=e=X;>ClNHI5b?9nvcpGI`|V}0ny4b1WC|vZD8BB8xZ+l z9}{e`bUGFjp+bOoc_}oFE?$JcZlH2ya4|0yHFcPr!7r(IL8o={LG{NXagYU7dLq^d zRpGGyXnXB)b8UZn?K*Rf-6+jFRbR7LGH|7uf@8(C{D~IV;3r;OiNAou6#%@As_>c0 z*OcUCkAqEyJwy68v@9fCTx*hRDZHY!>JQ~r*25vEj*dIa{2N+F*7#U`-H zLTjbzO;mSFd+sauhtLz9?(_u2tT+R7IpL1;g(E@M?-Z2vP0Rln^5-YXdL(<>RTdf~Ww z*Fo*G9wPGWZ0J6!I`S_Fo7YN4vN+^%Z>ZOs? znF~O@q7VM~rwr4%RTI7|gs)3!vhNboMjTI1Nu{sKd;8q}E)4?UQxqB;haP4;tF+glKRcq34i#;{{if%7VyXW)Dw&Jkd2vPTTU`B0qK;+$TdI`MHZ_`z-j8(3TRap2;8SUG&+Lcl5%0NH1nljqA=^9tY8dnBh{aHg9 z?c21*l~Lo$z>7mQl+nIT*Sj*-yE4{$;q{c!zD;Xg8MUsAT4V{zXy2wATp1f&85@uV zDWiRx`mPM$mEj}tQ%3tXZE$5YxH1~>8XIM_YttNPTs;`6qm+LZ4z5~VVKYa&*35yf zYsk2ae-eA!7yqlqznME!wqU4)WW2GFI}>GR1B3L?YWU=c%Nb0~Kq@ zRmDFQiq)hCZL-Jf*Ig5&OQt%ND|4XV26C<9pGw7QvVyjkV%1ra8BV3h94P0LOYB{x zSWPm}#!{?0IWn=S%$Nh^8ptZfUZq$~4$ziTtU4zWs7i=AP;Mbv#nRP^)l_|LCdH~# zp<^Z}w}`A_<~54d)cAJH1mz^6#LP8{)l~F$%mn2moW#uQ6|1S=?U)J5Ni2z(YZa@h z*6o-H%1I!JnKvj_Q=8i{6O@xc5;OaX)l}kk%mn2mki^Uliq+KJcFeTBirbEvwpVN0 zG1K;{Y&&M!UVUxHOxvrk?U-qM^|c){ZLhwzW2WuZ*Mw&3&i?CVO$PV6Igky;J`KBd$uDXirpMOjx%F)1yPb)~{XKm(<0P*Pao zMT&|?Ek*a4=OwbP)O`pLNBK$$>%K_YVe3j7fRshlr9nwy8W1TvY+cC+5Ku}f3zZa9 zx`iTThpj8g0Rom$$|5BN^>2|#*$_`ssQU(N6Qpz$V1+{XS zNZDcQO7?(&DoUwPQcyuFM9L0Z7urkMuA-DmB?UFKQl#v#btR`jKsBXQDJiI-RU&1F ztt;sT0@hHsIT9SnWXlERk~=c z`ugpdNvb?prHj_8uiuWDq@IH{QD46uGfCwJYofk>J7$s^4c0_`{dUYG)flXa`ugpd zN$M_G6ZQ4mF_TnSuqNv3w_~R5)z@~+w7vS;j+wSsU)wR$_Uda}GetNtn1+5{GN+Sg z$sF@6Ecfgr-v&@edGnLs32vkWH&VR31!jsw0Icz@xH;sIQRhHy#@#6WZoO0Z7qacJGyb$7n@S?Ql$_ zHi3bcFk4-NC-Gu19|H7cnUAlL@8{wJ?%b~r#C>A9n70jsjK%Q+xg#ro*u${%0wv4V z=S5A!Q*SGRily1q^I(+8hX+tJ5|jN~@#WL%NGyVl-(<51%Iix2$X`(c6^@UCd|EO;Ts=G`5$AE5e-};8*J*;WDS_%H!2>9 zVpsZVXTzH4hq4(&OV#j|kOoMohHIkl%u3Tcy6rOMEiHo5QKR~oWdpK$b__-Txu>|S zrc73r&>B>KyuJ$h-fGKFb7uta#PCs<6j#c@xH=mJw5Tpm?ro}zj%^#_8S0r$=*#!` z*jAj2fQb7=dqFxLx^g3hu%Egl>Da-k#XUQLARc-Jw8^MAbz3`Hh9M|J>V%CFJ~{qu zpmM_v>ULw@G{w_chQ$YX+?=^g6O4u8c;d8M1n+%Aegqp^Mxvq7IIKI$u;8IIS?<;> zds&u!6$>7Rg!+=DQ!AE>HA}-DK`Fnil2X#Z@*NKAR`ZE8YDF19vXrAV%d3{9T(NWl z%PVAgv=vKN&2pP%@f1roSPIE|V@l$_6PmbT-B?lfCh3arrw+5jfA$Zu0;7`{a%PhxA;h2n(D*w3% zSk;P&op8@A_4{)_5Pyrvman?4F+}>SZmXahc$e$Tl6^DRf(3O}De);-)zL0c2d+FD zcUPbQykCWYiI`{4sm0ijm-!DZO5C5R&MQ6f6o|a~74D z%0(wwIL3X(@-vVJpaGQW8i|FnP_}&G^k`8cTvLAqs5+2pu%$ZGP#t8bu+P%`WKwmv zRC^h!{)TE#FH~O@l-0biE~~q~k2p|wdqR_L>S8UPhQ~q^^vOssXU#}te6L`YH=$~z zH=)wQ)Op@A*l*`ol$-etF@SB(pNkFDMxv)QVr+AM$%ru{a?ctOT^GjM5aYo4f zHq&^Ey~Ms0CpmkGAutP?l(QR{@S<$b;ZJ7^r(BR!Me{4+mQw7)w-6dN#Sy-R2nY$^ zGr{PE8gLD!Cct%ok0_}TfLrtl+WLi&XjNpT-!)Qp`Z*8JKfH7#ULF0Kua2(tyGJHf zB)#*{G5pXQh@#D74o z)+NQTypmH6Id%ImzsjPy;y0)>5DY&)6$lm!0>DQvF`@d>Y5d<1s&a?7)qg$IV70kP z>T&4lg<5~?X63O9!(L>5&8X;~qhq0^idM;5y1PNsh!-a6@|ZIfnm3L9WuG0nWbKSE z`kfG%erLE90-?LT2S1q**cqP5h7Gi5wvE40>2NJdZsm_28qYI$xfT9c_u_W&{4hYU zD_P(7HFz?Jrx+l-(C%*r5giv|9np$2T%%Zhyw}%CjbbI*-$$u2wuKr9Y_6R!W_`m| zV`8AjgOC~|N4;DK)iS?PR$fGNYDZ$fI;Fsow7Aq;+)P7w!g2h8sc~I@I??y?*W(&0 zM%+ht+UZZX-g6f@6;yAnU?0YB?!(3c7j*5EzIio#$j)I8vn^(HH`6)9vb_1CPQhF# zI~&T*Ldqz_&R$c|UXG5&WX-YK*^5hm-5k$VDKRcZ(H}-EQe~GCnyf|^aJU~XLH;Lg zi5_?Sna)ke*TiOD#pS48crNzG%!8KU**sW<0}MiCJI`<-4^W3iA0AiWm`X*{#G0+4 zy|8Nlt*9XznGiKP`1%V%?5EeUa2u3WNaBbss42{z+BuoS&~UpdZdLVWr? zv3(udUoh%se8}?ypf!B8vdnG9Kg$5|o-nO6<2?_0#p> zF?inF6L=0*cV+1saDn|daMXifHNZCR0bqj^u;qvd z|HI!2YzA00uuV@V1hx{4{x|5o3a}3?kqhia5|x7p+PtVqm?UDEzu-=QY85CHs!)Kc zzd}`QyYTA-RTx*0HzgqB3g>&}E>7#fml`e39>j?~Mp)54W^DX`TIhuy0;|TEY9Y7* zxvoEmH}9-*{!kJa!^IgV(I+4R&bTItrB5KnSmx`v89@CCL<;Ke&`yr(26v{r!k9Pd zg3pPf^|&P!3y#ALm}N;${r8&+q`%w+X=~l8pDoA(P*ORz=po2PgpD5(kc+{pK^|oF zcR_CK2jX1~$dDy+L4Hl5UwR41ub&F+a2Col3FkE^;J*(N1@S28rXZdQL7bWD(gVNz z!i8GA8QBN(FC3m}kR7)H^824G$m5}g+3c}9L1rNDc%kZL0m!gb&IOrD{RcxiiP#KF zoQRpM~X`=i5FY?gCh1 zo<9}@*j@8{M53>E67zgyNzQi6vw&t^0TN}NfzVBvXE^_k&vH=aD{^wSndTiF%6f|AJM6-qR}Ug7$X>aW@0{&nFUs{)9x| z_7KpYC=&L0W0}KHRFLd9fVfV}894hE|%)!vlm@_4LirA&xTyELtzLmVsfLH0W z3-qb`U3BJfN_RD1QXqa?;)3`P7ZuZ5--6hUAQ)|M^p%vICLk)nszGEXR^&Sd?%oDO znjz94QWS_k=LZHDf-+1)_YQf#?Pfrn3VpC6A{(~JL*PsWByi?jK_hP^!kHNL>o)-F zyUbRvdzp6$8YXi16U|?PQ5|Mzaw@R%dFjZg>{tyyW8@~=G>1!C59(SBJeDtZtB36m zJ^sC|9%lo9>CpD1>pw-M#Qtw!)mCeMEI`fyia|b*$m_f6obah7>gy04M54Dqgq*Nc zlf=>w!&Ov~;ruZj+SMRZKJ5nWO4p+@)Qv%!HJKoYh&RQCp|3E}X$Mud8}#OP7Fz)- zXZc~Ik7W&JMEs4~=Y?JXtH!pERXf8``#IL?RDY$=Lp6$)(V@y`@K`!PII2_iakJDaG4EP9$ zG^4EgT>w}nkz!eoQN62>FuYKIuxc0HJue~PJD{##&{+e%$`ZMNXOd_fhyZw$CW)oL zNTjjM)hIdud=ZEg;CbH|z~7*0V4DP>$As6U&gd@fQQktmPd-ys+&}DUOy9_eBx!OA z9>s*lm?@F_oag$O(A=A9JSKOjkS{m}wyB zOj7y=ApJ8#+Du_f)XyRMoxcjG_ZG9Sb65rhIHWrM5a>21$XL zsI({P|HXQH`I^e4KHsEUg#4N_5jpkghuHVMD^=~_)b7DA&GgmDBseQB?g#7lh^rH zod^I>9l_gjLUCwEXVz`v|Bq(pM9je^^6)`lSr5NX57Tq-@QL*BMMw)?Xcw?*56^lq z@UYwL+zm#5GIW+)c9A7=o1J?}bQ*}@;cQJ3OMif_jb)bb$22?VfJk}x-dY$)nw@9W z@U4aewARhe6)(8hY_oGJxJ~qa*9+M69MKhwwcC*aywFi#)!3e!8(_$ZQ5+0_KE_sM zX|UB%Dh`gL+T)Q1@ca*~+E#@R1h#TpfU{v_|0>iLiG%T$$W4f+ljtN?DK9islf=?b z!&St=d=wqT!B`L}Yk9DgYSaFM768agEuyP1cbW)ME_e(gKNX0EDMVMXe0~qg3k?IS zM)bn{2@%~6ef)=#G@_^_auH1@Q9g)(=u%A*OTUXyVwvxw=m1e6h_wGdH;7gvStBpB zOzGny$Y7rI*~^vkwx zwp(B1n#U+nJe$$ui0zIUqL}?m+L-uK%S*Cu&C zkNHfC%_i@=!ENGnT~A=s4buch)jO!$Ug##UYHUB>6JX1L4NUS*q1vBq(b(RxL~io# zM4}}i0=B0tiJQEepn!ibNEEUy>wrv>_cErV)>)cUg_93!b6Jx2Zur&_XOWn~^1F$+ zx*JtatF}39F6KY2QA&VF6?_W{Hb$xJ0iztQ93CNr#i-fX{~fH_D4*UP7{$%f7ZJ=` zr~u;d#g@p;X%k5FIBN;^f7c|j^s{jl4u1wk2M&J@L`u7FYfMi2ugYl^UJf5V)~Igk z?H9JnY16iw(<<6_Q>SAtG?7^@LBYl>&v%DebmKLcARa|Z@j|D9Rh#9F*@0QyoOT~T z`7i#Z&GM)va&y{T65RnJnB`_o5=(!S_K0QPi=qRw%mI-y%lm6hPWv~!738#4t-Gl( zRY3)kOljh7YEPZht{J+GoYrv@)N*p#J}^Byq}7tsTI8SSYYQ0t zy$rUUERmbLnn?5)9gp=_u>iiCyBbKc79x@HX!p+DDL_X-CIEjov%K`rYci|7dOn2d2S5$QyFEo0>>q!Wz)Euy>c z3@qy+dIyYt!5iHsU zrh(S-m~chVG}O`peDE~+ScvVa#EvLE4p*_~U|$iQK3J7;G=EGm?TRv1z=(KW+PJw{ zj9q|)oi`Va3m)-@Yg^8NbkmJXuOX&!;cBUcktjDZ3ZpB`mITMggd1<b-5UN0~46mMK)VLRc&4LSO_jUNzi3|1DpA^sHk3O zHdwWbLeaoQdxOqo%kxP5%dgr+wX*`E-VV`t60HIeY`NT$0DB-Oha^vfL^)_@=q8&? z)}JH6$vL4~7}>x7XGn>MYr%?H$o2Kd$PEnR)BvIuMlb^i9+&6=1dcIHsb+uPKN7OZ z-+Hic^e;{$JkbmmT0M1G1W9a#OYqB9xW#f|E+uR6)ZrvdwOZTTJb3U2*7o;yhV2>l z$n0HMJuXH{@j|`9s%;;=Jus`=3SS6DKOagqaV8&{`++f@Cfj4Ry=AB=k9&q7|n z`~z4uw&!mPuw}poCa%5%qyHePkYJl-iQHEBYZ6Tc5wKliN!(WW6OxPpi9%NP9+1fh zq63j}MkmPd4`ce%;<3J(1|Eaw`%b{4TVaXnQe+Y@bU9cxp3jQ|JZ_tH8})b_!7F%5 zEs>ky3rVzq5$=WNXp&g^Ew~C_y@#R$U%dz-g~(q8MA8Zm>LQ4oNvF3TN{r}v@EAnt z5NlfDC}ZV2R6Q?rKUg)Q?p9eB(IPPVn|{)WzMC1qb`iZmq7Oj?L~m%4So$(t1)|Lz z9YmjlNFmxaKy+SbAevrKQHa1uy-hz_*oZmIL~g2i*MiE1WYZ8YqEUMZsFh&Vp#EX? zbwPazMt^_-)yopOpw^LS>yHBJry_+rmM&;wnftq-QUXvTpq+A4l-)nP(W_Q9J^90C zY!!(WO_jh1BkkG(-G6aW8Rf5cEV>Ij0G)nt@(B%m1hR=2`UVrA8r?Xp6;^h-=#r`U zNGL8rc!VW#(QSrwe=mhDO_OlBYAkbz3++%)DAg{7Y6{v5ZTf*HL8nB{I@p18Z!+NE zqdf?TtS*FeF%k&!pM?{h5d@bDX9zXTZ_scqwnQ$RLr9bhA{cjsCc%dpah3TGMF(|i zJctyc``$K))~gwmYR-z`rGfkkzBS;i&AJQ|sTQBjfu}%%sbQ}q0iW*v8~_vgGm%id z(2-!(?s|H9LVRPPoj<2uJ>CsE&Z!| zytPh`S2|NIA8k&?l&Up~Z6?N{U}KbuzhRV-5Q&gJlKS0^>W}yXt2WA#X@OB(FxL|A z6Ls1scUvO2$9n^b3P1#-T%$>1>Hor2g!GdrItb}mAW~*o`4-F~J>IU;)8vcmz^%=%49sjYCqw}U$=o6xl|snUdcm2dG7kF&&%u~ z>p2!VG~GU4ZdBbqxsGCU``{X`qaUMGc=sS!gMeFi0gw9L=>iTy7trU3_7S`|(|0(9eS~&?$rTztXv-=d9V~O0c zDNu4K4DbggKh1j|{{e{ty#94KLmS~-N43ZLS1@X49DQ@(40rw7 zwItpj^;g!fr5hr>^s6WB=jCHK8v5%d#qZ_RczGK}Me!Pa=80eqVyqX0D8){OVt8q$ z(bN0ce-?~z1Z=cH zxr&dhkyLv))D~=qSt7U2Ws_)kg{`|KaqHZXB-wf1(WW&4QIkF^a+b>CY8g{kIsQo% zeQ-Ss<-$!q#lUNnY20NaMJ~n6Lt0RFP()}vP_G|oX7jFJ=LM0&kp{X(m{RDmz5>(} zK&^=Rq!+ZEv~+Go;?VX6?O=ztw@ZuWotfOxqz^Ue*+P>(jwX=|a`2mA;E`QN9<2pb z6n`LZ1S?P31E`6V?zhi?nH%Q)`ffzEA2oJG@=%FrtVoWowX2X>_TMla7BuOeP(9Ya z!i1%-Fuuv6zPr@OPRvEsD6$E2df2HVl3HF7863vGAbROp!!2*gT}Yg#oDnEjSqSB3 zVU2TP>%NJXSBRO$`MR%@{wDl;`fPj+xdykfjRlN}`O>1MQ0nbmj0O>FFi~kiJc5gg zsT52G#4N}w-%9#e)=1X7Use#r<6zYwcD0JQZRA;0q=NzR%MBW-22uAZrhG9K>4ny+ zJFj8_17&39f-R`2zkP0S91`I8M0DpjVz8WHtEoUG@?9jAgF;&{acXf{=hT*2Tnje= zR|f`IEbA(aZ2Z20>us=VT*n!eTdqH!21frNC??M7Ylt+iUcd!V9n9sHvkGsJ_a{WB zvh!KcM~-9@`MIr|_>bY}pnhQ@RWH+5bJtBeW_PCv5ST4?+OK55&yD8a$G3ai#{4WN z=J(zVe!Pzx@gh^bJTTx@|5|?3Rkk$3Px4CKu0W-%ibSz52sWcflE*13GB%@+Vl#RS zk8qrkx+Lt+8ZmG-&RgtDvy%fu6q^;zpV>w!IMmA?=jF|GUTngHTNEVKQKP-#F9cs0 zgVdItp=V+Bz*4!u4qZ6`kyqNL9KawP0Mk8O#?j3+OhMRvYdubW$IHKXsGx>HrIN@? zJIU1g9$p@vImpIArFzexy8#Ytkz_o9h+*Iq<8DcuKbPUxSj>Oo)Q8l^L@IiLHMn4; z@*Y=W>;;%UB$L-jK1!-N?o+gwIPSl(R$3XH=hMVTnzy^3T^Hb=-cZY_rRegZbZm6- zAo-ay5I?PL5t}nnoL{U+WyE~g1fJia9%gXw=j!`6 zn)@To{aEfUIFzE*Sa>o>TA9LVFQ&NJngWc}hp?_u>M50$^9P0*#|GEZl!;_9V(Zxc z06y2TJ-s0tLjw4=25&>dx7GNG&RHcVu?qaO^024wc`>1Hq3Yd7Ly)5F}e z@?w;0co!R9DJAZ|fc4c#a1R#Q-klv>OID1w z)d^d_J;CU|4b+lgOAV1uunX7F2cgIHHIv+zxwh6)EcOz1zJz33tJ&ITd3k2tks?np*_d%8!`2WFNh_e@r6kqJjlU zllR6}G>R>frgRY49|07$;6sY3;9c}LF)g)m8%289axd<$O>{dRo{xH$B;UuG%^9(x z*E{2zSE#^o|79>Ff?pJ#pUmBTro3yovox?2O0ciDI(hoi?Z^@U*`0=k=U=~63+lt% z%8$v=Tz#m_Js%>hLJ|{t55l;-5=AN;~|Vzoz5S>)NWvKnL$r0lnU zOAJ(ThO0Epx~n87Qm04;k+y1a(ROe$F6?8+I!L_?Qrl>!ZgWr&+171?_=s(5g%UC# zBD5_LUiQAY{oSwIPyi*Q5chGb8G_hDbsgu zFWU@-8s2|&8~3?2X562QyNO&5HCi_Ni(q+^lNzo=PAWl8LO|usZJUsA3s~ae3RYC; zS;BET^HC{E?7$K$Kv9a!RKf&P%BnL$4vH$!?8g14-e(gbsKJ&~f_vZ)AKr;t1!GVe zdsc5r0j01%i6}{?uK)3Ka#C5PGzgi!e?*#&W$lL_e@_aIhpQ4PHmR|F#dcDPRVfWb zG1MVZ>^B%lD^|5_#UA+yid8AaZf+38?!=E@{GM>n2MBzz4atnI=M;M|IernsR6xT% z2T%6roj9HJ}F-r(VFVl3c@&4uee91RQCgtJYIB zC1{pm{g*)CO+LsUWa4154VDaq()9< zc>>er^D*%{E(CRuu;9a@rp`BB-J*26v=3YM(zQBBs9B49ye{wZ_xM=wal{wv-;3Hj zRZnd?2BnKy*%{cH;QD#$c;9G6xtaApQ<4LVLX75)Gup71q{_xHQ-+#x|5Oo-^B|CA zEM2L3Q4~>ycqU*lxiZDL{3V;h9TRt--@gtOfmteqy0WH#6`ZeES zz%z``Dq>l8_{eSX=Q!ZG5v(v1Hv?^$7z}v!0gsuo%L1cc1101!L);SW>JS}EqF1P? z7h0f6V(DZ>Mvd^tjC#sJq((iPp2GYRx-rdl8=;mCpt|#^qF`kI6VhyV=p+HY%r(kX_`l0IIkX+Jw;L2T-$gEr19)Ns2|YGk({J*Gdc}sufPdDM)Cd4D1OFUWDYi0o!)Srnouc0A<@MP zWI|xhT>M;&;j1vAHpsU)i%9~V$9Z#v%o(4WX#-7>n}9-QC%id&enHM;dP^o(JS8An zTq{MGk|sur7pV(mGtVO$Yo)6Ded0h;B%H9A;px-1?6`jp_K#qm9Ef+NG09OXLg%O- zT{LQ^614&=Ba{4J@#e82^jtdjl!UAkKHLl`kXh9pWh7o)1ybcHQB!qo&<4kN0~J+W zE$odvn#{F+U4;YJd7p}3i$dB{Jg@<#v6Y8f%FJGhIdaES$%=2mbSk{;L@h7ds7*mzw#l-P3cRp#z0c-~JmAK#Ubrhne*V-`2 zETO1!D4D#_ao5?YwRy0c%H{h$VW-ySe~Ff+ShX~{P|%<7BwL!EVAL(mjB^G+6xxKA z&o18h0>sy#0?E|c1Vf~!*7AS}<)5f8$L2Irw^(LKg`Co3BDmGjgOB5`iRoZY&BD1_ zvbk6^Uc6ApK>f|uQI~sjcsT+`C9~B>uP=np3?L$8GSgT}#WuoVnsnxri&}VU3O#kw zSMXF!d1^6m!c&*SPX3A&ST-u}6g5*9+>MiF(pV-liD>PLO&+0ysIE0ZlIlAB=x zJIo!*hgEoi(G3+fvaLd#ag8J!q9OAlHn4dVUJx8dNAX{;@>vGOMm6dIdz#W`QL+-jTBv3iz`@qS~iF zA3{xB1Auj&%4h_Oet2<)fR-Qlwlm(|L8l|QEGFSxgRzdH*OQoIPMFw#|y|T*X#T$d`gGUYrJM_#mk+l@w@MggbJ% zH-~pxw(Y25Nc}<(lb=}LY-DAzk&0uCZz@eu~#AD0FJc;%Rc0eC0PcouSo1mKC5$PK_*BpSlR;)V86BpPEZ zGntWK#^1+*Mk#mWToZv^krw3nhrs8i=6G(Qj&nO9iTV1BBR-tg+EWabIe)3lCaZ7r zO6(~HRAOB81 zlofNao)IPTZ6$K5D>6M0**$?s%zGm$LZzo_)c;T_HX+C{+4QuMH_(;$ChVwCzqTkq zJ&35I`5Q$XP-7aM#=O9YJyD5$T#3C&iCxVzgZ!F6?8S-2Mspe=6_UkGU5w;2mE@C@ zWMzlU8(qo01IgWXMDp`F+7y>6$v;ZerthhA-ouq#w$zwn;VbQ#;!GoUiV}Oj61z$C z&U}k!#uOI?V$U{WgH>5v`^n17M2y^kG~rg-H0xlsygxTLdfJ^*d_e+GAnZjBt@XYL@7^3ZK{nVuZ=|iivH>*=8jmF9$onw2$CX`J|bb{%9RMRV><{} zWYbrXSdZNz(RW(0MPj*Kc5G6=i+m~tA9agh;;Xe3CMwQP%lf0oUqLCq_1rrNH25?s_n4wywn+Y z;2HUfZZMHQXW{1N(XpPpu1QY9$7T3~teYl3={w6$W^eh)>W3fIrT}1XJjWn@{13eo5j27T;QHVjLgpdT zDD>fIh(zRC9>4}u-Lc-q)I-fWbkIWbOGoip(e|Yb-7W#N+Me1fj<6Ufc6~BG6g47u zR1|Fz*1TnH`q0=g>jl`5U&snCK!h%c%w>$G-u@mO19xl$9o*4`9~k&M`APp$elo9@ zpR5h|QSSKld2vVZ+Tuca7$#5Z7mkX)4LNJcf=|#;hs+_0e^tQ$GWbVFH_V1hIghHQ zL??&*qhEsD*d>kQN1UsY*NUW_Z^CXB)I1tGax@ZkEb9Oai~Xc;VC7h-FD~KhoiKUp zuM+Bq%#V!vn}Oq-N{t%SX9XXH`G<*6CJ8?hoy{6Ad9^WhWhj0MrphXk@k|l#9853R z!tXOp8Hna>#!1ptaQ<38HDK~I+zbxVksqfF`DD> zsns|xUVJ1IIQ~P2NQPGk@QX!L8Jsy+_f%ISq%B&`ksF>ha(O#wc*d%6vZ7&kO-V+< zNp-o3NIG$9G2=bhijVZ~pqjCFd{`Tb>orA*P~?Z|u%MGA1wz1mC&5Pnw^)?IK&7an z1G?t9)CP+ZwNi_pBbi>RIA@%5Q?2nRTFz?#a}Uj=i-<+AXi^P0y~SD}$uQr-FpH(H zsTK$-KCge4aWrS5k}XB3c3@qtten8-0#*6Y8v90KQkrJ3$0q@U%clXTy6jwhh^kxj z)+;1K!CSPP5|XebUeR)%V=d-`vMMO>#bFR=s8S)sc=tQ_$dmGs0a|om55b+F1DnBi zo55OMLCZt&7&XM33jA!vOg3%)yKsP)RMgZVwfIi49jIk{A5c#dT~#a|sFo;g^uikv z=12s%zZXr0hZ49ZFGS?9Fys)Kv=~br^$mGb0>dYDJMNzgwC4CG3UPI;10!^-?<;$d z@8Tb*HSCq&CD^Ykc%MSQ2qVSD{r5o{phu2XFU^#9A~5LtGj1bP@59$#D+02>NJu(w zzprBY%x4rZJdJNknv5tSs+tb)B5%OW(Rxe;~rFw`)5ID%YYjsHCr!|isD<4Tv1m9 zWh7Qkf>p|@!~>a4?@HYDUIp6@e@fyGerH7OO{%vQ)rX4eJN)>4NhK{@+x1R=_P)}%i~(fcPnDfOX=C870?Wj^wbswfBP8!DKJDGsnZZ3DJ{n}t0a z63V|NeJtxfBH7y`?A2!kuumMG5cUKx`a@731oqyBNW<<+g}hKVeObZ2OlhmY9spK# zG!g2k6cCj;vz#W0MZ}%zry%&=LGYp1SCe|1hISzIv+wX)kM?w+Eq)u&>J_wCsqKkK z5SahMY^nC>mB%H7HUo?j?*i>aL!_Y{_g{f_xW25QJqnhP%f5C78__UAWgw( zlEUXn{Y;(7AtsD80SZ|x589sy>vn5GWvG0T4;eJtxos&^Bz3%&zvu{@TQ5X%xU z`W}K%u-s&bG?wcZ2$qY?<&|Yzh-SKn>&bf>c$Ge-Gtqy|fMdO+x-Ug_1*hN%w3TuH zNyuU~)gHQiY+_VbLW)7P3sE&GRJ#$?f>}g$23WO+-aa-Vs@gb+7ef_6wZIT*RP+8L zsAA^w$})H2ayO#-7kCw_uZw`{Wcbues^>ye@z7s54;p9HhVw&~EnhWb`2kUjWGL^P ztJjzOGWook>W;i2p%a#Tx*L^5zok^}AB=K6c3rTDG+B>6W&k8VCLbdg_Xe9h27~0L zE|w#TZjtWq$ZKgx;IjC1yebE$asNen2cx(!G{N_4lp?!7uDUn9c^ykcQy9GdMyO;u zW>M|N3e2Y@3eE*HtQ$wa1vehS0FPx&q-Q=yE%ZV$uxdAUI3}SR`-0K`32`HC{QPK5 zq}}*2ltlG-%UoVr=DtIJ6?tCl?0=qwdf9v)$m+2t-dE3 zQ{X&yK5oWP$MLF1OdkDKW`-7cO+Ew}5(vNx3<-&9-}wZ;8OJZ{qs z%tfJaT7ETVC()J5slYs9DdrIuwi<0oG)Vi|3sxvQPfVYA4;GWd1DBvUf8Aq{FT2jO zm9596vhx+yRf_5qQoW+6bRY0dQcYA;Cn~B#NEK65xqCD&153 z7pX2(RDZo8dVNo-5u}or0{Ng4K;;2s9Gf|_)s`J$)EobBd>B(Oi9+wdLK}LkA-jB% zl#>;rvKJz~;QP~H)uDI$(1fA)C>Z@4P&Xv>E;K|s^v2N20o;8meF ze;SI!hBYB+z0i}2hJ6MHTLy097=0fOkANIEbXPWty2#XjA?8{wMB9v=2$3d9{`5K^ z-k=~3V9C>vvAodXVAT*89F-8_Yhd(eBRmD-G()5z-uS3Myj)+FWx1J`(^aNpQVdpw zu>4k3uKiJKkootbChU|R$jJ%(@?|OZ`cjL#pc@b1K>HAcJ8i+unC-AIZ3}lkp%l$4#ABFc!wPK=JSv zijIey_)|X^c7!eY!tn-YPLA`#n2W#^DO#n}C7n88#F!l3f+hg#w3`M_dE4&Hpq}O+ zv*3+|pa&j8DlQv^0?<+H3ZTdheTjEDG}ju`pQwt??i0-Vph_m8t5rLBK%!e5Dj>46 z`(Q#pKLiEp(~H7eFrymw@hojvc+2%KY-5lR#k<00D;7yzw=s38ha#C*z=u$823@%) z)B^}TK$u9XvlNJtis}qfH9bVXhPkqKSa`jxP0J+dI7Ql5kseLb_dqIdDkC&yQvG^N za9}*d%$Q7`vlY*`n4o|X`;+HEEnayAng=tb+AC~t`5-dvkBYA5WKOK-CCnE1dNTOS zRim%pyPWqRLuE@*!Iq?3yJA|7ul(N1(ug_7>MGfh5!MgkfgbA@e>Uuv5eEHX_XdRt+e(+TU+UcmPWyV)=67iqpdbxzBX8^ zCkESC+lpf4|GsPOea@N5B%p2m_j{fnk7mxib=D9Uv0#Mb2IwWHfo7~mijKJmGMJ3 zI<2j)O|zv71mNg2{-V`8@RJ&GU}GaQ<7O`#XD;srEy;@h!_Py}TXzGnc^m%F`>yeA(RJ`7z0__0n>70Hpbw4-~+i<&J}I0?c(-( zc2o3OJi#DFe-nxLt`gDzun_SKiTE1GFOrq7;vT=DVPPV+#<{XMx&sRYTS+y@c?1&X zs)V(>wYy7(mMH9KD_EEojkA#k$hTGG>!b2uQu(k_Ia8@Thg80|o}SNw!y&_YYsV1_PuYuWx{^Yl2`~{lnlwqZe+vC^^&m_1 zKwM;A7e@~$IreY9snU>D6z&3u1s}jr-l)d+;bq!iQ=vc8E=0dfqN89JozfniwTcl+ zXBP4vRHy`goP~taVVrT%c_j=yQ2#U7Q<*}bj3^O6vCRQ-Fxyo|YDkiU8hh01xJw1~ zBE`Ia<|)bq4l27SlUVZ8kb>hp#qm>vgP*5=-#Gp*Eax>E9G7@F*6t3e5zxg)x4ewM zDT*EoPQhdYq>W)L{`H+3TTduBP!_RD7az5ObwDaxxZBvOV#0aCA#Cz;!!`)c@NfY2 zr?2iyKh^4{Cs+6JG}X=WS67lDeJA(FN4NY|c1Ew)ZThljn>LTcR2i%nNc1nJHu)L# zF+;=7e~?Ex4N!^3#Lxo)Jp*+ZzJA%r%wrTJx`2Q8K{J|S7FG#p809wJDi>hw76<|qk+?y zz{)E=>P%2Zl8)fD6`q>DhodE)j_2dhM-OVD!lOl1(c?^Ui^yu#c`AEj8Ha#Mfl1-? z*Pk26sb_A5(e>J;$JwP1P&~CYiK;XfUJTNgP@kuhWGhbBV6h7h!3Mjwl$54iou7pViM*|4+Q#mE9@=~6XJ{&gvEV^) zwduy)uU32G?&rzCa0c7){5N6DPN<&a?k-H8nsImJbo4hE6s8=W`6dcF6Cg_&cZV#H z8Fyzx@SxyOo4lhv4>Z{pzL91B4w+~;d>!afhci4c!QVcOIxru8=E=C_RX1qcIrT$9zQ;KinYE_ZmwTM|{0N+nR?go>_0DN^~qzaojBG`=m1$1s@MSG2vus+{Xdt ze1+xnsU&hpXgF$aKT#$7%J)UGS5jTS7{ib`xB(qJBvFPYh@L?nM&IDmz7@c$%shNM zwOyt{IKF*Z`kV%ox*u+%eXOGYl%n5*ALk;VNA~%Obc_$_7#Hc1bV#WUvxxL8MS89x zy`M;5L4ic@#q&=N(2WRXZdBk4&9y@)jIQsb6Vt(t3C&ZzW$;cQGl5|SYn`ICKD=2Z z^-I=Ti&}A)wg5KVYPE_n|WEZ^8CR z=>>dcTA35Yl7eP5V@sLY@_rZzU!;UT@}Mx^L>s7&vK{qYoGBGQA4}F|*gZt=irEv~ zh;&7UxkJx#Z${#VPw5m-$I&Ng%+&tmaVU}7z`h=TKr23VuC(IHgp5ofia_6$vGu#9 z5A!wDwKz@(k)3a|Wb|*v6Cbsjd_%IQ;(iL7ir1H(N4Ghwtyyqm9!wB&Qc4o)zMyxI zB#l)@d4xCbF2PV_YYNHmX+NiUza|Y5>A~iSE|Q&yJi#BG95Xv+R}^w^3qroBz#QzN ztB7>V?Ic*$Xa6X(Uf%!!6cHOepBM&=-GVAEb6D7Ekhe(PlXDK+)J{}4KBVYypUOHU zySKAHk=huOfZQEN>E#%gb7PITPi1r=8~}Ih zRCQ#)Nn!po*0c-?&JSI*${qabs6KLck= z`)YvrFS#j*G49GJJO#o+qfbQfiy|-9`5bn#yqq=*f%?=F9dLQDzKle zLr5OA6GDgu|8x$i+*9{?$Tyih&Kuhq;R%@3EtH#OAw*szfQx0JUQ1iy<9E1uxQ4MS z`ipfC@4J|tPA-6``5`xrfY@|pXGx&&(KYyls@bK)eJ9j4YH6%#6B`em8$P(1k=dG! zW=BQ`nhCAnkmWvOml&tdjS6$;ayKT#yAN7^CF=dM&aQtJY=?R#9#Tk*8t!isMpvIWTVT&V{^|T2pi>LqJD>bV#C4s z8hLKxLM80wFm0}T7&^u#l#&W0N?{6X`@IuBPCa?z>+1Q zFXZ2z6C4l!hW;P6-pJ2)MxWf*$5t zCRlp=cmrgDr8k=4R${@IY;yAQ%zN;;&aZE?Vd4d#$Lzi{o~U;ixxqS{Z^N{?tTiZG zc!RYP9E})m=l(Xs^x78*A9+92uB?-_Y`T?;BD*Q8-rLD?Z1gt7;cNxh6;U^-U+R+J zHm((Ii!}x4s$$q0x~%+Qe{o z!@RK5$867z2iL)fV`#UG~6Tuq*z<*eg^t1r$Xh=3; z$gdDnw69eWY{tG6wCMlh$NBnNiomE#j=(p7rk8vFLLmpgftVZ^%x=9GSRVII!u^cJ z_5p73&usBjr*#2T2LS_Brwz!r-!Ap#U(T3m`(mn2HHtzuya?ux$EH=C{_g_#$rhtJ z+bxh$ogaf-l>MenPF9^$$kClBE3t#~ZpHgoojfp%YcE{YSr1Kazfxl2xtXNVJ-AU3Nbj9ECW5J+jYc?l+~hrO_8u9Z(M_) z;Jc8&GPObmC7CV1AQiD7=S#fN@;8vEV2@nqjh2r=wcDT7w)hU!eh*AM7ThFlDpq`g zt#(KI7@)B7eC68!J`~0(r%Cr5?Kap^KA=Am=w%oZsCmW%8S4XimLNL-qO_d}+EfwY z1^4xS>`+VetV{Oovw>D8%Y0@U0)AOB9{BT>+K1F9%G+B4e7 z#pq}^8V>dU+$Qg6Kfx!9kCD_ZD66tK5%eU2hr;Q=SaI(_u#Hp5(O|s}un(8XguF_; z=@F8Ls1B`gj*tld2IOG#Gic%Vy~0V}hE1YtzaowC|ABvNZ6F-}_0|C*Ca>;AZ}H%2 zv8BU@$JP@rZFd|W-7*$D@)&qx-%~>XMsf5eIFGPC=R1+anK_`n-xT8VNnHPp96JwM zso+|af@?RV*Pfxn*#{L@HgSFJ0B~gsuKh6jrBgjd5j0z2=@F}VkmK+uP^6|mfb`f= z!(+jVP#*Dh@I3rB3lz>0lSg#(YthYH^}hcbp!)JD6nHBpl%RIQPy*`P%7G%(XE>VN zGi(NvsxYry?HP6$64;(8(#U_BVmJw2Bo=&9{T#dJX&*JZnQYjjP|(SRDv4pAg5eg@KBB>6 zkP6I4@`rpIhc*(#dwhEi?ax2A^+dry&KM!?xPKQUq_ZQFzrgJGW^dh1?1){Tl zVmw)zi28-^(>eHCa^uHT_Un+{V>v;u)xozMOb zN5=j%{@9Z#mZOFj#?AH&nE^Ny7YFaJWLQdiK~D#WY(G|QrTJ@OcN_5ItXfH@u*#6v zTO<+i=-bCYgN0~+)ZDs(x;eO!#z$X|ax%sdPz-YzQODMkdvtFs9&O7Z5&GhOg-gLP z3;%U0Qd^HWZB2|d_45r8D^Eue1wzrkDxBn)L>s+}RxMaft>P4z6o|HAuP`bd{e#c| zzIG~~(ObuXu2_7PRIq-d{K&dZfj!|BN2STDb+Ja-9v3y*dM$iPI&BSOt;24Q`{MmT zlB?P}j%L658fxnqC~CCz%b9F*u#v2-x3INt2VbJCt34pUw%$yjN-~e{FM1#+`xN>Q z1epR5)z4D>#3=aWsK1N4tvP!X8kzPbwcH&^Q2rniC92TsBI@)~ib79RU#6$xT3_(s+HD z6(AfsZUk%=vl-SbHifGqq)YS0eQmTXjQb=z^VT-RqNzQ@s}OA>cntv27zo2F+^5U% z#D6h~Q&Vs4S+fG9R!w=lMf z^E3F(;Ex{s$I+(U8CPJ}jGXCx9HHx-P-5l2st$GfcF))ij5>L_N3JWyR-S}&D}hU@yQJxk{IkKQjINp1TY$DOz!?N~88_psF`5r~L;hx1{|_j7JNMCHGPfGf zkar7yoL_&E+R3&7Eav|jWz&CQqKTNLwskC|q)BZA!e%-tk}WGsu~P7&ds47B1!>?T z+D72MSuSq50J{{eBmk^WJ~(i6I!ugtMHW(_mb6uPBBEfObPefC)J9}Wpg~vw6>~zU zWTJWQ!Ly_%!Wm>(k`v(^vW{oBHodadef4g>x)T?*D6S0s5p*t~Of<`PyW`SI{P3 zXGQV6=Qm25WFpDA5PmGFh{)DOiM_S9k#Gkj2@Ui`$l+im@t|g(x z)ECtgzOFX-DDqY$^rB69oSqTx7ec|i4rf7 z9vTi!Ha{E+V)}c(;wqmu0{l_mHGbORG8(u`VGm~Of%AB}H@^B^++~Psk#_{s#W@fQ zf-@Q}eQg9^R}~Az23_&;UltO$EEI7pxeX|gL~a|f_Kjd^Z@P1U-}Uj$y86yDNHC)= zJpTrLu(to*C<+@ejLjjM3AtvWD%V67d*wVE0JUu#n z4>rXQq3&+$lkiMmm8-z`a0*n-?#a)AtEGaVoOKTXQ0-zGtc=RTv^2_ZP)ZIUTu78C z%}K)ODZHmLS>OwGV5hmXglfUXjH0L&*h&qudKvlhLED_?tor4#LBU6v>_WM@rC-h! z>|CLFZ@{Y&-pvc6&s8Um5cUk?&c)FJ1}1Mhxe52cp-y4pcwY2NFy46nF8nyX*Na0{ z*h!K8Mhb^oHW3{it(^aQrFXRH#-9*)yplp+Sq2oYNh<Y!zSuv@CiZbtCCKcNjf~!g}D|o{df;Q zsVQ)1Is7*B#QN8f#JY^J^At@FRspdgNW*o+syQY&_UJbOrC`CcM@+XN2ERfKSQBFI z#uaHdt#djBEUeHa4NA-s&A{HtnB64f#v@r3v2T@^dt0jp@ak# zdrVIbMhe5o>_!~IZY|9nT{`H6b-71EbaxMof8c>$_?M1=1R5Pu4}e%PNNF>@Dr(}> zq@8BpixS3}l%iOhqa1BDR6z{sslqlZj9z24Lz0e37>!H2C3Ptdpn4S!FUJUX04mXcr3)@skL{cu_HJ44zw`{uT z(uEQ`^&<^E6WTI~>&^q?I({+sVM#NRBSfN`!41f1>@SKHXPHg((RWZESyJAOT)VXf z&@*IfYYp8-W~c!&<3_5%YJgHCoTI^;owo2#chImH|C%|1Hc$k4&E@vE2o(P* z6TBy!v)OYFoUO52hOkCJlEoDD9BEKUBx4EZXZO5^T?%?elv=>H=J)5`t%KCua)kU_ zg&h@Z$?Z7BmMSrOX7&?WHe8s*q|EnnljsZth3)@CO^xS``kcgxWANkbnD17RS`q~0 zyoFqDmNLjo*amg$-KqdmVrfi-3r%~V%MF+C+0a^WMM0TfUP&(s#iK1Waom7*CeM5o zUFL;3eAVk3x5k<_0%&|ct~qGlb3*2)-Wd&V+Tq*$k@!5mMvJ+5c%OK%9WM~`z8;|H zr1*Z0Q4F4e(oDE&B_?vd@{5oNL_mq`Z4-%{`B_Nh!E%vEYAK9SiefXVLinA6M&o(? zQpjv1lkk`Ub;s|=;Vn@15tN;k;ef|V>w?hQ|;N>`k) zA@^-efVX4ym(soW4w3Fyl6(1esnV5FD&3Gzx{&hKl(tB@2;XNDDO1=g_vW1tI8rI| z6?k-oUT}FqNpbYK;%FDd4;wX2@M98zCcw^J@^lsGbB-iWyKonZ<>?DgrSi0gT55P2 zOP(H5o__ur;b{%9I%__W%9E5*O))%K%_*hkfaIAV*=msC!ml}c_hTv#V+;?EDG%$v zfXZk6PDlny?J<^Y08gWQJU!Z#$`dF;sjsT-_0-?}!HCn+U7U0q8a ziejZp^>^lc{hcz8zlHIu!}1=#K1Y8Skmt_*T8r>Jr=XM#IHx$;TO93?7R)s*IF}+v z3;s^I{{qb2DR~y$o^+(wg3mme%5A@o+l468{-Sbwh;n-zxqaxGRBok|a%;FN74F~z z4EIx%`}p;S+XaT(Iv=M*bo0sKr+lTv3!8tk$d{q_)`;3-+&y*d`P$!=MNtQj6W#7*9%08%NyS$!(Lqcm4 z`6$zVh?LLUb-PHa5m=q|SBa#c$?RnVvG9o2j$7Ed9?k+8T41vlxQYc9X@S&gL?`y+ z0MPmpd1_51xy?I=>8w~%?W)WRZxvl^i)RvGCmwyYxnu+L~dJpk2 zx9yihF?Sit*mF65StraLOy=&tGSxOb=1Q}b_?gBpOi9a}@$_&4gE1%mPPo&n6OwrQ zDccjgO;FzMXMl1oI;q~XaLK)GxLvP%yF&`X3-qK!Z#mex-70YeLvq0waM*XVS5?;YteG^aC_ zA(V1`>n?P+?MsxQ)>dI?E%Kd7b5k2jN(sR;m7&-aaT`U}ZNwRJlc7N@i}3_l*ijtq zE{Z;t#KS>h%rD=dr#oQ5m0FFV@M3CV3Ks%6P z*1kq-f1_Dw-ifAhzFsOca{zM|?Fub_vkL4u1`fYPf6;q?h9{J(9v?&~yV3o$H){oL zTEQe%FwItArN{}WOMG;rN7fsWeQB{UpfX!-V`$^!(9T$W5{Y2lj`%WsQm!N!pHeao zRWfSXHrp;wZ5t_dU{dB;Du0Ddz6$?_KBWB)t#HGwBG}_tVbOpJrPP5{i0Y`LXnjl= znE)iliq>mI=V(P;6!0HQgfk1z)fl<(0&LE-n|VN3jR|%;PFNZX_bHlBbAb;Sf|d+syphJg=NAHO=e0K25=F6bzZg z8xI*YhHL5;lb2y?={w1n>(vALJTh!@3~|^S8WRG&1?}K0nC+U-=V;e3p)UzkemC9tXW{&N=LbC#I{HyDp{-EhtrYE~NT+N? z{x+c7H!A*FioYEAoZ*V!r|{W6{cB*UuV|@kEj5{?o|u)Y@KP#PjCwh3_c@s-JqHim{w*bK%`GCZ zU&ADw2?I!zQiGBPiXm0Z^=P@R_L^-aTAU4J{(us_2$eb~fau=cm^K#1Q1q)i)NPsT zGgt$R0PD|OZ+F>O{#+Y4l%RAc4d}Fs8vy1$a_cqgp zU#4q-I381y%h<&nL6ZNM2^1N`ac76yZmW>ojD-~t&LvDzp-G(7ZsdxrkFZT7%=nkR z@N(_Hl3McaTO+M|3C&?nQL5reDOVOQ1BT$^4Z&SX@Ym=(KY?DxuCxomFFo?X2;S@? zcqR#cP6__=O+xU)@Qcn@FH0p@N)1Zzm*`9(;TM(QH|c~Q_!$V6saGT62_C_mX1BI( zWcLWlwC_?<7Ah$VNXo|skRl#JNYPNwjRSN(%r)JQ8=_uOqOK!RA0tsbg=Cxkp6#v_ z4N)CF`J73j`jn_&gBSR>f5M?Sx6VwJkCXyYm?VW(Q%@~%Ni(D_aX+VDg2diX;&#wK z-T0W$g0edAeP`=?`?z^2_{%?z0l|TSt^W|{QB#GK(O)Hwc-}pN%3LVXU2MPb^y?Wy zqj;&WlHNpwk_)uq10_B9KuN(T3a)dvC~}6nyZ2RkDp@jtNuqDj)#`IVhNdDj9OfcL z?-mM4R`=Qi&|=Uf?`*RQlU~8uJgxyIGTxErx&)%-qL<&rO(bkqEiyv+>1= zhD_ih_40a9Bf~MnObD9VDg4?4o=7P}=DGTVC=?xZ>~@7g)AQslig%;ViCj5o{vAUlek1y9_}3SrBL8j{`Ns=sarwtHlWpVO-C`OKQn{y4q_T%v zARrR*gH7)3$!r~t%};ij1!vV|qnL^w2%)T$2iHimcnF{0tss*CV|7SAYJ9FNSd7U0 z)MrpZ(t^cjZuTu$ti_96umJTePAqmK8MYR6;;SoWH9MaAbMFejFh$xy`JK$AS%iAHxlEBNM^J zc!JINKHigM)y}Tt!Fy&pCXKg-amLerfZ5`vwxRZE7Ciu=*Sf5RMA}Vl0?} z=jJ`PWZpKJ_9+V7a-NuK@VUP!SZ2$~YP*Wg_|zCYL;H>Nweh^nmBZ-1ve7L$k4D5b zC@d=(RhS6g4pjWtcN3~#Yw$L5O^_x?Nr{^l4bB5#-%OK$46(&R0HJ^>2#(k) z1F-a=a}8#*A}!@d4KIUB-lpS=L`(1o!cryW-ooIv-?IoyVI4ECoPC8oJM=s>+-aPq z+_ldKH+n=0$TE@IcXBNF(3=ou$FOMd2YA>{5M0TL?Rxtzm3lzsfE|Q(aogR!9bAC2 zAgaHw0pL)#43?5R^Cc8XI9~xaG{Ow!+inzw6frvBt^`zL_ke`4y0{a=6HN@^7YM^L zjzF9QNH9-P83A&MSoYqvirLDz=22Kw`$lc&(;Fd=czzYS2H;J# zRd|G_5uICD16=#GSmZIpd!1M<@0Z(n8wuSLF~BVxbw3nKQ`QK&O57SbZ8ITFqxibcw0O1Z`yh&kJ% z{wyE$pC$DpNd1#x>Sl0hzt^NQfmUTW>twG!$Prh3blL=@C0kXZP0)ZJ5(h%?|lUui$rsc!n&6zZ^a>m=$0Sx zFF(7PdXeS+Z%(jxrJ1Y9^anl5MA;9lw;VTb>i}v*z?+~2YCvbp!Fh8DvMCEm%l*XQ z9GpOWkg_Lb7blbwt^HM|RF}7aVq=_0Rz0MpVkA0`|Ho}?N zcj|8AA?=_#&==HQT3T7OB*#L5*F8d%xkS|Pw7y3v&5em%0WG4I?a7DiArpH0o4L*n zSgyMmYM+o)DmqFCv!Vt{kZ8i_>90{IEzb~2@O-8~2hYTFj^tAJECe2dM@p(*Ely3u zkjm0&BR)0`NF(&|c@7iK*41DHG0<_z*;46rV3y zyQV{sWuQ0f0EP^yCqDYlS3y>^Ems3N%CZBm8vrpt3nyOWYGER{1m*Y-$}!hGdRwbQ zP*DdJz{@6_2;Gr5%%%`po7TeF6XfgrQv!9G6521C$UJHW6f%P-4mFut4CAn9o7by( zkI}Xg7E;_~0p&5SmYAI=yP1R@bp}z z`XVhPRd;z+yN@{O@1?qr(KaIRhC{j1bmohPh73^-GV29Gkd6%U@QVq(Gx*U`$fjH8 zN5mk-;>G8V{G{lhj_n%ig#{ZXnFCSg_^Nh4lq!(obLv?>ScpY>W7cfau{{pXY%89BF2WjTOCB~Pt3&Nif1oXuMTrqvd!$>gk3EQ(FH+3kTr8Ng zi1`{|R_XwJ7U!fmAwwcbTo1MO!P@uSNvBxw>X#W@^QNuLmYoneRRSmVkM@G==c?h5 zilwUj2WnBrYPbX3e}IC}6c_e6;)0StL-28rg|)kL1H+PTO+y%xXzkv|s~+riVwrftXLFF& zyiIcOktuoYJx3gMFz-npvs-~3%$nQxMk&Nc0Rg6tF#Mhheh|O)042mPKk?RnzF{vv z?~&1-5v*Q_7LT^Rh^)n#f9ZHL^CPXll|ot;0B-{zCIE#S9&ZT}32HLPS{((JpLpI~ z%ZJeloH7x&W;SnQW}smKblHG48nCm|fL&A=UvDTd&@&ZU4eEtl884W2`RJROSwiod znd3UlSCBEQM14A3!ajVE{adKS9aDq{HU^aC*Qzq@aIXkAQangPFTW@DRDAMmcI3~ZT%2foeUF9l) za>2cCMadMm1%jAb7&EsB>07j|ON@8);$K3$3H#IkPQVY-k?~FsMAov)_<*?4?j^WYP#~_E8 z{KfbW1&%=hw1vaANK!ikw6Nck4T0QG$(*X(sBOM^CPih%Ajni*Ju#&8+Xdjs>$mO5 z28)8w;8PUo%6B+ueOF$1$93k6$h&N+h!ZV2N5+d9Nx0=1}lMmVEhU-5^@V&iTc+7u~)n9|?0`U-vn&`OcQ8*8M@*k$`rXY5`OkAy2P-H#ER4-J$*qOy2J7g zh6ehX6`2yjn%FeYrVsOe*53G z^}-a=y`$KA#VBf8Z|9D*t@r#Q0DljfC9QX_1v0I-UI-4}W|Mccf6UizRF!9T>35l=6c*X`^F_Cm@!W_i@Fujd)%H9NS2z ztT(~I;7u%h18cCB#VHF!fG~OZt_fgce&1oHJ z+h#HfI!D5Qq-};-Ak#K)3!%Z+9&yW_2%4;A9mI;Bg*Iv1TmpK)$EHO1C^n5Grp6>& z(b&c_x~o3nFbCA!v0?8dvsv-cY@Wg>$7NVdAH9Toy>)`0uRlLqUYDBOBhQgnjIadw zdIg?uUSHGJv(A$g2D^rf@^O-q;iVXw#lLH*E422I z2h*3!QfA5}KKgYPREEL1;d!*1Ov#H_FeoH&$mFio*KG4D^+ekyddTm+L`d4;G4gFq z*=SP|^2r3eDHn;R&3wi`gYzp2PlYilJ2a)krX-resF42zAkkEq{92OyI?w+aAARPz zC>L#;k5q$yN}i-F^-|88C@HmG%BdGg$|^7A6-{aKQdV3nFzb+#GIJ_6@(9<3ooO^8 zoo9qfjheauDjgp^4?ntYY`w?vCyQ6`ZZ`_qKm{ASd5(?LJGc@KNaYb&x@no1GnMcf zT{do9!Q(0qAd9s@sVqQz^axGq2hH2j`OyguLy~jEYwy8%$>-+f_}p>?>FpiFgr2B^ zH0K{lxUnE}D+{h&^Eduv&f@m~q}=L5_yrN9@zW^saSqI+aN&soh55zqI`gi-dIKKw zCrY}#WhxQoM+*(h(xA%ywV>OivO&!wJdu55qncE#2-rt9qe;b%9P`-JgeUKM-)}lr+qMxeIcaG2@IiBJ{BByK{xt96HQ#LZ^HkCjJ4_7eZ7byTvsofFru+!SNu$15;9>=L7LYd zl(xjF8QWF{dmEUEe+IUn&m;6T(ey4}n(#j}6HTPgzM-VFl*zyOHrZ#37Sh%HZ`Cq5QdYi_Awk(v^{~8}%tMbZ5syBEIxm^$kWeMl?J7oyW0rKiY z=n(>a2jQwGcd#3duEAI68?o$M)@ioh#DZu2lu>AA%cD|v@F+|Ic?-MVNO8}*@44~p z%izm?jAaDF%n4XijsNxDb#niHj?Hya>FZpDnk zzFzdAEP;!2+`@3ET>i`g2I8VzM`CJ0o)fZYYFbdTw&2Th`o*R|1Nv9z55Ov*u_oGp z9kcu%eRKQQnGnyLc{Q{mp8uO`z|V?fC93Gc+NpqJt-lGBI1|e-2P5+apv7F;7Ym0h zx;uzY>=O5|TqWr4C%V%uIuQMUeC-3iM?uSgYu|N3^)KD4#BMb;~`_DwE`EkTR&bQyggjVos z6g0!CCx4Ju4acFN^ANO6hE?CTKt>I}dW^J5cWhmb=s+-P0C>(To_95y&qjsc01_qa z4{u|d)qMLYMw;ZHPhnWTv(r^LtixSQ>x_xLf#4y5N=5*M?*gxLhla;;9#1@Ewu~GS z4)cNBeo`A&KR+u_phr)`I?%>(dx$C-9G*#en%I5s<_9flbIZHGUiu-gtN0*SW6l^{%>dU>`J zU#;8CP6#YxA8j~WK6zEai&~-D=AyCluot>BAX5;Rmcy?8S2)SL{|exb=Wim7PaZ9# zbV4=zdtZezLN0wj^W@^W$*11(r&0-WKeN$$OOnKqEACIMz&6a<7vKa*y zx4)sXc?x}-^Jf@#Ecj&UqyJ~-);6_&8V?of#qcNJlWTT!&QL(0(4D9#>USKly3Iu34V!zUN; zOsF1%IRRD0=8%6c=EreH%31Em%ukGQbW|AKmd*Y*x+M!g{*^R*a13h_Of}mc3gxj? zf3VFZ+FGJ10>is9qpkCp(kv*|Jrtskwbz2Ql!}UMg{C;0kEDvUYTd1}Wg%vM)=LeX zBa;eZ?n8J4KF-r2+bdv=UnYjA?7~R2;z$C9sklAiHiVWg*-cGIimhiRP6J>EM;Dr* z&ESOwhD=_{){Rbd^!fK-S}e2m9w6}0brM|@qfQtcuiXZ&te8}emi!rV3A~imL93!! zy*zDb^X)x?njb%YxPBArcOM}e2Pra3Z2a(N6xn)3hJ&}qa#LdyQk~gF40I0aWt4p& zyo7juto3OW-47{6eo_!rz-yhJzKC9gr~zQ_;X(&xfif{@RAeK#!T&Tnqd;w^IkF)=EpGE=XG!y=hcfp?mTiD8z=3Q4f16?MTivb9kq*2 z9-sPP`0iUouZS^(P~kC~eIF;rf0n-u3Bw z)0i_(SrWGl8^a!C~PU5DN3VSuup-dkB&qIIHq@4E^wx%Op3QOukOjuW6T zww_squ`ToDNA~PN`PboCZ?lx5GHD+6%1TPz!^mP`FAGFWlBBvjkw;2=dDuKDNtw6e zBdD+katkhA1s5@45HI7V;w7>fzWr4ExT1!4Dxvd*yTR8NVJb$JK7GAbT;Tp)k?mH# zL+K>7ukBVcTMq@UL99%4pX#4*!iRIKi1chJO78c~LqPz^-ou7KV!Zo}@59SBoDHVb zX!ql#GFyMFA~+!E2B00BRTaoNL-7I-WoIZn5XfsI_bZS-M3@J}E}w{nwV_ zW?Wx-hwNWZ?p&=eeGQWJ=_bPxL$VE4#8akK8uN|xDm&{;WYzlf{$)7^fliV!2Mn5ea%-lIOc$h zLl=tQBjsY60}I8wObml!=(OE{pJ*akCd}ucLgq9k?Pxedt6<*w90F*b3JA0G+l}Xg+D(`V+K4$xL>9$u7;CJn50~Wt_EpF3=9u;uC}_sCmuwspkS;%YTzb!?06q%_BxBlREsz=0 z9(f2A7=i@5MPOGSLF+_$jOF?mDu{Ar$MRATeN5hBf#{=numUS^-^g%*9EPAE3-~EL z)FUEdbw(atlIjY(Yew{G$fN!~?f?}`GOY`Wtf3ffr;ySZ3IxHTR=L1xB*!hf z(KD2%F4<>?aNH^C|PeBi9C{|!VpT?zUFMY) zKs?4!W*Ra@`^vi2P;#xUWDhiyPeMGdp-em2Zz!w(D29?P7E!BgQE76-P(nz~6+NAvYVTN;{q1>^{Gn7{gU?|OMD96o!?sxu)hO!C; zjiJ1LcUnW4iGt2^um>@eP77oVr6cfPX((e1nMpm$P==B*)n_Qj(fZvUrKIOWG8EcP zw=tCN;Rj?WgBybOD1#f4Ybe#I$@U!|+)yxW+Q$Abx@H4Hur`EX>kxu973sV~Q8ZpF zF{vAf!LlPw-1itLe5)}FaS?h6nOyLtnFD1s)IAxQE%5FEKCL_ne}`wbe4d4y_l(GF zc~PH+HC)nr2Q|ETPbjnHEd>v)%*1WD4E&PjpyDnW^oeA zOmaxH#$%M)jpG=s1f!e9Y-N4~3zzGL+s=419A;D9^S$E*jh!a}#t1rjxIYBY7S$Le zZpIu8Gy8q$eiB$5O~Ln!xf{^pYX!YNoY-k$$m2%|iPHi&9$tiODOi8gE7!25&gNK3 zdt9tMAxo?rE3w)h4=WvYD&D7h&(Q(@DmM0x9&=BY5dg08HN=)ABHRfT=({);dB8)j zlTI9`V-_ZU_>r-)2RNnaPWrNYCS#-Em%(1e#WN=xayWO#C!~i1DEhiI^x#FQ@QQVIUVB@2HF$FVleY6am zzM2G28_zGDjBaJ;+wb@eP=w(<;Q!20zAh3$8NwN`o*rmlhqS#cYvECn`!zXC55lXt z@aa4nDxuY~P$-%u@kOs$&1TWuX6PomJ@fhEevy+c#lz7~X3MjZ7@WPy>sh~sBpqes zJ@56b@e9$jj^gGZY_J5QPWA8Evz~~8rf0qLb7_0len`T(<=uC*XRWqCre|FY*%Zct zSDNHlqoZqWWj%V>_w0OMk5vUMX8eQi+PD(XWwbqzhGY7bCJUIfkhUMGhjZaVhv7&b z_z&m@w|uQ3QfDilib$b7B!bA>e1Wv`7P9!_TjYezm!{3!#!41@_czjseLKDw^HZ1> zL_PXwpx!=C#s2Lus(I^0iv61?XvBW}XVZ#(^+W(?>@{M4tIYrzvHwMg4fdF1d_xOj zhdz}#D)xOB`NaO$cdXdY0%hsNE^Ca3qB@bDEA~)y&2H#AH5LEM$Ie8Dxlbk*4soL9 zxN{}&*u&?gB+I?{4Mh@Cgbk7&uW!531Joq7k39jjqHI^Xmr`V9cAiSe@NYC~yadfT zU7K@P9=ML@UyoLGM*TyYlT;^dGpd<;wLO| zm6kY2OMIFo&e0MuHYh8uYG^!DtcP#0woNKDletsA9gIFWoPqR!TpY=o6pGQp=NLPH zDfy9>l`Zj56lMyXw-wl}kypMT->c1DrU0JLx{FhL^nqea^m)89^CjP%nf7CDs$77y zw}#3-H0vj2oz~G$eC6{KUvfLB(@yK!E+&TB-ukiDmT3Adk|mX->8Hu@TL_`JuI0?h zqOPovEhOJ(Sxr$dgQse*QBiL=PZVhe{la5!O3RCWXAQ}KOF3%?T`ysp*PDNYvAEZS zvi4NyA0z9Nq|&%#c_S&(X= z4|_Lc_7nsfO-t4?H&c}^MazIxj)zF4R5YNmibk_V{nR}u7o6xkeUYm?0GC`3OHz$X z(LH+@#7;nhtMh=eI?q(Q=VNdRlagEWkv~ zo{KnF%`leX!WzahxjL4~!g?#$%YZx^k0CtzH;Q!1MiIedckr79lBNz2hiMDUX6qz< zOxErg-r%UWPTOlRY%^%=W;kR(CFE&Bbf*;fN^*>4QpHDX@LoZ2WFuZlYEo^8@@=Y= z+3LNrOh;iXIQ>4aM@E!m0-5*@bjtNK* zmpp0xYA%3Rz#GUEL!|{WJ@O~|=_ZusX3ksZcyAd+v5W#dVjMxcCefXXs?MZEn@ zr6W)v$G#zBqJ858U+~3sLTyoOn>2q+bs}chW(y(2eOuUCcHT|CC3NK##nHEmG>u4WEacpFWttU}f z8>UWzY^p84)O6+LIJCGKe`Lgs53oF?-!;OFnGUUC0FS+bBF&HG3MqxLPjq4>=y-co z#d{QYyt3O|&0SEg7cKG&l52MhG0|n%IZW{pI*dgV5~l!e-8BN9o46$3>EmztXS}8C}{NQ!cV2ur!Slh;M3kP z`ZU@C8GRb{XVE7SsH-XF&1eeWR8xcvS5wTJ(G+Eon(~9&jHY~@+!w~~r7Up5eX0Dc zujLOuhvQAun$OKqS9alJa~KwVj2)xZl`palTwQsRpG#}rMx63VV6)^O&geS?4KG#6 z{0ZmKBTa}B+n^)?{BAJ68|61Xi=F+5ES4Dvad$n)6y-JE9a7olPgulNohR_c~_z#)D zo0z2>XadjSTWQt=Cg3E@_OpX%&3Jw*yoqxpKF$>j?nFUj0vEKTHG#X%0`Mu&baAOi zS|DQrBm4gCCh%bMzia}Jp&M{b;0u(#YXVR36J-C-1Y{oM-)jO#ze?Za^#w^L@YmN; zOaNXiA#|xw6&{58(oQmguM3``pOoI)}8b^;i2Xi|t&*a%T7<0OaSIwbFmST{B zg~8B?__$#3JN!4bHgTVZrF(4=YjQ}8+jc9)(GQs z__B<3Bd?tfH`1hTId+OrU2k}z3rld?<_W)CsL+J!!d zO8`CWFnXKt2QS@x>6?$IE_s>;Y+3B_0L=wDhtHU*=5s%vb=Dk+bQ=qrtPDiRywDpC z`H6IKJ#rJPo)qb-dk`tznqoRx?Afoo1F3Q;iHKWadopjm0Z~D+G6T17*A9BQ-<;&# zZJ27mGJH21sE+{LP$t0%(qc!r($(DaK)p8{D?z>8{^?t&=vIH+CwJdcO43Z-cBCFF zMg#IH9888uPrY?U^ydSE*3bV*>>{fT1SH+nR}3vQWZU~BV&O2)kfgtA;_HYG;gE<1 z(})qSSa?@WT(7V5B{vbSWeXd~4o0HUlBMSLQI6=7E=XwQCvGu=r9*up|EZ>A+Z3F| z#G{Kd5nkmzH#JFyTipdX*9>gykZNjNmLVyO?o7&+Bbj1G$??&ZhskTm;OGGpIJ}n|w_ow;TV$dHEcpPr?D z=~m$rPynLs$9SUOosO=6T%7w^n>$ghA8Uc^mLYj7+}yri%)PTk`{6Gz@k33!*L(LY9hUJtTr%|5) z{p}fwu1e8;i|E!^bf9eb4-LC`KK>i}oaWH1U3?hmoqMcT*3J_Ii2ANpHCK9oyNRSy z`gr~o>-P@c_M|RNu(2?%I?7Hk+zVW;>d!}~fq15IGvb;}h-)?uBx$Qh5|>W9&@^lb zCg+N`=AO#PW^)8H==}3V5pO3+=cyRIC^qrGqFd-<*7Q*8h^2R%q7u+JHW9^&0WJsG zIsp#rTi?;5-#zFdr;h@&7HrXisFdp)4Pg)<5W`f1(Mh7>7^5?Hdi>yBBnttYWJP-1 zL1-Lh9?1ei=TVk6>oHcX-F86lON}R$l)E^6v`6wiqXmEP$u^wSA$u*oTQeAtVNZ)IFuBqo*rYz}PchxqzpEVvM5jMwaL7!ye2H6J()z>h)k#cTf1 z0vWIQofp{D!Fz1-j`s7x)ppcFA26CR^*WSw{_zmJ=B(!tMDc8KZ-X8t27e{lXjo`v z;~w%UM#gcA>ogCMGq!!#BG()}cF)lQS`OQa0Ed(kBTOjLlnlipM{|SDBhL+3se~Fi zFwq{s;eVNl>DOnTWQ#b1v;zWYjsUe2?KkI8xt2jBJcsJwV%!o`ha~VBAe$BX3Lg^A zfwWRDs2J!R93MgbjQMD>nJo};0BAv`tVI#^3x9W43(rD!`$}o{ypzU?W8R7%=RB?5 zmWp|+g>R$1+r=*8d9R-;CBDZJ!?Xmb@K#m06-UW(S61{DOF2pXKU@pN!39K`f1nRL zlsS48vKh~$F1fgwn>ABl+{G6^5eR*OJ!O3djcBNZI9^(b{uKHrM*))J>3>2YUH=^N zH9ji%;7f@Qd{KHMXTVY-R2|J9FU%5AsQ3ND80lVEA^okll=yuJz5UbLa+Rk@JIq3J zIIDkW)CipYGHJOlm4Vd7!F%5qbWIX;l7k(no82JaRL55Bf~tZV>PDm!y0ybO32ns1 z#V+b+QwbIqzoB~TxQmNy$IRB4CJWq0-;@yaBij`fgX=NwCr+sRWc&NS00pbMqyKg7O(nt+xsZVv?*T z0S$DmrX;E7#ZD#;g)v*R8KpYG9K9ll=Kle<{V%A#;wZVIiqJ+k?hqVpE;9v*Zfbbh zRwQkfCCc*%-p(%sLj+0?9om7Z;-uW1OqW`&M3=F35xBDHs52Sa$wNC+7 zf9+po?Z465e|(bEz5_%!zxa)qt7ZR}&l>i*nL%#PsA9oqwBQ;ocoz$PRtlyQl0^3JY-?KB5E8> zKE==O(=PhzDiQ3^J+~{R^vVETx$s>Xum$bb{+i09>O>LdI*7(u^E8ENt_+AYK_l?L z`St>$#Dpv>(tOS8iL8Zk;RJ4VSQoy{fV%^5QVM|ckf?ycrkXKD{Uc>KRH!{RgNX?x{3<=SnmgR+p%id+oMYY%d$5zp&CUIc$UNeNso7ZgA zQjfCK5n5`cmU@__VknhpJzprqC&IV!Y4|O-fNLsEY(3u#7vf~q2;8U?6Trd^(YdW1 z10b4r4lj&N>&i$1dm;@OuJQ3B!%Xf7Ana@wI`NzehZ8v;gLNc@BIePWmTWFBWF^fydyVmW{!scri(mN!E2Z zw`n#!h;sNdMW^K>8FHF=2nB9|oOSIDI<0bVLUye{@q}+Kp9WLz z%+@=SY{jqO4Om`{Y>LT#6Nq6W_SbUzcJB)?d%NNf4H*XZb5KWgxc;6c!iaL}Kr?wX z-Da|>jCf>@nc`tS8RM_>#ILA?`zK#7%y^FWXf_`tkwVH%$K7~FI=Z^rN1&{`4JU|d z`_{On*b|~_WB2yHZ^&S;i2r3wOd7E6)MYjvq$30Z;0pf$0rjmsKrsw&3_72G;((=g z5WtrRI3$G41OwXFdY>f-FJoJ%>(-&fA{*SkeG$w)+Byxn&D;1lAa_rH`8YU<8R{fT zv&B1o;utuI#Y&%UK?vjfdJ~D(e*85HoSLkOW=G48UARV~Q$LT`B!P+asb2=3fwkta z)>&HXiyxC(Uq$6k|1ZU%Y59cn)UVSO?!#n2`&=!2pBDZ$3;)npIPo~hg%M%b9rR=% z0pBE!D-_2yieoWx)Cdmkeo^?L+tQ41jssOce{^x?NiERFK%g)-`ne@z0u%nx`#BU| z+*TOt&0|QbmrkvWv)#cSS4ONR*hw}47v&xE!LZ_V;YOJrUD_>O6P!SrV ziDv}H){pdRsjRD8ShJ{7k=vKR)SAX+i$cH_s;aJPsH#|2bz@~{QRRxNg_S6LWkKnz z8M7|+kZ5V8M2c3Q-Vj<`RlO)wQN7xf3)L(RHLR|!42@mZcu}aXvZ1lAx~h6fs3Nqe zaryGqp@lWo4V9}JLMy8pmWFb@$}E2+vllL#T31=oP&rj0r`Odip9_Qrpfoal>g=*v zbLXUzRa&{MvZB5+WQv7G2F^bFoMlxD&Y4-ZU{{d>`zt z%Ew1UvUmdj0sf_i4{Fb~6?GNM%U4t^Ypg7cut541bvXPK#VIW9mQ$W|9Lrs_UNeTpF1bDJ>{UwPayH zeE=GH`SJ=lm`^rVHdcmes;!r?&Fz)->Fa^`(UQ8F+RD0ys>=G%^2Yjx(1Oa)#rdK0 zLOBgfE9)vl75KlVdfDpGxo4=bJy$6X&#(eD1iZC#Li+uekbXHS_uAyYxjjhbf%5sj z^?gP)l)P$DNL0N(RMilwb(;W!ONa0BvPfB^yrgt?Nu+e{jL4h;j%ffuAdn-PqNX(2 zXv_G?Xs&Y2SYEM8GS4tg;&)!Q-|^b*O7v2mrl8xHCY>Wp5q+s3L^m6%st>`}F0Wly zxx5m++alO-{e@!}iRR3$3DwtDE@XEt@M6W|LaS>URqxPohoHL3$?RF>##o?Oi}XuSlf=#V$@W!a z2n1$sn2?OYZBN6F_=`0B+U))GSAGen#*P1q{I&l~BmR_T{13?=+?Q6GPCi+F{;pnR zyQFvn8{fJk+kS@14Qe0jd zDVSD%W$BE$5d`Pe90(x}fJap22%0uI-r5__{lZ3_2VZC$>l&-UbEnO zJ5!-@lRD~`BJN%!00LUkP*qc1UbC_qyqn1m*N3F-dIlfWvqx55J(r_gYyC?7qL9)b z8e2OqWVHf=OT1}dM#H-%_Y+JCiQmL%ZNT{4FA2r&{T<35(<9BEGBYxD;1JxG706j2 zGPV*?mt5btK$Gh^N~&63u>>O9%b0FYJ*24LE9M6Th9(6!JHJ~0B^aE7m(Kw)<9K%LZ2Xm7pI;9tJ(~~+89Vn;x z`vLT)cVF$eR( zm(GezE1y#~#Tv@&>C@*#jAy_&9RbWxVAkVQ*SH13fO)I%oy8X=c0U|Q*q^J z$nwo6x$N64`5mf1Nge@O(OYktoSQPR^V-3T&;9LcG59*AROG&_K#ufA9!8-%9ljys z{v4yQCJs0wkW*K=1mS^)H)nCxvSsB9YnDOJU8r>R?01|33YBICxOQl-(>I(LWVgB) z%MxND^%cwg6M&{%-I7Mm1u=>wG$wH+BY9CGQY|>AgX|gXuo*RRrm8A*v4V46K@@WQ zL#jyCxG{Y^q7|ea zw)(P^Q?EYh{r6iR=Mz%f#mbDo{}=4dI2P9iNVnG!i$2<(UBgY&#jwef+S{y49*Dgd z{O{Xf($zP#AE>QpXsE37+r3HANndb{S&E#bw8^0f=T6iaUw^tTwb<$Z3arbmtgIVf zvv_>N${Ow50)d*vi|Z>JQX!W&E^Da5d@sjb12Br^P}|;rsbu*N*};p)J@7cd?LDkZ z(%E;ca9~zmx_pKQKGUPp;Ge&JOs8EMnKQer6a#nH%?!x!>)W!5I(O`HbFWuQ4IjK5+w{i2EUmy~NM$9a zHe|TDC~0~wC9L+%(MgUQwGZa!_TzUjDdf3Se|rv4f6LB*j1O)X{&3jzPo)^Xt9>AF zV9bydsSFZ3`0UFoZ-tEC|7Tx1%(5c+pnlWntBwEOSAXkizf~k_pxa!3L6nj&NZD9ggvDFl~yinFjJlf zYKI@T|FHU!*8WMq0r-FR|L?JXfBRbN9a^v5*+~gh{}uo7VcVofVYG zN*+U71nacq}E|Gh7?24(+g%4m6dwS z*8ViJ=0qI`Ev~3q#-$I_Po$mL8#HgIH6v{yE(6V~Tp2=>k)sPkF5$?~p<@UuL|!){ z^LZwIbKBYaX8sQ4e|?i`sfOmul6h3Fuerf$(oSICtWfd{U@FSw+(G9D4e@?`u>S5q z{1953w7&0ogEV$iR*e=fu3SEQ!S(-Ie{AXh@Ahk)iH7LXsGeYzrP-)qnw?Oyjd&xfMi}s-Yq|#^G zlD{90e7LvmKP?{FKc|(5aCDEn@}E?{pZ)(B{~y#Ze9--ImtC-T-@=J|_pO<3c)QlNTak0MT0Evl+Bfd2Yyyf|gX ztODO&x|C!s=*2mW)wQrjY`@}>EhG6s58Zji>M9r3EUD)1Ky9o8v5P6vtp66fP^pv~ zsg&2>fMa(JHPu#pL-rpZu0N?-<}(9TVPgR#b}kp0Me-}Lq(F7U?0GE*i@KyRr({Oy z_$%!0IqwW!T7NL3=z#biG7io`>{wm7th^fg34FH6aPuNypH)*WtBsy5$c&}gA7JUM z*Jyb`V-?mXt3!%%3{}LhukUxfZqTKY=JM=+NGqQyq-RF;Wx`?d`IMpjr?Friy!ghg zHXW3fDjGlvXC$-k+{br;;#Q;nGcd#;qx*ErK4p;PcC z`$pv`sbzw@f6Vjv$=YDJzB`Ws+kT}iJ4^xRRb|r(#zmJGy z_5Yd_9Of>rJ?~tk)FHQF+3N9i>}4t!jbBu? z0*AWB*MG83!D?z7kXB!@0IU2gw!C7|_{wVcwXwRsVsRx>8a-ST<>R*t`s$B+qFT?4UjonMkb<;u`_fM1ET;OON&Arkh$ z5CHJsA_T8)KCylrro(20<>Ob@)ZH+C2^y#tw4=lGEyBn#XZoc@P(sQdEgV>0vj{C& zZeP`*Qz|#z6vDyl8}Jwiv4+6-l>x)L>9Ab)sLqD|*7gWQz%u~E5Hh$!*eIg=z*Hsv zKla`PKC1HSAHSJ|eT=B6qtbRjq5+Z+7AYbiAqfx(iy@}bwx{Cv~IPwwN{du-2eBSXSvUvxibW+w!i;tJ`CsH z`#sOTpXWU1LDNRZjJDp0&zR$2Y$25|c`i>l>dk43&s&vxO822_rX068;W92177br3 zAozBvQB~GgkVKLO5T(>=x)Vrljf_BU)$)qwYP5^`)+G(HepQ7RwTilyi@d8EUyoW& zTFsJoS-6>6*Z5KRK%CI>$ZerR=QZPNsuo$fMOJQ!#RWGZm|YhfivBQ+@)>O9wpo!T zIg?UR<8@vbiaj`a6U=Qxj-za45^4Mzh;QU*ph~YeO}e}mx&X{e#l%W^5nco!w~0NM znim=u-0RnYe4L}}{)j10E^G-jV{$C>YhbSXv*Rfr8#ZDmYaSvb>Iyt+Sj>F})!Bhw zU%TMhVY-Wpt*SdT_o=B{7H&u}@(Ak&^UKQ$pe9BW>&c}BQ)a7rs~e8T_#D3=IK;Tk zUV8bLa^55(;#%myh65SJ@LUmj@zcU6-hsBGAsl!tx0Bf25d2>0=#o5H4SVW%25G#= z$pEzj1(VjMK3XQKd<18eXrfPN+A#ufv%oAcSGW%H#4;~<9!?%pL!@>_1}TCb>)T7j zf?<_dPp_IJrjyge^zBlZ{AiA}$aP1dI5r1Q#PnIkj~ix_oSsn{pop$oX39BOY3bbQ zNT+Vo%8%t_Fhq5~ju5tM{idrs2~w@st59*k3$=IhtWe?1vND{$DLpS#1{)(q(7!J# znOjm+9GZP@S-I*kXorz>vf|{aGOH5LXQ=X}^{U}VO8HpId5$R` zas*p?AoR*7HQ$PF@ans+@Q#0asUQ;;%$8%fYQq%~v5XX_{k;1b>3^tresSTPa;I;n zJV~4;nrxOu(-YRelXDYSx5pEY0m1ArW~^L@x~7WBxRl+gSrEms6pL;g>UH|g?7=+# zQ&$sdt)#YG-CExp>pd}Fa)u8*g~Dn`&A><+t#gJqrX#gvLru)Ynwr85d3kx9HD-An zro4@-j+&K;Nf(Ay8Hzj?9i}7TjaZGC;i!IoT5;Ko;?mHJg6Ynn>Vxm-JOzuPi7?KKZUIOEpmo4%lI!< ze=71t&okUv60~HJoW?+n%R`tb0;YtBVI^_6piS*$SV~Evk|GMndQY3hg!-Ev_w)(+ z|X)GI2UpHMX&L=_9~TP)_1f?1JBFsqg3Q&{?8LdJa>Rwwv?@1mu~^J5w2v{s(R zbJs$4Pq47*o%Hak%ERGjL`a;5E#?A*QdiX$%o^EBU$Vw9P}+!&JD4@Rj=4x`mo*xq zZZOOx8+TL)cpl<<$!@J{Xc;+T$YA~o!PFSXrb4p(@*XQGzXYQ43yBrh^<-nIXH_$i zL$snp^?(@-M7g4>su_a;7KRm-;og?xPVOzlxud*J=9a=v7H`i}ixh1JEDl#|0>_1U zxZ%w%m_7^3tb|&nzEeDtrxTe|0pUOh2V8N|tyy;b1zfi%=b9Q(YT@Q#LT_^zALVv;8YC^#i)YOCI=mbQTiLWP5UQ$Mf+J7E#VW+I^tW(ZC8S&G0CD7Wr~$VH z38hmWLAVMxkkneL8_@cU3``2Ebjr#Nl#pR2ki8^V6qa%DRqXDd^xS&;D43W9ABB-8L zQk$gq%3komBWqKeLZoB)d4>D)3i(<4Be+*ux@D@86Kzy|U!hA>G*$K8ENN=fqMW_j zt6ZmH^mmR@yB8%P#>j_q2+o9#mdsELmo5_%n7xsr2igqVkHDy$DQKHxF*9Q;SeVIm`5Zr1=k=l*PSDj~R66`m z^DVD=lwyELm@L^t86(n2x^`+x<<)*Z@A;JX8bhuxvf1Q*b!$VV>auxg&dx@|bmSl; zUCgdrL=ut+O%a2G__uhp5x2<{ydfI2P`JRBYiW6~8MW!wuC5uW~<}eoD+Cf)HCaR@UKG0VyJM zP5ac^IouYmY;6hirb7d?d0@0tbhdKsL-8@|FSAT@OHESMS0#5<%}(|8>bn-z=-jrn zd{^s9$c?%GyK-5qg1aVyrQ-6eKPlTayHe|$Nbt0vxwoWFhKI2k4OJC3>574ux2E_- zYpRQi!XYrW`a1xJ-F=k z4*Rx!9rm+tblAuKzQZ23-*rAnlz%~UO?(wdRsLOxZ+26CMGNJGo-@!8O1*@%=1;Q* zZFL*tsKYNu%6NVrhh6zMdyX)(ti~PnPDR4g1;!JIEENEAZlsudbuHNjE{BwJxYke7)Z9)?JoL{fnj z(&>cj|I}gMaiGI~?5z&_4{vwau|Id%!`}PiekZ-_YEQcUpcpy7)PJeklddL5P=AiJ zK5+X`O_7=bH8(M-jL^`kG$CAi5JoFftn!nZK9jzct3E3}ZC5g(MvEMh?XQTJ-wCZR z^?K;=lKte$L90~!>&vwDhcH5{Ku6Ws>-BhJT@3^!0r|8LSSBBhiBTUzyx03 z#Uh8725e;GLYCgpvI;G(Z4Om8jUI)mb#UtJ@-otccD@fEty*rOZo8zM#m-o1nUsl* zKX-Y=*Jf27y7q~#uc#GzPnB4W&`efdLxgzH`FiJ|7w^fdE)Dx;Vgfj)VQ~WtqC+8o z4TCBVR!HYZ-0#W4cSa$|A88a)^-_!gdO3oQx~uolvHmcO7en!_7#fzW`sYLw^HfJm zQoR_t;B_$_Wxw}vC=pwsPlnmGn2oD@?6}lsR#zK6t*9UJm|Pn^o+ifU4T*KweM&GZOqR@)ZB zG5(kJAcR#2>21l%q+uSf#r(Zc)EEcir${Hy$&#@k?Yr!Ugd?g77nu=HWU73#^={iEiQ=KMc)k2EDSU*UZ6_H$1$W;0N zdCRv9qYm*SssSn6InIoX%Eipz|28?NnpSpYA5+RVKa+jT!;wqLa7l$DwLME^kIKAq zCefUwt2|WtQ`Yxvy5z)}m6+w3AP!cwBLVnK?OD!i#{S+UZTX678SHR((XOT77VS;S zmks|Es>Wk?{Z;w(WqX69N(>^0vqdht9sUpe0|3rKGJj>lPy-xR$Qoi}$GJl|YC+^tL-G^&_ z_G`bm0S+NY zm-?MCzYKZ&zF4A#|9WlBOCTp=VqNPb3!0zUQBQ|WXz z)QoCa%N5$JIQ}AkU%XsW%f1aQhIvr-ohzmG!4 zWz;4M($eexsGW!3xCf$k_HUwgYxaOtclX6jtiCAAk{6L4Xb0^S7 zPe>fNXPw@N?3!>$M-STY(yLx8`E-1zr@p7sv-0vUWjhhcvW`@4tkX*ZtIN!9 zWFYqUOJ~~XZGy^;jwGV)W7CJW+|8=Eat>1?mZXSdEZwHKFXvYb5R11>hT-(HS^ z6NN#>MjXMK89BD8K%421uE6*g?ZD%(6e-$f^QOBp6wrnG(S>qzCSX(nM5a60tS4V) z>g1Vf&PvWns$5BO(;K23O=^dn&t`Ms!Way$_*EPAGQE`lW(?$KO+M59%AIUF`}}#O zTH0u2?_CG0MS6A6dZ_klSBG8R$Tcs2QuZqziVC#Sz>#0Jh8^T@cXFUZ-3N&XJ6DF_=hOlbys;N=Lbs(5ISM_AIT(1E z9mUvW=uhbH$|=*&v^?PBVb^aNn`|)^gEp<2Lr$Rjt7@#)J2TUZdZrUKnz9C99^EYrJ3IriKuA6*wM7ZuMg zEGwB+K2x6emr+7)scBI%c6mW}NUQ;zun!S#e1Hid84N0js&K?PO62N8McZR>9x5TJ z+!PLaOyqgU1Z-4KWGOF$pjm#P%;TN-63u42?IS&1Yl~FH-j)iYHnN}YN~6&ML$_V zSZZGqTC}1i9KnI*2ow;*8q#bj+ih3n)n+NgZ&|YbrdH-s2`HiK&x6B@V5y0Z8#cnW zY*1glNmG4siM%X*;c4y!PUSdGtctjq?{c50>-UnuK!Bb?LMZB*ST53U7V-gioOyRF zh0xcb3(>i;p$BOSV&)i}G-vYUVp2723icLVimKl-!P#hhLAsrT)_^3e;yfIz?=O?B zS@b_f%SbsN_;1;d5;arjY|?%uWjjluucSJ-L-wx-S;XbAw_}w%eR@S$HX$R=RCV39 zN#uSj-LG3hD0OIP!h9~9*>zP)v>RvEUzM)I={JhLJeS=}i_b+XM6W2i&fc>8w2-Qj zO^C_i9wQfZZQM_Y8ysiOC_RL}9r`LRTXjJCv+|kd7xDE+H-0>%o?lGo3D~)FisvL+ zeslK*N$>OXkb9|mcv$_v)06&RY45Brr5gN~?cLq}y!tgUA@#JBV?d(zlN^fsPBFES zx=V8YkhHza*~g(9XBV|>#rSLLmvMO;4K#K8JjA}~Vb1>#XI${QKZXplU=vJDDb-|* zvssE)ok@-{y-zt#%e=sOf7(*0hq0b2*uidWhDj!|Oe`;(Q!IA0lW|NgD4ks_cecCY zk2KwesZ$77K!mC1L2L_(ipq*-&kjv5DJz><23y``#j`Ls`^@}xdFRTf!<~0Z3u|#b zrpQPd55#UNx_sN zR7yu#acObE?Bbvd2{Yv!nmHHFD95ZBC%4oe<%c^`3K8^k&kqu9e!kgJR$N|CGQ-G; zV9?0}k$xi*?&Nc6|v4;-mnuQD@cFF!hF|4-kT{exp-_T9(E%=;Q;2^bqs zG9OZvzk3E0-zwbb=Q%&}(C1?HUNOG8*GYOdNZOY?*eGiyu-HjLY>a($f?h44#fTRn zQ&9Y8HfBQ)lzpOgNmunirFW)2i;j`Pi2f(@|MOh`dDZ`aXFe>O1CjP$x?h3yHsoR88br#Y|&z{R)6#khZpmQ z4#=7OrwB*JlyoI)8=+uL$LBDirTlhH{wRHuaiz(eGG_^HexsHP(JL$#HfiZz3V|ZG zc%BWR`P0edu|XX?q=}8y)e+eEVUvrZhIvoSIQEHj;UKP7KS*`8#Kj&y#p>GYVrEjwoaad6DGhs5muIWhap+?YK#FJ?!E#q4$YG5fCJ zF?-htG4D_rK>35MQqCB2_F;~*V!l4PxpB#q((-UyOKWp@L=o?52s@5ipTd)|YYG*I z2ybLp!$XflvxqM3jZhw>D*r={zuxOx_0=T|rG5lYJltihD(&x&@OlRl&(HHOSVI3Z$iSR&K?x;c|)*q6X_~bQEOm zA|ZO*zVWrVtwmTgR=!G4kusGYQR7pU_aXFfJ?)8@^;WLqgvgrKmZED+?50T5DH)b> zWy#7p!hiIky^))^t|t->>QA(?l9uvCdX4p^U=pA8|L*wHI!v&AF431-Hco7fg`kX) zvmW$}Zp!w0sPe08kmf&}L0w9RkDy)BH5Af1h2x#eY7PwR41hf+WpV_Ylmko)B!FG0 z$N=5WFmn*;3C$`fD=#UBC2<~yu@|Q%f5~w2N#$|GSYB1PHlw9B2QkL9)KSx8%~cVu z!=JF3S<$e~k&PF?nlts1Wd50q>(ea?gPmL^cmniE zWqt~q=A`HV6PF=q>a}1S*x(*)25P@9C!%5G_Ffu-|G=r_yG z9a(97JXB;k<1tvk;E$>!i3yCfE>a+G3CsL$4mZJS7J5-9TUm1@zb&gxExugBWa?C@ z$l`0LStG|mbZSI~!!zsS;m)H6;KFE%Jnhe`$M^#|CIBUQRWn1J8e{Hl_ES=R*t$q$ z2I-T!0`Tl`MKwPJpHX2XKlMlP;Yo-|vhlI9eojMuV?~u3eF~K&9Vz2rg zoq#SL$6TSVx( z9F=GGaKRjkPt`P0x2n_`vS6g8xwWz-DD=QMsoWB>=1aX}Y(wBy?+F~qc?CTS6vy?aCY%Nls8WbJlsGnRAQFN(*M`30eXm>{ZCRhpR$K1&)g% z$bU3rLUJ){qH4s|OMWs;WjOJnm>c=#?H||=$3G(Hz#VW>4&+C6yH;g zktD5O*DS4Nz)lv~zte%BIdi6$*WF5Dblie)!Qi*LM^~_8x^N>8^nOWi= zLoJQUnc)R}6`Ul+l@*a5#mCkLdUlSFTT3dMVM^Mns9f5L6GNezdUdqjY8ESR_a~hO z+?opmJ!V407c(l%(h9Y0YY}AOn9Ep8!~t<50uc)b(r|2)wMfOYh-qZml2CPXIBd}a zB3#+DLOqfT@m$fwlv8C%yV>AHx$27_>ISJGJZ4h6O#iq6W}-R zxrrB~&#}m+mpBO#^G`IpM2O}m(o>$&=;??%B-arG?3gZ1^a&pEAI^dWF{h`ywzRf= zj-0O2oYcfgd`{Nnei5>dUSD zmrt>*)no9w+6u0|+&;^P#|%Qt@M)x-E?;+~Sr+}|AFmpCxd6Qu=(pf*30aiT1L8^GGAqLk&V<$Fzr>qb zBegEq(!FS3Az0sTsH&_DS1xv#*#87PG*VrNZQv0v4_r8@f!Cu|8h_lJr3ja#7>x}E zh)0n=ij&AbXE?Et9c&)hYHn>H^T8Iz+a+}^kP29w4ID*w-`a#*!o$)72cwV@amN>L zT!x0oQlTR-*I%N4Dt3Y`5r;j4x=1b6zDSK%e5!GOdVDBOke6K%v#-W)Eq*uRcME>E z;+JZj@rCZ|WXpNCmStqi*`5Bti78=)2f=$m+lATVd4;3)Li70ubsN6YvS+;9HO1w2 zdVL_=%k@Ws`{9RlFQbX2iwt_GoHgn%wBF*{3aJ4_HnhC1s-;$NV?HFKK0Lv_`jX(j zHe6Rz+af(s?nflJS6>p`SA{X#P~m&cdk#mRP|DR3grA&LoYeR7-`I3lB3U)1G$2t| zMfq@+As-aKDoamyXvoG^zxUpI4&BJogo!p z-pm)8tU7U&DE`joF5K}I9jb7U%_*nSmAOI=Wsgg%M^k~1dk@YvCFyF?!=%XPb*M^<&xW_t+4gN{PF^0@b9^!%_8f*wVt2(K5 zs}k&04)N=#_(l_tQ##^TJ8G{b)jH|3S!Yq`-tE4t;|KCkMHdoqT8KM+zE}RFlz*|+ zw1lyQm+L~Xqy0`8U??6^^5k{EM>eV&YIGqiBuJc2S{;182X0fG?(xo_Bj|UtzPxu4 zO$mjhx((#J>>4h>PL_Kf039lVx&5p5W|py1E}A*%oZ`ZA!+3FAeg3~>H<4OjLK`sZ zIq;PA+-Yc@FCy^5luRvC$ z|5~8WWmPUU4oNU)OLIjfmXsI@9GL{|FX|n&IC+H|gKUCtNhvQYC?xYzurgI%TxR8{ zb*Zz&REtBsmNngp$r43Hu3aN}^^G-duV>CGx0WL{lX;a$drr{GfQ=|5E66T!{a|Yg zbOa!hsfXb@*sqHOc~^i|SUFnxa6GBg&~!8Lv-2GSO$e~ZlhVjT55(-RZ5O{&@H`j4 zZyVnfb|my~@JAI8mj(-tLShwPWevAhE7U@s)`T0v%@y@RzGYQbU~*~I*DqTF5oL8H z-37has%)*Qu;`K@t2R<$wN!>JhzzZkx+T~shQsD&E7>--rS9ixXI{+ac47gD37$d9E| zRMQno+*M)<&ll+6a^T+d4Hl2ir2l0WAKGh}+luoxm6u|pXTpRDL4-c?j3xY@HL|`H zvBduzC5i_ASU1Vwk7qU6tT;Zm-@?}h$5$(!U;7;lI&9@7)6sgZ)`+!4-->61;|_y! zxy$iC6G=7Vue}#dzAC(^6=&bDt%?;+gzf@rC7~HfEvFREC@w27RFpYwPz{_tcX|Oy zFMCTBF+IU%4RY?0`H2p~h^wabgqbqh>pUUq3D3!t1I!-~N^WIEQ^lfstV^@0zo0oi z6g$m*PDgcXdck}?id|4zIz2PFcY)4nEK^$jk9URDrF3k~5mTx3Mk3yz7lqBaw^1j@}pkriwe zga&q%>m-sPMN@U06Y|1vE^KOo;8;BGj6}rQ0=_nh8h3p~jXLjn`2HiOgB2}}OX@0d zmCd2NXH6Scw9#3h=IRx}rpD#aP(kWO^tMnpWm!kWY+faYlIm#5p`fjYluh-l0h-jw>a?h=fTjT`-czkInI%{!&c?%w*(loyV$xLV_(Dn z1y;qAXkA6hs8aU=Gj_}lQ`n0&1VtZ!0j)A9lb zU0Wm6dtj=L4g?|+c^k5vcZXZ1apDS^YrsCM5eH$yQsd_TuldDRNJXlP+rpJ}6qS_- z(M0%I0H)>gR)^yG#f8FPJ@O_rtGsMBHJT%JZ!DQ-pEtX_csdHB&ZwWf?o#EUVrJkZ zpTn&V$<|}2?XdGCjq71Sh(nR$4hYrIXW`Q%2+pwRgIG60YQLx_(uL~;4~rt$hiqCi zE`iz%^tHfBG1lv8!#^cjr~+>UTh*0NFUW(SSIbZ+86wLeiW!SlXk}}25DJNPWafyg zCkYd)>Tt>e%W0?OQzYb77HIMHkPFf&lPZ!Wpf$2ZPS173VV@tueG3)26R@)8qxgd@ ziiTK{;}+F$Llrc@m2RXNZJgPlJ2&zc;n0Zb1V><8ZYced*SwztYS|ZvybC#|^of`L zy!u&r5rvRM8S6*&o!k|LRSZ$1D`X`QyBKvXK`rA5!(=Bq3D`oRjT2)>5a%cs<i!j|j7?J|_2P zlCUt#hu#sHq~VpJ?uVOY>rvcs{5VKGrF7<^i?L0lJ7AeWm%q#>Edj(ay7=V4Um(<{ zmDhA6RnGyu_~ohQL-TVy3WcnT;xTP%new}0Sq;Wm%i=Sd^i*Gg^X9eTh$U*FDmNkc z&K;SLjiKTE-x+us2~jz{V$*13)!<;3!f6L-_!;y+Wc68D#8Z#s*5mabKa$@`{T1F0 z&(F`|D7g6?|3`6r$aC>mcrLYUYGKXjsJUO}J<<9)sC@FRDA*>0Hl|QAj^s&aqQlRM z48s=9^7t;Cd{cTYBuG=#(#q}H9 zyV5jT3}K=;$S*Y@Vc>K1@KR`wVG-9Y!7gNNs4fy>(L0^2reP3jT&!&vs-poE6#-QN z;|AHy4pBlNl&8Uj6sp`G$BDf>Y~B{nD41Rx0+~`i)v|_3k1@VlxtLhg*Vi=T9BFP1 zoomXs5W+BQt>(%fg@+KS0*aO=$C_a{>kFshdh#YKlD7z2OvIIk?%3jNz+sIh3^W+~ zaqg3*B+vrRtE{*3B8_>C_`j*Hd6_k=z5=Qj6?~Hua8c8dK7JU65umcUuBoN5nH{S9u1mufi_KOl;$i4q zxKUaRBlJ9er(_RnS<*yQOx^VaLNq0EJQkf6x+Yo()&}bvabSjqeyD0e6S8he>yjYV z8mh54Y1mLhTB0~5ipOkrCbNkYC@~`_Y;3KsqC>4gp;V9AL=$dLqMd)*SP*RvQww&Q z2kYH48e78H60HudXlxalAShlKHVC3TYO!~N*@*I~78{$|E3xGQ?F4LWU|{6V2s_D* z%{lalxWlLrc2*aMarHVSlGPc2sOn4Molc4!%`0fCiC~}$z`BLlj-`$aUmTqjIX8y# zLp3~I6ltld96FSqnlW=mGRjGJO3$;gdq_KKX0XlS(|HyS1EDp+ zU1%<6KtmWIFKR?NXvc^rOeTo7MEqo@P8{a0;z=6gkrmV<u%5rXOqWcc>C`p)I zG?TU*rHB@d%;F3v88VU{_w;C*Sqc_JrgmrR*Ft2i_j*T$4W;i*Kl zTIzBHg6^Q93RAeeJhVyN{7CC%UdDN4mdrZt_|>5~k32ISD@h(*PzxF>aj1v{;54w%a#a?6Z)dedKKHO9+b~0@A~QFbWk64CHU%`NfpjdW2Nn8F2#E#{ zQaPgj4ytJ!9oKr?DCdH?ICEDpsnoGq=LtG?a_WM^f|$>G7WV)V6#vf!069O`UQyKz zRQ7Mp;na9iRNS{(S&PG(kO_{Zw#Kqti*DPW>hqlOr(;@dnBiF?w8b1w> zhTRz>gRxeSWg%ru!5KwmWNJYA;ARa8V*}E~3=HMV!og``|40QXLE4^SD|_lYQ^Pyj z$U}?`_UVYf>o1O*Gs)l=DK=fiIiT8O@FQ<N@Rq{O-88)1J4q)9x4Pv_HacWmBg;vboa^H+I^$wRYMMEbFwJFA?s@ z?YZ@x_E`;`_Ss9sw@ttXgZI+Ko%X{mo%XYM|I?+NcH3p0_7$r-?Gbohb491U9=~7U z_XvKk;+I`n=Q*%c)mPRxMjV-qSW)qU6N4TEbv*0Qm6_jYbij!wvT4SP<5c@~b9g_l zLad9cg7c;X)fzx}Pvd@245u741Wu$u2_;0i8Wi(GrPYV!C9lE7LNQ2&zJeuXyqaEu z8K!Vn6&|3(d)5@v;;ySS1D2Zvyg9&gE>@w$#F75u;>(jHw{sEiIKD_zxKhee%%B7> z&uXEAfXl#O2nDx>>Z!Sf$tuo`V>VYM=9MNFZCFj2#1+gu#?n-~B2ouQubLt9jyCHv zg4s0d$?1U@0+YMO)|y(ykEtI?1h66I-UeZI3*!&Y>$Eo0iIw^lAw5wF;zmWrgmFIp z4g)O^k{-ex4m7aHQ>4ra;V)QD2L6H-%W$@UXQN`3uOdjsD@pzvoHnU@L`CGTGP@qqD3hE^65VWwN$b5Id1x(PffIq84VeFR+RO}C4E`aS0sH^($^$?UDAD$z6tuj_>sRv$zP)6 zFH!QBDEUj2{3S~M5+#3$lD|aBU!reFO8)-}Kk}a_`Ad}iBTDYK;YT=8!if@2l<-9r z7(A@zx=7`+kt1@Y@ghyb_0@ud8bWRZM9o+kb4g-Hq88@`tE|G(;dvvJxB-ubxPeY( z%$r#@4M!zrLViORc6ro4j=?bgAC-=b5-hLbN7;)hF zJ?{WP4kg2{ZW=z?c^*AVJtMHuqda3QkYvGBH;o>Z^sIsq>eW}W#I0y%`Ap2O>d`>B zHHoPfSwcbxO3OoN>d_(tYY`WsCw_AHrtSc;5Z?RZepS5F3=XfVXR4^DWhEWAkYW~c z*4xq-5-4%Q09*kru2Y1%QE!WF{Y{-YyPUL-dRu(rRk1eVxV(4FsFq-SipMc@LS|pr zvO?_NOe&aNQW&HVAn7bD5B8p2R!GvFB1l0&_;NXjeR|V*R%s0YIvnC39fFPjMvNiH zbR~7T^u;_Si*LQ*G>(hDn5HX<3nx%v4h9GMTCoRRLk5}EBM$NsgJp^Mi!(${0w}Xo zDjQlbmu(9w6t zEH39i!^ra%?!Xdx@JjCI@PIhbq7^1`ZEO%%cTgqAf)d-`R1*Zinz5)~L$Sf8Zs5QK zoGO8C4XmW7{FyVu<&(k0dt|UALAthaKB9i`cqpf)v{lUw&}GzCv=d|5A7>-ZF$71P zE~iq9%Y8^$_!l%97N3ll#Y-s^k`Ba`UXVGMbWzN?>1d4d%D{+K*3%2}bB5Odb(|DBY(uC!fa266K^UISpsn}KhjvC8gueQ1*U{LRmD+D7xCrxV@8+a zkSZ&U=*AVo=M-HL&tXA~-=*Sm>tJskLGID11{`VNO?7RUufqpk^ip1l(v@Y#{Dy6MTF)qf<{kkw;RWixBGt0`0=gS>G9%#_WX`Tu@ zAYQXn$VIqI;rghW!xu81=95%@SzCzAMl2HJyn6~% zOs`ptloMRmSXafK(*xe9GAfB$NcTF~$Oh?{hKx{4Vtm-WnL5!cWs?q!DmI$y4Bz;uLHkqC%XS~MgD{diVDCYDD(E*}K4{m1UiIoh`&!W0 zn+NUNL9_pQ(B1`_VIQ>L0sU9+cDrXLWKt)#+u5KizSeF}1^pnW-L3^acU-%DE$E)Y zcKdeF8;aZQU7%-7X}8}2J$pLbA8lFVX2Lz_!wcXZboWJY4_Z_M_n^I3wA*)ro(H-I z^mnV;?T$Eg)4#)B2f8k+!`=e=bY6$O2Xy1`4*Mg}=CK`i-{TPe zgbq6&bl9UE_AJl^PjuK#puczy?m;u&fP2u%Z^1q2um2ABpl91~-w*kd5w-I{AMF#h zXMs*SE^0S{UUo{<-T=B2^nTD2`bX_oK>c5f+Mj|>91yhw$6MB!L!(43s8y#Vx) z+^D?@^uUCuy$Lk4Flz4reQ8S6-Vb`t)TnKpfbuJi+Ck9aGotoH(D7wadm-qk^P=`@ z`hGs#gN_TqJ?P`0`$2D98nt_#i2B$ZwX;DBB2jxP=;N11?OM=5YoqqHpuKL4+FL-s za#Pga1A6j?sQnS>EkBIfeFK)YelyYyddshoZqWWuAl;zLK-Yop0o?-n7U&+LPs2TE zU^m>KWLd{Q3-_R3c^>XTFM0v)L0^3v`3CyaKO^5jcYwYETK*354Rj-D;AG4C>buA{ z&O{GLzHG(pjiBY-WA=8? zSNg>4eW1N?mvWTekH>w7U%~qcF?$?n>xnUY0q995#q3p}n@@pz(5A1!J?OVV_kk7$ z;U4s{0dW6S#BU(ngWhy1+=H$k1oxonS#S@!689=@2kivi2m1A)a1S~VcP;k+nq@7= zeTx%8e-FA4v>JCWt_FP*bQ9=Hqht0C(0K(hdq3z6lVIm5Xjvx~#%!n$Shs;r1U;h& z?m-_1T@Bg}x(RetG2DYTf$j&r6Vw`j?~~yk^bydBpl3~id(ds5t3mgHZUXH&74AU? zf$j&L2x>t#@Mq9LpchPw*;7HEE{)l>pj)QL>}x@L%!t{ygMI+I3-tI|G5a0Rhnr${ z&r>by$)zzn8#EY!d(gju)`C{Fz&(9m9<#TAj&6(Ddq9_hegt|yXx~AWbsX%+=Yy7m z&I0`gXcOpNH{jk%&>r7{d(iXN!9D1{Z^J$4pFsQK7{z;_bev+uO>htTv+u?17SN|a z*MrXde$3tqdI#t$pa(%e1>L(bW(Q8QtnRnR>@lD(-Vw9sgPyY`X19T!vMpwB0Dbf~ zG5dbdsgJ-t=(OE%5Bk(|aDO_=??t!=Jr#65=y1?B(CK^O9`rrX`$6w|8SX(}2mKVZ z^pG9MK4(LswQ$arhtpc4AgFm3( z0o?>T)7NS51YHe!0CZq_r`>a?WzFq|eS6Tc-8=26pyi;opqGGN3;Gb~?V$O+I_+Jc zfy_?(9nkThJ#)~``@%iwm7r5WtNXz{=x;%<1)Xy|+=Fg70q#L}fxZL!DQM4J%la?? z_n;4-1oxm5PlkKYCr^QU(Dy)Z2fesI+=KoW^c~Q&ufTmCY-oUHgFXg26?D{B;U4s< zufaX&)*#%2)(?Pt(ATrz9(4QZa6b(FO*Y(vmJEh_(78k49`v7}*Me3Lg?rGwIdBj9 zS{~ek9zPuJ^O64XopuiBK+svBmw`5cZUbEhdhUcydkg5RlRE7^pidS;Cj)d;QK#K^ zIL5!?PCFm;;wf+sI%I06-2%EAbOYhjI_>*GXP0)`uYfL@(P@7QnlrQ04vfHj0CWuK zv{{|@e9(`pJMGn=-Ju7v33MQIL3V(S2i*_a2f7~CNVHq%dIUlDLN{b0Xg2gk7J^<4 zeUa6mJE14C3G|#cxCgBP-48ktdM4H=%jyQ5kRa$Kpc6soK`&$>=yjLEJ!mO(MK*!H z3jLBDprfyZd(eWb;r3^>7dR1JM1Tr+p9Z zM_X3zMz{yv2|5wSI-19T_o;5$(7K`*+q)AoNI{nXu^_9)OT z_jcL~K>xO_(_RHybAPA35%kSpciP)QNB^eN-Ur(AflfOLI(B=f-G2I>+Y-Ka01^FX(N zUIw}cbPMQ5pl3XT`Z5;%1!z9#lIP$abQ@?B=zE~+KreU!?m^!I-2+;%7w$o?eF^T* z!u;uFxCg!Z6}ShT{3_gowt%h!{W0hk&<9?Jd(g%E;2yN#4Y(hNd;`q~ZF&ptL05y; zg3@0`91(=VHzClOFh>{2{)ZdyrTB*B}3vg70~(g{spHzVwQ8 z72kT`Cq9)tzH5R1dhjbM&D7b-#&^@GCqAcxTUcdm5!#-z8k@ZvG;cJJ;c-mSZepC9EmY(l#obGiRiyUzjcpV)3+?MmNjoxW1wOR*O1 zgK(ViyX*Q~4}1aEsBNzNi*Wu0;bblFt@GOL$B!}GPencZ^)VDhi+HwxXKr1)JrmD5 z4Bt77(4eKr{0r4{n2j!xU}7V)Kwl2 z>hc%|{A#SFljYX};EOJ4w->=L9S`4y99Qyx74Xf#&pg(^(?=bv%3~w&-(KHtk9Xzg z7@eQnfxq^xcKan)c|FhNH3(kr1HSIt?e@zj8~#%|pE}uB_E{n%#U z|9Yg|Ug>JLt-9Ur1b!}L4&%)DFBeIqd_Dkt3Gig;%Rqa$5BOBmy|0ixpnMzz{B_`$ zW#dJ)`x!T+U!SqI+g07u7iWW$!YKvc@PDDaUGcn-<4OM11CRJR>|4!zq<-{!?tXMV z_&$Iv#P7<_9y&j_0)OS$4*M(@K3d~@f&b%_4*Pjmz1gkn&Bwquf3?GY$<+>@*Zo*O z#P9sm;lHcAM(gq#1-x}=hkfN5BR|qFU8Bmo9Qez3ci6cu_u1Ng3-AN?b=Wgq;h&?! zUl07a?H%@cu70Lm_cL38mp<8HPja~*uiftj-tc@!(th}3;19pqVPE6w=daNHd_VYm z`uiPrH`E(l-szSeheiSSf7Fq*pDqW!_+Q>Q)q@t`Pjz_$RJ<@Hbr#qQL#VqxL8lK1}2NQNM!z zsD02?&pzgQ7DSli@crQNQTrce_(+HEU5*0x#S6i+>x8I%ldF&VHuq8F$7|aGj4Fy9^$G`S-L*$1U?xuw_Ps$QH>t}{^9Vb-2%UKe)}4AK4tXA zT6IL!e&01-yshUggMc438nPo-8k~7n5%71v9<}|h@O$X+p=N3Q?yRW&FSI)ye)`|_ zxVr}Uos*(=%oV>+b^JC1zYa3QKCb%MUDwB*z*`_w{HDwO)$E??+X3Kj0)NKLV;To` z=xpYE_M_jr3Npu+UH-hF;=j3Qs93BezmJzt=8?T9{AkasC|(OKaX*W=UU+F zTcY+`W?3chnMiQ4^K<#n_!uf4#FFGGI1%FCI@d<=ZxYRFj4aMCZ) z<1oGPMp1n&2R`Z6sC}iY|GHH7UoF5l z|1xTyPYF`)=^20Iem(FvQ{Y>H2kwsA6ufpni;Icf?*)DoaLZL6J9Peh4E!(mMr|yO z9rq26`+gMf`;zD1DBy2wg$&x2|LNNQa^R2sDry(F@_(G3m$U$X`~IkX2`#=<`l+0k z_7jo7XUd0-;5+k~sJ$D{n$PzH^ANrr_*=kFG4Z)hI`#qI4}86e`@W^!M}e0^hCS7V z7izqJCgy0suXN#;YJ42hQM%AOBp`Zgk-nYkVK@wZKCz{Ctf^fj^xB?~ip#?>*R)aJj!gyB`Pq9N<52;Ttr* z0QeKYPc`w280PX_1^g-Cr@QLOK&~fT{=lEd-hvk%grD1izk)pnS39SA^bhue!`Kg= zd-kG?Tw|Owho%cHpM5E64|cVcLAvh_0)P3nsQsR+uD_-0`b6NjzKQ(@m;bZ%7`hPn ztNXEkV&;MGX`M%_fnWEBsQr}7{bSnwCg39vMD0smeNmh4i*^8ChkX*7L+dt@e!0f? z1ONELs6E`3z8sxC3u8jJe?;x)T<)LJ?t{R$ehT+y{Og@EoCy3e;PtLDtkGq-5co0J zXW8t+f28r%z`xrOwg1PJ{%f4{1Glg*v)h&bPw4#L0sPLim_5LZ-vTFo`+?sD{9Y6H z-KF!N_8-so#q29x@w-&VkM<>RO^?|xy4*jj-A@Ewo`E}QUHCzbF9bfKTXK9g@V?k* zYIM1G+VCdeA>hkh<VCa(}rFe?Rb({V^NjIH!KJFwE`4LgzFR`1>aA zdz%@8_!b1-34D$#e`bjW2AtYy5qO4WVh;w-x(@m(bsVaIF9-e&7k;J2*8qPLc$*84 zXnZs9(MMws0`qq5fBHZ4`g14n%oAhw6`1EZ_^RII0mbhC@Wuf#`|GYWjL>DAfpO>> z?8RN@3V)3be-QA$0{@l^|E9)^fM0|?ykvM4@MnO3+vR?(cE1Mrgh9B!*u=&3l;gh{ zco}erM4j}tvUA3F0E3shj^7~Q{;ZgNgA2b-<3+$% z0$<_6MJ1#BuLAxe@Jn3yGVOj1@VwJ-N3@AoIO*FAd=&5;6R&aboxmpor?7PS&vWnt zz{e%QgK&~@0%Y01e`dO;b<7WhAHE!Op{ z9{2^=%YDlX$G4vYp}4OF9>gB+JQrT3@jHRf1D?$NZs7fMV)kHH_=9x#9{|t7Uh$nl z1fb?!=|2ln02IFeM2wZ#gYD^ZpRV2K0Ka(#;^)H4G+qjP&&-(pyes~@b^PmrUxB^c zCKtY#@j>{u7WnhPA2Rcp)+zUjJSJxp&#mCAogK4JaK+;o9gn@hFDj4O*aC3UDXJU0 z{}}iR;8RT8H%Z5{U%;|90l&b7i-{$LKMMHkzza-#6+37D%YjFMk2G;(e%%86=sBqG zsFzMT4AAR`^}vt6IObj-_~z*NZ3R9Pc$q8x)1CAKzhZIBzRHDPrtyz~AE?J2>Sp{z z@;UzfPQtt}1wIP+y}+ls<`jiIrwGE&a^SBu#q3ryd}=GADR3BV;2GK+OFB2)06Y)) z)vj@WHIMrg#{Ix=Z1v6~z#PUt@H`2gS@c2Gci%Lfk5S+Ufxqs;U($Gg$W6B{!@c!b zFKYMcxh&74dN2<7<5$G&XUue_@6!3a0C?mojNPtvX0u*rt^)qlb!acHv3<85+cyHg z1iA>fyYl%bdhWIzc=~$ek!xIBt;fZEz-zxBv(sJUVyBi9MuAWJY0SRdmB%af+^YX6 z*uR3V!gLotRpaA;zx<1sjpd}1KU17`umJd@z_HA5@Dc}K1^gc1{ayKYqRzjK!0);< zmUMlw9r%#DV)mnEf06zmcNSDW`+z@lcg)T+!>@C~j{<)k_{}EnTkn)VJSm56Mx_fE z3m7hc{P#WJmKlFvhjzaJ`0{(8yJO<%FYENJ0zUFS%!^$3l^Wj&{FbfIDRGtmx190^ zp84xo(zVS#;6oqqo_7S1rYP`@z-u7~)b*R%=|w^g3D^okUuplNF}u_ihbcM^6M_48 zp|5k5K^~U@g|QI$*PcQhH`7RAJi*E2cDe>UyPrmX>S_n$xE-LYvNi+%%bvKlj_-u+ z05ydovTGjuup;A49wLxNO%39WAzNMc^-b|1MB&McU($=O-_Q<0$T$t z-cQQoHNYMMHq8vrH<{~E5Z^Wfe-HR?%`%v>HvOuM^n0iv$d6s%xe9td!%aWrI*t5z z2l#s6KQ?hS!NE83!w(&?W1$6!?3<(XBXTA%-pXzaIDj z;HR7TB6iOBTHx;kFEVkllwtf%;77k6vzNN+TD@-XyMZr+4%0hkKBfOj*VPYzXa6x~ zXPN#Baf(QP5IP#b_n37x{b}7EbAZ3`CyZ;RdtZ~2e&8bxAb(u_7^R}M?FLcFkf{x#A;Je<1Zkp*{Os_b79{_(P1@0e!dIJ0t zm;Zm${^tOH@cra#;ZopBKfrimhVNUc<6jSa5Af?;{;$#guLXYQhsoFScLE;^e6`E} zOSJ#Hf!_k$)ff1Lz>Vtn2f&{Jo~(ZP2SR?G0?z?{{9luYUkbbxc(S#8J@B2tz4k&V zerth`{aeg_&y~Knbo%ZDp7D3+=DG0uG`<`7M&OOE`hT%g|AD{%NzDF38az<*q4a$^ z|NW;zmhx%L{yMbpwcd)w;%sPE(x_|fp_($m27YaZ;Xg(LVj%zb0#7>@I#4e6?`!uT1AiX)Vi#Vm@qXwa z`yU70Js19>#zz6)3;ZHiKAxxZu^jlZ(3RX_y2qIB+s0mSKDL49m*ANj&r>RRC>9iv*TrA`$e&c|LfZyrDf5z^S#nuAg?*qRB>uw$YXU_$;fSi6NbVFUZv+f@R z{C(gLryJ==->%2CBH(MsciQEEbvk`Bbv{-B-vRtWSNi7b^sNCNI~%sVT=-axZwB5U zx~SK<@GCUF6Zn%C;i!wkxquaFw?#7O&$M1z~2DA z%!M!2coFcC(1qRS!e7>S74W|TAK?l=SBJj_cv?xP{iw_RgWCOO;G2P8?{a^wcE1z& zG0?e9mcIvp&zRn6_i>e9cU^uNgE8(x7dP3sH3;~%z)$Xm2&(=p{dm1jEdqY!oaFVd z3izeKx4X*kSGxSx06%Gdr(NPof02`Z;FEw~)!hvLGQE!83H+xQLC4c{Z_IlR0RLa$ z*SW%9qr=a@2HaOGI_(~=^!ar91_AE|9p&$t{`TH*0(c@ROmZJs;j{|9!J{+3W{i2K)sV{*1W4V{FoX8@$}7wPR`ooJXEHmz!Umb zXVQ6KIq>7wb$YHf$$bm(?*bp{YLBPs_P8GSrtfsxSgtu`Dz>e;u5ShYBjCx}!d~FF z0Uu$yU&2Mk?mq^8Kk%zf+_zenX+IR^S8hz+pN#?@0R9zM`~y1v<-m{sZu0)D1^5Ku zqs;J){hsx}%YY|a=WYf56Pzjdrs>{ywT}N@;74u1{L@vZ=IJ{1G4PU`F>i9kf0B-W zzhTgo|31cBm;YC2|3?9T33#$LSq?n+2g%z^3-D)wBN|Tm8gjYy!1txVw*r3;_(`t# z_tWv;3;a(%!kGZmf8Q^3{67XB+0<#j+x>#7_xs}Bcf`Fv z9rylP-1|H7JyUpVeeEdm5I^UaY&g#;aLHx`7n2YQ@-Xq)n)nQaxA;3nzW0=r_gL{f zRpPWRp+ANHQ;PFGk_i*OLeEV)6uAcdatZVn&aI!yi1d-~0ZB#f;$@GB4=uguudfV{ z);;t`OCtJ%iWUEnJc0hy_a?}p=ugFSlk}*U_{@9y^oZ?h{!`&}+Cn_J4zfJ@v*o)= z*GKaGO%@7ZA43xw0NqOS(+bYb3o%(%U56Ch6mnz9i|}l71p- z_t7Gx6C^!N($SJmmUOP9)silg^cqQTlJqu7w@LcAq%TSOwxpj(+WqS?d`VA}bhMNZS2OnSM!6lXSGClO>%iX|<%wB)vw`n zzAfn|l6D^}!aMS<<O2Vk z)pslYD@z5&Mk5?H{UrF$cwO;lmI;WBM`$kRLGWkwNR#Qk=RAS25ebJ)KMDS8u$LzC z=fn#IlsdgnU#R$x3kiNUGNFBS9)$mUp`#-FzrIRfY;?k5(@%o``Tte?_qPaWUwloL zE(HHB=wJx{*RK%(8>P^`IuC;XcSrdo|2NhNjEz({Z2C#?Z#h%(Ke$mqY_vjiIS+#W z`(ef3>!$)^BNh&ueiHoeep~Ucx>rDK)IxJP4}!n{gNpwT4-1TqTsUm{N$`LAuHs+# zq=486iso`21pnIO(`EjA^=X0qEM@%<7AXG5b_;$sis5*xpM?KImMH$`cqa)P)+zn3 z*r51#?-gFL(G2aY^C0|R@|fa3_m2W&BN`5yeiHo8ex&#p91sv2)zDndgW$ihe}>GT zy&nkd_+uR&^GWc(0b32Ce!kl&P&TGvGRkMcU)!qq!#gsu^*dJHda$-HQLhbAx%!q*@B;qZ6xK7 zb?+|IJ956@Pu+h`8lm`yoiF&=7)Mh7OD|IVcZ3Cht(>^WI}!1pdxPRX=39cFjcCL( z<6ZDS{~N_0xkd1^QH`Yh3*J`z{eCR?*|lX=rHr|nxzu^qU|I)>RKlOODy+ZMq zv&#e*sZ0sW`|0lmz{Cio81PVnd+wYlgEB@ISDd{gY|Egnq$^3a? zgWw-0y5EEtiTu2Lg5uA-Rq)GcToV3o)+zpS$uFm6N%+6JUh&^1`Pqm_0=KGfuRWyr zH*Oa3VI}gU`~~kT{ynz|el{|al>d_xd&~TJa*N>q#c>|Y_$2b@zGB7SvQzN0@emIu zf`8mn#s9-yf`3=a_O<+niog09!7rzM2_Xpo?|f46zb5(F*hm7mivRjg6#rwgBT3yq zzdE3g%%6u}6!BqWBuV|Rov!$wd0FtYagwC`FRxJiJKq%iF%Mq)B+~oa+Z6x9zZd*$ zyu`ze;Q!8xihuV3!OzMKN%`A57606~1V0-$Ny>lwP`}KdQ~xFSU-saoPa-}!^Avx3 zm-u&IsraA&RQS(EP&~pA{^#DU_~&*LqBS;(l9d03*A@TPV+8+OVqKcxLipd(<0zTl zn*)NMjin@TtMqmosrc_YMewJdUyZv+@&EiQf}f44B=vvsHx>VoL4u!+t0d(w-=_En zv1St#rjPev#wU^9p?^~RL(Ufdv+)%VCxU-+-%Oc5caqgQ{GIQ?OP>V)17|7z?$f)% zpI@W+7fSvl(U<-Q7c2f&$|6CEDksiEG{MX&0_-~i|$;y`m$v&&^ zj}(8rw=(=6EBvRkMfhwCCY~AZg8yI7DE_YlaznlLB;>!Ji*V#Vv_PN%{p3^ zXB}A?#NTcYUiu{B^Tc4qe+E`|^!GwBlZ5{Sf9Dd#-{U^P|HFX^to%Xn&$&nO|MYi) z|IT14{^@Tj{&PPO{GpWXdxF2OOz)Pc;7>i?+Q%sV1D%3Db$#hIUh$u33;sEQRPkRj zN%7a8CBh@ z2Y*ufKkp}szjB!HpAYRO_5al86#r{y2!1}qo0R{r?TY^wY*h~%Pr_M(3z0wHI_(%) zzOyF^#;a4#He{{c8{4e2t3Nc~t_DjKkS4#e$ysP-{eMIoTr*;72f)VlG z^moO-agX4?bVMAZd=>n@p~uPioRlsy=Jb^7iBr#0{1fFwjg|d9f)M^sy;|{~F9q<6 zCwVaAli(lnl;VF(3hGBB=}*222O@<%)BXoh_dn%_HYg(RB{=s@or=-wmGKBCoi-XX zdiK}=xobvR&r9Jn!`EXNhTV*e9zWoZ-7m*SAC33vSw+O{>ox~cFyBpJO3%I&U%F*< z!~H*2cY5~C#U5z-U|J_-^z2rR7oQJ(T6zwJ=6fGLrmtO3kJC|;(yyn-9zA<*2kF(5 zWR@9e-LFA->Ga(DeI)6XjDONvxzEXS0DeM2SbdH|tIp_n6puaoj6{veh|+W4K1&f& zMvR^VeZGU9E2ER1gMBWf^zcEEZ2vuoQihdAK)(OG$fb-lei`H6g&1Y{_+_Gh9m34W z;FqcXm#`0=(T!hb`QL@hjPCq0-+u$eq-Wao;9lrIVGv$=r`?E`T0fbW$?&I9gq!@O z6tTYi(&Ar$UE_>n({2OO=BEij#tCWD@Uq(99jmj96VphRf2IFz3N(;*Gkm+sKVSh~ z`lsE2muvl(P`PBK-2=9D{*%!HGIG->2RHZ!&`Tb_Z1QK*%P@Yq-9L<8^7&A;} zNXvzr`~AbPH>91V!|Ww7U_`PU|Q#shV+1?;wgHkgSnj=`0?r) zm;j$lamxG1$0}1VQ z%J=E<1*q%yu3%>?k>oyqUJDo9AH{#Z=aIfX@BEk^Z-?7H@7;yRjEsyEsrY+lTnA+d zG_eY*&wVr2;LZ07Jo~>(8SR^lnTr2=q8awM5iWZ5q#?a0%>(-R$i~T0gU`fsubyY) z|KrJ!SI^9I)6c`p33XLgW?4FU1CLHZx{exBhwt49?A4Rb$(|U%*PiK_*ZCepW%j>< zh-Lnd??F0x;IG9OysW`Fq{wcrI``<%V%e;+Wn*2Yfm!I)Vi+>)4b34DZ`Oih(X5PUstNb5R zpg-rA)&2qG<`?|3#@|j6y^~+A^}k73br-*^^-o-gmtXSBI{$P^+}-@L-amlYw)oye z_HFQAoR61#d_P29Z}KlC-|l6$&HgZ@=RSV9-G4q>ZRS>fxzoRn((}KZJ6rreBHy<0 z%l-aysT6+2FFX9b$blBt1wN)6eTFlBK4MJ; z^en$ENDEv@X?Tu9SeO>LmpJz@R+|=hjKh05mlJ~G+2IxX-7Rkr=UPw;kaTHvpv@b(8^9xC0sw7?*I z%={y#XhT{ckHY&C$81wtU{M%v2Yhrj-tB3DwZ!=rV_VV!=TnN_X6*j7z+S@s%-D{! zz$saHd&l=EGHX{_poB{HU4Gk>7C5N_Z}0o4J->oHqf+^R-}a{k+9-Gb!f)@u7s5W| zw~x|zij(g7aUjQ>HEAt@t7TLbQ<#X}YF8U5%;CyP6QC~jNp6?4(Qe0w;jqwGRQrgqfsh1h& z3mijfPv^IZzQBc42Yc{akuNZSO6(|}P)&t93O$fM8h+051^z+xD1G%_63$vz6|HLHNF7$EUe5C{C2G`K({+&j^wwszCe^xG>YHW z`2zbW&(7et^}fKVlrN+CZG$f`igM!X=~T})`2w$yFJt)ac3)sT)z7i%k0O0re1Z2D z;ca~SION3rzQA>qq6z7xczefpQe#uZ$}C9#@Kms-TfXbi0sVjMeF=CK)!qJVfw|ms zhI?6bSQ2hnG_pnkjZ!}2+E}-sBDkPYQ3Ikxr8QcVu!uoWpkl#2xF9NO&@QxYSZr0a zirQMN)>0SL;(~RpTmSF-J2P`H7%STL`@ZMpW(x#yr zF$f`-x;XE^J+T`{+I;tYfC}|CyKI42t5ovcyoK&86unBxWONA zmj&chPuAlV$+n*` zRap-7kKO0d-ec8fj;&AKHK<{on#g;{=k8prI`wK0$F=P}ijW3%E{o%NoE(knefE2a z*Aua)swUQ_owp-Ele#Ab(B4Buu&us>z!$JUJkE<@&><3woqhy^rf^E=6N{ugM zvf5q6zy?L}=U_VluUpPa#MXm9hF{A60@v+Z;ZflRJ9}eL9@Cjay@H7{)H4%Bnt!-w zMw`ryU8Niug_B9lVDB)rL>DukcK0}+LY0DFFv8<(&Z&n{gJ7h93e~`|TkTzkXq9R` zJ7zEMBQ$@NB6lm;Pl{Wuu4bD3J-0u%W2Z6A0g|Rx;q`?Td{5GhRoAfc2TPhdwUm?i z5N}-tdv+;9MoHZo)YOvz4);>9)~GU!Hd;(KsWibDuOmX5!wcD9M|l-JF>Kv%2bSj; zZyGv1P_50CjQ016hbVsZ!{Me4!JZAf?D*MXE<<>metiRZ~7c3flElcvJ=B zJdN~6$h!@>hw5?gyn@TTPq1v}5$YBcB2%>o+K z4>>583urXU*{vRzvnJ)Ut#0?$v#-^|TrFBX-lG?ohFj^qf{-ODaS${o%WSja&iC}yUh7xY2moQx9cgC*_t zEYqRloQ}5Cqa?yG7Vl_r-p+iE5hp*Fq{m6z#mwhq@$Ez3Q{}PsN-PKZH1X}vT1^rs zzZ|Pimt>uo@f7-YI0?yo{ln)`$~0GLJ(Y{Jm2SdCpU*Ygvz+m?eZkKFTt@8f>qQ-4 ztp}G*{bR12J)R#2=Mp-t4mk|L8a-nUqNI;tTh8RY%Sv;t*Ruw}>8H8W>kH}5q`A`T z*>s0#F7)~$x^ro+^O_$fv?|hE=Jg!9E7M%d^~EfHRhmn=o=f+4dTv54)s|C^?De%Q zpvxkp{O#e7hi{}!`D~z+Z=R#yfK8|WNE|#|xi11c%tu&#ro0b|lRh44GJWW@($}K; znZ9&~=}XacnSOLvrf)+=nL!zJT}^s2CUj;nkHTuxBQ@MZc87ax`aX1Frjl{$cn5^w z3cTf@!$CQIF6CpWvkMGlhkXyB9Y$aTG-h|@?KXWh%9P!WQ_f0HMR~JTtcRcGtv|av z-I+A!SauIa57S(=j60Y9C9G$w7a>kX`bpFwyVnHNsxtjVHQf8~NxdrlUznxYed(@E zPey&R`_X27`Xc7FKiv%|=bi`;2;PMwDt(7v0*BcH2P0LQ4^r8KIsdIRxAxgX==Rd1 zS*t@?d_R36W=*!1?u_N!gFo3(H0RukOZM=6VWvYpS|ZGj{yt59!qSYn5LYXGINf87 z8L8n>+;L>AQU;fC)RjpSrF9h%ZyU9x8}dUJYL_R$np zctN@f^ECSdx)-H4;F>+>FkF{dUGGI9b3sp=$<4;C)aG%LrP!@OnE+o6?*q_FP6;z= zCoZeyaxfU8RxGkJ;#3%IWMz7V*&klVT6l<-Jzr{(w&}irUFE0m=UA9_E25yK_$O{8 zrgDPG2yHqG&R)6fOl|?w)$FYc??+-Q-4!`xXVcp^15YMp#tb}}lq?cE-?{y4M4fYw zu{7_$wnu8dEX`h8f$p@@cVP--=NDL7z~V)g7SbJB&ReY3k4liXS4H;nOKC$&u$bv0 z8%vChMvk>BjEy_60A{Zg8>VDe(VerL*=Hi)>H}!6F?(HrCt6dxr3JOS-q`cll{at- zWYX=ih0WebcZHc6H_=_4uHzV8M%!c4B`8t$X1eRrCo=!#bl0bkz%_dd-3^vA>okB{ zuQ2)Fekt>pHo3E)O; z-QxDVub@5eH~F_#BkBVt|Fv|lF3A5ulmBTP4Zkw^&t&_rG5KGQQJsCrDY$o}DHj4R{oITKfw#XqtP(Wrf%?o9eo%);yo?E5gif=l6_=#O!-%P9aao=JOk z*}wG1PRfkCm!rHnBVJ)T-{kWu{WED9cN=LVG|TC0Y^R(VGq2NKm6o=7gK=up(l&pk zd#vUB@mv_)^q|S}t!tU5)ZrbIr;L-$94Edh%ey8|8TWrH$n(8|Jl{8YNiOBP#;mE7k*zDimPU`uo$y4(GjBY+Jc8k(J z$JyOo^77Tx;{bX{tc*Gkt)1;@%kvhNHQrIm@A?7!O6^NvrgufQm(3>`W3N22$6b83 zw*X&t=gQy5=5n7=WdwaCNvKX|!TQ-XNS}joF4Uz2W!(Okaqm(_+wtl=81C}HT%C8a z|HRD0>;QW%Jk`O>&%9|e_mJ%1s4_ej$PTf2f6rLub>{(9+D9WzZ$G<>eMgdbm?=4; zaD5tXFJ_c5yPLfUj_uAq3pcynZCRqW8-Ml`>?EX@e7Rv|M@VL{e_#U=f5-kM6X&x3 zWe+cn3s)`iqr&YK6;3AK-V%~gGW+(CkkBgcbPg=-YkveweOj{n+sBvTvxR4U{|qZz zW1ouA*snX=;Q+gp?jufu`#}2>y6@pF`XHM(n|>osg!^EdH;sM|(tU`{1;5|3bRTMS z@$Gjf##y%3=0e!7BggJ2n~O)kjhu^z+no6QcHta3!sdkR_Y=k$ZF8dZ`#aMfDMP&P z=_fQ`Ct`C!>vs&-qN8jsSpE8P039QPuOCl`vd7xf(Rcms=O7wqKSlTTEYI=wA*gJ> zN$jFJ>DGRe*cT^AFG0@??h~au`n}40Pm0Y0$ zxB0**50BZ2(u$V6`N^JU^Rco;9?G(lB-V0yD9fHMpjDM{9-LwGae9@SygR^TdnG2> zYB>zcHrU)OKcY5po}MMcd!5?68^GB%H`Bkvh&~N@cj}G7-05s?Y4~_yb&?H{Pci6^zry`Q=!Pn3Ho|mcq+|d#g5*)h*qP>T@40Ev|95tJ4l`n zu_lwY6inj2t^6#Orl0+LmYMEMaDn$ZfV5Q5BnJ}FrgnXPcHgEJ!ga)2)C3x@1&w*Z9ZHQyeRJwty;i5kaFw!_gv!P;i0$9HP}IOa&{LB_XwH{7C?F?Dr8e zR?Wbq4(8jP`(mJ|7Z|e89t2RY+Oq_U1T?5#Y=y=4-Uw+_H?lrU#B`I&(7;vp2?%Lc z{h8((`y7A;igPbmYF`4dMBPo(*V`)qS`=quaD#*_SDcE$jRIO#HKW~ZKaOat6enYF zi~TOZYQ>c(Xtnw3rwEQJr&^Nuq#b}Y_|YdcKD|gfIbuC+u>3g_np-U%h=txOa^J^S)kAk z_hpzCl(WO{vGqGSn-ErEhfi=4c6IC>(MxtH=Xc$l?SQK6@HLM1a_2yxYCAl?9ng-> zM4%cw?1Z5e40PrI)!Jb*n|+A09B3?F)v-FmoDD#Ab~u}8AE$Q(I?E1EBRawv57c0X z&$DE84r|nimu#%jDT12pkV|VY$ytn;&35>b3)JYW1X^H+w_^STQ=Mmlme^J)2Wc5s zIk|0O)!}e1d zVKBkBBxUldB;|A3ru;uMh|3_yY#HMiQ!>3${wHxTM{zzF3A@2V5^@yB84Zm+Ie?9! z!e`qW_?B`w=YwGBRQhsU8{d0T2Kb&2j|#du$W6#d^kx~ig9yHii8!!L(Eac%<840< zzl-4<>jmLVS|%|gBTq9D=YZ^7Oc3{e=7C(IM=?KQ%;UZ)rUc|KNkS=1T%sx|cR6g7 za&nn0rO0h(Igv3r^V*D=&rMuyXSs+bIA4v4d_H;CmI=|Ye_$F;>#t6;O?jtbqLeGb z)^_qa7WUWSh$+K~vux`md~`z5X3!+p4l|2*ykUBmcRhqJV>nk6lb%xzzQ2J-#Tq!d zmPiOZUtm-&Cnjn%=H88?a%mCMh}s@*8Hjr((Z#hNp^WaEa34d&jToLOe-S{+@5w}* zR$Pco9)%NO6oZ*5S0)q8!}qetQbU}YOI1N|RLuEtFxRdE6-YmsOJ_TAi+m zL->*>A5un$(r$-c3XUiNx&|KZ{6UjbhHaENrk@^hjqc&CWrkq`s9k-4nF2CuDmFgB zECJZ)(?W2e^8&1;d|SGj#!^1Z5^zNDg|wW{l~@6viTL_B!YQAp=)qa=;s3;!htcK7 zaT_$vor8dOjkt6j2ain9x^vhcy$iRzcX zz#O}I&%;NK54q8lj4KeMGRJrevH3~)pG%s3rO7x?QvQ=n^C+CT{t)CG3CA?YD*ps^ z3Z{4i;n$V!T=0GG3;=ZtoA4ZOCft_dNoUX~?^t}*#3bi>oCq1S;XKcqgJ_{u%Gh!n zD`Tj19&)C=l+P@{n?1WHsBA;au8>_yKu?0ffFoy2uF*vuyzn%r^e`rLGx&}lBIAKjvaI~p2_T;*;dZN zg9gn#31}B?8=Qr8gZYisp2#lc)=fe!@NY;rB(tagZcjnhke%_@zTo5kGSDhz;mSC2 z%gE+4_o--gVFiPAh)9bvV7lQY5Zu-dxOf)DYa@p6GTbZ45bj)U?8Z_Ve8E#>2p1W` zMTT&ZA-p@*mwzWi_--uF|FaC?XXRXG8rHrdLl|vPWC-Vq4B>CY5blNTsm0GqW5Lzw9QSBCJ;96bp`crTzlL-^%BxN&SNL%85BvlT{7VesF))xYgjwEyogrLM^#3SB_*|6sTQP(S3b++Rcpp5nCJf<00GWRqL--Bc zuD5|9ybkyFgdx02`XgcpH{mHRVF=%kkhU4Zq-rG$;oboM#~H!}o%!t;!kkarz!2uK zTEY;1Q-+>}r_8Mx!XG0fVF)u#!VqSfwi&{|#*t3j4B;=3CSeHEbixq!aE_2LgiCv3 z*d`2N{D8TDA&j3t|9cq11r5E;3}M!+ZHDlXm=6CUL%5(o+sqJNhSo?J!tda;qfLe| zKdF^4gf9k27{UYzLwGgb!~J(Kgn5Y6HbeM1gd_}Mf|hM$2p6>7HZz3ztJH)ce3Ud~ z#1Q8A%l*$Wg#Y)GgP%ofMdV5gf^bhkwdCgCZj1rLV14O%dx$Y~*I!7HR> z1+-xDZ(~|8@3G&27R=}|Etu}MXu;#rHZd)jkLNKhnC^c@3m%4Wp#^V*BPxAN3+{s( z{Xq*ab7J4O+0VXK2Bk0x>O^?wA%#_qV16lc|RMZ=cEhzf21*$bV%){&yARe|JIt z-<%dqb{uKJE|_bkJ?|@M&-+dOt<~UoJz(-*OZV!6{2w&=e@j|08F{1y4`Kd>7Hsl2 zv|uh%2`!k6J<@`YN#Z_T5cioVuAv2!l}B3eZH#MZ!6xpvqy>}NM_O<%umB@kaFjP* z6KzQgrhi+sV760C3#R*PX~ASABG0!jW1fZS}?bd-;fr}Bnd5e zq-;MUS}=jIfebB}5#!al58N>=_-STNT5uCQzn&J%`+J@id??ZgE%=5c@z%89d5l6@ z@CG=(DJ}R;CMGSoe`#E}Z%GS&6PAP)d<33#Vp{OA7>zM4_$Im|TJSq`lYR$UFmE<7 zEtofrm=??hKc)q9@r`N0TnJ-YFc*)Q7R-qs(}Fo6V_GmLN=ysp5RYlWT+m`#Fc++t z7R&b&5h!E0IOm=^pumYD?Vt!cr0(k`S0cgt-9Etu94TJWVv z@%6Oe3pul6TJQpZgcf`iKtc;<_FqX09*vXTHfg~pBOsv#{}3Rd1rsE+;2#4dv|v&y z6I$?n00}LaAfW{_T0#pZzcQf(GbEt}zX6cYg8jZc-;HR&y#W$hFhN2K-VGq31=Dmw z3qBGd2`zXMKtcTIRh7_!tAVN$TJZ5eH3==4%7}Sd@HIeV6I$@^f$9=k@b)|+OlZMJ0p)4I ztV3f$3npqxXu%ICCA`M+Zj$wnNrdET#3 zh7kNt9L#~64@O7;4@t;T9A{J!dvXAqG8M8RN5Z$1!#N)WOUKfe1J?N7i&lW|S@00% zBnP<(slq}LlWd!rhy&XM-H$X|(1LGfILCTHxNsCDCSAzmVMgK{FqA?G;@-a+rdUDCzr`m=#On@c|2os=Cv6!pPRV0%q?!DIW)ofYE0zw z$-A~ph+;m@G@RC7oo1WzwqT-^E5g=x@;MfAKWh+Eh7;%2)=Bv2grc28lUzH@Ecy~H z_$r2T{V?e{)sX5QcnB?+Yl(!w^C?Eu)#g%%uHIyp|wrK%t}D(0*>m}^&o zamhitRxVq16AE=hoQ|tpK{|<@ABp*N`L3c17M_nSaAn-uLVo)E6APtCC=J6Eb88Fv zbcI9X_&5odRg)y51^*}x;row#NEsnYo5n5$N0a~wEx0qbQ86vpoXvkjTJTe_7Sn=h zETRQ-MDVSyoX`^&Uo+QZYUT*=UFHw(^$7JMr}+q7V^hqt5!v*_)9g-gn3 zvXuWbdQg+5fEJv!@yOMsx5HO{S(om<;_k$AysmxO8lBeAxy$)-VN0zQ+Vr- z~2! z3gfKkKP)3$WC~M2xX2X#l7MiLDU9R!NIVY6@OYe< zXs5^&?gJ8Ikttkc3X`&1WC|+~3X4qPG9eNdnZgBpV30qHOyMF^IE`Jy#ojkz3it0v z5^oPuY`5mK+E)(&^>u(yY8L5I^qS;V?EBwEH05^dIUX~f!u zi)a0|T|%NgEh5qWWPgO>-xCoJ`1$sDF=G4YlS}KgA42H@ul+SpL3aH1OZdlbHw|I# zK`5}(kL)7HDH#opx%*?rtvDS%o>wyAj44(L-R_ZTc<(fV5&e==09+>p_dv3i-A%MO zZx)>gL-E+TgwA$;`xW@(`@g^y`OQXtDZ1Sy$inS@BAtgIe=n@1b1aN{-Or`dgK4kF zTsofyL%QSyFN8wWjuTjp+i+=rxs+r7p>QrXg=~Kr3g!DFKv8aAiAx9H zU(KZ7fjhm)!*AC74<2^F67N15@jhfFE!7##=6`xOoW9~m4gJsP&Zsj{Apdi^L-ivV z@V}rtr^cZs{y*rh&?`|6-?9lR_2sz5__ly5{RrB`cLY@H1<2F)1k~tfGXNz5YV{jP z>9-RwR$t1J`1U^#yH0P{7odY(g2t-X53yXzW`P^@K`dBCz!ZHfU!8Wce~geu?IW_k zojnYoNw@0^u)Tc%zzn^SnTB>65oYT0V*z^F{8W0g{vn&JpS={(7U=F8V35r|T%yYf z1`BA>6$C>BEZ0K_Dh0IaT?uxv**&ZDkUao~O4(NHhgs0!b~*BS2wy$n2);6%LpOwe z3oEI<%SKvCr=^adnd@0yU!4F(xxegmcxTWcPq6j;@1hGjawxIbnZ4nlFMCsbcaD8K z<11XqsnbYizNbz9PMcZ#YU93@>Gl$L=NdG4>LTXZd2jS;s#%;=SW3+i=Y@x05Orrk zI_{197b0dCD>a)5e#f95^y$d%UkQhl%Cy}=R?1Q;RBwyFV2Ve>^_w;4B2A4RLJNNbPP@~{d$Ay86ejDWo<_qYe&!T}J zIm{r`B9ecZ!`YhC&!NeKMFRTj>1c=G$C9Q(|ALgqs~xt=Ks^8{gKHf543duIb~)H; zw8pFmu5$=#^#^F8phXOf)lV?ZQUS;6br=c3^#bbjBD8F9gMjhcLh}VT3aHnQvD7yS zn56%TF%T>h(4cQ6xLLpyJ%;%#7tp9jp>2a(1WeWMGt*lIH0g4txlO<<{Rs=YLO`>A zkxg~GfO)#Q4&V*}3-rOD90hj@SftAtt<~Z82A1fFl>jRpeuTV5pGj+XNwgdF$*j-a z0+#EeHNZ~mZS!0I>vY{SxevkYPvcoPc=i`z?tsTD47X7U>{8*Hl z9rT2tW9@Jp>+q!HP-lmCG3Gi6tG7dbM>cp$&?NjQnCNLi4R-hj^Ltj%6g#|+ZTXy_ zMmxL$vpaZE&{R8YW#9bS*?^KY+2O++Y#W>pftu~`onb(KaR#GC3+#~F`rsw0(Goix z%=})KlC{|3i6;ZS;`HCCP;Qr#rqvFQW{oyV*s4Tsmtm{zkYB_JUU%*Wdc+P7V9&lG zXq_E)I{|2u!yD^*v>AKlO+g#%u#v6%mY~;Rg<)?C+H8jivVwO6eQbwkvqqZ*Sx$Hs zd-`2zdEW`CiW0o%@K%&@!p-c>_a$cNgom)rJ`j|1!nI8IcUdheoRDAb4L&hzhZ7!+ z<_SJ?DpB{m+%DIZDknUXb+=uj?>J$WE$9lWcEWKSgY5xAX(G40B#gLKS2ba#c# zyxcBPUT&8QT%8l{fTc7jcZtS3VGVn;x1f3_?3M!RCukBxd)Z?Zf*PFgT8^bbE~onx zC%l_=7~*aMYIMR%TB&ro(l$Ba57;g{3!3GGcd}%=2x@l1H`%&F1k1->rh3!^fkY?5ghIEiz*q-uVe(rN})r2KBJA3_Uoxe^G0S z-dtGp=BVi0{RbDjLT=N?vD@Z~{fw!{JZaNVj~IlIOXbThIn7Tl2J_wbF&rxN+w8Ih zVy#lQaQ-cHXQ8xJS|-OLcLi!#W4ULt)dmejY~SbiU%EEHV{`C=`xeHLDd3BR1$;3o z;AMywY;d{X@pX^U0Dp1$EBcIXVVPc%1_<>N)c`LG$myP}$19R^g&xYa@>S`AN-fph zDAldf{dou9?7ojFR;{OVkiI8%uhC;@-~)F&#&508a-e_g^21JJ^=6K(Pu(@rACcVd zT-3T=58}ACy+;w!pwDG-Jdcy3QS(#p_#8PU9H;6g)~B6E#o#8*kF*EvJSE^8?w))g zR;La_$OwK;3t(K2hR_gWan7zD@(EL@}VxR9P#jVy?GtK^<+n?L9 z)0pM}NmHwzVVdtrnz8yCR{mf~Q>T}55+CC6<4pB>DMLm{-5Ruf(C=_B1#68u!)T+$ zbdydKjPW`mB$3Lu}%Xfm5B8L^J9-Xa+ zoQPib{d!!xo(hkuV7z=6(=_xcg$;d5VMEtP4Lv0Xn-e@vw2a<^V4~D4)Rn^kCV9L= z=JYfS$)LgGH`6OLKl~n?=`rU@%bkb_y7Fy+6vaGzpWiJiA@0f#87&Vk@pyAL1)5)2 zp!tObS`ZbekVLDyvi?8vsA(GN$BzfN%;V?KV!2%oi3S?t~`<#V7_ z`eMjK1y>2E)|YSry4K^@h->tZc~81d+Ok#;WSZ-}FEAL!>eU?1H+kG?)#=9wmIG@5a%x+M~j5 z7v0M7_n=4pu~0{HyBvQx%bkF7=%JkcE+4n_FxK4tE>i2^{En-80B3ZSIQz1cyGz`? zP=CFLICrLn5fY)5z9YqXFUQ56;=Bae>b>4Y8@Oj;eCz$hxg5tpdVjHCAs>C9IH#a5 z^g-gBjNaD=iv|7!TOTUU>1a_sN+JwnA&(ZP;nRwfUv1LkB<^D7cCz^Pq3@~kFiIH; zeVX|8XWb@=b2EKUmt_2IuAV~Q^hrqO>mO45LUFCuQ@K=IstFf;K38hba>vv51@{7^ z7?H}m8Cd%Y<=u1+1F=TWpp=F>f-O3ecQ8wF#n-dA;QNXTzP^y|jN*E)XVV=jF8BH( zx^s%Fy>6zvLUFOzbLg&AT+#K#EPj>Zg0AP%{hglgBA05*El2kHS{5+HBKSU2`97P* z_sw(k8?ff~ABm%ZD+eJkJs)A<)Am6@)bX&A=|iWbu0_Q&ed!L>rD(KFKe{W`ZO9@s zh~M6>QIoOR$PDJeRIM6`>696=JKST{edxSQCF9gt9XsO>qsR$_gK|*O)%O|d?g9hZ zVc$b&dIb8uF}o{ox9Vt=DZ3k|oTa9syxA(LhxtPG?sR9&_m%fx^w4~GS=>4GOIXiV z&qACE^(5+$-HZC>l|~bBA3mv9nJ=a9OLwiBjQV8vqs@AC5%b!g?go^Qg1{XK-i0G7 zefpQcVfMhmNTv87l|7jA-%{M#XAhybXFjq=IALQ95fCR#7s zz`H|};_8w;i_x3auI!^JtndO=g}%)GfbKST>l@i_C+4RP@>)E?99nB{m zvN9c6BzC@g``HMdbC0n!k7~C{)I%@b6^&sjQT3c(U}*u17g<_JcWAk9v06VWL0T&B zl80(au$bv08%vB0qr7Wu+<~Ppd!^VgQ{pPRbCx^%Oaxqg0PR6}mkP9|c1sItcfGM^ zly^A=GO9hcu-O~wt}s*MCc3Lt9mnW0+8(P)P@?S3bl0g9ng4RS>(vpsW^bXp0czi; z0o;0p$^UjL(3&>6v!G2{3-VuCkpEo;`QKfT|4$0?|7k(~KP$-p=TZJC)_+wuG6kRwL>ICjYf`uP(^{L6g5Iy8g=KKa-inf$9cOdscx?$ZTvpNZlc<=y=l z7s|Wjq?+;doQcaPqU;}8hG^72Pj^N=dKmm)VBd#o1((7<(I4Yvms0>d*!gRjL=T-V=l#IKLv=N%+^fk6q&WxGY>8?`JHg7Oat&+C+E8Sx)_mAho z=%xovo^MgS*4Tc>n{$d=e* zQl3Y%?QF*P^?zYAm~Ag0rzt+4^=-Cll|C8QY)als!0ahp20GZE&@7dAzfZG#jLvqH zHPO<;c~dj5ReY;_?IfVAJqpoc6r?JXf0EwgVQ3Bh-IJDmed``RDDk|^&U zkH?-^d6%N_vGOiu-DBll$~wi$yS&-N%DcR2#LBx|@MGm&F21qyE*HXBd6$bvth~#K zA1m*2LdMFwoG7vKE{AxmyvqeGR^H`;6)W#@@Wslz)9H?scb}p=Qrd9u$u=!NGN>AP$V6wdulWesdhGiRUZk8X>8(=bfmJIK8dh>1oXWQIN z{|+PiG+6E28#|&-XLC!_87`}nY=~T^1GX@GmgB@QQQqb8p*|A(;-FNHJ}_FaQ3=Wf zczQinhoG07Px$8XJSRs38GUsPLdwlKf&S?Z0KL6UJ7H4kCy`;$$Kzv7g&u&p7xeYG z@Kl<^iXFXo5v@jVLV1G$60O!e%?|Q7^ROl#&+<&-zO9^UyFowu_bhX)y!$!JT&TQj zo^q$lL$@gJj$je`+kJD}pu9_KiSq6hNTIi9_QT}`GmaUC0qiQk*UQlr!EQFib~CyP z>rzl2RO;T3!p+jffYZPU_Sy- zsYhc25S%TbN?%0KDA91Vh#?eQU_XawH5yX^U+R{ST0Q5@iN2eeUT?1eXwj3-1Gqs#mg`Aa7K0lFwCZX`yV-sm(N^hKY3&yKU4Ye^8sI^z z%~vmv=m%-~=k|Djb()Ic!5aHgfc5%WhOD)p0@$EO5Ik#_VBEg0?>rgc&-TFpn{~%i z0N%2v0wl`2EdW-cyi4RK%Dbz9^2)o<0)>h4E>SK~-rWRLktpxlJEE8DP|okVIoknM zCCa-80#zre3KN&8JxYg-8Elkta+xfp$Zcmi zkuf>*+KidcOUyX@;K6%%c2~og5Fb${mSEt#gywfmI$`xU2JNX<7`|EJT zl;OmAwRI9cI-zJYXp(D(nMHZ!UEcK&zKr2qKTLW~HTeDp9u;@P$+bj6;Q0chayc-vl54Q}&J(K9-+K*61_f5EuA>x}Dp1#jhOW*IwM4VP!h)f=Z6JZpC znJHH$6D)+;$WlX`noCtda8%6saWL1e0^^c{bgf*r>?RcIjyN4xxq@^OJ3kWh>GEAg z7c6XyEpTPr+Jelit#ba7g$g8;hT)32wS|1ng+pUvoP^7&NfND2*Tf-w$&(K$BSdNW zxda?h0wl`2W!OfUWBTb4*C*Kvqk`lyHNYU{u#NhuZmM>z>vGVR51e7%5k|^)4 z1TcHH>GImvGJCceE(3fRW$Z%bT~wAKzU%^DK#oB-?|Jyh@gX;wl5quMROT3uANBJ6 z&n3+uX)?|e-+z*6egS6=PrW;_3ey~`{1Xi4V2U>oeu?t#836hgZjsONX2Nah!?~eq z^f**~-NYp4dYlLuQ~2||Ifw@3UB))by9|}iL(a74`^>`kA4T_-kSLod@BS1(^K3Ji zBd_vM`U+_gVB3eoN8!nauYeq{oZ6^dF1oEW4E1ZcS~quYPVo%%?5o zD^6!&&46ndy^LS-a~AG9;31-39M|r`RnrEnBFwS2?!wk-1DXlTufrPPE?hos;99~y zjO;9|8$?arH()>IF5qc9{tf=qcjYhkKj!Z$1P>fB4ypQc2ssOn81h;aC5WOJqXT$5 z5lP%3W#2PtJ8cnRXA@y8dU7?wnXmMICo9D|S}Sq!ER{mj*mF@D^?Q_+nz=I$GUn!) zB3hf+4CKc;>qjEQw{UzhF$-rlYHxa$SkZh$+zC8YvA&KTki|Hb7_)qy?@YMp-8^< ziki7a&D^49?(SHVQeR2;<%GpN&C`9`@#H1d2Hn?)-_LalKfU%OxbIp5qN@kwef|O~*%6=9%?=)UIjM$@qA z53Y5%wAHAH?n_XsBDyaPj8#vdI-vU!9IMu0=>XlApiV_}UxM)}qWcomtBCGPFiAyp zUxEe|(R~S~sEF=M(5NE1FTqq5(R~S;R7CeBn581RFF~`4=)MH=RCOK7c88R0fr{w9 z3|XYg+aaXYc@bcVDx~|WGqEg!?#pO5s)+7Ouv|rSUxF1XqWiLST2(~%C0L~*x-Y?M z714bO9#Ik9mtdWW=)MH&RYdnC*q|c1FY|d_MRZ?=Y*y#8Pw$tqeXJt7FQfg-bYB|D z(|y@0xrFXZgtLbaQ3B9?SrD8(M08&woIPZ@Uwl+rvpS*s(k9Lx+NAr^CQc%@f$qy3 z>i(C|eOaR=3Eh_^Yf0$7Ma|rzX6`VTBP37vCCbx%W%O(l-FFQ}R-W#=^G=0yUw+pl zPxqCtN4b`hr~9V9O!qZ+nK6aJvtwLymx<`U{7|K@BD(J=_E6$>3E>0=uaN(^?8;&oVr7CSWzI1=5=f+MXAvuQQTf*bQ zS-7Nprb_wzseej1zA2v^U&nBK_eEfbh~rz-%q?o>g2GbB@g*0#sF{m7l1U4TvZ$GR zGnbRPwBh*DU7tPz*X%8HH&~A0_!c#Dg}3`CKSrMx2Iymaz_8MW<4Z9o-*ODcm-IVw zeEG3@6Zh$YxX(m!4ab)hJ92z)V_e~2ZW+#`WfC(o@-QQ1`oWhOnILYD4RuqZM={@I%)`GbrUc|KNkS=X zT;eJz_ja&RIx{gOMQ%IG;~BH4nXAwpMa|rzW-cBwmVsYuW-3WNSOkiixm$94Q~nCP zSx)(6F_lLg-*xZ_7Nhm}aRw1}tw4-^ImVj}>P*W2o21!ST6B$EA7YwE;p_@Z>`tU^ z6>xmZ;g@iHPXbU8$F~t~qh?Q^7&J`cC+A2IKH<6s5Uvi-$XOF(}!TQcHV`J)ISq~PFu=f*KAE~wXePoELbC- zD|BC>^io30mb;NqddUv3dr_r~l->b<(11Cz{N05u(*{nk?B1jYI13LNbTob@YxiNE z&cfP3$6zGeeJLLW7VltkfA2(QDK~d!31V2*kZv6i;po4O5@ZcJ0p9j^SWIW(SfkO) z1k#<`SuRUzy@`uw9ga8=X?I7|jilXxWx&51z;oq43gQ$sdcpcGYV?9OSk&mngehwD z{+l#4pB;Z=jox9{{4KY`dDxr;uZn(eYogK1 zuvPzuHG20z{VJW9w0k<%vZ{YsqqiD1YoV@-O4tqN2JkvIi6$_joxPv z(zZtLK$JSs=-mzA|F}kPL1%tDjb6^D@ar{tZ$Y#~qu0h$dfOVk8X<{BFViF%y-d@# zM(-c-sNA+jZ)c=QG}{xQRyZbpVM*FF~Tw`+F$i{C8;dzQn$6 zQ=|87gd`fh1TEXB(Ob}V+pN)h5=T<3(R+q8WTer{@t3py8#H=Tat_tDQ18t+3(_@| zkV^GL;oSfAYQ39K%1Eu3i?*ejaM9;;%}&&Me*=(WM5^_6$8z#DYQ0w=N~G4yd)a?p zt@l&pQXQ-HvVbWT;Tx#+4#EvDQtPENR_moZR_mp^Ew$c}@Q>Ac_kp_&wO&S%-~Cgq z_dzLHfm-ho2#wWxdE@;CYQ2metM$^|mRj$*Xq#BAm(T36S})!IOs)3-gm?T5ZSg4_ zQR!o~-YV<@zO7m>%|&Xxhrmp%);o~Ysr62UlWM)(47Q=xOYeWC*2~V1)OvqyEX}LIL4O-+y#@cpt#?o4MYZ0KlX`w?@|65PqdQjXrM+0Km+n}tm+tCBt@myi z61Cn#vGa`8dc|a{*30;bS}(!2sP%fVCThLYX!h&XdXGW0Sgn^yM6H*b;jdTgWvoQ4 zSDw6Z|DbSJtk%1j_Ndm&J>@r4>t&Ket@kk5lSXR21O;lnj2N%ZRdB~l-q)Bp)p{?7 z=hv(C^8TJz>m83YqSm`ANxZdM?@~sgTJHyNd{edFhnSdZy?d6%h5MFjy*3PpTJMCi zyjm|m(-o`r{*rELWM&VvJuIrRS}$)lv05*08nIe07yMYQmy2(#*2{%3R_o>B5v%ob z;>T*eoRG0vFDFW@*2^IttMzh0i`9C$V8v>^9DK1_?_9bgwch9Hj?{X`qS<1#UUqA& z*2`Xs)q2?-v05)%H(~M~2oS6FPDf)UYQ21PjMaMCiiuh;A21WOUdBq)dI{QA>%9m2 zoo}Gl`+em1Pt|${Ag4sFmrr_Muhz?xifvHq{Q$>KZL9Titx42+KSi{*)p|Me+EnZP zGs_&S^|sHU%x$Ul^6|S+t#>dY?)VEFJ6k(`7e5rVcYFZc0B6TnP#D)LfAS2RKo7BL zEm7;efu_G!t@ldK>{zY$CV)h(w-q3a)q0uzSE}_+#Bpz%YQ5(nAW`dG0FbEl5+rK9 zw*e$-z4rnnYQ0YbBx=0`iCQnCC2GACiAdCX8Iq{=egTlE^_GJ7m#Fpb29T)r5+rK9 z2LU8%y)>Pu^`3^1M6Gu^K%&;W7$8yW{TV=_*2|DYt(PEC>wOj=QS1E_AW`e>*RMdW z_Y8nUt#=7PqSpI7K%&;$5t5dPTJIQuM6Gu=K%&-rD}a@#^%D7sTJKt*yjt%Dpgfb8 zD3_@9egFi;oF_V9*mZT%JEE5owcZ?1Rif5A8mKx^>zx7w#hgwUTERf)G9YN=L~6Zv z0gX-6djASkm#Fm)B|9Yd{n zatdQ|=Cv6!pPRV0%q?!DYiWY>)tJcVlXq>I5XJlp({NgUb((F;yDLnTaz)tMPCm!N z{u+mvGSE1$wobxFClqZSO>*rpv*=4|y{!!A`eD*@sv*@nc!*jr*AfYV=SD{5a$=%J zWA4*9Dwh@+IEb2sTL$6*Npx`?N+_fIPTc7c@k0zx-@lQ!eSZfg;?RayRh*8iTtPaCogaz$bos8L z3l=uV7PvBQZ6QB>{)vTMkWd~C81hx7AZPT zMGXFrV);Igp<}h)%Meg91D8at_a1;Y)p{8~wO+>FQmywvhHRnM`zn0o_>db-$(U-r zd*m4JDja@cVd4%@bRvBJZfUsZnC2I7_D9gxYQ4L{FJbbMj~lD?UIOlK2o(06-Md6ER(0Ycv`Wkh%3l8C-r#+mCbTp;w_+z2#; zyYSv=hQC`*Mu@Yp+VFRK8~*OTgEo)_+=p*p$n8CgW$J5U)(kq8sGrg6J!sI8L_1I+ z8a!U%{r(l1r`*+Nm%wt&`yGGzQG!_49XZ-o12Up?dS5H`N4TwVxOmo;AoE6i-)CT) zeBYH(>Qk_2@m~oGRut*A*Ptyb)#VD!W(%lNkDzHhM?ke&fJY_I6Hud`1<~6p5m2k% zKuWKjfU)Y*_5i+p31Zi&?Xd6gI@p&1)T@VZbMusaK4LehgHiw)0aMhm7=T_Udl^C+ zm5+Y$wzCfeXj1Jk+Pv*;cG(QIk(q|}6|go_l^+Yx)4m0uS^W?Xa9%%qC88}*-O=aX zAp2f`C90fYuz(g-K`=zXay5jYQb4QPm0%Zp5YnttL-qg|DrH-(9%ey@+jka+o5a*TPDszsp8iN~e`Rf@ZE~b^Y`S^>8m^Z8Ko&9}B;f|9c?$tu`&QWT_7Zo>UkBjwCm)?^(Do%4G5bz?W5p|J z7AHTwSu#hQ7aoG&40LCi@V$2|5NPVomfH(|I{l78J?ImxLHPIJkOJCPCksVsf88F8 z^%VM}eG3hA(wLL&moB2yVT5H8;TcA_Ln3r!ggY6*S;Fhh7ayZ=x)7as;EB)Bg_3P=W_8~xt6ba7^4k2-=Cy)oaHi+Y~#OY|Kl%;N4BxGqt(6OO~~A!sjXUneZ*RMH~aS_7Ui z7VjW*2ke9+s)X-Ll;`_$ajr}FzC`1lum(4Qptnm@?}XiOqX_y5nglCY#)Aq$4NiD1 z?!Lhwm;25sPIx!g^KtD`}-t#CDsU@CVp%1Un0w<%D;#WV;AzcEUGtCkTcL zn&*U{u|`FaUNpjFw}|{su z4!>7i?nx`uP;9+|S7n41MS3Z6PkF~6<2{4j+~cYXHv2(Qq!)~|Yq&}s?D3(bPA%oK zbcpwB9Lvj6c-G*nDLL4j;Jt+fJEQg>m?$+1m3;qllE=rHoSKI61P$JiIH|5MzmGoC zW6qV9GZ9tOm2U&c_nlJy-{EXW+;swimIs%3JZLlpnqOF;`Go~4iu77~=OJjQqDU`D z8zcZb*JIzH4;ANhtlD}Mm!+aeFIqxWK`YYFVUiU^da;Zdt<>GQK4;P)7OCtWlwt|f zH5f<6olE}`2O`;O9%odfpTw$`-D^BnwMwHZxsOqj6!pY?>8?#r#!*RjKiaHMUxb#< z?oW3ECg?DP2Lv18kh2-b>hMe8FneGhq)I=@=m&EvZl$Lk3HKp%d+E_Qh{+zxy@#JZ z5qt7%E!`Q*xd(r;qiD{#6_@PcyTeR}dQ5;YJ9;8b8fx!(a9U}8o-RAqn2{PD)dU|9 zRvBzw5HS!XWzv*C7*}TmDw{opU&|Afjiqo%(G9h?3mPpw<0M2mO_Ju$In~NeGFec_ zqA1dfHkkbeS}Bq{y~qroh9moHvt&3!8UcQDDPDY# z+FKOqW!wCfZ8O$#{&+6Hrk`~aI`LbVfjwvhfZyS4v(kpzOZ_q52nN4P|4iCYdubyQ z27XU8Z;deU`(`;e)LzD^P0!?-{9%;m4if=B>W{og?R}Yf3U&Tdlc%Bfn%Ul3ji{f~ zUM9Vk?l0)BF!}t0?&^fvdo2tJwRevm*zpQ^yTlfg>Io)oXEVO9iXy%J?2$e3V1b(x z?=htWhT6+LUQwhsqV^t9Ks=+UsKBiK)Fa z>Av%1#ILnoOuCrbONH;4+DmornA*z;8B=>XQDSN@hj>iw<$@Mdd#NfOQ+p>OPE76n z0o@U`_cwG$)ZTs2^)a=#N!+KQY$w<^(Cy;TFMFcBf$mpX=9BFHr5G^f?N0?5Zx=;+ zJvkguKivWQy51hO({k!bv{2B;|YMpf}hxT+}9i&j`{lP=k)ZsZC2 z68nihJax)oRS2%Kk3>kb>W}FYTw_lHSfDuff~EEhfFc(XtgO&`iOdvrhjf91+Y$WT?*FN)Dc~; zo@K~dn^K`0)ChuS?N0z+S6q&QKihj_5N}o;PXTz#J`*6J_FfKPCDdLbKcV(==;x`u zl;zD+dy68y7zjm?UcV^Pi+NTQ=@q(aQKYvh((4vQdM&eOo8d0QX3)oj*y;FNNbN0( z^jg6@k8iV5KJ|s${R)?qPi^0n|1)|#gG>K=@H}PrBr9%fKC6B8fdfG86;0fpMiaMY zAT@N4fp^NS{eB7ex7MJwc!INY+-y4wj~&F}PQxFcn~aJpfI()}mEza0Zp{$yOY58>;(!5oK+lzFc|*SQ-H z@s_HGPcYY+1$RWxxy1Pi4iJ3xJ1lF#r4EnxGU{#e(dG;2qRxU>@FRyAgo<>7;4+8D z2RZc|Usf#=&{s|8lmCw;O@;aeR=414him3QH2^7tYaDaJF%64paILULYm~;JM{u2h zTJ-@Rja$UPSoH+cEERC9T9*R2UO=5%M1s%_0>&!~i)wJAfO_>9OMR1oN$RgSfe4lf zXi&Ej+$>;<8pC{+3usiMc=~dSfT`+zW_qiDCRNTfw+Wb~K4C#u2xwL>vZ-ztFi%z2 z0o);AfjXF#yHmg-^Y!*t=S4K%5;d_BAuAm+?poBDw04(7yHTCY`rIvGxjI?{{6xSC zwSe_05^OPs@SENu!L~@SO$2qht`rHjRxn(sk&B$L57+2j1ub#HVLTGv&E*ftTAXk_ zm$)iH%bjp!8fXu94O+0(2{-YSZ=}039xYZSg1UPFsL{yekrHGwH`M*z|0}ADVL<+`{O~rMbix3AUI{=Ckfq zY@s5-wn(rQ?c7CJQ`1H}_g;((D{W)>&0b)}$o-f(*=g4y3aH5Z6Mrm^shnUkLYq#7 zliIl?#igrpkd(dfelaBUyCT8%CLt82>##Uwm$6yLri%nyw8a{>MUh~ekX>KnILR3j z>g#k@8S?QPY^U0^kdKQ5TWKU!!O7&?TxY7($+%`yqAvp8?kS`ZcCg<8uTivfNm!K4 zX11f~kyvUt9#*nO9>urH*G>Y;+WR9~tewjwqMf@sN!u+-`y5V!v)v^x2!dmek?mnK zRz@9&li+Mmn}-%5&O69Uh_!QP&>ppONyRf|DUa+;;Ck6xfUmmas3Y6QJ`DBAD9Yhy z`%02fosOMzwn(sLe`nMRyimyQBYhBB<(HbcXP-`yU~BYU`C0Nb29N{mkh)#gYNb12-`6GB#kSIy%6geA`kTOC9r@-Y3K44fvbzeQa+8Pd?6SO+Yziu zK%r5VSOHl{d}kctl&|=T;Z*qWf8zTrd}Hn03lPwbl-Wc(mpoeYqp0bkgi<8f;x&w- zct9{m1ai=LFR_y@_U0p`P&@aZ5^T4_4+`xb95-9@S?#Nb42TJ~BCZRuS|Ql(3GbA< z;0JhK#=k-Qq?KL9S47UjNrptr7}BrXSuTxOB?C#K-Hap5h(tRG*^)%t`FPr}tn-X9 ztC?a7wtqgLznxD}_v$MZ@i zoH4~Jq1!z&4ey;sFrr^_3V`dR;2yX)12@s)JTe{JgHbl;5<1)Y?N{KB@Bac<B4d;@9BlLbW+~a>wYesl=t*{%%zj^o+T%UX^QT)Th9zrpW%XXP`cBl zW@r1$r5x1kY`@qPQZze#e+14P+E?Pz!S`1)DJ8Pgn>_r~%m3hE2YugtGz$44D`~0D zI1BMVy&Fzn4aI?s{~6sGbtVere@=I(egp&l7j);;IMl@d2i+BVCCcGjHbJGPF0OA2 zsM6HM^&J7#n!32YC!j`C7uPQlP^;fSO23_ev6{NLzHk2nvFkK-as3W<2^yWIM3||mi|hBa zdjK?R>f-wS?4^jdKvNgjA7rx+muTwZ`hx|uXzJqnLj)|>)W!8H1+;4F;`+PT?4DJc zy14#ODcfpIU0i>-U5EDUExPG;9-wLb#UgGXd zG2GNe%(FAaa8u3Vq!?~$jyNfX+o3xP(s6I(zYsCISgF}eKr!47J?PVs-M`n_kLM-I-#z>17guVz}u$Bm%{7(|0n0OI2I-+OOd(c@Jh> zs@kg8pJxzNZPi~l!s!@QTgRx{I!4ac&8yV<{#TJ`_eN@%%9ChI$Z_@CAki9q__@yg zB+=@KL^}m;&wQjNBGKxIL`#+KjE+dO1YL9?iB`)!?lOn7HK!vIEkR!$k!YEwLPsQ8 zw#q;qk!b&dDNv~+5-kT?jgCmP1hqOM(bB+J9g%1Wj@1!~mY_~YBwB*;IwH{$)a!^u zOE5`CBwB(79g%1Wrs#-7OVFqz5-q`09g%1Wnsh{>C77in5-mZqj!3iw^K^9`Zaa54 z1PgRTq9s_Q%P~fRR)^b#CAyGAt0NLEqur<@5-q`U9g%1WR_KUC%hqYt*U{R~95YEr zVbTOY7qD8VFw}xo0v^%FW6}h_5U@_af+-RFQowqBIhsGXN5BTX7{f8RS4#c5z73N& zxKBbh>vNgrehK+lui;+h0Rf+d&oR^0&I5>T*^_(v!9!xCryX*d1;3V7$=P8qEPlan1XbAKhp-$xECs2w!yycNL{OeY%hs&6 z!zGNlR&3VT;ej}n4jyxUkNgg@!!9i6<6^Vc4&T>6zZLfKSd<#?27@OA9czc_a$cNgom)rJ`j|1!nI8IcZaJ*g%eWMD)>auKqovJ%@cg) zRHE*c35k|5^CViLyh<%mb)r&Blvk;hRVPuY-4!-#6O~$`u}+v{$#O2&w7Nv4mME`M zOH`kz)Dq=YYKa=QNu`!^yeUzsCCaPR5;Z3(wM2Q9TA~F`_yXH(xJ#5L(Go4$CK4^j zL~BB#{SNBBDk0Gx1e7PyzTF2=UCYgrX#FpfXw6+_j9YM*8RMF}Ohlr+4dwN9M4~+w zIb?K1qGh2&9g%3+em!+WqUF9bXV%dPF8i)RM&ddkW1ykET_A1ZJ6)Aj|p6%-{wNNK&(~j7S6wg?ktqHNlN-evfQcHC=N_DGre>|TAo89-Zyj1JyoV@Qz-D~t1 zHpB<+c%-S-Srjn%*nJ-DJyvh#+V`ouM*3qS?;W4Jb5ZMhJ&3c)_8vt@gFd$!!1MTn zl1BYLSF94RH)2oKO(;~*&ZE$7lfEYf(B5OIoAn(8zJLXq{Hh=&V2LKd3M5)aYta#j zmSDM#NVEj4IwH{$tkMyQmSDAxNVIH&M|7l8OYpcZRH@ZRunoFMsn=_Z)$S^pZqQQ* zwiEEW<*r2k>A@ev&-cH;b^BI$RJg&;@}O!ubEsD^QHFYEqDb=(H{z*gZtUu@92tE* z$5fTaxzR<-eA?aPdkzF{uV=^X<$Z+auhJIp zkNZh+tM%1Pv%lx|=XUHgra3^;)ar;t%QRzkq*BWUtJ9H6?Yau~Y@tf6j#O$>u-2#} zm0Fr^(veDSM}X$=LN?e@UPVu|ksI#7@*Lxd?nH6N-gV`SE*$wa#)e_Dz*Oq zWA9zSDBf z1lS;#1foRXV3H#kkV^p3D7Rox0TJUp2yy}{py&})6czRN{l0JYOea}19?$>xod5Z4 zo+q>Qc2&JqUAMl}XTFncq*AL5eL}IJPbfCDSE;pg8YtbX)Y?61oD}oS>ej2&@(PLH za72BeQhPL}L#L%MqRUlkOD&b!GUag-QK@a)NTpUQbVad3R}?GcRcbAF;@!fSdjM5C zmK}Fxd3rtKvU)phEIxx>*mn07+wOipYwqPqJs?@Cc6W~ERq^2*Np=NqR33~e%UQR-XRCf$#$O{S zorpfQyKttJ__$?f@RUk#L2kP%b&g7J<&5r@;AGZv4@tW(CZ*j|f;-W}UXox1kM1qO zdr_p_M}n83SiA4bXoJ$JSXb?vCHVev2p%XN0+eG9lHeTlg*{k;EuOa2609w$V67yW z!Ac$>!CP6{dnEW6Jsc$kU(M2vm!sS8==g;8e0EfYMY!P|H)p!O5I%8q~X zC7x z3)kMcwcU8RHAkRMwcT$)H3D-M>RaoU^ALgh+8zv71yrx?$>en**frcRDp)%YX*!Jx z)>0O{OQi(&=aYK3DhVFIaGz1ZTKb%2RIrxe0n~FJ#8+s%jDW9w_9fU5tfe@tQNdcy z|G=nVEyJ-7F)CQga5X61gFm%H=&q!KwFkgW<^^j%M3*X9dm(~>QNdbuqk^@( z;{YYfXcerLCQ1@!O_DwESyI8;>kyP5le+~0sk#c*ZiVS=&Oa8lI9al)V67IhkznnY z(NA@A0a~v%z^lWc;p$R5jmd}1ZtSBuJmCeV8+}>(FvE+?I(*j7;01kgF!o-91>H>u znO$$(CWg-;3UdwJ>N>FPKuR=Kp-`{8shPiCun?W3RK zStw5{XJM45WmhFedD@$i1TDos@_U1D#9SriX-`9tJS}eljq^#({S+ zomO?=$#i6u*!iVfPe=6pd(_jVZ^FxRBchv^^CuAlBVw3W6g({`cv{GCJt+N>r*&l; z@@~~x`}pPbAvL&~`Mi(C>O+J(KdC-$!_rr~Mto?^u4T9plrB0I5!bzy{^r&`)=Q2lMGkQBkx4Er`Y#(CHj8E;}|D9pMZ#` zPo=;9+A|b%)pq)^FPp3KPna*!a(>F=RU_l>Ir`9_2dO>Jc53LDd4b_>BW?3Drs*@v z(=v?TJy*fn2er&!Qu9@P|4PeL!CH=!MCDjzyP_-#*8XSnJpZI+s$ea{iIMT}XZoue84rJ9*w2T*GThS?ti1`2M6mYFxZkwW z!)>9sl;=?h)-ru!y3JS&8*LEmKvk=V|463(FesU~-=JGWsJ=%O@H2 zH_7|+JS{7hSkKe$1*2*`Ps=QI>v`H<7!5dQ@`*H5u$Ff%9ZZ<7&O0JJX~z%ZoVO2u z#KP;fDdER((7uhMQTKiH8dBR)8ctse)TW2LzE^`u{U1TPuJ8!t**dB19NyNql~3WNWH29WuU;g^`X zQF~Lk>%_crJ(Av6ZeL$HnSA?6Ox1dx_BAv@i>LiPJPA+xK0NkJCMZ_x4f$1%T(EWp z!(OoVj|_XkT3&3nr4(fCZ6Plj+fqHU_V$nqelA$c#WxqMxH!?AC3mk_o|D z_EIib%kIbpYuUO@!PytXzgyE?l4Xdc{BZ8jOde5-ez0lGTMGRZ)r9|C}@`(qEdwFxqT*KUux`&xY_S_M8}hcJYCTVT7rfW)-ETm$t>YKB=Zf-8 z9Lfc2trx82TGOR3E4GVYMzUU86s*;!*=h28h&5RSYq_fAg0hb?3x?hM~V} zJSabp6X;X!dGgRLg0*ZI2-Z$+Y=U4dy)^}EKZzXH3)b?~@MAbL;A%J7ZBDEgtX;sF zZM|UawJ^rmzo3QO9^s8J>blLhrxcI(7-(s?m-N--V2jHw20-Zc3HxzxX@AC-bUh(o zcm#Iou`mt{2M~d_rtyyOA{bR0(>PR&x;-C@v^zXpikOC7`92uOhIhi~w2$MO?oJFJ zhS6mY!_AO8U5svfF^##B46hb3gxopd6G+x;F%Xf?w6fo%Qu=tWqaVf}GV!m&}f9eOFO%lo@=(dMwa!}{}_dy}Gr zVD*Icvq!<&E;;E&b+G!w`bD(%kGAea zXNC2XX&o9J2Wud#KgpW)M?8nQ*kn5z)(K(_hV?%j4{LUGHBt_R^=C`4=0$gUD3>+)&2D74lu;bF`GL>y z0LN}CEt0L|QI4m)Ac`S(a(;7{q(in!l7!PXN&bUTTn0I2JD5gY$@EH+KjzUK#jR*$ z9ESsvk)t>-XqWsT2e3Ngok)IT(2JuJIh+94^ulW#K-=Yal>y+rZePanu7xlj3frhM<~ zrId))M>C^TCa=+G)DAah@G+5-ON*2$4`1X-Ov#xyY|2(?5*oh9MRdXWsxDgPv_k7= zLYYl+0*;8RS>OO=n5Mx>PtKxWOy$=h}h_pNVAqct6yn4XMb}R!&0- z{`aYpgs-7~U}J|M*q*0wZWK)VBZjk^6Hmpl zu?#odhvE;wus8AQd`5f$!hz+RPB%B^P)+P0vz!@oB2=~VABpE9Sv{D@)N&c?V61c= zN~XUgVG&942)eJ0pzPRZa6g{73*)KcbrG+ccowAbVB%W<) z;fiyo4AI=0xBjJt%g+@Qw=@-R@JkEMXhRyHxNq#2zrh#`8~(cl1@8DLvu)2S1VwJA z^&PEod79grX{KtL{$Sd1xJBW=Jk7V8X{KwMnZfkA$7&kq%hGao*7!d3@hZf#eljk$ z2+BPB;1BqSgXvgvJqUa@+KV9YWyh(zDR}qAe~a*3I-;gKqNW?fJImLLR~llu@h;)n z7#yn%vD|pq@b-vUu3a<=)-`E^t7*LCG91i^<;F`H3^HQ5@v^HBPBLP-@r`s+#rGAC z#IbVnJy`A$eB1<6xOE;q42B$iAV0cUP$iaIBbFQQ6Y_YCSZ>^-;hW(i-dDoqWDSfG zSPC|Focph=95q}^8 zQo%524^WlSpW2hDNa&7ULI>?6bVn~;#2^XX(Kkv061t;rlLRDmN8io_5qb7x%hMPn z&t9(gGf1Ajyybib$+MToU&|nQ_Vj4UMzA+~{!-)^#qSM71bed=mN7`MH~aam2*wKb z#tQbv%Dl(Q_eMnD&BynqU!w2k>USa-CxX70lWFM2WNUnkiCQ3V`E@~Hx`Cq>2t1;u zJEEqGR}aR6z`XfbV>}2}aoqrV@oK?^C0Z>Im{v~{1g6yjf!Qv-O%QkreD*a#U|KVq zATSr_{w4@as|5nnn$-k>X|+ILS_7K|0<)(Fn;Z=5SZU%Y=OW(-UdlW)O1Y|1XfjG4+5Ja2yBWVu<;=9JhWh! z@gVS-Xx(m=pIlVpGuzp?j>7r8c(<5rS0D(E17Yi9SqNa;=)O-!I zA0RNNcBkdEydW{ExN7QujPO7fTJ+ouEMvbWH zl4lxG(^U|dm)S@`UU`qi~fyT^lzl} zuOv|VSAt6aO0Y%$MoRz6QKf(7iB;)eIjZ!p1Y7iPr1US3rk?(#IzguNFW=S$*&sgb z*(8DEAX565FhPk4N&mKCwRs)-H}&-IJS54K{^j;lkSYDk)hNl7{$+SXO&6~$YBPx0 zrk?(#2ugMYx~H}~ZySOUHC-7qO8*Yxu@y|~NAO4Ykfe#q$w@d+7AQ4R`j@Zh-PLHt zr3lDtC8dAI;8`L&|5&u{$&yv+Uj~zGBl?$=c0E)2_g%<1m?{0sIXc>0%)tH#s6^hS+GRyk7omnTE|cM;EG zL`|0slnMEHEf4EVG_PJmK>u=ZFph~8LE{~AyK-iZ61 zRq_Tbr+>{ldOI!T-OL)}>0dr)d>1z+{qWkZ^e^vX_+SD0m$xmE(!T^rn)EM!fy;RM zmxCXp1^bwwe`&0kC$ zk^Vhw8??2jf8`CnS$ZrQ{=o2fM4+u{yd(T5jH>bUFO9nK^zSENG>oTzNltbePygNr zqsw^umqxeo^e>b37*GEaqwF8c+WwlW}WdJpH>hj9JFhzcdDn zr+;^cG1qwdm#znmr+?ptm?7io-`Ox07*GG64`Z?M^zTv_ON^&~8MEAY`j^HEbBg1^YriGFxD7P|6TxNt?~5lS7EF(p8owKj2DcjfA@O>x8OYe zdn$}3{rhnkL6iQal{D$!yJ5BH-w`!kWf(`)bOq(S7vly?@@W{SoeXo3YXea+q=UB$ zh#tbxi5%D(bw3Vv@UoxBe}?fK>xFn`?U0n-$=8^Ob3m0iC5rcc`amwpeac@j<-fjO zN{MKFG&4$N@)~tZy*G!CiJV+oq*QtMB1hD8n>{h2raPjhTN+W*9Z}N-yZg_p>9&u> zo%v?l@#b=e#RP%3A~wGv+8SQ>{1kw|myTirDr*r0=2L3epqNOsuxAS2*M*a~+6xHG zH{IdZykrRo`~wSf8*X9<2z<)%rv%})EGb&pKaG3y;Tw3p1MWNR2nTCBdE8joH}%9I z>}2Jlg@;Z(sTrQR(1S*>&cC9zRR} z1k9Ep2a(vS2gZ0LQ_(e6tvS4CR=IJp8-T`+W-Ey5PSn@gz3_ z|Bk@FBk=DC{JRHMvj0x__doH9B`{tEcpk#Bz98@_z~;MHmfht7eO8TE0p{D6F{Y>j zZ1|GET@`UPZI~yp<>VHLG1+((VCLyGUImy7<5c5SfNMzIWw;Ew>jm)aHC_dn3x1#R zD!_Cw(>#vTcT1%@N18Qd7|X=yH(mvp$&NE#1(?PN{5t~w7FB@%_rbqMfY4grQ~~Cs zX~DmY``--z_T&7z{+r?76X3J23IEdie--|n&C%0@f6s!|f`2!&R{`dQWrFc4z>mOam`S`comk>{?=&wS1LK3GE8(Ea zcoksQx8D?1fK3m!7@-2pJcB{hQUNY+tOBfT?NF&u^&ww%KB_pc0{q_w|9%d&{V&14 zg$n-PgMTLjm%z(d`z@*CtMH3P#!0f{z5ru>bFpUMG3iU4#;X8xZj3Qr1(@@xZoCTcYQzi=|9%t6n(*&-c-qH)(J=7uE{JKuzs%ET zyb3V$%rssFn9bjByb5rD&YWe6D!@imME*7219|2euL4ZhgT|`>?*pT$0z7j9o`^R| z1(@g8QUPWy8d#B9D!}E9Re*I`pAZ)s`h;Rbdlg_ar-32oRe;T&G){_nX2Zk33vu__ zDLbc472v3)0xUw{Wl;ehwUG+2R_Kaig{~-8$g2RGq6)AnssPJYE>wVbz@2=q0?Z-N zX`W3{?W^Mw-ZOL=uLArPv~;)eD!?>)j8_5v6L#Br%_n$+{VADHea5Q*KaQ1nrdeeX zb3@Ggr+(vAfNA`r@Na_Z3>mKi%qM{b+HiNoV-T}gww&FaVl2TgxtGC}?lc|SzU7To zfVJ)JE4JNz#kTV*z^14IY4X^2TWCkzsCVn1-P^cD!@4hObOoqs~oVZ07oqq z;1a8lK8x3JRr~3OSRImE_6Dvn`0XG3u{ScD1ksO?$bN<@1o);d4wVz44rNgdE+%nkn<{giXVyqf$I+%U8M z7r9|p*>l4@8FItlrmDdOPYVj37OJO6RfBg$UaAJ)Pamop z%zT0RSgbx&HCTP9YOweijvGFc{=f~tX?Si}{V6xhDUfr+4CmZ1!#$a*2D6-*nW_de z+@GmxFvGL5L-AR=iQ$1DQq|x`wESD|XZg}5w-?%EMWOsV3gzEfDF3cP`JXG4e|Mq$ z&lk%7f-hfHgWrmBsT%wytb^K~_Z8anel34R59l>gRW*+`BlMGigRSo9Iu4kfK`Xe51VA(wZ6?u9o{XsSO2wbJLoqp`g#?DXe zC(M^93l}c=!v$em?w_;hv^y@Dp$-s=<^?&ADN5nRCNT-{gjAYzjBL1H6f9@C|h9 zRfDYAt{TiNq8iLwX3aZJX<6e{gV`hym3}X}c6`WGRpV8I<@pTD z8uur2)!_T+kE+4E^HhJ6yg#oREY~IDRfFFN=T+lXgPEmnylU`V7!5dQ@`*GG+%Oa7 ztMhvi&beWWu&4&#gTUYChSygOzJ!^n8vJxK^M>5;*VO;+;rNOFI5+%rW+pd$JThzL z{)^o3c5oo7!B=#&RD-X^1tnJvUdJ$Lz1l(H4j84mYA`Q0xoR*k8o6pP7yMi`n2T?& z8q9?-R}JRkk*fxC;^(TtoRGO{Fegf`8q6V{s|IsH%Ti6ejvHQrh$c7u zc^FM@m`0Nu{uYcTH~cJ&CO7;lj3zfsqsa|3S(6(sPi8M*T96xNOp_a)jF=`jyg!U4 zH+&R~CO1r@$qf^YY;wbN-QtFNgV zycX8XrfP7t6MfZG4SpxASxwd8MX&~%s=+*mxlPqzT7ym1;IAR&P*XMd8CVONs==f1 zUXQB59HbpwvQ%TYoNzc#m2~ z74MAk!FwZQf0pqa>xFnmt;Y>Vh@Z$gAQjXo-urFL4R6PkBKFJbZJ1IbS|3u*`X8uK zx76zpI^yKgBBjd17kLd+a^?-2vQ?Ud)-Nq@goo&Y^Hp86%4vnx&xmS{M)HZA)~}yu zlgi$Uez+oR=%-a;vA-@rN*RZoR~u&GqZ6ujCtY&w&{@<{4dzu3@e~Z6$n`_>bE@I! z?{KtpHG*7ABnAf!!V|fiXi`7sCgFf2<WMGr5F5L{moh_i&|0LaGLr zMK!pb9L!9sOF_VeNXsZrg!33ppIn(VT2zC*rzLrAE>(qSU(E;dXs%ra=Td@nt$eKC zO{m(_c|NXkg?y5_H4^z!h^t53Q1mL*tq} z3zt>R;#a3{Ck7_S;kqiVcr zFpZXKuz`cJs0No4I>TzqjV+D{ZV1c!xwgRZ&;a7FC14h=@_k z@zGQbeg=l#vw78Ey=ObW#E1k%Gj&ll7$=J(< z8K4@>iBQ$beVd&=Y~7*oE2_G zY0=Wcd(WMc&}?jti%^Rjp2Qj5;)b_jN#urw6rRj}iWVLzMDU9!ty~(opbf`zBKX56 z&J!z`7Q6+%XS{?olob}>ml>o#pw(}GmiQ~2t zKQJd~W4QD#IF7d8iwTpq6JV60GQv~$W8{nUcqyw;dK(^3qRSW@m5jaxf0E>j_(XXZ zp}aD~C5ok%wmgPGilxT&J_ae48gF?fgA_}R$A64Lilw$4Ev_k+I_mka;Aq=xjG|cT zs284OkYcH$e*PSSk&2~8DwbO6?S(|^T2`{$6(Ux}QzI2mEm1r*`M8_{#Z!~3_54IU z6~FL+45LrRM_QtIYI*zf@tGt;_{=1j9#UEc!<=|rkiN4-H>e85Q_DNtiQ{dI#Lqn7 z)7Eh`DW&rxht6_qan|X_qA&6q=EzUNn1gWauEJ+}S@dVH=7}RenO+_lIH)@Elj#*= zjB(^A(<>tuP{(hw!?-G9-5ZYlWV%R<$&UPF`U%O?>Bvu}*G25jsgC?)`ft%wc<}3T z#X$+V$60w$M{Nb6l1{MOye_R%yI8#Im^YE>kdIZ(woFM%l(#x-YmwTo5Vby6=RY}Scs_Sa>#T5}qPNeBvCBtVZdV#j1vt(`c=ZHbatnSlOQg;~{Y}A*|fN(exE*l}1?E zisSLCVs(a<-@$YGHK|BfSfO}o`mk8tVTIzU=_8V|C#)=H%16a#Z&;ytYWnr41LYkY zR>rWNk4ehDutM?F^c&LfGf``H(Bonq8CH(sIeb$}=npG*GUXbHn-x~LjhB8)tl44Z ztF*o?)<9VK8O!^=SaZV4eQe7o#F`scK8b0bJ}uT+VPysT=0BqCk$o_%e2s%`U9=CZ zp|J9+8L*y-&cMM1VTE^X>9cZ1i^Ix}Ebqrsvn65WnB!snB%*-m^00C#Q~p%qR)m!! zct+1j+{&=>UB*2xajU`#f5s$zA-W5V^>A2uD|_~5Vyy`))V)P?aa4p)5z48mO z)`gY1Y~5dq^#Z&w?mxwPDMY6fMDlc>UX)#+~{uFKV^ z@)CRVx013RRo>1vdqu29RN=Q!(m%**(HT{^X`22~*N&)i1n1;y5v5JLqRO$X%bz8# zJF1+@a}P^gW!@N7YCQLnSUpkYD2~C=VjU1wCb7qCNmd=?W9ju0B}4n7%5OMcCW$s@W%ii2ttXWZI9H-j0V$FsZ_E@J_15xFAj-_cOPWL%c z|aa5VXme{?-akeC?tfiN3v6e@by*WPjEOAV%h$=5018eWn z?r4dXQH4BW`lixbV7(AkKR9rDknUUJ_t*pcX8C+9K;&B zy~@11RJh8#yEF$GV@KXAJ-oC8^-Uc4$@GZQyHP^bk)KSDluFkf`N{MsX}<}M{A7Ae zi5KvOuA?VP-*q~v@CQpr!9kak`VL5a`yKhobdFpk=Q{F}=~DTf#a$D-BS0kN3d%u^9NZUY^HK zq~uPw3&;LXr3<>8obGdSy4`LEoOJq9=~tLyJ&ycj`Wrd-UPpd1eWgTTr_Yg}OkXWg z5@)6(KbiiibU)6p-;tk8|6IBVXFbc2pG?E}A;b*0Gg+ND=H!^`sLhwQ#r>%DS#FT$ zGb%nA#-O9BUOGDFoE>tM)k_mG7C8Q@c3Ku=vE$Ebr>PiA+`H*56Jxo10&Y?x#tL^K z^H?!fx;Jq0I5Aear;mhDi8&u1b|<_CMpcZ*9BjIwkSaGn< z&7rZm7%v2+JJ9Fl9rs03B*|OwX;0a_vf(`dIE&_Xt(dj6jn)bvZp6V!`n(iC_8qMGB z0`~cvrMf+i;;HGs#D76ducLTs`c}!)=g3c{2TGoqj{Ic$Hp$cP$WNwkkH6l@o+Uq- z9wO&9;K)y={~E_TuxG1Gc9^&xbmS+~cgHDWhAJ1b!48k93Y3&8Z(x1i6R+mDEmf9r z+#MCKgf*sAIf#|$ms6^jD!*s_j+R<9up*J4EKmO|S~W@7>e>5opgTP-=1kOvKB3sq zClnicmT%}e4fs4U=0vNyJ!zaIXI6Lo#lm!U%qwKWoy*ZY5Fd%@(CNtCq^HI#xhp7< zpDcInjxx(EI7#-yXB+M8L_{r5FN?YDsTI1SSfMM56c~%~SH{0W zYt-Fi?}Kqw{0f%MhCBx>k|ELQ$WNwM$B(0NyBzt+^qP19jBZDMGQC!e9!GvMy*~cx zc=k2<$@EjwmVNj+JQ&Mj{s_!Ww+b~+Z-{x{+V99urZdr5*7 zJi508DV|#1M}ibjE${m>+Mq=7)bg7pNb%J2LE?epspW$uNb%J2+a%jER_7fOq$w}ceZndFVAPVtDVbu`4U#YyPd0e`C|;faqM&y*b|h<*Ojkl1@|j~?Wdxa*`FD% zw_k}evcE9gXg>-?WPfG2)7^o_%mVqj(=PXMw09PY(d`~a{j*4n9=8B(n8jlBy6>Yh zS(_Mr?q_h5jS^#~yPP#i!X>C-zuSB=j8sIhX1Rx0FB7uD1MXl}tSZJFcVr5qJ^Tb> z=DGySe71Qw1IC~mwHb^p!neXW-#y1d>)|bMeSw>VHq0i31Yw8VN71<1w&7AFTi~|D zI*{qFG%t3OXzVDzy1c}7(wHvBayOkuml!MDZZvid**z;Ae+DSqMe4T7@n?XtUBfS< zoQHzV7hynW*VG#521s7=B-{BjK-p3TF<9tk8TWRR_M>MZeB&uNTtyd>@ko*va0XlO zR*}`N?}q@7vNz@ExAUW$1>^WLKwI)>fU_-5qG_LcB>{`QZ#m}QRV zZ~vGf3GxM9_K)3?71`p)@Ub(RbOP3Y{29;R{;}hEWQ)5H|4sy?R$(wcK>6-d z`!N-N`^PPzgYo?BAGdTKgZ%9uccUcWZ~wU4BteA{ zuU!dV`kd9S1TVt_sNtT7--5<3SO~{U6x6hYirB61-IS4ce99RX1{mhw~m8Tq>hGxLD@D ze8;RwG6SC_RsOvi!7bLn$!8Fds;lzvmT0v0^KtW4JDGk1RsPi?RQZ?pp4G;{vG^hE z`_NDIc2)l6{mfvyD*rP1Q2TCJDQa_g!VB6}`Iq5E?d$MaJ7X_=E)KGL;jNKQ2$@|U zH7177AqwAFL#L!2VkC~rzv))88dM#Xf73~_0Mv0-ta3?}e|a(_c(37ED8b9R1nnWR z)Xrg7CGGcPMW~&71CpSn_$O~9<|-+{dkTUic=^!M-h)A0yYMz~B>e0}Je=sjlWR#; z2cBF@SS5CTNeSN1tEWr%z#eY9P>7eGifRPyO7IpuEnxM$r-cmHgVHbGkD{*(ke3AS zeELv=m-)Pp#p*)|UiEPsuG_V1#D~`GT80}zNtJ&Opg$12WxSEsvs+p?yJhN630_Wt zYWrv`Vzo~*+^JLJ28Mgu`|(-3k-lfPE5XZff4dUA49{vm6cw%A#PC2+Qi6ApmVfJf zmM?8`d!bEM6w1G&Q2w2T^6x5?|G7f>cNfb4e4+d=`0|zD9fNX7@RrBr?Rj6JJ@41@ zSM;FX4`}(1GQ6r#{)1Y+kfC4J@|ED#@`X};NXr*W^((&o>3AVl`zn9hkOc3pEMEy; zEx(7u^f6I|4N6MzjzJm{yhk_Fe!GzNJ3g%vygf_{g7*%lRf1R3%Ch)F*1?b3CmF7` zE5XaYueU3~%i|a)JD-4xJUyHKK=5vhdw(5wKlWvFM*M{N5-sPaJYH>=arYd3)O9(1 zp6%4oG4le$-R(;7GEHB*61)uKH|CY#y;sZp zD|B0}*>Hev`QoQmku?#R8h_bAop*3$NFvgcsqU^UA-n(#tgj%D;Lte31p^UtZr^ z%D;ypj}W{!H8ao9nm3hyuVfM`|2~I+IsG(T?Dhy{i89BXJ_mOd(o2aJwwDyvQvSW0 znMv?=P0TCTBkA=5SKHTDPA1=e5>s_D`}UU@Z0xt6fqEYh{u-Wy;5`Bl>XT3V&d*^+9x zyhf;%{L5SBhuu1QJ1yJ`f7Up!{QDY=?_xxsfbzzx z@-J^`#^XT_%D=n`DpC12-Dd)JQnME^sru9L@k}%yTd=Ks|9SPpFC*)H+ zo}i9}abS2dBGA?}-VvS$qv~QBhl){mRQ^p553fT^!%_J+JvO`*MyI3lZ+c?58b+6+ z@^5;&7~PJ_zv)~_hL_=3Uej~J?;=^R!&C^;c@op-jyo2{{P0(Zndzwfn_dyR$rvb( z%D?HtuoK2CN9Esikr)Gx%D?H=;ogXu>!|#jE*95=u1W{jhDRc1$nC&9{}vLFT;MqO z(xo94PZv8X|E9}A!jMZGS^D(fC1$y!@^AWSF;=)9Ci_hIH6&Zl~GT)9;4?#_bD^%D?GCA|pgw-EbE~2%6G_ez%6;@8Bb!hZHSOa0@ zN!F}C;u+1wwlL4=1hEFg${*Mcv!g4Kawx1kTY@z=x&_vPuyQj7c6wIyEm$OYIY>LW z%E@IDs}6_rM2^-Du2A{WQ+bplRgZF6>);16T+Aqr+x);X9^lw*rA4y4c$7mmclijy zot)p?*XxkYy(HnZO_ConipwC!YzNb*E16zN@=6}fQQV3~#xXb`899pcf_BUgasaEN z;T%W{{f${H8q)bTTZ&ucSW@?b*TG1r&cdGjU*RCfY*v4n{U~Xa0gtG zl4PxXZoy2PR$PcQQ*j~);7eCrnKYXB*d5-}$$4%rRfT9@%}erVu3ZJ^Qi61?e5~J1 zsM<|=KCW_we3H5~5?lFNUBwVQJd=Ci%DABinOPf@ype}(kWm_jE9QnCS|t~U#_@R; zE~}cwuTG2e7;a0pVmfG|wl&3EuR==m+qYBnM(8N)kFt64qr4jtC+Y@_w!@*n-bQ1RlJdB!?l#7N_6{ z|2L0v4`tGOc^hK$=tJPrAr1E^^L!COQoq~p zhd@s7rgLJ7>tuf{u{}Q&p9sT|;7!kn&p|kFeAAiEm0iKa4I){3X3U9D)f>)_#Pg60 z1TRy|Wvqj-(s`%_{o%c~d_IKkYr6!&>>2b#l3p0EfZ_OVGo3G+&p69x*-4kgA4iN3 zyy>O!ez;gB39H`rFg}um*^=a59(V#DJG=+aMB!E}c0;N)ypBS+Q;u$90zTY|r0?Cx z6gD`!1kLSZ_DSS6iRMmuya(pi9J-~2OU|9b7X{%qYA!x^%2`Z2nZu#9aM8I__;?a- zdm}oyv~cL$DSUJZ-*AhVgXc~au6KL(9&q7l$DVRr5bnTLEP@^|-#LU+xB^5ARRwtT zRBUFI))9Nfzv%-k>4GB`h!sp5m>Yygar#9I`@2?NqDK!vfAHVbci?&!?!n7_wD4eb z_9}$vPX@@Cpv-0net?fS*c`XJ9tOS{MGywQ*cY<9K`Q(^2kYklHW;|K7sT&cj{P!6 ziU)gz=pEf6dPlEM^zs@%nvI_Ny~Z~poXK8e^2*mDyrJM;W1@SFhI@^P?ll_jH72^( zXt>vy=w74YUSpzrjfQ)TiS9L8?lmTUuhDX^G4XqimV1qf-)pqoYfSuJqvc*>;`bWu z&u~(S-)pqoYfO^xuSnf*x!0JaVH?KEEX%#d#P2m)?lmTUuhDX^G4XqimV1qf-)pqo zYfSuJqvc*>QV%mExWG<262^p(bm5TYUSs0-8ZGx46TjDJx!0KZy++Hu#>DS6TJAMs zJM0!zeud>;W3qF|?pbNM*O>UdM$5g%WY=&K%6SNCucKgHlgVCVvViRQE#`9%XOJ(Vg<6o8dJeN^0j`W3o@k3ANg zaJjw%0jX6OY{tFD>{CmbihGTj>@{v?u|j0B*SHz?8nYWE0rwg+*=yX4dySdwHI~T0 z8)e{s12@9Jn-&9ahGF1y*qsc!-JTl2NkXV%?D-tK?vT>qFg6Fn?$%@2?G7j6cpD?J ztBcPq>ZnFia`U6FU}#w~?9ManmJB)$lkItE2loka&}qrAJI}D&DRARF!*0C>Fb7+&^%}r5`mEOg zW}!3fG3Hn@ z>~6UjbL}B$TX&NfXIV1r?q)FtEg5$ASuy5WGVFL`$wG%L8FqK87?;|fei*ljvA~jH zcejhN$dX}qDS`_!|v`9W4R^6?mj2REtU+syE|g* ztgvL*-RH$vY00p=FNm?ql3{l%#dz3~VRv5?V~r)l?!F|(T1$rA-6O_2ONQOuE9Jak z$*{ZoB<3Z1CiC1cF|S%O?Ct?EUaON~cdMcYkU9wKWZ2zZXSk z9z{xgrI-x6dq|v22J00@gJ<_OsYq8?C&TU@77Jf6Cd2L? zk(51QeKAu$Dn5I|IvIBN_2_#j@8GabhTT0TDf_}Y8Fu##X?T3ym<+pnT&yF*IvIBN zO(~&2tdn7PYb0(~Sm#Ez`<7U<@pWTb-xg~itdn7P-xq66SSQ2oo)8OPOeVwbo)+t@ zuug{E{YSJ8H5&};WZ2!h=y$M&!a5mt_e``S#>axNPKMn*D`&Jgtdn7PKbD#;3F~Co zUCyxA$*{YhO5BRDegw}bXV~jx*xmCIw<@fYVaK;l?m}Zd9M;LOyPRRKlVNu+M!c}D zMVql#ej(Pnus)Zq`%AH2fEUL7r&uqAc!dt@S7N;y*2%EDm&6L9`f2Rxm!;*CsLtIQ zmow~jGVJcRlCmDv$*{Xu#A-x!GVCsA*z3dwT+Xo9$*{YeVXu>6cR9meC&TV?hP_UP z-Q^5>oeaCn8TL9Ec4ten>O^%i>@H{6>txtn&al_Xu)CaLuajYSIm2Ej!|rm1y-tSR z~1Hq=0$Zf>~3eV zhNAi}*t)xjb!k+8jb}7NtOZe>47=M^tVL0s47=M+ti@5C47=OC#BsJHs*_=N-C`|| z>SWkm&al^sgu9$!uagOPIm14V47*#OVYgG(pt?!&eSD4;hTR=j8iYr^%6NuduQHxt zx1w3<8FovC-Fb%HdJSMUMBRD~U|vfmSTgL+GwiyKo+y3SX{ExRVYgCW&#>E~2C(hn zVt1D08B~VdPW>2f^)}W3)~b7kU90XHb}Oo^UIW;YVRs*si*QxX<5FqUx+TNzE*AmN zh9$%9t|lJ)mX@4x!iRIRxd#1$iMOH2MzumLa0CoF#4~!p+(XeFLooCoB8Fu$m88=;e zy3dt(*U)Wu;CkyBc3ac{wnYtK>otJ+W@)DN8o*IF{{Zmc+1 zXUVX;&BgExyWR0s97~eF;ByOQ*xgPszbdbt=^1wIOwX`u^Lq_oog2GJJ*t)ryYmdY z&L_{XTQcmDn=elRuEg5#_8TL9Ec9%2kbu#SkJ@H34 zZcFuL9Ct^>#1qGq>SWkm&al_Xu)CwB77fp^+v&%kRe>zxbF47z?zot09oo>IVb_NC z47)b8*8sM28t~~gfbE_%Ji~6uu)EnYuaFH(hTVCF-I6?OY5)tvZo8=YTV}zDXaKj- z9xDvHyDa9#T`S}ncCCu)01Lxzy#_GnP@g5k?v}-W!C;ta$*@BMnD?#y)@uOMm}SYZ zyU$3>fF;B3mWwf0mov|>TQcmBH75W+c`X%3Bztb`h8SeMB43H)MyXPAU^EblxX)1 zyFG`xU}YxUGO>%=;B7otP5TKhn&Zi^+r>fO%cI7~$8 z-CoFWRfOK{MGV&s*LZs|!wthF-VQO`X}H4M`3!d%F5~tRR=?YD6}KN__>B{uLn%GV zu-ofd!7{6mW58@0fVW7Qh>&lRa1Iw>;H&@xn*t1M3NWxKz`#afU~)gbrT_z*0t{>v z24}}7E5N{}00SF^f!PNBce(dqxT?SEtT3?3wkZs3zJ#-{ z^~{s@Qy7>(6W*mliwXnl@3SimY!n8j&sj!cV1{!TxI%;UuCIOeCD&K3~UN8uqnX6dWP%4z#Mh?>+oS=;K~|QmHez!y#)iC^Klj17zP%}i`pr? zh!Ft91Wz-Ap{a~OE+y{IICt{ioJea`}6O>z?xQJU?XW?9|qQQc|!dv49q$}uNCvE z_9VkqQ-Fbu!oWO^ak4QCtnH*Qu#OppfwdfkfsMkz^ikL4RAFFkGlhYT!oW<^XA}lz zcvu)%eg8_&L1AEylSJ#HFtCpMUl+<$7+A|x7+Cx16)jU?U|;6OFtGYo7+A}HjpZjg z9ux-F@t`oUmh-n@U^@;=Y|X>K@+g|az?OS_H4g*ZA`EPgN1Ff!W;J_;hk@mmGlzlg zKL!J9UJnCX4+FET#1>&-y8#T$E6T7iu=?{bu-w4cwwAq>#1>&-%S(C=1KZbyfqCcO z!F!iYgMn=k29|3GU|>BNz7YTn%z`&aMZ^FQvdl*=jsLhKouokwL6!tnWu=@8f zu%_SFSFQ*HTMq-X+9NQqFy8+Z4BV9S<+GwZH|8*~yfOj|%qPkv@;sKq!16qn!@$)+cih16#tt&cnc#FtGD5u>B?q%;kJ7VPNNBV0};FVPIR7 z^VJ90^j#OBmSYFz^-%13QI* zt(WuVyNwp}zl3~UJlI}Za}!obeMz?Lwu^DwX_4D37%YzYH94+C4mz|OwuFJ5hk-3&VCP|AOBmRB7}yd9b{+<{gn^xhfo+uz zJPd3J13M1`Tf)GQWFR!T*b)YI9tO6Aft`neEn#5iVPH!b*m)S(5(ai22DXHO@nv~| zfh}QR=V4$=7}$9j*b)YI9tO6Af!+5N2DXHOori%fVPNNB;5uPom&3sI9~})Vhk@&a zfn5#*k0T81au~Qy7}(`7aQ#5GehvfI2?M(v2CmDiyBr3t69#rU3|uD+>~a{mP8b-f z2MPn%2?M(v2Cmn5IynqnCk*Uz7`RRt*yS*AoiMP=Vc25zRs(H0Es3;KI7Fqgp= z3@ol>dU+U_(Vde~4Zgxtl~WG`Ge%%wUy#7SJSZ@*I4VBdwqW396smg+Tq_JLM{hwP zI64R?o+-l3@j;I z5Tk^e8I^PME~vV(5ezI<=3T1_!!~mw}O)7gh z7btaBoP>x zr_+LgC8;0W!@$6N@4g-k%-k&)SfYJyfq@y_f`P@kd`Q>I$NJrbN(l_id@UHbm8CVl zTKSs8F&`WP1Jgqb25xzfIk-W|8+i~Im>ya%aLYrh(vEOQUMNoG*=*oUg*bp>(yvz$~$n!@%->t}UqW znMl;n_QM!zL)%l%x01uaJ{2~naa3SnSAc<=a=wIt^`6bk`RYB}Ffg!tvc$dEjbLD> zFtEHnBn&JCZyF4&_#2*j*Motb!oW>AU&6cA%lQ)T4J_YuLe7^%HL-)}8_4;xi>g}r z^6s_ z>%KB2hk+;Xnnn#@ZW5^EZ?v#y3ZDf-`GSXl!h9N3uvkE0zOo4$9Q6VU^KDKzNlk#l zLI!Wmu@Nl{6b#-*!C+v+0tQc3FnECngSX?#7A-tf!Qf5>gB1n-9x7Tc^kx~72O-RV=cARQ-d=pH z-5X{J=Mcmy@f)lBZ>;javC99(s{0!qj0P=jdjM0b?r%ih-%{teG-G6Ze$ z1yWXcz!0>Jt76PC1a0H?@J7VUH3V(r&BKFW3>t#A5aYs-49+(MZR2|QNqD=!Oga+A zgzzR9Lx!Mjylr>~k}WU_(SG9zdkFJ&;u2-?QWc)CeO&^Er2 zXIn*29*JY+G&R(*x5A%xGPk3~^N3rG z_yZA;3Wh;DncLBy+LNis+>TyC2km5TM=#}YZ6|X(`bJ4W=63XLl7P(Z=-ZhfqFi;d z*^t~yq^YD}@CIi6LXI-)$a`S{-S`!Bm7c(qPLk*9{TJGwF18Xse#7TQ~WU9^{O z;E3|niuR7;JrjOB6QAp&y(*#a(O%=x-g(Fz>+kq@wAXmF_d7U^s_|$qjWMQ(_8N9B z&|cQPVV*!+0_~+S*?6>4x(QiE3OXE1>(Ow#}j7NKE%r+kFr7>VU+Dl`O@n|oNxyGZtG|n;}?WHkjJlacR zp7CfejUnUFUK*F0o_9_?kae;VzjlNQ>`R%tZRURs?^w3ij>YNEZgx|?V(Q}#5`Ui$2P9kiDz z`!)&fWeNTNL(pEHQ48&5&6d0l+RM1tLwgyws)_clhSfrQX{`x!w3qX_h4#`~*F<}1 zwa{K#FE!C#S}nAfR?tLy+43#4mshoFl%u^&*+P41HJWHISBuUlM|)-Mh=xad8P^R& z7mx2iduhEf%F$k0JyDMK(mEi@(O$Mo?2O|+L*3+<&f)I@t}wa{K#Ewqq=qw%-JE(IM#AW8^-wq3h_0ax>g% zUOWad9_=+nwAU2TUgObT_V-{AQJz}S-txw1uU6foy;}7lU-cr|Yl>*Eo`*+!O%d%i zMYPv=w3iLhWr}F8{vzO_5+_G*5S@xYiuRT_Mtik_9_`f%KJ6=b74kWc_8M;FhrVGXu8b|w3n^XFdpq?$(?2wOhBN$?1C;Mr|Z#Pvjd(wFQC0N76|nPw3o(W!M=d@(pVzg z7tmfB%LV)b+Dl`F@n|oNmBypJG*$@{2DF!L@UTE(KznICW{PO9DWbh5u&C%*alOuX zw3psq2qFq4E81Hg2JO|(^k}bk<}R^L6ls2s_Uhc&P3loK9_{7a7-M8Ud9>G*aSUkh zYQ!|m*HCGoy)-&a5$!cawATde^EXS~drT4SHAS@76wzMe(O#ZnzbT@n2)riR8&RIx?!p-#@tc%(22Uw^3omzq_C}PaR9D57dY&N3|BLLf5TqHa6MDBm*GaHXfMN^nWDW6cV&w9 zvijYbqP+~~Xm3P$YDIfXM0@eXja=n~O;Z-Mw=8HcetHkHI#QkA1CRtiHG!dDokS7e ztbq1r1++ISpuL%*y;Y2)-mHN3W(Bl2Q?!?9`uV*UMSJBPM}*o?=eL|NHfrhorUkS& zI|6m8?aoVhkSW^Bvq>^x*!Ez!niX_@GkzCAHGdnKqP={n(U}#{-t6%nq*1h2e_CGA z-b~S6`ka+1+RN|&YDk@5M}uguuYLL@*dFc86z%2I4>Co28IChWds+P?Q?!@iY7pIn zKdSQ^wRC>d0@|Au(B4eZUUeg9xG~x*O;k>Z_LfEGH)`qp%1`YP?Ukyx(B4eZUdgID zzgmRq{BDT$W(Bl2Q=MNXAIcQ%m31#uw3p#U**c!%8C4D28)puOs8LBZ2P^%QNa^Q$#bv{!v7 z+M6lbt3DL%6(3r&YZ-0?(Z)KzdUi_-XQyayRzQ2R0@|xnL($$$(O&wVnJL=KaDS#~ zFT=C4L-AR=iQ$1D+F0jT+vN5_n<(0=k~ z(Ozv&MSHb9746Md^q}5~_GXVVtZ1*6uV`bWS|t7G7YtV5z>?Ma5Knb3t#vG41d zz=c2Jag39V(OzvQMSFG3{Dk=uEl1JbOwnHYsOxg7Xs@=JqP>}-y-d@WDcZ|0eieRW zonQ5>Xs?cwmpD!mt&5_)I_`g6C{xj1EmP56?Wb3?OhtQrnH!_M>RZuXEnm@I9S@53 z>UdDJSIhZZXs-g<9_>})+3Wl&7VObppmCzEeKiK!}BzrVzcpuPXiXs1{OWhXyw0!umN9gGc{9Ay6wzLJ50<07W*xc}XfKEN8spJk-co-TcLCJ- zZCA9HcQJgt0NTqb8d2wW7_`@Tw3mY)qXjpuKznJ#rik|HlX*j4bX1K;dsib%-4xMY z^GzJe(Oy$Td-XkqM|({X?bQd_9PN#$^Xq1_%A)f-9NMeD`s~qOeZVcCy=<8OGTLiA z+RIZ5^rUx_t?k4V(Oy$TdrcAT)g3;M_8O1&vPzSK_0eAA(O$mm2+Y!BQE89%nl2h1 z?KLqCkM^1(+G~nvuPLIvrik{MBHC+;Xs;QMl5@1z9LI7z+G~nvuPLIvrik{MBHC+; zXs;=vy{3rvnj+e3ifFGXqP?bw_L?5n&7-}hi1wNy+G~nvuPLIvrik{MBHC+;Xs;PT zdsXN6A3}S}!=k1PP2&Tm3jm5S+BoUKXTLE$UU0dv`6gces=-BvC@m zj8d7rM%_~Hmd>v@k}3~hWDD&bF6AZ_*+P58MXQ`vX#KjPO*m>{vRi2H27X#4 z7W+%kUXC0=dpF1;Xm5E#o!=JP%c~x$zVr>aFBP3%$xjdtM<2w|&eaHt&M#wdu%+`W zN&QjL`DIek`4zOctY|M2h`O&NZ|VFBmMUm(IU(9x7PPmlXfIDk&|b+TNqs?r_A)Fw zzY^U-d&`OF{4%$oy{%}UThLxc3)(Bu;#@wYYvp78ZbH=r?PWeedt3P=b!#NH^0m5( zA$Sn9mmUP|-N1v)tPM)u$b+E0^dM;O1|C`^7l($Rz04wLuVnG7lc2qf5wy1%qiAng zbbj%QvJ)G?+RM&J%pkLz8MBM3TKQqnUdDDXJ?cWVw=6op<%BxFqp0({9@;Ci1%DUqEsM_Y zDC+!{Mdue!tq6}2o!?i9_I9w?4XM`fIufy}^DAGq5qwwJ-mN&h1mFERvp1-lR9bio zcwIbqgp)YXMdw#&-mTSKeD2hNIj05THaxr1!UehPFGoic?tMMg-)Y>%4?DREf!Ezp zRDZWuxVLYbC<5=meuhr(jwc4;6qX1D;OTo~IQ|qTQ7)~z3{Us`=g2zpm~{Wxpv035 z4xEaImEe@8d^M-(cbmz9TlLqT*%sSS zBdBi=HtR=F-x1Vz4!$%bfNnB^`qthR_Fyk}@+n6T1htvru2?X(eb0%QD2InfGdvla zIJNhL14y$izXM)7GCYgn`#+5EQQ>0@6F02AFMR6+gb5hd`bSV-?D~wLzQG9UyA8j3 zJc9bZI-=sci7LJ$s4w9ufCC$-_EaR87sG1=_3hvgK}(FFz7d6YM^NAY$5G#%@hlT=Mbvjgsx`c>8vv}LzW;~4cL9^4 zI=6;vSJgl@y{qg-&;p#H2N-EC1EYWrAaPV;#(2q?h>k{d5JXUv5s9KPG9sEm5gA2A zMIDS$yo2UKVo(ew;w6gLU^IrPF(?`_USf=zZ>@J%RX2#|dP_?2ZP*9(%OnO?)##kWGB_9ZWfu_R5&;l4z{dA zRM>-GI(tF{o10SM;9#@84qHVk9E{(_66w@bI2d2abT}tc;b7j(nET*VI5-s!PKAT1 zlXH-}1j3a~sc>-JNc2W39E?u5#pM_MQ{iAM6%K~_?)UmZ;lZ_1;a~_i44|HHmshvl%dtc_41cCA79@=qi{ybfVW8UABbZZ7!M{CD17Xi#G#J~omQC=3_Z>$((o z8LslahdN!m>u_jixNg_$C9%s~B-)4Ike!iZ$Nk3 z0yg7D_rnS3lx*(_$oz;^SlOL%Fz!D{N%68H(8KPhv~$_hQIq=_?J#>eNRaO5vTJR`v+24fm!iV0+m~(_r7iAUS+x=2)aB=is|{S5bSmvjD0)exciBn@uSe^p2o88+Q|Uj zeN4)(%Q;=U+jO`^9G<1a-Qutl9qy5-y%eqSde4HdeHg-I!WNp%n0T4q3uwAH&`h7} zXg-6R_->P=*f}`l?4K8+L>Jjap2qQIH{S$ouRz)CTl_gC*L8R0vh>^q__jHg*d)&9 zoI4-Lz5}+tavb9xI0EL?W*K(90wwUvrx-@BA3l267hrMtgHi!vD_AaE;8cJ(6(CLp zh*JS#tIiH5W0ws+v$?6)+u`Z>u34ZQqJ8WzkF#Ik2x_pyi5jS@pz(HCjU70p3|lMg za2!rUDP@sSGGd)z-3!zpDr zr3_N)tlrjv)*}so6{AXOisVZ{gwr91fWxpO0Q--S&0b;!y{QD*X#EP&$ z#rvtjxUikQB_?R^2w%s6e=f*gQwerf3UKN>Vvs^ucPI7j5hH@$9Of3D}@t$2+#*=K?nBEAaw0xL?2~ zeKn}*!7ro}H|sk{id-W(TlLv2^MK@htk;2yA3P}F)9^*|z}DIi!rM||C42rML7oZ& zGAVy4D5t_%MCn*6TFTNWS{8~^^g&!eu@Q5^| zPKCpnw_Z?v%I!_Ly(zaB>tUquFc;h5%Uo5X1TC?{{#;di30i80Be|gWc0R(NPCML) ztIMEXP|EG~Ik#eMs4{3Jzbu2H|f~~y9n59*{k5~5BnHt8Sis^?z$2QMJL$9Jqo8xBR)OC z{TaSCX6b2+Il`R}+tmxntR3b43Td7`tqNc-X-7`q#BV0lySzv3p@(vDk9Iq;{zIKX zS}@jKhn#|bgqy%P0Y%-)XbmFmTclm2fCjlr%|86 zGN~MJ%I&p+ABqBSi+-GQJLUGK++N%XSlKpwq;kM1x7V^-adsQSJIY#2iIm&hdMv(X z*p~ep&a)}E*Gsv*mi^cKSn(O+cTAomC6wR;8qmgNBx+E3;D08y2`k54dNd)ZojB;kD^cV z`%6wv--&zD`~i{^TGidp0vfOWib(xC^9QP9a5Fq$)^|>`@{Q^QoM>x$vmXvpchEj! zGVFuZhqUjX0{aj(dRN$EPk?=>T0r|D+J~u32>S)v-%tx^-*Y1DCY9+0d#9gHzu=hL}_7UnD+CQSt1obBEzq9O-ssUp$;M7U2R=!z{V%cv`NBYrf672!# zv;4PJD|~8talDUJ=hA-QOxP3E6SQw)dyZEJ;b3cKaEMxDSZii*EGEe))i`*BkUv3& zqvlVnce3=ZRo(R@fGKJpbanLscyN$ENzFoM^`yNqKTYw~wSMAwWSlI$Xz433a`{u# zEtnIXx)IM3@-xJ1g$~h!`BMd~)O>xOKTW-YM;5E~%+UZd72mC|)zr+&w<^9oU#~YY z+APKCy+Lo?8{iDZ_xsOb#!f}u-TUE+sQVcdzUdCj>Yj3Y(W5E1w|~m*eWHfX4!KJO zFDPqw%i65D9R+_-jR0FU_oLt)mC6CXip?YC_M#Jx9e~RL?z=_;_7~VsvCSTU)XG`g zWFhqgrnV=kk?$NN6lvd@TREZ}o1o;h} zcERK}{8P!=0SOhJf2F6e4%s9Vq~s|Xr4OlR+Yf|D<$zN;;P?vp5Kww$a;MzhXorx+ zR9Zr*9B|O)+=(|`a&?<>dvOc*@8kB4-3`~Z!tLFj)vA`AH)I=bFL<^?&c!X1>dU)a zyJNiJ?iLJpcXhYI|uD2C%5WxQ|{J?ll$1%l#>hga>~g~Ik_n(*X0Fn z%E?VRxx5issc-Cp)5}kUp}JZhZUg*Oz*?OlNjc@@K5jpcCya-vum?Nm3E}xRsqh0I z=r=a0RLx)#w8UF)~Ui1_^jr2y9Sq&D^$3Uo_~_Ol`1@jNA!l|tybZ4%=@#M z7%J?8JL6!py$%y-y$TN^+xksG8&ue963`Z#;^-UEXMFDQwxCTaoPz?vJAyVN3iJLV zXsg1LY@l}qeXPPWctl$TS#~&!Jkj^0=RG_8Y$(wCHt)A{cDNN!iGvTsGql6Q*k>OK zD%jy;EcbU&(Jk8H+#`WL5j4~ek3shYpV~uk?5W;v%E{frXP7gciJT64K?b1J`5Hir zejk_Q!EA@mtmfzj(nZddAFODz?BkH-54{8{Gm~<1cjK1yy2E9ea&q~SDdps*oLu)E zocwcoOaW^n)!RiUq?}w_Z~LP}WcMJl>{Gqnl#`o19xt#`yXPwM$ahm@1c8%!fBOAB|J zDJM7O}TG=BQ=B6uPd)Z0T zVBf+ZIb6pai?odQJW%i`K5hdP3OQ3J07&YCaq7-iE`yr#<5>B=is|{Spmn$n#=adP z-2KGPcpCs5(!9Dif^=2!eOBLne{jJn+QmE!?=LDAhlG-G@oF)QUO#;FurC15%^x%x_P>*pdtXVI?Gk%FtCp`!2bbDE!mRQ1bLhBWk#GWY z`Y&j3aG8J}dKQi>xZEb$DbyU?;0l{?QcfIc7H9b6@4iuz|Bz%s+D(?d`) zxZbAtc%7b04&e>L8f?@)l7brrH0ck?)9aJ~&H71}SuP;e$xS)AsZK69xqSzHIVYES z|1WcL$w&Tob8^Y^ZL-7nxusP)M9p?s;4V~fxWTp9;qEwb1l5A3*kL2-jQs>nv%_8) zpc+9l5QQx2qM%kgya8w5pw=N1e6}6l$IWcGvju359o8{QooL#&+2NVwD(@j^z8&7f z+V>RHZijD^@jOD%LOc8vw^{#(baMB=v9Gp6;kbUq*$-&5-D_s+84%ZWUh9vpa4dTP zP7wZ(4QOu0djX$4+mX;7OmKLaZR9_Xa>~v!M>+H5EF-#}M?2K@^z?6dM8`OXqlTQ( z0zFm^FVx>d=L8d_|8~gaG@XmO9;>oP0j(` z*|${13I6ER0_5cNSMaKITBx6FK+bCd3i`js$$b;2>jr&3C+Yig?2Y;;cEpFy6in(S zo##aV*x7&+d9&V%nGpQLSuNvnGTIS*=Ct9cr|DYGYvn$GoK}4{o8yY&>>T|8$GyVc z72fCSHXctGw;w>8zCQ!dRrFlj_1y%XfW`U=UN&R|EY%B+1L!88Qy;}>Sph5bi(Hag zz)F1~%lHCT>)m;+5(xMo;^daiw*PxNxo@NUcdV29F>-dSlgl#A`g%@)L#4x7^l~oZ z!`xrt0njwPoH>V!25_rBcQU}YM0IzL&e3gx%g}8)OK_Cy4#u7lUdTyvv|EYWV9yD6 z=l=U`_Z-e!C%lPQjuYLPKs}uBV9ux(cOB+M=!AdcPB2MYQNWHg4TJ3uKLMkh@uuOk z=SfJY52ms%v&6S=Q4cLE_m3#GJk@CznSS>bjB0nc?z^x1i^uJwdB` zB$h)_@Njb~lmoonfmOUL~>W`4GZ1XFS{>WF* z4ffYiI_2cz&Xyare=hgu|3B#D+JkY2zSFhiakSko!#tRls}6DIh}gI^t?Uh0Ex7@- z!|Wn1(;C`!**mblaf*C0N$S0XTO4_nIBKPDLWI# zlm9AXPRo9ubsb2XY}}E^7lqt6U_`C&_H$&0`GfnTRF>ap%pb}{Z)N!%yZm9a-RuM& z)i>CDFM9&6l=4lqbC!KS{^Sp5IQw>dTlSR8DAY6r~VYV_iA7}E5etiGN3={>LuWamwW%PG>k zg|kkAgq*43wkb$Hl`{9aLMucAXZ{pD8MASDO8DPU$YpVJwN|P449U_Q)}Ts&r$_Y% z=qoygIX#GbX|+5JB=wa|w#S}?w$0(e^bPahUBaVq;gH1jHM>V9L}|COpLp6^^m_-Vwfdy9qoc;UvxS$mVE_r`p(JU=-{iR=IEA}9oGUmc)c9RK|eL>x_j zt|{x!2Tc7d8{qn&ssAzBYs>0?$kcxdXTvW|{THzR*O~fn!p%$mVN?GO*tm;We=h&% zaMs_8F&;PdH*lIh!7a

>Ex4_)P#G_-^=i;`>aQ@3WEbH>Sh)xiR!D=6`z!ePw>V zXnbXM{*LX5X6;L~b6FATe3@e(W<{g(_e{q;*>fu5y)u>YTJnGFi_0g|Pp?IFb49$) za-ON@PfX8cW!}BP7@^rt|IB`h*|@apv(h(j(x=HVmEWS>Y}vm%8$q}H#MJrD6|7T^ z;ayXw%#*F0C!T4`d!|mA_kS&`^Zl|qKQML5IDKg9lyUkfs&n^~QRm+VqprTq`MO4&r+edyGv8k%L=%Nv7D*Ix z#{m=&oEvo|L774>9pl}ZYPqpO?lY{M3b}1a{I4qHegkDhA@>JK;q4W27t)0axtn18 zR~2&aVPPud4z7$F_uo{=eH)QPA@_)?l0q(}=wpT4AJUE#a;XLuE9CNI6D#EMq!BCR za>I`ma=H1&3c1_}V})F99fW=E{8Q%$mJ--3b`DPSRt3an<(V&1rRIb&Om1+3c0*Xj1_X( zi#t}xrB|YmOYm<|$ZbKO|4<=!7rcz>xdk_PEkPf-snbW|q9CZ0-vz?u5H3`LDgmzE zh}|LRD?j+-nS1hrJP*j}WsSTXG7kszk9P;?=WZH=MWvs@@do`}extIehhQNF16*!A zb><1hK=%!}HR>(6DhY;&Ta$SrS1UJ#*prWEduH&WwR#p?Q==YZn`!5Q^W47z7`3%F zdD>u^Tif&HPTR9~?<#lZ!C=*^U|9oyhKp@$;MZ`T+%X%M(RyBo?kEN$)gqM8yR!OG zB2HzQgWgMkr&nOV3-(qQ;$k+Z@h}HJprjT9^w6I(XSBKwAT;mx#z^&ec!AKtSlNmO zSi3IrA(5ELK} z6>s%$p8z~o5*R_^KjiAT&BDMm?-*X=5(lj0Mm3=wqS{XR^6AqaJAYSIdk;QJf5Wz zx=rU8;5v0Aa@zG^mbqTd09dTK_JZYV9>7w4A4A`ymIHKZYE=h6ken5oV%5RT0#@n< zy4|WCg4=3Mx$59H^#;IN&7CM%sd6>cj;1Jg@KZ%D)CNuE?qHp|0AQo0M0fC*`ZYkJ zkoyThqL8~kCUK&Wdpf|!VNZtsSX~KVsqpucfIb!Esc<=idp4(jPW7UYc#yRp2MSd< zo_W5Yf(pOR-tTU2LS9jYPj&<9X@3M%r$TvX*UQch#6^k<|IFE5Z8rclsPKDTfCk#f z12w9!JEm4J)IJZWNrmm~_Tl#RK+SkR$7365KM&NR!i$Iwuy-wDuvB;o(Gm91K&>i# zi7jifc|>y(HZD-Ac~&vV2}iZljP( zh22W70YeLvAiVb5jy8%mQfs&JTVLiW8Kn=YXWI{isFyCF9&aTVm#I>kyyGg5rzcn5 z4n0e?iM4HQaVIQb2(DKXqEt`G+O{B?N#=bem-UyI`IqXZkai_^gze*$YAhcwN^Q$@ z;=0thS=<=+GeA4ABxto~sTxv+Y9|^^EFu9jV4icZHE4LHl z8qK*kVpnc05*n_bGLa4=nMk8btTBW#yRXH0432-qW|Q$Q1IT!JWXj=iBQk}`7s7$e zW+?7VCYw*(tD;CJ#-+Jcm1RfGq^zz4=iXHoTxyV^m5*(Q361(eT#mb3Svm18&BRi< z(ooStgqPw7+!?ozP+C6!L4-;al(uun+&)67T=~>EJ}$y-)f9<#Co*~^7~g-Ca;gZ? z+AOY8@Q4ziXW-(@AGFy!<1)%Tn?K(+FIw*Bsb!v#<2t9k2lEBsr!W{H zxX^wY(K4Qrp=Pj*$F>BV5&Hqk^SKHu;KO;oGmdb^(Mrmn(Lg0 zj4r3*BVps-0nHc17`*9KMCc!6GH&$yMOXtg)B70V(qMkQbU#aSManK;OnL zJjgM z4>Muo-VUJoY%^FO@9%K*uX2zsb}vItHD6u@3thg=%6M#gmtW!|x!4N3qYH{Flayr`Q4t)%#1XaaObviod)=oL`Oep4(U1oPQ59KRl zRWQD*=hjZQRP{H-bJ^UXd`P7FarbgMR?HpxF~bV9JEjetg8-^O9|Job(AIsPnF8$& zqo(T)MYEl?%PJ7gvWD^{pc=?m`*uge@b{jSRIA1Ov7SY{YfrG$Xto3#;@T5u&9c;3 z9;DN8^IWS=n7(j63Z2A%LtkTs2bjZXLdR`DBCC`+(!+At6V?cPxYi+9{t**6Uuo2N zpL&KtEbFr<-0cmhwy_Z(8#!O()jha^OEDI%^1g>-aP6+caj>r2^?FI{G8c*VA#7j` zC!cFqOu&@2uVRlB+1nLv#q`r=TU}_|N1~sdLF`)46sH2%b_OX!S)08D5uJrgXda4v z)VY*qS5KZ)WIWDfS9Q4v^$A0x+ZJ^FM)yNrAoC+uVP$v5QgZ*X4yKnKfl+fm zrJc*3j+)%hXouO$alr29vsN3R3GNcGP&c#y+$~_S zJ`^Xp;2r@>bT!>p%B9azeR3VZDiLk%)TcArz2bJWJ`v});64E>^f5lbj|AMQ7voWO z@MGagr|P_UUR6FSy@@9jOL4jg9+Q5;6N-b`QUC9&^QKJTaI%Au{!G4MP;ZB)bGa#p z*B$%VVV=*h96=3sI1!g{L03WJ?Xa3N#Fq=IMwH{#ekk1ElnHDFV}2+MGzws@ZIDLl$edN#o>0ybOrDr`Ldu#b_J@jl1r zt}BsHbb>wPZreOJ8Bxw@OqsywzLW`^GJ#VjFs^RwnRo``A1boIH{pK7|Av_7<1WEJ zT7Sx($=5N)NYee%=5p?C#xwFm^9y%35DHAwl0^@QpWdf&6;FJlRGJ%E7n=*lM zUQC(5=!AV#$^=F?9Ia9&aLNQunZPL%xF%%+r%d38T$wU~w-MD%nZQ-T7ZtK;iLfbF zE#799IxA)&r%YhssHRNdvblj5Oeqr>Z?01&@c$?i_%m`~`|usZ_Iy^gta?by1ny%v zwbO=C}@tl;hF2vha z{(EwNyxuVUSljSpZNrbX4R_X7LX&k!leKHOzuJZ->yRdE7f(fsYiP0+v>no9?LlMd zXlSwyX|ncE)MGiM$=VC&(BC+Z_jHbdK?@~G4M1e%ATem88Q zN##+u_t`Y5JnHtjgeH|oD<(;3vVgm6WQCbe@qums1pU&NMp>Ywm#@pl;j&`njKv!?kEvt3%T!L9xwY*eMdyEAJ!CJqfb?!`^-b}lg)J)1O5R*E+ z-FmYjJMEA9JK)*F%3Q<()amWkhbcR8_*cP@Rw*d?qW`a~B>~hZP zovG8Cy+s_T)0@3p9H`Tqy+@`!1$XtIe+A!)_YuaS;I7{M60k$TUH#S@Fl{5aYa78` z+bHciB>`RUOKG#F_ncm+&>uV<15!cizUR%v=dK}AJttUT^XmcrMpPeMV)OeGmOqW$ zk4x;?uwDNOe8vL0{&P4Y2j=pqQ{GC;E*3Fs0W>ug8f@pV3w8efzn`O=tKcda@t3pfta@wpP(Prs( zvmeoB30C+KZI<9pKcdaDcUJm0a(sSbn?-s!7ESO|0c-sXJ7l$h_5Shfs-Fqi;J?oC z`MH3N{*~zd;C=y{{HxgqzmQgM_U|CyaE;___0MLR2PEfXe;xbnK>?qJFS63L_Ji=Y zR9MNLe@Kw0!T>vG@Jm5C70x1BXFmo{e3kbAERf(~39_3Cxy*uJ+42=E753$P{I#H> z3O_>R;1Ov^oeGCDZ@nOVu{Wa4vRsKa%YOPY+AKYrRQQ39D8CWDGQQ?piPwI?lY)*_ z;Y1$8Q&K~V3h$-o2FaVILP|gdPYarXulW)^BdAq{Z*ro&AZWG<*RU^N6ofAXUxU>h zydvma6|Usi{K4LYo@i6yBiMn0P4-7X?J9g1yL|9Rdl=feScUw?U+}8*(oz);V|}kl z%Q{tf!ihky+k*#{3+T$UP~kB=qBkUOwF;kOls`+kwJPjW1GL$`58b+6g$HqD-xRb# zg}o*LZLxV`-KfGI9F?~PZBpSJj>9{GHX{o2{vv3r3Xx?6?+W@@g=g@HwhFTBa27}U zJsC~U4n-sMeVeDEoE>iEXnr7`p&cH^KKoEm!44l|xxd@oEsAzX!L8sEK|}5E7<5nY zsa=O-ud`#?EImuKS)vkcmZ(IVB`VQo*=Hr%>|TgjqRkRDCjz?M(^?V%U80hJF443^ zK$oZ_pi9*HFA3;!?zSZYx`RU zivqglEOV4oc9uEHF=v@bK=%%`*YhI*-D6Qh&OZ%b$qkN`Mu&bRpv(T-&5s0hd9hG1 z`{;CsV^{R0!84r`5TMQ%!QWPg?QQYj$5}6!Eh4XT{044z=SrD2%Q+5N{?JQ!spoaz zv*$!4GzAwqyp%UhZ!d3pd(`xD0bRdbK-U~cB%te$twm*#fUaLIpzB8hy6lKLzmw~) z!&pvz7R z{U;j$UK3F8BLQ93T=Yk9Pydq)L7gv$`-bQR*ZUD|_5*A$4Suqi2*FQLDi z{YXG}os35$pnD09dYT^z=st>^R{v}^Clb*0%LR1(HZ&?0(Dfq$T^>Ta9|`CZEcPP- zU4o^4B%n*s=|=*(1S|YVK$l>p9|`CZto9=TU4pg#E61YJom{S@_5Rdv1LOoe;rBQi zAn$UPZ1BrzvwlRIWq?h7M4KhpY&j*`thWQ&tQpJ^ZrMT^;hKda-9O4mftt0km$W12 z-^BAxz00-H!oUMFBffNkG^8qJXaH z=&9u$J+-`}BLQ81b^(VO3F!J`2u^l+WT77k=<*C%@FM};Nmvd=pPG${fUZ*#(9KYp z!xI7BiZ2N0ng(4~-k{6M8x+xIeX4N90=j-Apt}ma5&BOYkDM#qPq1yq0=k?MMgP@q z$hg|&*O}}5NI>^WfO+>NNT-H!xxPesmR({ZcZ*O9Z- zr_Lt?bO|~wrzD{3eNjNy^xc~BzFSk?caeauUoN2Q@6Fk~Ry1>a_>q7v6+=Tm642%R zD_G8Sw8J04{unX$V1%*au#&0c#QX&& zj=!&%m!evKzxU7w&gmY^uZn3QqJOYNn2j~*A0lRnHtUpVvyP$7ioHhK{{G+@)dT>B9}wzl!^3pO+@WTuRf*7I02z^yg6=Lm$B&z2E?pvP3f7 zpU)}jiDJ5cA?=(9ru!Gs4n;5B|32-4$ff)3w2Pva?k}KSr@5p17qj{Gnj5-*3GID$ zdk?iV;GrC<_itbWGi-wAv6SbrYdp`~N56@M>=-RwoJg(gWyph;ZhtHQeLSM%`qQ+u z(bA zdCYb8#Ey_3`E}%GBQ0H?ZuK!}Q+{tQIU~B7ub1O6KOH@qc1}d9^JC~9isFK?3;O4X zo^O~BpQ1Kex>PK$Gb)D%@J_wnh#Zcm-K33{E@Mv9MoX7=D_Tg2-<=5FgAuhp`*UDu z=~A~=8!cU~e@h!JUD~cTTDok$r;U~_?VRP@k3adt8O||Uy5kWhI}IZUE#2=hq|ws7 z5T;R>$TyoXMoX7h98id5wozn}F7iAU^}Nyebc~knGMGDUK#)6ONYjm$ZeJ{CJ#R8v zaf%c*TDqo+FKFrh5)y4Ac5tP<7O}L^(&bQj+Gy$C4j1$k|5S;O=dqMyv~*{|q?Rr(0d)iC;DvWfAfu(rWXMjU zg?U+y=0_XyvK-kY4!&cwbniEj7E-@fiyr8rz0et!Hd?x6kruOgQKSyqq2(AY-3n^Y zLQ9t#wAyItvRo8nsfl5(PEnRBLnxk7@c62wHct%T? zOCYC>mM-n0SsFj2-Jo0WnZJdxo3+u>rQM>9mM-mS`UrgHZ=>C6IrC2excwSa|6SCS zHGOhVS)Z&dtAABl{r8sDe_vVsKPs#L$7S{Zq^$m*M)eyl-Cn4dTDsJ!HT}7!tUn(x z^{;F|Qy(<-KSq0PS^W>0`VE8D)NizOP5nko*VJ#cbffx*&q1JHU&H#LrAzHwqqO?C zslNe_O!7}~%knJ8XzBKX54CiuYioR;Df4|c@-$TC+rB9QVzIlswv*j2q-G@w_?@*4`#D3S*X|!}XPdw9>_e`Be zOSi1f_si=1z|<+@^r5NKXz50E?tU^3s?}gnUU!f$V+>b&_m;Rm~Y3ULa{76fey;|>|h)-zg zvY7}QY3Y8#u+-B14#P%Ty0Ursk(Ms^#FCco;c$z!bXi2Sba@GE%J!0XAeJ9#>9Q`* zkF<2@mGckAi3eJ`a=(RbjaM+SmhPpDM=f1mNt$@oQ9NG7Lra$p^ZZClcPv28=P5lu zK#GL^sR2NZYDD=0g44Z{z-a06s-=pt{v_p_pF>+x|bl)Ka};E_dezvk{>1= z=gDJ%{BXtdd(NtEIR}1q>KK#}E#145!Xr(~;lllCl)9QO)Y9DoBi7QDEozr3XUw+p zW0cH&Ibr3;N@a+Da4QP$qkhi9)Y2Wri&be{gZM{{+b?RIEWZ6EC+ExRJ3w+EvDN)7 zL>jODhDf5NdpvG?22dn2->4|_9&71Rs6E!wrQmw3rOT5|tfkA7My#dF4L{b><>nh} z>2f2CwRE|8#9F#s__3BQ7i6rZ%Y_na>2iw4TDsiOVl7>6Sh1EaCts|kdp_+*OZREo zk(TaZIM`TAm%|!s>2j1}EnN;rtfkA|O|*3P1BkVB&q8M#~?lmakM_Rf(H2hA_NQBx;-Zp!Fq@_#w+?*e2=~6zo zhaYL_%J1%&*L-87dOXL#?H06j*`#tU-Io3c9%D~)a^dl|Z^#FB#q@_zx??+m?bi<=Xj4ZTtUj%6Mu@tDK%bX^E z%4C2A>H~mgKho0Oc>o_uL|VGF0Mq^J zx}5-4qNPjZC0e>`fl6AsF93y!mM&2t(bC-lR7|vV)j*6=qNTeFP<^7MdoWN#qNRH> zP-CK{y8x&u(b8Q3)SPJPZUSmav~>FwF<6O~?i8TbL`#>)FelN{C2C8wbgzbId!nVg z3TSbnrTYR9wRAa2tGIs|bb<&*M`|&)bcKxU9GlnL5fB!r{zjrQDfJwrJ@_ky_)@+^Wj5qh?+fXLIi= z3obRt(8|ZQ!-PiN9hc)SS5{8EOEa-lt~6A%5aEqD0(ZvkBgo3yuI4X9D59Wr40p`! zBa~_`pBg8}MYye+BGK-2eVoHrA*GxuLbR4YfPhDo0Ew1v6)vO9WBT(Wu2H0=%ctp{ zzn6y-*#$xk$oY|$E^|s+x-TOdxN|bp4CZ-k%TAmTe8DTv=PIn7cqJ&WkM~DQD&R#e z-JNE^ga0EhPvn908qOt2X;vlgE2<{#%*&3^G0~R&C8j zlNb9um-q}ZKFwC`w_Y?p4N;tr6Q5zmr^%|l;&;Ypm&j)~bb)El2;fb6>utS zGEQ-`pG%y+Vw^hXTEqAHqjBmH9h*6>2IH`FE~fcfIBk9-XSM)GhfA&mDjWG1^xtwZ0`Yci8vTR?**Gud?oG-@)F z-3kFs`b{{yT?901GLv0TT?+3OO=hy&O>Ka75K$Ds5?xt=7XxC&WyESSR+!kvxligbN z3xK7%nqZiKPEBUAJ6ymDO=hxNCt#%}GdYzI?vhFfW1Ig{F7!CeC~OlYE_4?@mdfma z3vD#(E{U}MBQErO6n4!I4qa;h2usV;5f}PdO!=ISxX=VWbU7DVM_lM{B0xdEh%-L8 z&;$c?#D!*=qK>%G-$Tw&9dV(}uQ|=d9Z_(D=;Ai&hznf{wQf0}aG|-XN?d57e~k;xSy19a@4?xVaH07#E+sCs{8*M_*(EM?=8IftbCx;EDbwLS z$}wk|hzrf%<@9vKg+3fLOJhIUIhUW}d z&QUtzLi4BJi{^KnPIphhtzMmFm$=aKTrDG9=q_L2LYoF%R^Fh?${UnQ2&WRlI1{E4 z!WVLV=d%35i+>UAFw5_|_}`axpXJwG{C3*KEWhpIFQ8qQH3Vp0Ow?x$0h;zcyS;&( zq`|Tc0lI=uQs?6%LxBQ(Qu!bw1n7)M0<>)i(BqNWEh0dt62jKBtRXE8Y%o+kTFVn58Awbi1vxWf8TLLd@2+(qSVA=O0DStS_*@gg3 z&T_Yi0DUq;8Upk=Fs&?K3*?(k7(;;OugHPc%WU%+jhSdEi)MtEYa0SI-%`l00WOCj zO*aJS9@yEl^ClziDN@uBpiLEDAV8C-9%c;znx}-etRXp1qhgb7LxASNkN~}eM_~xi zauiuZfaXwnSwny(+ZjE@Kk;u4vXpHI&}5mB08NFXYy;jgxg{`b1Kr=a&5N^mC z0yMj|Ico^ev|F-<08M*Z_6U6DZ=>C6*@gg3P96xzck%b^vqEgWhrjb_Wrc9M()6wn zuvhVESuQIC?0ab!vqBoZk9Ji5kIL%*aasL8DXagdQT>JhO&%Z#&`z&-MAxv+sQw2` z{VN;L?gvf%kI`ORR{uk$enWsZ^&0}TsoxNwP5p)d9o26L(BvMH06m=b3qAI6Q@;&Gdq08v-;rj3hw!1>e!k{MVwoxguU?xl}?}=3^=$j0urS2%{5H3E^)~M>nJr z!Wl1>5Kbk8@pv{OK(FbKTQVIHpvf_9&=CQeOwvZ(2WL|dpqbO8r%VP|pxy*%))4{P z8^Gtg5dpd%z%(5Zpb1)aM1bBKV2+Lm&xcloKPZD+bwq$Z9UvhQzZ1d4x_}19D$!vUu5SZpg(w@_d_~BACl2ZSVOnY3kNXyLUltm0Vn=N@?pD!T)I_7i#Fy*<_ka|B7itAu>o1wTfnQS3I zN0Cm9OLMC#%Z{2kKhEafRTf-okfD{2ZHEbs`axWdyIfg0@h;88Qn}JlMa$r&I0ARZ z?IXy_+OFm=L`Wrs@pdVd5dI&P5T03yD-)L|z3us|YT3b{z7Em5(Sgg!?r0vu=eVkZ z4{Gg>rXhTitGaL{+8vEU=eR%~pXGwLTuZUxZzDj)>AbN59{49>!%UMg?`JuzzMJ742g3YXubx#H0#vm)>j>ge>tr1*}hqbN9uc?oqu;vV6DzzH9~BdV7)#b%M@b61RHcDHcYTlM`FVSn{*^L%qu;v&up4?BsRPn`&5gL#D;mdG)+fh!w(>*RiE8}Xpz{k2v`Oc?yk5pJXg1&QL)&t zNKXb`h1A(D+LM7NV6li#1{ncMMSU{pCZJQ~Cxfhj6{0^GXaOsABsR=GSgj+mVS=?H zO$o7K_Q875ri9oqK}xWt*znsJvSuBL4YR{if^AB$+yG%ooLm4LNyDIXQWaJ0Brk zvuQM!hz*Nb5*xNlV#D??@HK2BHf$TQ;nj?5#D>K*V#5-_hz*Nb5*xNlV#Br( z8y1I=I4=JfF^$5om?ec_+b9f6s!pTmHVVTOEOY2cg<lyp=BF|VTwmm zVfX^ZFbcyg7sXg=Vi<*C6T>JBON^|v>^j;7%Qgzb6riNSutS2Y(1(}vJysO^CKJyn z408!Y1lOBsM+Da&(oPAsDZw@+*ro(qd>ca4j#GjyE+A5ZZA!4ky~A_33P=gIDZw@+ z*n(P@5^Pg~t+0Jlf~_!4W7=s-u&v^~rXiG;7?@)5hPBjLagU`0+mv9tgK3cxZ1H+3 zCD{It5^Nu-1S_`c9si4bR<-ONP+y1ey|SwM72Y9(L_1`}89;sIY;zNc#N0n{>-Kf9`SUngi< z?R4l1BLO*0LyrlNQ{)RMyW@yqWbHnPR%M*6op{8Kf5UsBW$OHUw+O;JBeEFjBJ-+` z+}IRq8`ha_HSJltHn>u@|Qb=|Jl z_cz|<bnT_IkdZN!DHEt?uW;qXR^H~AoC+u zVP$s)htvJXdYE38KZNLhN;{W59W}Y1(GIhh<0Y5-IqgDrB9G^vw2OKbn&euFAYt+n zBvQEqiBv8@B9%*!NaYeFQn{C-g^5(|Qh-D%mkmy&atRWt+&cggsoW-jL@M_n zzZ#iH<=zdDNag+nZi!SbdoYp8B}k-l2@o$itGk6wq3ezN((7rg@2;H; z(A~$R?7E!OwYyD+Tg2g6I@~P|JJI1Dnc7P+-Mrps!PhfHeyx?%> zIDlQIPqBh;It+uDMk@CVq<3Macx1e@VeT5@kUb|@U_XU@%Df4`#9o5~vUH@Ey8w2g zmrEIyL@#$EjwR8{B}nvgSxXq}GbRJjQuOZq}eR3UgR@p1i*PZ%wM!Q$s zZq_GadIk3hSfP)>sVex9fIIbK%$?xJMi}+~44s!px??&oa}vE=fqILFj$O~0?02X8LupCoKFPFViNc3`vivN;cEa)6!`bgT*|@)(|y4sJ>4 zyd0uwDx~65@U(c&z_)sdo)Ls^{JzQhUJx`}g=?@M1TPB0_kXX!S`S_kbgl|la&Z4( zzlE4>DtrX1IoM=lFXOj?-yI3`M|%V&9lj0BFZczo$`O4Top;cnFQ@Y|Z}pex<#H!o zpXlWhZAkQTxnplk^m2(dC3?9;n-jfUqOFNuF44z{UM`W9=;d;ZN_x3GwUzX8=@}+^ zxkQCTFLxe1OM1CPLleE+;W)mMUM}-WbY7x;>@d$BbR41vJDkWl*j3PYJFMo2`9f%H zgw8HUEObU8W|JNA928UvYPQ1y^9m04v=%$u9b0KoEoh1zHgYuk37Teyy)r;Gf@UBJ zXGl>{s~z6JSy$_DF3q;X`?#+RcRmD~V~2H&Qs>AnV~1yAj}G<_G~W*IVeNYgYPZ9; zIbTKyT4;x#@`y$XT5N|eb5)HJRHE}T=2ANx$)4ET;XLcK!;OqmFKC4wj^q3s<8T(N zw8JeY0F86Ta`q&8xd#K4^m5@0JX zvj7EM9qHxXj`k*cx!*<&iC!*?CVIK-_e3xENq`;e zC%y%Y&z=)8tWCj1&Jc8|X?lBk)7zt_3%~VZho@0bAID+4M9#B0qx-v1`ZUyIait$D zayDZI6m&1nw9A~&0gC!B9J0j{txk91@+0VQEhwk~`u*IGWa?Sm@4hO{ZP3eD=0MjT%#l5X zWe$=uP5N1u`MQ+ZF`f6RB1bmT%jL1P#(KFPq9uB{44vrZ1^|g(?m*BfOM1EUId2oa z+_Qm7dbvElFVoANftoYkEPVDn31d?qOmVpqO-D~H@93%J9X&1T=-CAv=5&_}Ef?$M z^2idsTscR@dburF4vAhaYfkiXKL(I3AmcgMDY_8%oQ|z`MR2J*3=K04x~#lGmz6hY zanvCG>TuBEa#zjio*abB-IeH#P(N`zz!mP_@f@KbHv>y#N)+|0-H>s$`wTj_PS5A? zUh6IasMi-m@GZDbK!d)N8_*5zV-Tom)K~GGbfe6ICOwp8ZW0oEvtG;T{6m*#@fQ6! z!7T!&=?T1Uy;VR$=cQXh=jEo7(0PB$v5x7y^N^F!d0#_LLgyvujOo0i(NXvkBR+fj z8FY1Uzsm(@`fg2m->oU{y9c7ai|D*8l8foQ&74Uwop-WyB*iU3=jHq>#B^S+w202j zLyG9U_aRb5=M~e?dBxYzdBrqzUU4vVUNH@wS4>0aUBkGB&MT&&^GXCm=M~e?d8L@4 z^NMNcyy9T!ykZ(Uub76;E53%#E2)OgE4QtN&MT>g&MT&&^GY#8=VfY4=iP+fis-!D zpRH^gKK$=-dv>je&U-(ALr2nicfxKH(RsOP_IYU{{Fls zkM(kC@3Y%h)Y1^^<+6ben-J^evTHIPPiz^FmvB4K%k76*pFJK?a{XyqSw8y74WJ!n z7jc=^(5}ngf%TQE?a7m5b|zMFZrGkkZ_1A2-ZH!%c5`+Ow%A-9eOfGh3B|JLB9Az1 zwBRSwGUi5f-h+@E(|LK0jp)2wXa5tF^v>v6QC=|(TN3OjqG zmn%h$UaqOa=;iVh7twhi!Z^ixxjZGrdbxCu^>TT@v0g6iSTC1n@iM(!7LWCE`5-CL z%Oyzkav3<$%VjijXW8UPFP8^Hz1$mk6h<#sj$#LTxwpXuJ;gt9C$UtdmwP%)>gDpf zFVf3hhw382>qSidkMwdm_z|7==O)s^al4|lXu~e5#l(*3yk(KToX+c_Ea|+LGKQh^ zvRq8(H8K7RblyhB1D)3|(Rs^`?j{q@(0RE8VmdGFn9fW4zfI>|YU;o1Qr2(ua?AQ; zWm)~J%Id$jtp5AT>i@6n<%X!2dbxhDxIfpF_2&bo{*?__P!F2=AEUjttp0~g{r^om z?^4zez1-2P-{|F<`WtY`lz)QTRHBy~!iRdfCnmnnl=(gz`5L|4@$`jW?vLqf^m2`_ zP`ZCtiz%}syXdQ%(9|iq#ves>?tU`r{M$&>)wenS_ji)x{D-Mi>i?9smzDYO8RO-$ zG9Nyt9j%9d(r&P-S4>4bORYelevNrYjmJf%mJzN{5>h|e0FN$;{+<>pa905ZT|`pe z6A_nseIoiKpHXZk!cIL2sGIsb!{+k7n$566q1{Qz2B$}1E6(Q>_e9UCeryI%UNyn3 z+Q(f}zK0a)SIF`@3>4VYR`Q4P066#agup0F>x%|w? zOSVdaDqizf@v5bYvE$vj7IyWpnJ6_5PBR(|6h^dw}HN zcZ9p21vFlLgh>56^9QQqaIZ69)^|>`@{MXLW@Aln_QOHy9@ zHZ@~UfPJXqNu!41mHERIH~bpPRp!5;xcSylpfca2xDnP+pE7^A;^tBF2G`=Z6c>KY zo?IhGC@#pFAJJ!m;zFtUJIfv^Q+&XwlUkwNuehPrM08$mSTzGUfxa!1uZE9=^2e$+ zj9twGoJ14V2HMo@%pb1~#lhB4r8D0m!&*a;&io`9r5Xn_FMomzM-3%9^OL1_t?I5^ z8B^MXQH!u(%zV#rg)bqcZ~Uyr57#vdBprFiuaP8^2N&h4DnhaU#!fZ zDqy9q;5s->@g91$o;ey|rdo+bwpN~i4GW& zuHJ~ky9-o)D->;`nhl65l2Bkg{p%7E^lj!dI;8DFu>); zQ)iw~40N}`tx<15d=Q;s4}2Ysajfd6PDAM7iqU#r zC-=J})l!tuyR!OG@;2Nu2fdd7Pp`mG1beH?xUzLUW=Bx3t_A3!KWENp^+SNr{1)aI zslK4+B6KiT#%h4I>mnZ$Kn44%^%3#O|_01~}if?3 z-vvnYa&H8%61`j^FVV|=5U8Y=`#eyX=;aa>6208FfQpG;t~C&&l<4L51gcN;a=#AL zkm%)32Wm|8a@&EL62078ftnM&+&=)dBzn2kc#t+N(aW6-)SBq!@)+hMdbvbxiC*qi z@N7@?a#sQ^PV{o02U-ffTu#y|?s9V4#ID2XT*=v5#T_b6{SH$(Q%x$jwJLs~z$MJ$ zw2KpNVFIUX$ro-vWh&=W9P&4?i$@^@UyW4B`;m;tWt;I{VHUSR&esU7xrC_poA8wB#C5fO5#Bn%=X{3b-eFb|A8(k^ zG&h3u`1@u;Gr#yhr3t#T#w=FvIu6+KVl@j;6nFD z0Q$Bmh`B)CP2wnDR5yn|8w;OpqVJ6jd=ZGVj|f&bL9 zfmla|WV}0D<#a4P%QS0uHp}T)a+Yb=K(@>2Xg|v|tjLBr9c^csmKE7Dr{m)@P16Rk zX->!1GfmqfxcST>N3bP>If?8J&rp(wvRzKc`m@M8uH|I{=*nl+p8UVqI}}=Q0s5pb{GF-YYi>w@Sc&$ea5f402P}EgXL5ZS4z^H(T3W~xiMxv;T2jUH0 z!2}V-c;H36;uV7N2=NNWBgQNL=lNAvP47C(WnMpT{_pVl&|AN%UmaatRegQ?8Ef1z zK~u;(FdN9`3AHJf(vuG)VvWlu2$kFqyOD@FH?@I}p^~57`#Z6m+R@B#klvx2CiMIz z%}17g6Q+K65X`B&poCcCstG;sW$JIM!_>NWKhbU@F$DN;O)Xd);js774Z3fFQ@89> zoj5pjYJZ~2|EF%b0tIU!J=%RNivl%G2x$vZJwXz7byDj<`OUR zOYoO?)3A4zpS~}Js*^93U@g1M<7XAPt&mcG!4s7)3E$B4a-l{u>3R)%TLp={4@>APt&mcG!4s7)3E$B z4a-l{u>3T|)hs`K9s1hx({!`^G!4s7)A%v?Y1UJipJs~Xr)gMz`eE#XWBF-XzU8NB zRaky{B`iG23HfOjlIN${D>=(g)2iMmewv>3mY-&sgDgM&GV06o)AYnso{*nr$Kxqa z$WPO1jh|-PD9caN%Jb8-@B}F2r)lN+X+9DF5`$9aCb8}32syv*_Fo}wJGljo;7Uh@33j2_ERbDT9>xm+xt#4e9wveCZfwBBF=Z58{IiD;) z&Dm`EX&RQFo&z__PgB|$uiS8-(vqM45-FCSW**B=7v+%AU3dkFSrv?p^Vi`mKYbjI zr{$+Vh7scufVU*rme98RG*9mO$j^!%`B~8;KRZ0~AwSJk)$-E|P`l-)`NMC9pXQLT z{PdGGJk}vUeK8EnPt&mc^m4qrFU(IrhtX#FX+k?;ipeV zIOM1KJ9UPi=4#_>!RO)p)df(&Ox7YpOhjKYYr@|F+mN4bL@>Ati`IMuq~kR|y(L!m zke`;UnxEEH@Et=@4jFxLAuk8l$4?jKkkLyE@df}2;)i0Jx|QLvjyLTT7`I)vHD01k zOx<}t5WbL~jz~l=(!DGE_Tq>f^F0wc=6fS@%$v?n2dJ0)bS#Vdg-3sgb%wm|GF|_o zdNlQ6UH?jkmq+SR_Dr;oJ0FGPG_41F~} zJ&?ZOr*EgP=BKsq`uXW)*!6<^^ocAF{B%d)lDePX4(rCds?(Su3(*-pVoD1ewyRN@YA}^@AK1FZFC@Y4fvk!kp8Dbn!M^tb#p4a-lnS6kty|IT8`PoKX%TLQq7S11hPGI=ysVtBDG=ELQ@YA}y zke{Yu`DtFETYj2ZEI+*~j2sH5dnFC|nei&#@>S7Met;P1T@W_>^b4$<{PYw=8h&~y z3IKG9l_u4KpXSdU~Qw(;R$;pB~R} z$WK4caL7;Zg`GA0G!Lucr+JhNKh48o_-Xd8<)?RoVfg7W=q$@m^Dfcw)9gjdPxD^V z^3(LP{4@>APxDsR^3w}&U26GhJ^{1*G>5n4r}<{AD2I%72>diV2K;n3w-NmGKcf8f zWyoRp=@lr%^3yadKYambw&AB|!?67HH83nc&FU>b%_c?p>7iXvc*swSUaR4!Pe6j@ zr_Y9A`Dq%KpZ*mL%TM0|!}8M)!La-^4a-l{4bKxXguqWf2gCByOtJj*-(XmN+V9FI z5g|X_8HVMjX;^-`9}LS+vuMjtABGgmPmh6N`RS=JEI&OThUKT3V)ADnFf2d)6%5Ny?+N12^3$iou>ACuFdWNI)AB7peLt)` zKm9Z;ymi}qB)YiVqm{G#^cq;zmY@CtR-NUi%fPJlxBPTHta{5&9|LQU<)QI?+`4hwJ3-eAibJnq9p%TLpqV)^Nr@SJY>=>@Rx zhU_-1NWnSY)3D}(pXMN~;*uq&O`In=oGUp%tGGg$*i#tGk*Z_4tW|M?4CgV4!_Gv^ zV+4n5-WP6nGM3}X6uAQ7YECA;8mW@^BMG0=HsQa-Brby-vsLubMHS_co59Z?qIW7q z{C6q4Xc;%WO56l};Qq)1juNw{l9Nj=ma2HA5-EuHUWO<>hMt^x1wExL`HjuktkpAE z1m~+RBH!SAsCh;-@EPXev~E35zFG-2FTymxD2H5>L)K%t*^n&CA>+lBJjH8+$;Myh zGyL=@CaF3fVr!JWnCG6<))%%Xe zetHtzz)#a#PGeO}mBj`vVtKfGmF+U})6)>N{Pb-w3_s0xli16UpPq#j!%y>V7T|fr zlst+}!e=(1<&1a{n;L#t;dbPk>XtmKJMYZyhE(pz_nK5O9zDi!b0pn}NY6U}c{_2* zqf+u8QHEAO1|r>KTn<(@Eq*+zhlm<)%R>R|TJYfuP&V-4o7*7H;KS1o^g^0fM2+bp z2MJs*o8s(O3-HZ(bRaLEE}9dg#)e9S%iB>lHOFqPV=0pN+Sp@wj{o zT|{v)s59{<^2VW_F@r|WnB=k1Gv+X+p7998Y3X5EA4m|(aAhpPYKeW=#J12gX1vie zmhf#T6?(=DC;U|~V!Z4O^^944sAnuet!FGjt!K(*m)jzC6+*q0bJrO!NOzpjHe-NdE5u_#`wqJ zao@nh*Z=E0?v3c{|8gGpG3qORHy0%dvXKM09*F!`CJdtmmaKW4-X7!BuD5b&b8Pm$^8PghN^^9rd^^9qawR*-f zLad(gIvlu(R?nF4U8Yz)V_JDVV_MU#o-wVwo-wW2R?nDLYdkK;S(D{)X)UyR#w*ay zMOM#vCypMgXS@%rJdeA!3tTOa`!WtAzF)@H^0*h2nX}B{vB+7b1&@0(9_d>i_b}A( zgLvE#D8TZ#Z0|Mho+}>{H9*zKt6f%j5Dc zrZA7o8^yvr?v8Q~*biIF!Suc!YY!7vLt<>KU&zeS4Wahb>RxXe>n&v+#sj9Wcp?wi#!j@9tZNCnS!L*p#k>KP}HV)cwW<0WHW z&zQt(Ue9+49dd8e+ zR?nDwX7!Ba9A$XiBQYH;kIR}Zk4rJs7%K*ajco~S%j0g3L8BWqE83tIJg#m~$m4QV zwLI?a=nc!`eun2C1$kTou$IT=BNfZz(y%=4@9{49$KY`(uWa>HmzqYL9J&j4t+|H?Fb2KJ!1)KJ!A3Jdd3o~^^Ez5&eM9v607x$C8+g` zC7aeWW~|XOegnM~>KSu=HhRWfo~@qo12AHAq@HnGtQ?`9F&E8H&zM2Whn9VnO#tiS$fx0O5M$ecHjIjwu&zN14@c9BS;S-@Rpl4i(b6coq%%IUTX4vQ% zGi>yXNz)oV;~t1NddB>LuL3+SUE~o5dECX)vIvj6H&TtBG0(A~o-wbfH>77wccW*_ zu+cM~gRDl+cr^OP=o$0&+~^rIygohS0Z11;;|~xBTW|D?t8u~)^^7^`jh->XM$eed zH+selTRmeI7wQ@BhGGnldm4+>dd8CxEX3pTG6Os=ldCx1%oAy5P58aB4S8Jt+?za5 zUx0u#UGunEtnBOOae0ah^^BL|I2k=-o)V0nF?Yu38Ow@S)*mOq)Od!Cp7Fs5NA!%D zU4K1Q>GGRyt7lBZ>KRKfCAWvPNYKe;Y1A`jlS4gY?hN&ef6cwnddAG+6woui2`=a< z{xO}zT%n%v7zC+j%1*T>`X;D>s~_v?~o_6HUf>KRAa(^-*{TGuoF zH_L#Y@pP7<^^BP>`g57Ce^EX5;bC3>N`{w5>VHJnznMJl6|5gTZXedKd0bt8Jw|rw z87@Zdc%UA&(o@jn_5J zd0fU9;&ItehR0=igFNozMo;d;yC-iU&P$K4$lnTE%eA`OpAf6L?2*ccx7GZsr8_cRu} zVIFrd+zgM)EW+dRx_84oF1;*|D>qqKX?aF5Jnls-k323fA2*T5Wfsfh?g=A@!nsga z(x~D!zvgl2XjbQHgbk1TM^;WA_X0$2n8)S$Jhm0-MU? z-p9=3aeG#p#%(5#`w2=C9(OqIbqtStEJmZ@ac^TdvCF-dS?@O+!IhxsAtTpm{8A{mo1^5@iqidjh-KU(qm(??-VfBpP#nT3>XUy9gt7pu$#_Ab=AkRBOJ!86AJ!8&1t7pt6 z8$ILa*=D0>{7<%-%FoaD0I|YX2acNi{_Zk?M$GroF<#8W{VR>8{mdB+Vo+siS z2|VtLFf5PD6wBj&48!uc)aZiU~dEAR& zSRQu)49nv(#qzi`ERXv*49nww0K@XQTXl=@xJSdVJnnQDmdAY>hUIbp4a4%dKLxmF zdED_ZERTCN49D`gw0z6s{uWlA$6W<0V|iR!Im_d|3#;1lxL?DnvpjAENZS6E$NdSc zdduUEgf+^S&tI9>#J!nTno7Sa@8%8mW@^BMG0=HsQae!{|1;kE3L^Z=48Lq2h%y$Bk4@iC~fHU44pU!ja;3SR~gDJ&X8wLmyq9^^o4gbgmyd zKc^aEmm{Kj1%g~lBn6SL(3Q)Hb`8he2ga34ixdr47hxHQ0~krqDhcgQQ%3joIMc)N zJq*u;Pi+uj*UZFe#f3;`icW;VOlDDBnRGIrxL1WGoosS*sfr|r&75J9xpqYgmm1{I z%BJ~YLZcR%d|c%s`NTUv67%`;hblZuYfK4T8C#YhbFf9t-z%XrGD^pA#cWwZzUJuA z7-_O_S=CwO^?ky>%B1l9M?R&BCib@iS1EWz3B&3cXK)#%pUs~a0!E>pu^<3O&zOeQ zGp3Q(Gkyi7LE~BuH497lY)ilq!MD2dd=7fXyb|O)aoCc_-4=0{#~lU3@VMt9yb(O^`EUb|OK&-iHREwv9`0Uc zy8?%=WCntk$Gsbd;c+?pvG*a5%OAruJTBj6C44r$nK-!bAGnvji>HVEMxo&0XJW>imQ6oOA`?CZ zHiai<8)T4mabg1zcGh<1tC#eyy!;cMm;z|zi7yWuwxU!Q`_W-2t`(lxEA5GqYJ_?R zBSWb_8e91>Zlk$-93tYbe=c@E9xuHXg8(U$VR`$p_q(0Sbg#`&OgWFw)MM2wC{+AC zlZk$t6Uz|eC6HK)7CYVtC?z)Yb_TWLFN40HcoBa}eb(r$!v4e_Wqezzp~eGu4Z>wE z?&ag1J$7BG|2krlU*W={O;-u7V6fL<#!~;iO+N{K$l%TlmVbc$e6{5B`*Bo*_Cqml zzhJZzY=O=y`I=?;0bkFQe8X@>a5|b?@-4%e;Br)1@*Tsu;3({8$-fz{R*TCJc3c{D z>dGVxSB(DZNt}90JTdAOdF7J07=zR+c*x;h(&qokYsPR?xA9cm*2cB0Wsev4{}>NyZbZ)w|d^#mK**Hx(JF{ffq39PHjb2to{k|t5C|0z3ZK7;s~ z92Ro}ch?V&9fj}$?rjB*^isr?`h9T-QpX{R&F0w0zfzw^Q(^@iX6zQu775f;wks>& z-DP~)I_`bF4&Mf!l077x9E1)py@+)t_ry^xoi0H_%B3?TNJ!al&xWLq!e%lG+tP`R z!=L0!OxlJqDIWgo5s+57P7*`HNnAgRUWAkrO)MZ;j%kyae>;O79TtcK^@0<3i39b5 z6L-_Wqh4^^_E$1^0E#KgoX;Tjg3H=BF&M|*m2GnmgA@r){`D=mm6W4zMI<=6_Mfmt zBslrLhu95@1eagQ&hz%^jhS-QnFz*x5eY8!Cm?%b3^rSN=i?Xz+n|e`Y|=bQ`i;tD%z+n@xH|rcho2h zWEfm7lmwT)rqj zRCC1Ws?I|nt6xc;YV{!2Nj1-7kJqa1$f>UNs1jADCS$Eo*9lTNNR?y8sq4iUqCUqs zQB6|7F!dbs%ok&XT7~1FZV;nE&B2jSH;OS*Iha@KCNV~-r`hV8#TcXB$M{kU#2Bk? zqj8HE`Kj&` z;|?{O`*XjhPu2A-?Ex{CsDpSEej~)Qzj8*C#9-rTe@v6F#mHtkQ zHR>w%!BVMbt-2kJQV&VWI&~KFER&Qk)e82(!(x1seT|ha_Y#P6+-xO#{t>Z!HydD8 zR*#Cs$0M{>cw53V<7NpZtH-2}4sLcaN7L`6S8{H)Bgf<8VpY4@FHo|2LK;%%W_vR2 zNwNC7*%U|AQ{q|gX6Mp#rIb0y%?@TqJ?(WwefzoDE!oaz#B+$7rAV-PRyuwdTFnFW zoLD2=>`~l@=cR@QH+v5~S4r9^H%lm4{XwiTZuW6nFNihP%@RshuZT6y%|67wd`+y0 zZkAB8S}oQ&Zgvrm&7Zv*v}}r-C6uhzc=fQRyIDfX>Md^~#>Z?oOC3S=7uln^ZgvOO z_qMdG$;}>j0<3qu(c2SB&Js#i21?EnN>=Yl+7dVW64U-F`Ifs`-m+uHa^-x|&Hju> z_HSaXawMZMta#nJer-w8s%lP zoNC>~8iP`J#Hz&_>t(OwSn3hubRXwsxj?I)vA#I&6TNI5OR0--rJdqs$FpB{6lc75^K7b{gA!eTdbL0_8aa|AF*b8**Do|eZ`vNWxKFnb`oo@mnGVz`o%cTn!N0* zETz9#3%%^F9G?SY921MY><7oe+BJ4IdSZ!}oxwfYEp`d4wO;$v#{R-ld&Kx;%n707 zpnH2{FZFZSwwsO>X}TI3TgejTEOU4)a+Wzfb}%x=6-9#8@YwrkudkkETaJibgBmIn z!Amtl8l6$+((NeezYglcgJ2vNL*sCkk#%&m9J^{I4L&V49|hDYY42ERZ-e@jhiaUh zBqyqRE_UZgo+*xZG?Ieam!;`%u<1u3Vu-phb_%;xnm#?+^yy*KNiV94V?2%e>S!Le zOQie?y&p5BPcv#~3@de6tQrnE#b4A^vtql!s8%1d{Mk}kooeFzYm5=h?XP5V%!zSw zgd)K~kMZy>_0Pe!-B`r0Q*Xxlu{3Gm>SzO3hYh>}ZfZ@8%$u(WC9Ah$6JS&*zKvIZ zkq*eH=jvg+Ek;gt;C{R#HCLF_E$ArZ`Z}Bx>K(RC96+m-v_C~ zS-|J9yD)x-s1yhKm$CNPw_$1>N5a=JuLg5Ros4#FoRUc~7O4xEr(BFBYFkd8K#b*TH7?YZigP|bsZKl+Muiy9s4a)XNX0oy zR;feT2U|+3UsVowdn+kmjT%RzofvB!Z!x@s9rnb5EAX_OwKA-K8gV{SC znJB$QP$sUszi(WRHklhcNjoak4IBmi<7c8Lw$$@!K%Da_qY?)rW#>3&b51?MMPOGk zs?}JI-TL@yxYa5CT#?!%z7x8?zjAoYepE&RK2B}k+=Vy{C67L_HG~p=32wik_m%XdE6Ngp}#o z3)o@9<0o~%u#IK6WqXc{KZb)|5zF4dad%YwL0DVHvV+-(2HB-dEc;Kk?^tO?4lB|q z9PFUy9q83k{~m1HorH+~YGj-xJjvkkT@8~!uT7?=&<7C;hjH=`L zd5rv8YEBWER%7E$IO9~SK^$eL$60d-B?oo=QKu{crT$(Bme6j;->F)tE{&hc407aW zMH@6L+MwBCg9s(7#yD5i3bhsY|MK`c)Sgk#90TKu_yAnV=j1+Mjtq%v^%ow4tK!M- zJk}HmR#(TLLr?ct7q^FTjTrUnQcOj4UA!&2eUSPUhP%36`f`Y>Wu6=28N>}!%XxHe zj`O;;K|M`lff%FIP|l%S#2Bm2=Ac|C#zeiG-4=fl<8X@d*;jYQQ)td~^#qT_qWEB> z%+?*ZI9`dAxhli6_dQ}XX(&0^@ho&ysXrOpcIBAy)#`UL;dI|U6z#i*qJ6h4>^nlq z>S4)Jq55&OERWCSNK%V9{vL_nC>=>CSv@M_FQ=j8pf{(#K*>QL?zuq8LElf&5`mI~ z{t_gV91IX&Ldn5E2@*;Ub`}Rh$-%A?B$OQNEan=JI6#W(7`!epYaBsn{&B5hfs1b>1!AZUvbgw@CNSZgSiYka%M~eSP!X8+|NLa>doBBC(v04o0Oq9mj?ogSMu+Fz5u=p?6YU8O{Wk zq0>^`7_JL$#~!A7@Pc(va2jTDYKJorKP1?-9O0f55gryigoBr=qfY~V@Ed>VB9AmY zwBrkD8B;|hINfIkQp{VUxTs^{j@%Z!)cEhrf-kaee0fFB1Pgv%t z;3C$w55r^8&Pixiibfq>4a5yhB zDx4}NcjS2M$V9Z1Sxfzi*b0=KKJg6%Qy-!iKR`g5uAE#`di2qp!&8q(+$oZ^(}a_p z^cYzL3$r9(XbxEA+sV>BuJW;0u(Tp24TaC~4-oEQw%c`m!^) ze*uBV^sGop8cNnBHL|H0$NT6cI9R%kG z|DH(w_eSd1P_nN7e%9Hb>wh3p|8E!`>v#$7|B_*-7yJLa{c#(w`}3hle=gJYFRF*@ z!@B;J3@?w=|A?;t6!b#+QI!ei{FR!_rx=W^y9Cx{)+Td zXR&@l$?2zc{q-EC&v3~Kq2%y*K68W zmc`fEhH%ur!Eio`t)-Ih;uoigtK5vlXjNS&YQI^{ThuIrTJ^hH?bwkM;`e~x3F!_xoi zv5nc!uXUYL|2GW#fsBW5SzbjTa3?n|l%R=TJBo>M9x?dClLES1lb`wppEjj&R!@PD7sU-3|zM&ZN7$ zD-lrpvp)SINuNW~p-8Yi3rP2Ld48{O+BW{@KF1`XKr-!&)2n9+`ALMfJ z5GXl)h|7sDP;&ZEmlIN;N!Y8>8ptIUB{AzlX%ezFmV@#hcy@)$U&a6{h-b*&gomhH|crBDWvGmWy zSfq&BrcZTw54}X4HUP$HF7FbS%M-BlSeF;ePpUO6?F<>-tJJ!FFn;0kV)`YF=-bec zWM>TKEq=jEnj}Kb7IHx(P_o*+0gY=XP_o)aZtB!wxF}GS^1K711s5u+N{qOA6{~~l zC=VrkeNUc~=K&RJ-XNrO(hmpJ{oBIm9IwIkYfe3n3RRalZ)>Vmcg#K2HO_^nPCud8 zE?x(>L5ff^-cHeNh`xpGAvc8~l&r=KMmcQ>BCBrhmN~Rppky^Ez6%WLDK$l&HaPm$ z_B^@M7ATqXUe&nA!*RO@F~*(kev0#?yWPX+3~#%4uv0Oo?en=VQi_sW6A*cT^JetZf~Ge zkB1j1TJ0>ys;iT^tQ-TtRlB>_^V*V7vZ{9vM+@=74KqsZ>)wq7^fiqG-QUBgP;nZE zijh%Mu}G`o?i!@z)Dk|GINtpPMzwklovcQ?rCr(ALvaD1ej!GGbrFq;;)dsm7!_&~ zei@NR0n@@!(6x;}v&r7;DwtC&2i#I}^q_rA~zLk^4IsUuL&r(OFsyiDFH0v;Sg0 zjPb~-O?R_@iNTuat%Eh&%~B*-o#Sn_Jr0@cRB@1YSVA0PBLH_qIYFb2#UdP?Au`9M?MbMYI92 zOIZ}d9OOD>DvoAHUS}cs1;kcyVC$rp5!sQaeUna#xQb&vlFqCh#WO4iw-j%^3`Do*Rx^K4Yz`?4Ia2rbLW*BCusm%~%WA!k|3EWC9>(;i`w zTs!nE;^Pf{ba~c8x=_blO>}-vHN>_-MD^PvekA7eyqw^}bzJDP1a*P84GJjr2V*5F^;uY{ z&$gsEBKQ_sp3k*$QoJYPJLAwU^$$ai6p>;6x3PQ--S%i~)a2NcNGSOYHtmi=M1`6X zTL(j5vz;d|YQk%_sgmHMYI?W5pcGzj#P}8#JBt`J4^3+OCeMA@IN@A*e8>w;skj;* zr{(Ck3iGPe-vtS!J}&IA4d{)NpEDc*gCn>7Du$xQ#ZN$7JBD-W%=j%Z)U9o?nGj!! zFkYjfG&NCPsrYC;jI-jL0u}mF^Ox~Q;g$hBjz4k&>&R3&Y^a&#mHMor)c4W4k|z;t z_ZE(UuP%sx3`6m$rkWvd?y!Hvk=4a<%KoQwk3%EeYQAP8FuW4?a&FCFNmouzn< zhxRzxhKGq1@O#Ldh)ubr4bnmI&P$+e_;-)Com#%McKh(9sn^)h^Xa5cep&x<(Gqp-LC@ZCGBnBT!H)tZzv0 zYUH;d$h$YHY7X+Aix@)(GaN#_2h*`NsCN$iG}Ox=j_*?ZN%&7OlUs5jdM3tjTVZkI zpHpqNj4W>a3s&Kjk;RRFy#hhMj4W>a8-^>&$l}JoWjIqt7B~JK!?`lDxbc58T%9C~ z8+Tk9bxE?gaaWA~$tSVm#yv6Wi`v0pam&f##;IP&SxWUN(3QtSMGD_zPJ0 zD0-7+gF9|dLbtGNFw>U&e=Hl^4f|K;Wk~1--+Els`+FG@x`7*`wTqV_p&P`+s`oM^ zbc0f{_VzL)bOS@^W=QA;nOJv}ImF5a)A~=#2FnPsvcVsqfj_2fFvnSwl?|rVnr!fn z96eSxcn?@>y(|gcV2_ymOqG=lUWHQ%J`={)$_7ut`A?r^4v$67GA(3-n{YO^vcU(T zh94vwd^ifQvcYU`Lz0AUFiuXA6O$x#gJy(odF>@U$NP=gTG?R!Jg08@^k~yt$OiK? zT39xieQITc`O`2~Huy6bg=K@!LTd}l2G^oB37(RDB*ze64?8_c4uY;e2=r@qVu=$2r3oWE6@ zmks_U$89V_LN_=nej2QelMOx&H7ER0*jm|O&P3hOCq_HEg>10y=y5sJH#*LVW@Ur9 zXN6^h55sh@vcar5By`K`s5u&A#R;F1;U%=KY%o8+(G8jvZBPr@VBH|T<_{X;7sH6rk+Q*UuyTa5 z!CW*$*#vPqz?ZjwuNMad6@w*Tqf(^0_F7$dX!lc{tnoNvcZiAitfjJ1f=O&Hh4>{?CX~e z<|!_e4gL*|laUSPDd7jm1~dC+$_BH^p=>aBhO)tPxEJe}4Za>O=qdgYX&7`5bA__O zCm~4LU|#nXkPT+^`ecK7@I%?)MY^P!e92TmHaJpJ>$1V5d?_2uUt|wugPG6B2J13N zDyB4{TSh`Rr3u|K(wV6!p_`hw8_J<@2e#i#%SMNjFU|l~6-PAK&rb0qD z^=tqi$_5{4eP4+9z8LzF&`rHGkiL)&zMa0LOH;3DUl{|M&@ChRp3;PF86m;co69hi zGG$~0Q&B=UwfaPs2if3`?M*+u9oCJDv*xnFj4vb`%ziSm!3=LuHuwQu=SNqtPT7aQ z>pDs3rq=Pzrmv6LC%R4<_nOcxdydEH(@344={n^&X+l>YrzoMD`ezO5qHOTnc0a$? zbxQr;Fl=OlS)P#%X4uFEGhAma@So zvseJj#8X;ZQbsFYK(54d!7rvcWt` zMmCs-!^j4+cdcwNS!N>}JO-U*WrKN_Xk>%gi%#+iY*MGVyq9c}UocLMap`4cgK1dV zVBX4F+2943WSbxx+<=1CD;wMnFV?JVFdv0%ST>jsB{o7fn7^)UWrKNJV`YQ6)>zr# z_uw`tNkTX19;cg?4d%=%C>y+zZ8oyO|6rR#*591JTP%oHmd z{5Kd@HrVgV=es0ygGRSA3@aNVHh2sSD;qo&hLsJT55vj^ zGsVgV)3CC^kHE09!SBJavcVPI0Es0@=mx)Whr_V4!RN!UvcZqTu(H8l!LYKydu|(% z4L%))l?}cUhGS)eY2mFK3EjZ=?uS(YT^ta)L7De7EWC9ip&OKom9w(JYmioLWrM$f zRcB>`%eKQ|va-R%?dq*;@G-CkS=nInQ$wt5@U^gpS=r#1VKrFU;H|6WT^b49;81Tk zEWAA?qn>-lc{J0!qo?(biNv?lJ_GC zpVKzszr-X00vxk>UEnI2UJ3v2CYb{_pNxzFA|xY6v8kxqL~;P@Ht|ZtTo~+%*h&uP zd=g3;!B`Gh9lI3mM6AHR40Dj{l>S&KjBN09X5zrsNz35aOg8uyrgN-E(s6s$TsHU# zI<Y$x$hU*M9njT->=qYd)msA+32zLVja27LW~YhcYTTxpbAhNS1F;zhC7s^yJJd z=$WrgLWQfG!6G*b9TZW?6`^H0`5I;CX`CuRxG%iKHO%4|L^nqFuu= z_nvX((jrB}^&3Xgp${V&sFKh?nlid6B>=~_Fgz3fEErIUMWXBu7b2Z0IuV5WWl>z2 zbTXf~SA`{=U~+S*iX?~4BpWP+bM1;0E;Y!Zl}+=*ght(H@^O`m1Xrjg@6$W-C(LmabSNVWP|^J(jX-*hnj_jvcVh?e5)(Z=R(ao!40y(^p?|DGudF4hr3tVF2ms~nTDX14ZaPAkqzeT$KI3E4K9w) zLW+?M=G&}<&!(4<2~POTmhc~71bNr>k$-g8*toFvt4gBI-UgSgE2G1yuCn@3c)g+36k9tI_;ZX1N^wn?hn22CE{ z`3_4yhaCy#i!ma(3Zp-`L5zkZ)op_t#Tc2Sx@~Zi7^9L@w+(IZDLGGc4D5}#h8|)x-Dcd*tY4()g>_Q6k}$x zz5&KvV$4ob-8Q&ej5*0pbXz2s5p$DNw+$A1{4wDFa(4DH?1E$2Sz5S@57}8-6_%ZS z9v1H6scsuQCY{p3%~0Jo_`UQ>&dpHWHh5et+|z%7k^`e|o9W3kqi&m_x^3{3c-Fg_ zx%6BqW#XQm>bAkt-s`BZHFlOAk30O3ou$bAj-VomchRJRR!i8bBJP~A4@E!Ipg^9?TNgFa%- z_A*qr4f={T$IEo#tlCMexn73qwn4ub$61qQXKA&@&hE$2W7*jeu=4EecU>^oEIa!$ znw#+7z}B*}{B0|JmN`5YIm@(QXKzM(Ejv2~HT)oUmcNc-*;%%CBiPy5XlKIz6}Fb0 z<*(}KrcaMHy#+hV(`aFKmVIj3S^kWRWoLQEQJ9^bgVq*iXLpqQHU8L(WoP;GA-aL9 zqYZ4q&hod*Ej!x_#t&j=xx1E~jpGFro^>NC_*AYj1|_L(8+;xciIe4!B-L$$FJt@_ zC(F*hD90mYXZc&$mYsbKDVCj;1=Fyz9nfma&hj_i{}-{d93_^WWve%Wo&6U5lJH|V zG3D7={;Z8Yn7!kXiPD0d)uU~L>@4TgMzFKLhMQ$)OKW&!9o$5;WM{WPie+b+$Fj4` zQ<$AygXbQWo#nn+b~cMVmYrqMmYwYg!?LseJ7CzxGE}z>j*L@3HqXwEgSBz&>`AB@ z&poiU>?~)Z?&uSv9o>SR)g3)9huTNSIngXT%RMX1&hl#w%g(Z9%g$2WJjRMaOk-O@ z+p@FUW6-9a3m$EZW}xjKUz96WM?`4a_eVj`D?5pJ1fEUv9sc!*;xr{c2@3%3!_IPfw(RT!Fk*BhJKGj3N65}{(G1yH1|7rB z&VWnE&hoVNKhMs7fLiJeJIe;f*n~ptEOGY&>}(~@Z6Q0$pkZejHta0Jh1l61h&Svk zzauZe&eBC58Iqk{EG>($vwI`eu(LeJZUQ??cf-yyT!@|JPjVY}mbd4Ion?4^?Cb!f z3p@J(0%7Y7J6nwt{^qi?EG}eccSA9Tou$lf$j(kiun;@T%M1{qOx8c#7`_-`)`Z_1 z+sbR0#&up^3n2CqM1(}`9SF)J@udjJ4r!vcC)WG*6SX|?g+%RA96dwS@CQn?TeKXL%rMs{mCMAZm4a znyBT(FhniGhNxwDvx(YUb^Ui<$NHsD?vC`yqDcLVBlX`CssG+c{hLnIZjX9N)K&rd z3W?fCe=gJYFRDjBKdkFt$?)<>{g3GSHb>1l{sDbf(N^tVJUjg28{+oCifYA;~18zyRxg_|L2 znMH_NUJY-UsHK-BYUS1o=MP@j7^3!CmPewN7nPex)G~`DYKO^1X-L%4kl)|dL@gc7 z>bx7mhN%6Bm6NEw29X;kYI)Yr6SXHJj}W!XZ043k?Jaa6QTsIln@ZGDhgXQ&p8)gJ zjoVD3wiE>jQF|IN4nx#VzzM|=waXa}iQ2XprJ{KYqLwEcL)7x5VTf8T_=c$E;%kUn zE`)}t<>Fz8T26dJ)N(=^qLvfI5VaiQhN$I&W{6rYSca(O;4?&RBf}w4`xe6?QF}DH z%@DObtcIxNQ8Gj=4~HRY*}ImgJqU&&YA-@(S)!Krj)tgZFIu9OcbJx_rI#gYX;`9` zH@KFly&cy(n?Tf_jr!J0)b5CyEK$n`BpW7b`KV$eh}y65+{qHPyxFltE!P@L)VjD8 zwL~r5EK$puSCFWEmu)sgZFvrD4vAX!lqG6;{~aZ2`@peP&09m%vNTK7-pZo4<{PEH z@{Zg|Qu8+0Nen+p&D)@#dogFWA!@ILVTsx%7?!AI^{o=MWAVJVAW=I736`iOjcAEl z8kVSC48sz&kHWA-?W-^>QA@)TwRFQ%M!tTV^u@8UwDmR8OZwO_-kwnQyCwK_}GZVRiwC2FZMS#OEjU&0z>iP~So8e)msC9sBB zqIMmu220fT;)6ps6B4!KVBwuwNYrv4CR(DF))Y(B-U83*mZ*IM)@)1Ez6Xm$EeB~8 zmn=DL;v~)C9ARhqSPiikGL|D%(}J9=@`L3_5`dP&&P4o%z8tQ3U${NVSdJ%C(Q1UN z4@T*HVNxY;O%ndCm}wDYmSZ-L%o?J$En)?L2dl}Oc6 z)QvpU$*tsY&L<&u0%JK~b!_N+DIx@9?iou5+;xn4np5Vc%OBn6Ql(v{1J zb`8gziwJS$(jrB}b!$e_;XvyyA?o7lfpR_0aB$?8@c7slM#8UUrWG;A;X(8DSRuEPpP7b z{oNJ=AmKj&!xFVS;A%@hu|F@wjy92~{Sc)k{1Q3TEG#5yIU@M3SDw#>L@nPWhc*yv zt(^y6pk3BMdyfC+zZ>9Q5F{WJ4Cg&==vp(RmEiCjz65|1@R?G*@b1X25I zxPhppx17eB5w$EYAu7BH|1})GlIsy+iQ3=8Fhnh9KlVN(Y6;C6qL%Nt5B$M^G@q-h}sT(9t)zjJKuM@m7@32II{b*17KCr&1)Ri zeI$$O$l>TU4(Uz}1vkfsxsb{2P7PqUGv}<=SdRvbjw9HiH(yXp*^8G$Lf!rWB~YyP zzL2`@vLn3kFLgBX6{*`Ibz7uvOSY-OTn)H3?TdgcVlIBLssw!P^#c)8q;9cRWZOWN zsAl7-+ZTCxRFJw|hD(tjgSuTK4>T7 zk-FtLYqHcWt=6bpehrwXZjXhPr*30-YO>MPZR9M|g1Ws8H_Dc}JsmatAnJAk3b52I z+xx?*+ep(ln!075TIzN!ZbU3~%e#`o)GcrPHjBEAG_VDAyFZ#?soQ=q{&UoA0?)_r zJiIL~$ks>QzK(OdrEXu9;}KG~7vr|bQn#y-VyRnMFb#FfZ(J;OTMOfVD|O3OZv=Jg zfwztEmNMb{&CuIpF);KaiQe(Z5#2oWmXm5D(A(?aX3^Uu?uiSdw;7~Z^p<%nddob8 z(c5?NfW)G=+&7EfRw0i?Z&|cOZ@a><=q;t1^62d}4qhu;dlsyXLvP2RX2>#NYtdUy zN8QmUMmu_=(Od3WVf1!9UhZ1-mNi@S_DdKsRt(@8v}p-#i{9>lk)skWF_184osMQ>SLh~DmnVhnmajzwzO+Gz+DLT`Dk0d$nf&CpwBP5Aw> zt!!di6uq5|h!DM9jG#O_e*^*9A&uVl!Fs=b^p+>S5WRf_N6(1r^p@E-6TM}V zL-dwAL-h7O?#24i+lS$T{^K8cy@F0-t`NPw2tlH^yd*4u-ZFZ9=q-mth~BQ!CCxm9 zC4C>gjg-_ndfOg(iQeAGGBkS2dc1ya|Gkm=Hyyp*0re8S?E*S1L~kSgxlGr;s2*=B z9@h1*WO#X`{zr8En~C1u#rlEX9?1GNdaLW#=q(p7i{9=4AELKsTi+KVzAuKp8ofP& zzCdrErmseCweM!4w->TJptrk&vn%ZI0eDz7TrLelqAS!y80zU(t1bbT8}F z=&i2v`{=E%^ZV#+q|VQDof|-J_eEVqZ)3Pn)cg6hu2ZA83>);8TyN3a zWhhY4+apk-L2so(M)z2)IB=q-EKqPK^^ zFzD?}be2VLdGBb@TlS(wZ+VAl(OY_1^p=K2Z+U}j(c4A1-q{57b_(iSFM7KZYO?4p zACPPqz2&2djX-Z5++$kwmNz>Vz2#bC(c2QZS@f1}7QN-nD~R5{&o&$MHl0J8L-dwC zWzk#Se@D^V0dU+Pddt!*dV3d(-XMBAi!I& z1dHBY1H+=XG%R}i01S)XJ^{m`w||0R(OVi8y`>u-HR5&`=9=q-!3=qPJ(ku;}fLFf4le9t?}#wgt)f~cChL!dfOdVe~aEWz^b?C?POSkEP8t#tRWV? zeF)Yti{5??tHGkT{rKR}qPJ(k!i%*Kz2!bkwCF9ZDHgq@V&Zg*-aZa%wncA0fJO9{ zgS3iEmH|~coGUp%H9#1mx0f)MBUQ(8vR28A1uDfP4m%UElo1@Rd0(9TpJgn^lc|XE zwSwOAtx1)ImmU&o>(Xhdb^OBIIwlnGURE7-il5w$9g1P zI6(1a2zC6Gg>VjNY)_JS?e~53HVLQ7U$o{a3HgoG(|m)<`b(8Nu^>(^U8Nv~g{z!Q zPtLr8p847&)Vwy+Nw=~H&R1PTzMg!jc}6tzL+0VMZavRN)ty5Tm0S^8mXohB%2$qp zrwlaCtCm@K>x8CV#UiDzY$xR4a^mZi-gWhuXWA8)s_HLvY^p@|q@cV*@DftUF37^@3;4|V= zY*H?k_$@kneEXM*G2B(cY5o$`mR(n%*z^hpdvRS!f68D#34X}n&J2p0?IGZArFV96 zo!Fi*YIf~}Yo39OPsEx+T0xBeUa;CB71C{PN7&F0B49p?juwJDP8Y?(5F16=?Y# zm(Rgtjcd=W6>RxE`T3t%PzYz_LDe4|dp=$HwolJL~$pdnbCP zN2&_pbuJ&Xpk3p89QrfZp9mj}UYXEi4Klg^2=lHQ-{U5hZS0rE_Z;oGpSoO3v6tg} zo}9PVjqkCHzMq9^)sn0lDRow5P?g#?H2dJwA5y9%1@UOusnxvoO8ai#>J1YRT?6yY1jOJ;$7IDqwR_ zB#kxBo#6Cl;xMH2;KNF{E=*~f;Pg7Tm(Gt~k$;ouHJW`kM6@VljZcnudc6~R4NPdS z4%mqvM`PByM|s2J?3+asdK`&`)@_hq0gpAlI=`skt$4~zbzG|h2Gh1D-X^@m_0 z@}KefI`p|P^m%fE)8~}_&3$@~aopdA>B}cTvcBDJ+TqQxBHgk9BJPHnGl9o&*O)$r zQ;%U*d5WWkD41$Vq5IBANvb09`qb|A_juZZK=l!(Kl-3xQHi)HN5ti1# z0Xatouh%%D&(>Xa{y&AS=`k>eLaugsc16{Wv(u%Fc$$ll`EXzWPH6n+&WmH1^pi#7 zX`Zcd3@7zFDkuI(k2S8E)bF8)`Q)U2vLZdB7o_Er`VH;`=V$c#wRBRyc@cBTq<%7~ zpC!42(K4x@%-LsICl1l1eiP~ZoK9_;)K6yk^V*y{shW!rs(FVcy{OG~lWHDdlV8(~T05y`EzLKz`Qaov812!9M9c{fMt^kg zJK_UY}ef5eRyy@r_2F$dfl~kbC>d7+9eyh3_~gHwaWovJv)XjJBbTU4wF&LHqCv8 zhCaK6K6BwyRoUEUV(7DfSk)k>*F{y$U9JgTjtpJuonGrYHg|bAbQu-av;;M&PR)JZ z4t-7weHKl2`uw!B_A$N3emOf#UpSf5_F(LcWI8G*))rxhC)>C)WFJ1Wkndv- z#wml}$NVu|C{GN#sw-we@%tF3_n{Keydb}=t(#`w~x5UBU z#9HNMvd6;uz~dG5t8QjX%%q|HD3#?DU zI_eaxn-((~($rsDT8j(0R3YLwS*K$Sl7u|o0t7^_OpC;G+j zV-arP@%tEzk10tXC!gTXc=7ufjEmjn z)05)&F;<$s_Y(EHG0?us1s&Yt_c5vB_c8i= zh(){(_sit_oZ|N}#qVSO&hZd_N41WKldr#F`GoNmWySAf&^yV#c&wDF5X`}MI;|W7 zE9Dlyj~R-7=;;=}k9p)cbi@H}@%xzK_c6uqW3XO!b+*2&98ZkhV0X9peT>WR2#Vjw z;2}=dD}Ep27r&2*e*Y4M>WkmU0M;meAA?RPejiiC?@rJY#qVRh;`cH5o&rPRCpiv? z|C`^()a(x0RZG*i~E~NH)rRnMReQElCpjX|T7O`*W{spa0H;LzsIIIZMN~JKFJEPiNUloYj`0RD8j^GHC01~w7)4Z< zVi^f`$P&RbpG+RZu6j_6RmpbxVUQJ$!=TX7$BxOzVDx#80r4!dCZztdRkff|j zp2a-NB<0KG3i1{Yi}6k7HBgo8+RDeb5*yO4*-PkI4~_b8<{9O4;k57b;5GV@w7(oyeMVQZ-$Ce_VR$LPYDp>;XFl(KhH=kigtQ|98iL242|yz$HUbB3wOMJfBD zlzmak9yj!PLZ7B^@6NQYIRY{9#?~47kjfuC79(UAw`S}e%v!axJQOdr+uqaB|Zy)NWw!tJR zO4(!Spo*~k4gosk$B?qGISsU{TS?92mb|MwZwS!X?lbW)!L8&wuUO-f$=yZey^4?Q zV~zJs?k*zl9q99g24}~%VNNK6%fDJtC*NOQ?lgiTj>5$v4$S(EIPeIBo!0>@$DQBqi>JDpIKBiMJVj>NuxlFuY6s(b&cg$0qKUT!`I`9VcP` zT!iEC(rdxLAZ0QvZ$BQuZl^NcYcn*Bm|vl|STzgs4#V5b*!E{K(Qk7i49`Q0VzrbY z^**51(ahT!+#ipmW0x}M`-vCvr_^VS-YO_y#~x*T+ZPaw2ksh#%lNAa@y=chU0dqE zj+i8WFQH9W3G(+6+VmRCSpHr@n|>1H?^7;KZqCxwi_$7uf80`dG zfRiivnq~MwZ#?EI`G(<&;B+*(IA(!`w%e#%ao&!7_= z%3^Nd?)t&8qYz%ey{*8JUW&LNrHP*=a3iTk7*@N^D0HyM?nw0yUNG%F1_l z8DF-Jdta}^x1orVJtUkQgbpvgh;=6S#8EAsF2MsZnoDO$@B%23`|a6~)KS<>Mqyhz zv2pm5e2GchFeb&re?0=yD%VM3NH~e>XVL2qI0%U*7LY8*v`Ngrok5Qd3&i0?I@~1= ziVk|NP5_b~WN>|FA%Z^5mk9DVEk0Xvmk z`%l>3LS#t3?;$oGk8jP{>&IsV{L|)GB*iP@(_neGBV2x^OwN6JBY4%BC^znxUV$8? z{sd%CjKO9r?|dACVEgkCF7;<%+fHPL)j_cvVQdYVVbx4#IHDRJ5@Sns z1`D{{V+9$-lZLv&W7~7;HFThwBSu$s9{O1QO7c{z2eD47dEQ{S)vE5usjl^M@UByn zu~w+-gpnPj$}!{A^>{-}fJWKW6JxojRv3r%rcQKc`xVTY@F*&ktpERs~m4+K+@-9gO2F z{8)%J0b$EQ0k#|vwj2~-%K>4_K>@ZL5Vjl?V9Nnv%fa2E=dFOS<)8pt4hUNg?v;{H z0>YMq`-J#BN7!<(#!Vv6QMrB``TK?PRnEXG2M-9v5B`x_>-GX?q;h-FFdh^keO2yu zTGK-^DtVQwrak^ls5+JV7?OjBrCSXuH;iSE2-T=^AuZ}r;cQa5W#oKJWHzhZ7!K6q zZZ-7nr*gg6&L@O(tjc}J5q(kyz6Gu31U)6xkt#QleRx_lw5r@4fo zI#urd5ujdi+hHF|RBj+A;Z^C;GL;)jeZQ5KEmyh8$AEgxoxUBu{HSt^$@#jJtx~ze z*`qh4Y_-bqgyEog!f=i!3}eO8b3USSJYhI^Tc~v^#}kHwcU<0wtjCyfR^AnAgUa!Q z;ov=?-cmW9FdY0|sErDrkOlR=P@kwAPZ$n13gx&to-iEzK}Ozpb39=<_`rP@6*6v) zCkzK43TNczc*1b-kx+Rz#}kHwKa01hb8|dlIQZ214mZaWhJ(-Dndp0in>(6q`HPe_ zy15hCca@-*+0D&`?0Z6}CO0>cHdrClXg62G8B5F8T$)jj+M~oNkU7@P@fsBL6RO3{ zF^(+ACr*LPRyVf|Txn1v)D$<@%-QTO)HF9o*m6)S)C@@BjMWL%=H>`n4u&MS+-JEt zI_O|nVn@t-yPIpEl!gR7ZHJpXmE*F#Pz&AMZPdPlP@Qh>U5@T>p%%Nj&)K69LM?G~ zFLBIv6l$rP8^CcHDbzAIH-aOvQ-XH3+|8}0lt!Uey17xb&s`FjzJ=Er%yAhlWhRhm_z*X>=6KA=^Y5zrMja<3LQ78{@q7 zqtj*X>H=x-%*53Y&=5#_+oZj%!3UhGS#ptV51Q!gW=ow8M@|?v^}mp&zsROf1n=13 zoW$`QQfYc;Y12CkP3J>Za9)C!Q9s~^i-Pk-e#Z7=v5aXH>^cM`7bfb!kPmXSw2KnE zgQyFBPx(tkT0^j$>#r-Z7ENyqWN|D_aB(y{?uqzghMWrS3V$|!`?MirWAIX9CrXnB zzFgYCmkSNN1Z=^E1kbMYgRRGdcqMTfh)lqjhrz2d08#K%6Nujmkq`Q^AFqk#x?nhM z|8<#yhCsUehIF?v7({=&F)tF3EZ=T4)&*~Hv>dR za90vUg@=y7%)U>;7h*~91ZOuX#Ij(~H$hYiu{@YSX(=IA1}|_)Y9UqyXR}UPh}FS1 zTs%gIHNnfcQ4a!->+z9*ShpY}#1lcU!$5={tz=zr2*;q8w0eEuu-m;wz=mKJi9SNS z<+!(l+YJ30Ca%J7#&5I=8Fh(Zd+%ztM<#Q)SF%us%X=)(4*!mxwKiEBBc&agfL}cd z8og66620tt+S%iJih|@pDB0EHYR(4_(+P|cqAqBo?KXKYgRLQ0&xzU78;Rj>3>?n+ z*QL2l!4<5tx5uZw=HPhN*+=S(4W4D4eWgxI@I7{Zf2q?N@NnVa055iEx+6%DnBX;|q%(Im2kbEK*uF5^L~a|l=LqjXOnN4fTS2>< z==}s#uS9MP8__CViW0d$v3--I6?u51X_#y?>=uk_g?|Tr`y7jm#$bxam1qZgYUw~v zEgk4-g@K-x2k&%`3oR4uLgF~-Srjzz`aHwq6*3>pLwkZYZ#k|wbwM+&>_m^68|>l2 zrlAo!#RXLOdm-7Abf4+y^~&G^?^~=OGk;NOgDxs<(2_!fh*%D~JbKkkK*Vxzu}9Qp z6g+Vhh)cYkaVMXb=YXYR5_Q3=oP$d}J(zR7ki&VI_Y_9DF*t845SI(l6kLF%7+mR9 zV%VF5@4(!Ht7I(42KB77!i$jC60G6uT<7t=wKaI0#Pvc<3&wK|-5^9;a3+m%r4a4b zo!#U;i?Qkmc(`zIs~4g?rwJKKBpG?`@L&qApevC z@qpN0-f^d+9cDO}zspcjGlD&Lzk%9j#}CjFcOS0kMoA82D|Z&&J<)%&izK(Fgk6PU z6>~>P@@}X!dq|QF+U)rb#=t!h_HDi{Ne9Bs7?Cgw6JYj}@U?;usH`x@+=Ia z87B-xEStk6NyM@_LXt!*n~B0p#IiX?atAQ?Sb1W-44%LoFS&!*p&63g$lMd8T9%4u zF*kiQs`=*3JPYZd&20K-$8_*#4&AfoxKk*5?q?tpWZc>}OAo}~zVw>rJ9L_TU&Qmg zS;nN3&cphe&HSe*^AHZ>0*(qIDVbh41WDh}%bT;A&KNp*a}Lvyp^rD`GMzVc@urjM zIzta{7BSsm=*G=?Y<{Dm7dPiKy<5KqC~R`v8mKo{vVjRUp~7da3ZFw$;oFDj;TU6g z5T4L8mwgL`X>J`%3u`8`AxELD;Q%Hf1sx9uG98%gyKVeLq_n0qjJVFP(uo$x^XA&YEjxM;`6(lVC1J3v5o#3GcYcSXC}vm<$p zHHV{3*`1z6GcYOWeYTPP@C~o`*`1lr7%r^rE@Y1kU6oDe%}*gc+jKK{>de#VLw3*a zqgM^)sV1cN;`}t4-QhN}qnRFSX5u&db;_J(&ZVxsnQlWn=b~95i3YOBo`#eDDd;FW z<^*IJK0RgkAA=mn@P0mf0Mnis&t4tK=KE$cmP&Rk(}lx@v*Revy@?}o@B$>$(=ZZI zcKi=0lAjLEPIwT%j^SrRvn^JP^zbm=W@MZy7FW~Ws#%DZvTB9jj$e0IbT&KnMI^&_ zF^caXAx#gQ{POJdZ*mRKKN@+*OVygwj&-s#tcn|_Ao~PfzB4s#M?2Y>3Qdg60t#&7 zC85L6rDRVcd#4%6Ihw@|FERWIW%g92mzoXu&7O7&ewR6Y?nWbVGp1PG-mUdh+(W`` zEA#|aLioXs13*;ECSWGmj=r=;_WdICicNNRJr+XS*_nKlJ@aMu!UJ1&j`YG1o1C@S zWJ7FncHY}y!AS8>agbQcH8WA#aXXUve0Blv`%DvO>+D~kQI6@&;W~%ezBN2qju~rs zvK-kYPQH8V$zWZ?U1LaEJcp7F<&a!>68mTFz!Jz_R3gb9BWxvg(W)ZHeUII`cr@zf z>$2Z|k}{+Pm$F_##xg5|$Ejw&%X%5}eYn2tWg^4MyPWB~`{P47 zws%*T^lpWf$76i6*Ki4BOa<;+v)3|RXP3rxOgEWU+UWI^-C}y6quCpnZZ(sseM5^xsjU|IQNqKPb`v!xH^JD$)Pr zg8n4?zq$o_(f_;l$78%5&wEP7^IofeRTEh6v-%%ndQFM``>p=tF$&oSto{WY|Fu^C z3RrdaL971>j>SU-{ll=Qkp0d#~!J)Qb5O^wAXIzl7f45j*yL;} zHaYw9D#~lkzA_!RPj;MsThPrF@fz#-R?q9qFWB81lpR@j`XpS=J^YR7CZ}@c zRLFDGTM*d4Ih#-mAu*5{?x94aJcMR@D)Re*TxKeS$Om;?OuqUBMx!w}2EW;)VlyFj zD&0V(+8NUNXR>Ma1B&Idb2bp4=mb1QIBVb2_)aB{5zdBc3D_#ryuS33D*f}>Efw#3 zZQWd!UyXc)y zK)P}$z4LaeFVg*^>|pg662bn|XI~`QYe;rzp)=eI$PQDyzGs}u)-ymgsPD3}pWQ*d z7gru(TMicbrybSvR{l~>b-qPCoJ@+{m*$SnDC!O9k~$(3W;PA6ceWnlL)q0HD8CF_**A%4pQ@q&J?m8Li{S_}7wLC^Rdw`{vxdSR2rG$0<6G+Bdis4^~|GwL5T)9HO`&YkxqV@rnzj_Rp+) zsF?V`6DGCcPDIh6)gD1#beN*UsvSrJIzo)EmRmyEBh?z#y_ZHbQDrbxwJX@3qtpdV z&)^ib%Cy$b;9N|SS*lIYp-z_RsC}J!kCxGODl51$rl<~#ROMckNFS?ygu&{=^!n^H z#ivAhV$2>VqlhO*uB_t~pCy;elUR0!aIKUlvFr&#tO|N?9ehji8G3avb7v4U6`vB; z$PQSxP4Q;=kzfO*oh0VHF4(veh?5m>rk{gF--L$f{xIe(PUbC*M#|YjZirkL%?tKu zMdSLsgPZ-C+)7p#YO2&!dM!ne=ldD#!h1Xnbpq{eOz z1V7vcM1OD4AS|ljX($W^czmp>3kGBD1p_@go(8)^v8~qtw&vg++?C+%6xqhwN7y0q zPzaxV6x%an4CGXv#MacRUU{^c=}a)!+YN+_RL~*24UT=ZJxiXneP^2rkLx}dq>cvT zmtvDEpT?Cg7^Wz#&*d17x?qG_PtjXa{f_d+8hgbfK#UZ^4_0C-f}PaUT-iY*tRrYt zzXH)K_$y0xR_m;E^~)h$xs3Lktd68&HxDR&!V4X!QpWb-_~@Koxvd1`JVa_h$R8n9yYR`0I@8% zlcHCscR(x;xDtbFq-15lr5Ic*#Hyf)Y&WP>EmsB?V{oHt0I?>ZC&CuP;UFFf=yHM| zt7|~43+R`EwdzR_>jOHV;4zhfscs19rGn?xXb^7&bVtE&)M5}D1Nx)jJ#`m|PjbB} z`V;jV5RS_IdJ?G5h4NMIY6|z=zo9InwxXL1Qf}{UG5;zznq_IB@+!A4M?dQhLRp>4 zJ;g=X+ie2XpmMTlmvhI1YE-#5Y3()cd7zq9Zca~7+qyS`YF4={ObdHOkANDha-AIZ zVeXrtTJV03-5KFl*I}+y?i^BkxsyOmQ@P_w9pYXCs!ioyWXoDz_NX0i*4U$|LUpJd zU28DIeIA^hD)(vvRJ*$o)Do4u3HO=7Y`6Dzm@?&5(MYT5<>aynuS0XLqP14jLltv> z$Xr^g&81tb<_{`7&LY}vG2;zp(003d!S*NS(o~Bg(@58Gee=~wwY(px@VRU&{3;gF z4bo<-$zw&y@~ZH6E*8^@yNgk=rC35ME-E^ym`MY+f=Zul&qZz(&AGb>lCEbi?b_x( zi#8zlZe-LkO(VA@^D&#%yw5`MGpt0zwne`{W;HMS#qvL}oVH$4&Z^bISr8JUyozf; z?p$mU@BQopxv&>FN0Re zQkz%#EJbj=S`poPx>H}Qh=J_`_9`yxFR$~JbniDE{}Ul)T@?2xN$(<*#) zLeuW2Ncs-DinzUDXO~w!l)uh$dJ|ipOAWa_kWu$5B~ralH! zkqJ@GUGliySl$O{#o}*S?&$6>c15`;a%9 zqPPwmGxOwIhjmrq?}ma3A2)XRHRQ&{&p8ZO#XLt{QEdmS~f8+vI%~F{*Xr{ahpDHSR zKaZh!1j#|~*sT^ltn~`j4_w0YuDnrJ!%UkH))% zFpfvz$5i2@h`#kcTZ^QhBKp?*oas!8=v(hEOh+lAZ@s@Volg;c>-~-CI!*K~Mv6p( zCi>P>LNw|};A1^kh$c<+t>+2Rtckw$dI&LAzm1w+Pa#?~(YKzjE&z9{Ci>Q^RF{C5 zris4ww0sY$O%r|V*^fib(nQ~SS#>?+v}>Yoy*{!B-l2)U^|n-pp=7=$`qqoocOh+o zCi>Rvt8N6*sfoVzYSryvTcWp0gBYSXg3C0~x86`8mTRJKyNu36+h1Y_2O0+{pNJ9$fGkF7i3U5K=&FTt<5 z!2JQ1mamD)H5baMu^CNFuDM8vUYeL(bFoVWktQbBTq2;}ynX>o*DMucpe82Qd`Ifk zX<~BC6)rtOy(T8te9wIzi>^TvlZ&^SLr~JJiODquOs*y-*DMzSEt;6z{|Ao}z7k`- zToaRP?vS2dtBJ`qcM7pmACARuejvmxnwVTuz~pLTa!mn~tBJ`q1x)V$^ijh1p$m?R zh{-kgyQF*-5tC~k5Gtc0Vsgz|_c4@3Dk3J=JSctYt0H1@%|q_X_>)%=F}dbvLe;70 zV;W=;lN$|VSrL;P5tC~k70xCVEhFb+BC}aV#N?XC-Csc8ekvj+*E}JdV^u^ogOGU)wn%@hxQDHwD)c^cZ!nNpogBuZ( zYyKjBrO}Ou$u%lLFSDB)5tC~YLN&P&F}bEfsL^gjOs*+nawB4LO_Uf6nPYJXFzuzE zP%UmmOs>fb=CRd{h{-iILQQd_X3l1Rp}yKt!nC^XqlDi9)$T^b9J0)mm z%iV~WT+=AjN;e`V*X)v5i!oc}MufPUQHkv_606;a7+14r zd;yc2u0J2`O!{5;?JbyGb55cLLu#AeS=#i@LemLSHRmOG8TBo9dO6o$SK@Ruy;1YMqFI{YonZl!n;udR z?xep1etWkef1`OR@g97VZQ#qL4Sc!Kz)QepHYE1K^P;c$9?ray7y=?A2l|)-CRabz zgpvX#R}+(KUK7oAnwVVkx=cZXmhQeG-EGvw3g##Cf9tFn1X>G ztBJ`qpCr~{yjwIex#qLPYV@#G6O(KHlITFMr)gqxjq>hANt-^M&G9@gj`%3yEx|oo zcd$P_z5XCNw1Bk=m|Xq+BuWaHTrF^|0wz}rUaNq~)dJWmU~;t}whEYBO-!ySU~)Av zxu$^0)dJ=UJg%Kbw4k{%LOh`bT^4$@l69JxT+>ThyVsg!%-n(LY4(Ggp$<@TwngS+Q6O(HW@E)q;%o3Ap#!27WG`IK7!QNjXtz8q7YsQP{4oyt1nc#VY zaOsQA=71gM_3I0>O+>`x;uPUCFzJ~@w1Rdw(VGdXS0W-N*R)EPqD1s3w(pCW-1M-? z7}cad4ZpnwlWV4UT#0s|r zNufc7Q-tYN<5PsMhxSMllWQ*V-iO=F_nAHg{zFWnP7{-BF7>$W(x8dSHJ5qkfoRmk znwVU(%HuV9i5m6e(x|D zNCN2017d%9i^)w7=kga!Zh8cJE|}c(jyIwug2_!cN|Kn|^v=RdOm2D?NfMKr-c=Zg z$xV-vBr&<^JtRr=X?oAqluJx*`sLRJvQvbGmzdo2F~UnsZu;1@R7^~6`gqADCO17pl6>2qK0&GxlbfE!+)BaZrq6sH z%}x=Mo1RVo?4&yIlb%EOT)^a}=iUw?LB_58^wJ)Xwl%$G`a5)*TN9I;UdE(T$#>$- z>G=!6k|HKIy?~c3Cq*}(wwT-$y?okYa#M8jX^Y8C(Z{DPCO1VFpSGCX6g_;}VscY- zVGXt1(QpDtD1~MI`h{?^=GTo5689mGl$zal&Q^e$Eh7xTwHbqQsX4p`qTT;a2 zW*W%T>X;t*Ll!v;z(qT5k(RMkFuCD~Jy2RHnA~tAudyj&a>Jdt&YTp%o1w+zrU*U@ zEhaa`g%$2X_9#VHWz+c-F}Y#WQdF%=5tAG4IR(9HNIlhr^j>^CZ%h#^6^>?lY>JrN z&|-2^#N>trOm5O2fpPkFuCD4iW5w3c<{~;Qz@9-aQtx;`6*j7;SBsbDehN?Emn;5@G#zHWSo91 zuA;paPt0J|q(2nDg2@f1b|Gml#VB5lMBxyI>gD0|UKq60{G%cFcp2UNX~#O@46B0s z#o-COd}s1)M?2xnA7dn=6fwDB8!rhRDY}&KB(is=Mskj3iN{M3lN+AO^wQJ@{D!9; zgx_UO@4L~+yy>f0-QKPBQ@5g`qdBdnN(f&QlWVGFYcQjU$u%{yZ5g3gY_ec-!*+J2 zIttHR%3ctY`{m~aUj-J76#okFmCQSC{l| zg_TE4Zg>rsKqf^@Zg?%zb#`f7$8=MQnB34}a#O_Qh8B~XnoRu`lbbpOn!{2|Zg|s$ zR{yOFs9(n9wvsVfRigj)68(3S=)bc>zs2NQ{T7pJ^;=A?)nAIq4ObiJMgQ+gcLc8y?`X6I@O^N>dt$t!XLyO5x5$hRROm2#})6imaQ^cKyrI_6CXBSd` zCVX@l_2($#ajUSlWVQ^McP9qMOffJI4^iA<+X;dRO9x^+TCvpy161=qs{nM&+E+3Si7^BT{$O>A-CInq)%ih* z&JV3lnJ0_MwewVp$qoNB2)e3U!ax5u?&oJ#r|AEjX+I_Q@E6J}o)^q?VLkkf=_aR! znB34&S3*$#<}jgl!A+)?8SbG(q&$R%Jr((VEti=JA@Z7+z|dD5)kb{`e#0Urw}zP9 zuu{EGv6(Qfj-yyUJBNYzL`M^o8``%wzEeX?ZWyY)!B#^|ZrDqz^v{P|3MSIl%@yhr zlN%N=xtf^VaBJbpXkv0h1WeG+!_)GLQbSBG&I_Io+5PLnYQ@JFE3c*?kGJk&e<6HL zOl~+p(cNb>F}dMDsS;^oa>H6R3ib04&WlDr5>>qCmxGk5D7)yL2OwQTOm4WHdWOoQ zaIoq?rY0sgw6B-!H6$!xa%C?d9Hw}E$9cZQsgz%fWIySawv4 z$r6P-sSQZE9b-A1wm>X*ChOf#uYHRS{C2eq$Hz> z$qkE`+!|tX!_n$pNE)y_+*=(|Rlwwi&FV;4qhNBwebjYK6A2fNvG`nK-@^R_{wKETj!-bU;W$O-A(-6oV8w+mnB4FX#RVyt+;F_& zLJ>@Ec&M1TU~p}WgGIMOcb1sk?8&^P;e!Q&lz0;)m|U|*AH0m}Etp)h zwLH{mVscGC+3$e0;HK473E}DW@D8S0HYI%fOrDqhfQ%+4*VNd}0ZmM<>F;gW4vR`Z z4OM1<$H$sFO-!yC=+W^s*d2;(y*I$ttcl4rgN1FZeS{q%4}}Fxu9?9m*ARzmYSm+G zvtV+~T^3;|(e^BP(iTiE*FDY)&gK6BCbx!|Tr*5jT5rMRni1+k)X-aU zIChlx*pBV=NFjVpOs?5Uoz0c4iOEIS*AfuDG%>kmXLUJ<$iCa#Mbu;S0*_T@SDCAU z&XyLFYxYp%2S5`sxu!{Rm&4Il9}Qw(bqoqH)+7#8XM)IRkHjHDM4FgfbC~)LO7fbR zTywO#0Ysf9Cf7_?_kd{7#N?Wjg=o~om6QneFG+BGq`W|@fY(8T1L%hjPM z>D0vJn(qmYcZufOGgqtmC|Rb7$u%p~)gYE@VsgzjQnFGLlWVROVwG+p+YRb|u&vg_ zLDr|Fl>TD1T~d|vR+Ky1*&*X&3o!Z5T8W7Df$!jZ4i!%h{-jd3+1bbm|Ww#H2n-t!T~1Nq}<0rMJgK2 zvb0cn6%mtbvhD_y)v1V>T+`eA7*vCbWYaF^rnbf9S4G6+ni|3DHmQi1T(hlv6v~=a zL`<%!cZrx9t0H1@%`o?SpjuQ!=#d%W{sL61iipWId%0WIVX{iipWIGu*}C>{QXK2~h3s^`Mrhh?rb6+kG4qFuDC`q*e5Ca@mB} zp*i=XwN}wX6?2bgE-lsO(ydkT2Nlj@5$(2^aRoDIyWPBCyOp^#)uPC?NY@<%5x-?7 zf563MoAjS!5#1ndwu(Gflq|2L|9-KUR@_~TiUt`{kycz(R9DQT0b4<(&$gqG+i%7f ziXiDo=F+Zhg}c!Pm}v9ldcj@ zrXb{DGI0&aor^8vy`Oy`7xn_@yW~9h%Q&T=`zNmWg#&aOMP(nz=*PunRZ5dLukt8z za^-E7vs;^_Hm`CKMR2`Z5#4&aQ(vrzF?oV@xU9dt&R5dyKtw-!giYmiYb>3wW^jr* zag}Xag^x~X+G!L?-(gn~w>RwU@~VgO%UMouV(W9MA(yz|y0u8smq-aRe@<4q6U$n# zxi^ZebS)wpte-QJ3?rDyM3tm=At~0)jY=@S0`pAz7lBCnAqu5CbVRmN=|T{kFGbNa z*<$XvR}~~3Q>;x_RZ?7NCegqmoW83>xM+~6m7gz86B>0*u^zo#Nj>52w#4pw-BZOB zB)nLZK+m|T1X)>|X#P71{ZLWbPLH{%gl^5Hrg2oU3f-!$Qt(a}7fbkNr@N$zBwCxo zT?)1+LG%_(uIX^Mz-^S>n?I`nFd`<`%op&5uZhVu3xvpMVsgzwAtI-S63p4|&mk@8 zE17BvOZse!p+)QoQugP1IEK5rd}mysao{*=m}8N{|8Xw2p=*fAHS-d4QPA@Q{PY$~ zuIWfz55nHFoh2`73ioXDrND=3a@P=(YhFz7Ei5{VoTM*zfyCsRoVN}+vVF)KO;KD2 zj@mr=&cV%3(*L8>87)J`b&~WSWSvKm?2XOUA~Lt;Q}oHq@@kORo9Vnc#XA~=CNkHY z=Cvd3Xzp~Hc6qVl>kd{q-Q)EoV{bUm@aBRoa{7^5E@NLfFPQT1^eVsiV)}Y6M6$Oa zbIsY_O&~P)Hq9b=e}~=^nQPATE<#BSUtXET9^YmqeKx%(QNT%`)sp^?m_Y>ZpojY7 z&ZI9JwJDxeU2*1MAaez7+n4?Y$lUtJa79p6@-WaPaNFvG(9z=2yyv4rGO_Ni9>@c} z_U-X#1pe&>tKdI-v~L~X)&Zb9><@?9;!|y@Q$OYa2p?iqwIb83!w+T3RE1804RZFx zkLQd&5SM8S$o&9aARu>miqNz;(No@*e3rF10nlKwA1 ziI*UbSj?5VgjY-MeZ|}@oD7e{4S5`{w})bWxDnf@UXxAV1UcTGl1}YJz+PC^SkGHHiE8}G@?_jHAQ$sm2ITTee576n z+cPT!tk|k~NUpg?h$)(fL!$$)0&AZWKyodg?z-;T>h%fNXrxwibMm#ah zeD0P3xn)2uo;}NeTpn|8%79$QlmWSAKrUc+Wk7BjkZa0-T=xk)M5iZ~0l9cWD+6+| zM^gsmVrwbsmjSu31$#(t>RxOQhV#mR+%h1y49GnQOW`HH^Ndmg2`oJ%H+;Da$mN!Q z8IW5B_mKrWU{ z8IUX3*uR6*mI1kCK<@wjfLt>GAlR)5$lVmrs;(FY=&J?fZf#MrE%l@DqGAtZ19p42 zh20K07@?CzjP48zq9uo*5^<_6g*ZLyfirYwj*CIGjK3j>cG%$-J$pt9T=;kFDP<5X zMyd>=EuU&z2GN#5wB=K6ftMwd4!3sYv&DaBRP-&^F-IOd4o?US=%4zw?x;HdG!~N z+}4(^o>yNTlWtejkTyrv@vC5NSL=|r>5kftM-AhA+ zyn7d_44HJYqh_$7Zr51cL9RoJN{dKfj)c<_M=5&FJqO1~;MX9PNZ=cfv0|p71N=Ac zbjT?mr&~q>myy6_B=CP23H%crZ|-P0jPHDRA<~}x2F?ZU4{*wgub<-?u@}louo?Y( z9!z_Y5WVzC@P6iEmmdv@v>ZQwiHp-H@M)VDc=-BKAqMKRcqZm|q)wgw3A~%R!XAmK z2cxF>p8Jw~U}zpsoW0Ve%WT$Zo>F_25M%X6Jmz+}2x!qyvCh>(l#kQJr|9)noSz@b zP_5SEaBVX`7GjM~;$@#%EyN@GD4sn06Cu{=*El~v6=J>qHpludAvWksIo5Z}@V=#Q zh5?v+q-3K$optV&l27zn9w~gE5T8dcQ0W@?K5#oK>c@kO?-$BfkwH|Uc|fR)icTW6 z)_n||k&5=BVLT{8`l{%5TGK;v$Z%do)jS;XXF}Df=wnDW4@*NDR5Xlbj|f#p0^^hP za-{4^6)om;y)NUjN=1irJ-s1it5x(I%iff-H7eQ~k1XabcP)nX5f$yjnSEQRbt=kD z0`-m@XuDoTy*MlH3bjE+?VN}AgnA27SoV9NHY$7@4%GWXeWIe1aRXyE3gx)bNu23F z$ZYy<#Lp0#4_w}lW!z{ZXY)hhjNIq|+TXu&obF)UA~pPnk-*zS=2$oSfOg$4L8`@# z@@!c?K?m3BM%&=d(bNbv#f_S|()tTE&5d$gYPCYmfE2EWI-%O!=*l#xA&Dz79kbl% zPCBz;iFZJ?yHNwBG$eQ{+~G#2a$L3-YM~q5M(sNY)#*m>a&(6awb=ddJWh8f^nJA( zEn<&$PkaN^TW)S<+sTgEGr>=dISI#I1P7EJybjGx`p@IHcPBDB&G-br%4k1wG9giN zm6=dLtJc#b4@>Z58ec!j9vz;T01X-aEqpP@94Xz4^c=EHl=17U&lv||a^g{F&f8z2q2muXR+S?}WZPg!es%9m4nQGTfbau0)PKV=u6GiFz^U=IM-18C-p|`$#-Orpa@-wy{ixVHC(UIO2O*0qDH&gODCzsB| zhcJga{d+pXB_gdsFX#H}O3Xvk8|~NhmL|A3n(@(d{7Da~2Y1rvmsNVVA!DO?DZvkU z*ap5_+Q9$0<8GS`~k-#MjWw^HxUG%hA+l~cHqpXdQ@`=ZcUO}5`^yrg%X<1J@ zdvem#zla3pr+4s4MEbk0%TPAyE9l(!_Wp{JW_>*EeINOhB&GL~kajUWsT78`0{mMbD!|^e47&lC&ZZk2DRY^G}h$B?CRR zbfBjd26|Q=GN*f7Xc@f=iQ}Yak^QXX43Brb_(UYyW7<4^W3|qHTIfV?GS+y5;~s~u zr5k<^LR>)7=NEx`lJ3n<1g$g|c>LOyUB(xAB@Mc$v_VS>4dO>~O_xWnn$f-4|BF3- zlQfdAm6}VukKi`*eWp*rt+$v&j0AoPgWI4NayT#ZmVju~=iz&-=5isL^aXT4S9<)m zYP0?huSr+QSdP{8th2&<3dYc)*Kl^O^IitgsvjqDy%5v%c&@t}glN-e(kNF7(Qe(@ zP4XG04()TSZuR)_qfY%Wy~Qezn=VW2z}@cs1|`entE%P>A(rFw>R90E?T2BYlKzhP z?VUzOow-ZggdMwkO2_V=(y{yZj?<<6vdloHqtu05thG!xq;AG4%M8h2 z>Y7tCv6eGKha!J$Y7{-pun|bNr0&5KW*W%T%8Q@lkVW5Svvg+JjqigRzm zPk8Vi5K}n~BN2t;+bHr=wq`;Hew`G*{T8-ZG19}sc(ajl`mxv^ZzDsL^jS6OkHD|H zD>@ray%b6LOx+DgNYewSdU-f~E3D+y{G-8gyj0DfcB~W5uqybOw(taQXlL?m`0)44 zdoYqwY5@hd@xsuN`V;IRJc;a`sgax``Ka@fR3p}Dcq%);G_?W0;b{lqcbU`sZn&1b z=_}vv)|@@lPw|t`j-CxYrb-B3b7$LB%eTlgdOLd58u`Q)zrD^TySp9>q3!HUbrha? z8GGS@Eu160*bE++9|*@t@sE7T5Q13CH8WAG;{+tD^Wg&C{iT{XTW9kGB`4Jz8p3m! z?OVe;m-C;ohS$k7o5aa?Z#@~Ti+*G!E#4U?W~2_~kX*=*Ejpt??W5dfthHcs9Oy`Su;L()V9$uBi z!>sMy)g`@KVdZ(8%4@g;GN}sOy@uB^U1yiZbxb#c@w}&GJnyyoS2dxj_gVdqF}*#hr@)4}^(6M5^xU*1k$v9A{_ueiluu?+>Q{c3pN8I;!=zEX>uC_7HSE$HTo zc#SsWTRpEcKa&!>dxLr+>rUU~IOVO)yv1~5O2+1G@{CQ%*!+%ZU~_+UI)uJ+x7GRH zcd1kQ@V?b4cCwLn;@h_T!Ri#d|6_^H4@z`?Xm!dwePngYJbhfyY4N}f&{f?M{`u{= zpPyNsqW^QI{gl|lUnnn=5_|Y7(}nf$H>R7Mnw3-02S;5CLH(Piwy2NI|)n`cN4-UvE7gCBqc2?-_u7 z&j8h+#-mPuKiomx7*`%)E5~@?i^&p&JE=F2=yUQ(xY+Hi#6|U)a`G(PNyseua={9B z6=je=rVa9UQ$J+oe7L)6=vQo9lkhv1f6qeW9>pav{F;a)@mz3b+x7pKBG+O-; zk_Idf_g05jInF?SzCCPKlVOduTX7urQ8zQqZ_9^c)JIJ7Yx3cKiWi$&em_3kU-6<* z%TLaS2PiuH+UJ=*P|^9;^0V^cSVc!z%dg6Z;}o4o?HgQ+2P-c8+8vHV`VhqhS^ER> zj8|MJwfq!&c&M28z!N65;Z8)+q17HiUv!wF!>S!f13E&Cua+A_;gM=K=C1bMQ<0vi zo?v4?>SURY+SjS~Xc=9nrh+SDirNiBU9(pu z(#NWkFj&2rULQ_Vd{&g_#_%{9MMqxxgvTpBQ7)I~v2ccPt(51n@B|@N$#;OmZz4q;-Pv&{q70Bo-no&|?w+J*p*KPWHZ)}G}rJsgEGr;5X zQ=J}+wPyx;bUY1qpJH3@U9dIlchER9SlGte2iYOAH36S|6x%a{_iZ&Nu{E`79ox)w z#?19T0b#$G+~IMg3SUe4Bd{fP^XZNC9Nwe5Wv40qet(3pf% z^E9q>W|*S1-j`!I>dXkW6gBjgRKKIV4tH#)M+)KVmGF0FCv`Sgw#JqYwujUb5WVzY zS+cXb97JT_@a-b%v4sKAW>;}V1D!1|Ov3<_*+U&M0Gi&04>V1RdmWCx8Y>ET;Nww% zu_ke#ItxTbdn67KBGU6=h~_YL1xoUI^-&;>RzywK>8Ef_H`CQx5Dj`fZUD^5LNw}g zNwfOVucpwCiixpJgJtLuV-9a&;t1I`tsd`JOrn#1hT5XRcPAAeQMnDSCw> z=yAE`N(3I5B`YAGp9|%y=xPf0T|)FSDn~bIQZBzZAE{_G%hE#SRkSZhKkLeO=T-C+7h!LgADqYA zw%OE>bNMCtMisqDYp-$n;rON)56thxH>={Iy8JBsSQT}0*oV1<#kJtP9(HGh%X1=I zRdf!iz1)6vm@E|?PwEht-&b!_(Ti+ZtIHm>tLSI!(Nv*2R7BTmX1M&`dZ&tBO@L~5 z`C;`XD!K{l$IO-wqc2lVKN@Kjy_{S&aUG>O_oKB|@l8N6_eAE>Qf)35t9%U{70zW5 z?Y5Y)f*G{kZeFn6$y}OhQRJgY*BylU;0ux}c}tS?xongEuUJHA6m7POJXVw}ucZG` zv6xoeU5tvokRcUm#YII!i>xHaD!?s2Dvf;e!7t3#DIc>e9oVRFSI8^sZGI0$^18ouS{Wdvo7OnXs zIS>0XPATaA5j|i0frv)wR$s{I$Hiq;N|QIQ@)&Y*VD zGtVm)(|45!7Y#DC^7F-MLZfah)}xmzsVCgsme^gdd#adX7mE_;88?+6Yj6|Ie0w1c$U2}E?s=t`vyI6D3haGEz4X-9LX z)3nR$6<>F-%IO{#LdL#PIm25Bw#ey6Zn=zAu~a4xnkg^oQ$^B$5YyN5JS2O+f|>Bm z+1@G;ntPjOk-Wu2FJI*(o#%ZUB{h77WfpsUr2K?c4%1FU$C#ULMIG002i4CdCn z8c#DybS<7UcwY!shjM)+x;o|zJ_9P%1WSH0d+>wQ)ndtwvj@+{GoLz)b=@v!FrSFj z;n%~a5?yc29^B)bAdk33$PKgWuc4%gT&5_UQ-4bdxnWNI4@=1PbLuCu72mYXYv$JX zV`+h0J-2>pN$IM&^@B(rWtrE_sUKNFJ~F5NYbE5yIrZC+JjOC_oLj#`3Hiy~`rS*& zj(PRZQ13L$+&Qm)CQFawQ~<6$r~V<97RZ%zh5&Va{6SK7%bfbd@nEauAqg1iIrZNp z>j}L1N_0IkxBeTIAiu@SMxtxY96@FO9y66pG(FY>5`eg_V1-jYK&IEVes0hDsiaS< z7)JW7xjl#FDB(Ew%?s^}H;iHVNWm5+y6&E{edlSmU=7wR|7`(D_1ln1w$>6|pUi1+ z*qx-}?E(Sj4ZM+4l?8g)yoQ%Zrwa7)c@4X<`uqaDa$dt{q|a5>bXL!4c!l(NvR?t) zncMIt>GKP8=iG*SDQ|IsUILos7Z&JcbDiz~e3otW1UN_jTZBgMFv(HBQgkVauGRB) zm^8ytFUp$%px%eKEU*1iwMF!_|Jj(S7!`oxhPenx@e9GEEoH5^Etzmw-Zz}-2O z!{1zNg*4kg(0J0Zj@rxR+Y18R8w6=TTJU3uuH|!wFTTKXULztQRFL`O3JfdfB3m%; zoKo?F5nh5LZP+YM;fPUS@w(Q{?TL>-!-s6$owosbJ7(C({n)rMg%#agP^GSz1m2Mt zll^Fwfb@@?9H(1H9v!D!oSojIl-u>p6e|Wb*uqWdZ6de-5g8ZpART zGtaB|o@Dl%hfH@rOn#zvG^%)RkMX!zx!(a(qK+--;q{pQtyxY_Y0aT@k=t<%dw!3p zAY3vPYTpA7v%g^Bm|CgjVG{9v*AGkH+Jgo!V+V z3O}X_C$$9@r1#lcB>mKI+--WFGo4AD2uB5(NxoQ7{;+PtfobW(?; zkzR2L(tc{vG^DTR^ki`LJ`#CJ{}-U-#^gqjV(`r6Y)bBZ#oR5NOcI*HQB=N%Vt%+0 zZ*06Ko4yHhygenI^w)t%@GdUf3@hkyF4bqhh6(P`Daiw1n>`ju^6Ud}53v>71e7T* z@(H+bCsB<*+2>faHFFHjVqYYrfy&7`XiCLZyO8VWxb9Xgr+{o4Mp5$*mSK>7k>^tuJTt8QeBiPLgU5)FL@$ z6m!o&?^ACsM&+JQ;m7?sx|(`x1(PcwCiOe+dwQO?C0D5LEix!rjq2{VDG3X260JPr zEo8yXNb7Hl+`We*d8u8T6_=oL(%%b{>o?-3mrFmN-tIu8F-!REJs%mq8JCy|LU2I` zB`5|mbLee)&^+Y#WMR@j8^2pd$n_Iuk^3U9toFwJeD_!A;pXE5o`x;O@d3of#PIth4#}fWP$OcHuvb51@cJK7d3VA3&m2FU6#oYvc)Iigqw~=2{`9>Blk5 z<~ku}=-6=L0AjB*^0kddU3em2|VQkHfLd@15qKoDxAv$yo>)b5FLj5V) zZf+5xQ@;$$Gq(z{SU0tT_`VQJ^!~Wcn%jg}YH_Wr+_%x{W%{@Vl-%zA1Y^BipGawU z2-~̴B*Ay(?cv6jsbgt$d7VSoNxPYUOVZazMMC2@QJi8wysSLlKh#|MzY9%Ugu zfK(=q4|p9E_9zSS0Tj|5AHY$`$MFHA>b_EZ06CjfvT!1?^z8?% zJDiMpLdG5YmmhLOpOk^e{$)Q-&{IMksiKLro~Pwzr&UFFkaL}sO;ZuEE#~J!&A|R8 zsb_@3-saoX_q?&%)A?O2bMvxLvsJW;llvR@GqkKjMGw>1Hn<@yt5Zeq zj{xm{+Ao%TzR!`hF`dTdtzX$AEgx-FrK1R)0x+0LxbYFNqJ}9Q~)` z1NKAz{?qXR2SH|cd;qEcbbJ7pdv|<5Pt1FFd;r%&M;sqOsyjY_RCjy;sqXjyQcK+E zC0z5&jtNpr-Dm**n2|y)bE6TorJWMAv*m8Io>tc=)JiuRMf=<(u@(zxl^eY?8Pupm z3#})P4`8@zcYHwQK(NN~0dL@vlJwujZyX=+9bVYhvjGzlC0ChE;sfqNd*k?kc4&y> z1K5RsH9lZ21jO+HY;PPNz{}L;;{&cnJ8|X(e&hIno$wT6o8DR4^iAReco~i311LX^ z58w#L@d4aI$rs}T0xbN^#|JD&Yd0Ss@C~#k!6qgB{qY+I3HWd~wv{iJwsMmofp4NM z77}1yNi>7VSisq<@^BFeGQ<2JjL6Mxf76=w63`2tCfrI(-O+#q{#n>h;>;1*5k>tT*UcB>D*PmgC+I z?)1TO@aih$rXYG*r?4132;$;h2z3+16zY$ z&y~NYx5XgNtiw6qBuJndC2^1d>%>6pkiZ;hPWr??^*$DJ(`cs1j;0;xsigzGNsxdY=vjG; z#&nMhEe;Z3&o&<5s*493;R6XUFcI(y`kl zNWhNW-SA1~K3Ss~y%X=%*Lc%uNqQBpg!g;1WFU#4Qn>E)F_Jp~Ydw9eJi+o^S^9X%9Yhy3Ly{YrdxBIWiYGmbxs^wwnx8)N z4;ZZ!qqx$u>7Sic2Y%9X=$<{tokH1jp8$~{<5qrpX&%zHrq@h=hfZ_r7bhaQj7g_5 zj|)L_dj3^lNga|z{sLaMoD^fh(hG+m>8BV9mOh*5Op1|U>2sKlQj4$&pB6lFJ~e^u z5j=8T>IJO8^dj;!q+UJ}>GRn9#uUAH`h2E$>#Lx!$#H9-K7Az{m|zo9Fy7%H$0FPMc;fdi3W3>9FsO)*q} zJgp93QjS9w*#u#z0CzT$K1&Os0#i|13>Dz@_Fo7UAbT-Xfa%SJ3NQ?+7%IT$^{;I|Meuo_8u*Y^k#(sUatuq!;%KMob(<*pDa@G|D97%ITa!+#-EfYtxa zPysf%5GugVFjU|!_TnFh3j7Q#7%BcK4iak>LIo~FlA!{;2i#1k0JHy5r~oIw5GwFX zD{1lJlq7KH3wMWM7hupAl}P&XP=OlMWvIYSlwm^!Sg#;snU(P`gbEx(c?cC41hnX8 zLItcm8!EshPz)7dx)>_J^uHY{@I$Nr)|;qb#^kn=F?XZ1hE^qLa=_gnq{W~jgqsUM*Nhf=={6|nl7;DEy? z=%(UO0itgiDlk9heWrx>*#fT(6(Bm7p#sm4*MlZ@>n7_GD0gh8KRDkJ!8Y=K>tMk2|Ql||SusX#~HquUtp#oOt--im6=={*?{3oFT za?K(Ocz51D6beQz;rQGfa#_4i%6GE?hr&MX9;tRLp%p zbtC05RDidVR^C?*6=0P(RA7qSiWWizNL2CuzlwJ-Rb(uB=Y5ebh6?DY{ z0wh%6OaKjvp#lqVK`Dj`Ji&A!RG<&6v=}PDi%l_9fESHor~n;)F;sxgw-_owM_3FM zpz|n(3UJ{ULj|}Xi=hHsD8*0#ns_l(fDWw~DnN%-3>Bd96+;ES$Mn54qKWD~rVF70 z$6?rtp#q%NVyFOTsTeB2=_rN@aCGBPfr%iBp#qm+u;NewJ~0+U1vrXvr~scU<4^%| z#i0Tu;!puT*2bX%595AkjU1T~wkh5?KcY84WO$Om5!UIAJApV^@y7Z&ESGbk?;nN= zjDn^(RDjze#ZUnnKW>L`yN^%-5^<;iHz>YBs6YiCK;uvWKH|io0`xU;s6ZNQai{>< z;!pvuyv>FR{DEyQh6?2JXeMDorbBif;!puTb(e+;G=cG-h6+$x94hcbivFje0?WCw zi=hHHgNQ=~egq;86`=Yr4HcL@0Gc)zDzF#@aj3uw5OJsgi8xf?9uWCrsK8So;!pve zh!}?okcdMC$cDW|m=Hn*h@6f?1y~Y?3J^yUhYAb>5r+!w10oI;AQ6WO5UCW03Q%+$ zDli8naj3v0AmUJg8$raO0*`=*Lj_n8hYFC0Lj~Rf5r+z-Yq>HCp#nRBh(iTBK*XT} zH-U&l1>OS@hYHke!*_CpP=V{s@Yp0yNSpx-7YD z!t2nS`_VwF=%I?a7c-ZZYIEtZm-VAP6-E9I>AHg; zg6~SI$0i-bnFm4~@N-+($yBHOBESAuUi;4*O5_TG}ZByy9 z?G)tpqd9jMLDB-|(qL`w-DoFrzl#h(Q`5+8$-!_GMHKvPtVF}MMfajk6)*e6@~2o% zTQ4c+W{QmmU;p5o7WJ>u_0rd7ZDMds~R;M~|?n zoNkS!^EDHkVmVw_n^xhY6Pk7vc~RQ;^`IqI8R%aZ?Gh1~<|CcM?VyCD3DTDxq6*scD>3tU|YHs}#J`Pl_dc z7t&o)MG~#u0S16AN)U0Vz%F>VXZPvPDj<&Ts>GFjzMwJuVyFQ3*5gnC65XK!pFmpD z?<-SHVTDiuS_EJ7%KjWe1$ZaOm&gSg=y%jG7b1uM<6Le@7efWELqX4__=!UWo&-@0 z6_6`{McdC$uz;Zgjljq(54Q zY_0sh!#a;5xf_Z$4HalcUK}bwB!4kf;3}jyA1c6w5Qhp}2R4KXkXtTeRV0A_N7HO?_f*TRMqjkao@;%=aDu&lRFcFTw11o)FFYc^IMBLx{2ZZH%JVQ-~IQA%@lS6`{DT znzwUar6TNgntl*hRZk0|w@vSt1Yr-bn5B=b1d&zOLr%N)VMbmbH3me7?g?x3wp53K zn6KZU(nx(5(iZ5NBSG|4#PD|NGhr8At-2j-OY~N05cYhCWx9sMP(@=}uIoq)6Jn(v zMxsH8ReB_eGW7U=8T7aWZ@iX1>nq@m|0R&|5O@#2KYofJB#TNLi?h$8)VGWQ|%@H{6chK`4I9LWXyn~7-UQ$1{srxLB=FvkTHoEWK1Fk8Iy=X z#w22pF)v&($e5=R#~@>}#UNu6G02$2{{+aGdNvO-W=RY(CJ}>-%ZHE`LB?{#bPO^k zg*}o2$e2_&$an)N?3ffl#yq+hJ0=B?@lY&W?3fHhCP2m<>SbR7GOoqF>B=tw8MAEl z{}PZfE&e|ZGAnD@^`d@<9z^?d_cnu_>hHZZ{^6!FF6N&0-GPx|%|`fY6B zYzA3eK7_n{2sw7m%ZHGc4`#l$YDP*vX}=0e-&i$|5t~Q z%cB8A1A;j%)k_~pSL6Lp*6fhdId)=!_(?x^fR@CRJZrEu-3zX_iD z>(-rs9M7Km+k@%Ep($izzjfFh**Im4jNuK)aUch8ezv34B@%^9R z7y5YWZ<6T*k55f(HJQl+px=weGWmbldlxt1VY-GH@G%Ix4g$IY3#*7( zLF4*LHfoa9Xk5J}u8NAUxFSY08diKQ@xg8+5slG>_&}p()fh3pFqrs^5;X>+F(ke) z#ssg=>+|>ho>N`Zvn-0{-hA%;|Ci5)**aaPPE}V|ov!Zw*7-7gYI>8CnM}iUdc?U* zGJI;)!D5=o;_8*GF!Mfs&<+Mc4H*4&&<~^?jD9-kB5kBZKMlia=$Ana_!%6A%UE=K zq_YxtIDGTI6aa>dI~=~nlk_6S9S+~S8ObnW+~M$jrt=Zw4u>BwU5praIQ)?5QpC8! z;YUo@8O9wBJ)c5@A^11+MQAhx|Ar|Mnhe3eVOoS{L-21{B|?jN870GN5n2twzhUTq z1no9M@NYQSuR>?dFa-aGkuO6`XeB88iIervHl{cbs2(x!+M|BaG@diH=HO!k0JOsoFu{$ zL-23dAVRMp_&40z=jB;y74p*G4u@AAi^F+z$Rq5%@Qb(vLpYS!?EKD1@F=gQ9Nj8DIt)yJsA(wU z4u?DXJU*0hhr=dKU(0ekNqQKA4QI|_ox>PxIMXRf1{==IlO%%;58i|g8L~6#?}p|E zUWPH#hcVdj;1N7BgqQzDB&1coH_Su**~=GEi@}DoJv1=PU@l}Aaa0duu;J`gqQH zIb0_cS9>qec)x_=R`JzHC^3sFp}0mOF`k>c3scfF7K%Fy>9n~Jzq#{MKj$?xPvDGl z7o-B1$(w&5@L6uY2pgE0Xn*eeDOON4+@p}YFvZzgGEbq)a|=WmV@^judb9? z=({wBh$Ur7*+Tc)t{mF@M7tK)hcH?dDnvSBo&q*m%FpnQd&mUkaxh8}FAw zhq3W~DV%9+yk82P#>V@laGq&ugXtT@beFO5ewnktjAD=Wrg9J#8XNCdpt#1y`=#0y z#>V@lu*BGSzZ9-BHr_9Lr`KFgYrl}LT59&Z9PgLX?0*@G%QIT&ptx*VkBj#^Zp$PT zSB8aOJOJCIaxbQsx70y#nYYX@ju?x))v22y-R~E_#4G!lGpYgC5f@>Y~j z71!`;z9E{$RPieue{YIZN);bux&IPxQI{&t`8uR`)pw+d2cSc8@247YehsPOp=`?s zlGm6j9?x_41A3Y5Q^f)|0sEyHks@aVZwB27;fn>o`q6KO`OI6MPstVqYe3YUL%BDJTAml;U) zfsBw;@n)XGq~H~fkW{gORvH3c=?)j~mr^<2FQv{@@$c;2$)Z_~_shI47w?x+Io>a& zg)ZJNA>TbN-Y=ykF5WN4M6ZkYyFEvbi}%ae%H?>!{}>I`zzgQV0h#eDxLOIH#IK9@ zOZFYT%j_2z}wVp(?n-?s+8&Qf^Q_%w1noHylm%+{k)#Xc>(*hXr|R8=K^7u zDj7z1&CL(qz;LKD|KK3(5^D{nhx4ynD5@Hbh2nB@G<(7E_+#oPKsyY{LFGdI^2tRT z_-wL)&)NoFh;q4A0WnRXA^R^v{nkUsn;y35uhIcU^GFkf=R_zO8|s%e*BKk?m*b|v z$mzZ)r`u@8(ci8K-oO-VGN*I$zAoq9Z1!VEyctYKnHE#vKz}EA8vWgB)}U{5?*+@{ zdK|@j#|Oc=IO`dvp0mnNKY*Neb26KgPK(1dj3k+>N(&U%bf8hW>hxwP+F=+2GB+sA zIooL%1Tq(j&}A3}GM5oypX%Q5dNC)b6BA`}dKOBiwfm=}b+p+~zida|EasSMOw%WAU}QdRm*#vbn#|tFnU=m8 zIVE!+o#PH7)R}gU-KI3dS~eIP>X&qZzMIEoK4fV@&Yd1nF`n7Zh)@GYL)%F$B9VSa*zw{8~ zxKO`!Bhg1L)bA-Aw=UH0agfTPetCYyp!hc1cd)dg1dlWWm%>as1T}}@4E(xKzkJiK z9esGRqYqDZ^bFh4vr4deWSSE#Z>CZ>O3tik8n%IOOqzGdl3}2%TzmRpOouwt%u#lH znl(3g!BJ2!4gY|UVZ~v{z2jAsU8rB~v(X04Pc~?NvO!(8K{nJcy=vZU$cym(H1Uo_ z^YB3sE=<2$iw4P-yah5O>daqxbuLOjj?Qf`XY%r1B4loj=6eWDmHUwhP3C+$pv%$^ zjo`KZAx2j2a_P$!Gl69mr$5ACXf?|?rLRnL{nTb2qHvW6GYlh?0*cF=cJmz$$|WMq zR(E!7nogy|gzT&9(<^zc&3*J1z3F3-)1@7EWBPgIEHpOMFNGd2$YFw;ttO$P!f*^9#O+v1X=_OFGa{zmgooR&FP{8tPY)8tPXRG}NyoHPo*pHPr8G=!4*R_*Ju; zBs~~6dx!-M^(#pY^()0R)UPBp)UPOLs9#BHs9#BHs9(|5P``3iL;cDV=odCeZ8PMk zM*WqfM*WqfM*S5F8ugb)vo`84Ux`N=^_Q;Ni#qUQPNSzzdx1v%y#qpqibUn+;ds`8 z%FWuSzhwE0H0rOQawCoUOFtS$8ugdye56r-nJz{e^_S^Vq)~sFu8ZjG%{-%*G|Z;ig>8AejSfJY3ZLVY z^CAWYC^X7>C_rXArt`}2K9%Z4C4ZN6Df%U>7n;t5PF?gU&Y`f=bevU##$eoqPwI`) z=P@x0yE5Gp9f$KN>_(e2qH|c+7np8GI~mz{2!+>>u&vMj5^}My$3&EhNSspmGUvY+ zacN)p3e)LmU!K)h+59j%1iqxu!gSsXZpWX(UNje6i=V>Rc7>Vj3|zrtVc!#JQX~DI zg`~#6D72~>`sKp@TyY?NEwhzcR=Ow*Su_l{!*4JRCtEoDQY440fRXEvkfv*--%a5V zqPd4c-#>?yu03#pnzgZ0FsfBcgXH%%pl}v@NgEES_*z)QD?Y z_%_oEqE+}UoWvXYLT|%6A`Ir-NT0=Z;a20nh%7|dM&IRXMF`E5(GWJ3cXoNRCEe;M zc}-WuS+U8%v?E}2Hcy6;elO=)Xrx~`i->_U3g6|W3ZuK&Q**9`3VMovYDFguSt`gK zhun^tNHWqdmw-_duhv;NuvL-7**%+wLmhbM(5TW&6grt^lX&ri>rX(jc?=&6OXqza zv7RE0^t&xO!;2(}==_AGu7ss-wG_O{)B1iD$}-aL1+<}&ep$}iSg1BM(y!XM0gkqC ziP+F7@gt^7UU2sD$hh=Nw1-H)IXuzo*)2+(-D0(;k$yP^^3fnHVGCCGlGu;*)!uppmJtNu&zlCd-vDSb61*~5q{U-XPH&OqM ziTZC!)PHlL{+}o6za>%sFB0|NYU|JN{Fe?#y^Qpm8y@%Por(UuOY84#g6iE`|AR~~ zOVodl)~|S6t)GMoh2>iRVvOp-y;}dn?2BL9`X|kX@SBTSKO+53W&Oi3RtgVk{Y@OE z57T9ZUU1pBApCYXbQtOPaHsoNLicg2`_&_%`^0Y4MWo+fP*)@UsxF_13V&o9?5KU3 z>3sCS-Z=gYuYEDPj;`=eJdSa)_2CenJ)ZUu>31AfQabLQvvqSuJkN5W*7E|7=OY<+ zFVco`tQ1~iKb3UMtY*3~lD>JFIxUg(&EJ@A^@2a145P2yqjkP|8SB(Yzgnk8`sFwY zwJon{of_#kQRnN4I^WPbQvOF^~%WUQml8{j!Ke z`sET>%MKTR>KTR+Dr_Qkh2~T|QWi$|)XJMZaN{YA^yPUAZjBFvF&_7P+GC_&t|Zmo zC~J?a_`+r)gk}?5u)=768qOzgcuOygks?KNY!1R$zZvCAFwXO+p-{`^e=S!nwX_|3 z=ZQ$ik$(Tg${FeRTpToCW_|kINADqpiS}gpTA(n==l#7L>GuGXkx0KcxWe0L%e_%; z^iNa#i>Sg#zpo$>NBR{P6-WA&u`f5Q!ZfK2_V;K<;qCojvM?k4PC@utZCsOFRol3o zY~y6|?JPNYBeQQ8$w2_Hp(nx8uKwGwB$0j(!edVy>GueX#yHY%FVi;CFBzxfNWZ+< z#F2h^(}*Me(&5LEe(8MUNWXN1aim{5k2umVCw?61mlHCM^vj77NBZRuk0brkp~aDY z>9FERzZ`sVq~Ft-wvm2+$Fz;~`xTsQ9O;*rHIDSls}x82<>iPY{jzsmq~Dz&#F2ha zLT9;1zkFbnhsVNEK6}xVFF%E&eLhz9$U|A-7@t~8Oe)(3!d>!lJT#dYs!Dzuk1(AL^6VhfSyhCnNc|Q^A<9SKmcI3^a z&Bz%gLebo^IfTv9jH*^LkD|id=rkW|>dbh|z1)~I9Z!S4tk@#`8q}K2D`;xbAhzciuG>a2x^Hf*|9iGMj`aHh+iWBKvZp+K$~|2kx+T&tJ0>^IA5&V7 zNWZk^BK=;B62?aQy%|REnqeE5+E%_chh_;bMecKc7iYG?7HhmC@_z(j1M?wsw)3xo zP}I+SQ>A*m$G`(@Zkk-HG2X@(7z_YEx1-;NwWYC5LTIK6rS{}Fm6{H8|nAU5Z0I>ha=}z|5OMr(r*t0&qeyB z6uL;i%OI5_{XPk)=py}6D!E9%uRyAEk$(LxaFtx7-;E$Ox=6o!Kx%T4evg9G>>~Zn zgVf?8{Vsvj>LUHFg4E_B{ccu=i{&EyPKVU)BK`6lX1hqglsa6b-;1Ex=_38!2&v0O z`h5}-BmHua*3y5;Z4+LH!?}i|RpF+*nd0cTd6Xknk8-jKC>L3b6rnqa3PnMGOvH$N9~#B(?IXBn&xi!|>0{q8sFxt)-5dlIazO@5b32#pP@i9F7B0 zkfS)RXmWgz16U1lB@!H)oHZ{MwE=|cO|G0wE zn7BoQ&^j#4V55eUORJP7_h02v)a1E3r24ON0Znkes)=$vRQ<5bwusY_}%iZZ&d#C;5kf5&|+ z4EfeF3`ZhUPKS<2>qt(7y_ij#^h}z~m+!UKQhQvQt}2mjn>jzurteA^mm1{K%1`BG zLZfbo%hAgv%87P)B$msSFBMa;@M3I%p0Te5nOS{mUduuq3QEV&WA?RBt~oh0j*5%W zt!feLoqinW@FPz-rN?+5TZm~u-(l6hphi2OlH?lJX8jv@q z!XM|(6rmjH_Ze6Nc1|uejfElGGK3?7A9&^cT$MM3D?#~uyfa!-1+54rhRlQp|68q4 zAlz}J-+9QWVsu~^>Gwtmx@NO@TwSxx4VV$aD7E8Azx*JJlVwgQtH5VaV{Ul*X&jN) zhg@h%#dXkVC{d5BVqy4!l&P04<2(t&M_J}JBujX?x+PCxPRbkgF76V!S?LKl<|6%m z3xc_ZOXQQ%XCUpFuW>;&JI$dQnhq8@InDb^UK@W(dLGme>6hBN*@U@r@lZ4Eg(0g5 z!v}Ers^%l&N$U+^XgBzQZqNOI!`#A398fT)n9N`rOrD}XQJw~c=d~~uGATc=4yKy zRHxaie`ty7@a-`FH94Khs?+363a-`6jjWE^n8JKQmocQ4p(Hy)hGD_Wu(Q3d<0tKX z{TsMOiaS07mxs9H(ofaUv<$THZ_)+moB`bN0PYx1mjk%t0o?Hb?pRhC1GwV>-0=YJ z_<6w=FL5D&xjgF~z#R|Zjt6kZc$gc&9S`7+@ugq@cRYYQo}T6xg#p|#z8wwVj+dne zaK}gRMPTq#ymT^0@qsEBz#V($vH{%j0Pfg+I2gbkyU!B?xMRUI58#dg60kVa0o?Hb z?)ZIv^&Y?-<0C5}2m`p|SzckPpoPN$+;QRS{s8WH0C()=I^@N}0Pgsv(Lg{A;Eo4y z#{;-yzIhqI9S`7+v3MT99TR#vfIAil@LH(h0o?Hb?)d*c?)Wa^jz{vQ*B9FAU%D$$ zu!=h#>2Sx3sb3@CB#1i}7;>$`kb5i)dDBW5a_KrakunTa=(b<8D@+an_FJe@XM3EwY&B zC&*%iPGxs`%kVWP?OlQGeim8$hD8?t$IsQy?*Pu`zn8jk+6)1lTG*M#*%w2(4L06;6l#8PIOaGOBQTus;vNj4Y!Hrz4*YZqG8R za5^u-tSqAnr-%AWkTW~WsKV)u{2d{5WEoXBy|F(N!rUyQ3a5+yd{{dpJL*6PBmK)E zbY>Y*-N!Hg=LUc{s~m{En(i+Q@?U`7>A zU&XV{wzM1fI-2i+hFj4C|nXEKHvgFD=$ z1G*^&-p*`tE|Uzrof+|CCK+~k@JlD5iWDOb4_-YN$w0#l2O4G=vqU0r+i1g~gxszQ zpMhfdJNyhwF#vIP%hgC%h5x|s26J&BjP`;7oa>R(y=d>)=%(T;4W`-!ud=h z<(XM*y`h1rC}%ItMlWv;*Za~q9LWT$AA^8@8$Zs2uP=mZUkBn}dIJYWVGAm-npF2O z#lKPg4YnrL-Bx^&(wp37lNoI{85H*74`QEV zn1~Ooe)C-PU6wwu`YpEK%hCr{zxNaBW$6Q}-)B0Xr4OwBfazkEKCt>jrb}7+!0L~f zu8Zgct397WLqs1~?TgSD(FaziL}-fW1FO>_G)MG-)m0+2L@%Rcb+rhs5q)5F=r4zM zTSOmNJ=lK$!iRka%M;Lfz>v$dPE;sZ6m8k^nuky zpJA}hi0A{WNBVz;&>7JOR*&_&VW2Cb53H{Dd0iGp^nukAMd*p>1FI*Auq2`ntZopY zH=+-$-rDDdSQ^m>R!^3;EsN*_tEc!cpq_g@Lmyau$zbt;)jd4PEPY`0A|}CHOf!pl zx?z?+u==V)a5#_4`F$K46iRT#YjB9o9XamX;GlVuqz?>*4F zWrJI(D9W94iZc(J<@uX&eh&XCs~yd9n{3787_Fdp&IoaL?3|$KN&LaTk-Oc7B24HX z?|m5DM3$Ia{{@HPGQE)p|FTl2gtDr$t?IOTBTu`%QfF7EbC&9~cq1>qqf%#v)9F;5 zW^d#zcUJ10=XAPMrwO~U?^2z3hnu!#0rPc-TaM1^E|ipkgn`E1_PBaZd%NP&SRZ~C zdWSwdwM^|z!@2X{Ru|!zu0wZ#7}Vn@t&7pLy7qLuCLQ!+u6%U4nIh9hFm_jJSFAiw z!;vaw+NfsQu0r<~vsH&<#Mo6RYqP7+1x)HHlu4{Y@4%l-_yB%UADK1+rqvs#-`qEa zA*m};R-xWF{oZmU)f=bZXIi~+`U9rb8>c^HTD@`lBc|0GV?|0qy)jm#6x17IMM^=v zF;=7$)Ei?(NQkzNHsy)jm# zdqC(AZ;Tb`{t)JhH^z$eVpvmej1}oM5Y!uEMS3ID)Ei?(${tj2j1?&b^~P9{Qc!P< z6)6Sv#_@_&yfId!Y@2#xtVn-`daO52TW_51;Yo@&PA_6oy>WUmPglKh`YIa9<4PWg zW0~;xkaE0`Y_<@=@F=gQ9KAa}n)Jq4k@C2BW2{IeZC9jBXTlY*7m$2G9COt>* zRi`9%aVkk&oU%=b+Kx@R0UdL&DgKCKt{%Z7IbKLQYiXc$%!4kUN-bTS(ttSTL5nzC z#W4@MN)&W)Dhj$dm7%SR)0xmsksu~hY-3W_sq>iBb?T3pBtgvJgQXb#dG@9AP@;M{ zeq`O6UA>q|GG%1{c0H15UBaey37ghMOQ(wTKKYtRe~m6;zgEO<4mN}(S`VpnKhy( za7P2P#^cb=N0wQG!Uj>2StGK{8f<$hdJ4B(Fl$g46P=FxAec2+rY^F~8tnWDk!98( zmvKWhXCtUxmZEEGjx4hVg_g)NYtTSz^axG|%o-F9j8RAX2qa?FNbUb<@caxO4BC^aHEVCrC%o-G~i!8GS zd#5+D%o-GyMwVHF!m`LRYf!j9vdkJ3Rz#LrgTl(lGHXy+6zkutLed!^)pyk(AAV>zVz z9kT|d6^>bh^LeFX)}XY?F>6p-?U*$vt#Qm6l-_a78k9W8tihfSQ$-;Ucs<3tTHY~h zP_yWmH7J!Fvj)9IonzJz-{F`w#^d}N9J2=V8XdC+r82Vyr6$L$L8;8F!G39W%oWo8XZosL<9QkhwU zQkP@apj2koptNwkm^GH8oxP4(<8vH6j#=Z2kjl&&FOP<5;HApU8kx13HS{jCUy!)V z>=)==#xiSMh1)|IS!Rv>P(wbl%o=QTF|y1W?7xwbW!88ALP`DTk+K-Bi(WYdZs54! z8!*riS!NBkw=GICYeY@-u%}9y4lh+^*2t{Qtf5WsOg6pKHvK$$aLcR_C7Ct!JS?+D zlw{V3lFS;BW!7LvG(?tJV-8x|7+GcwPL5_Tbv*tkvqolZW({rNv&jZNYa1wcaLcR_ zF@$E!tRb<4!K}dnuK`}ctU;j^S!NB^To+Bo1kAk<@Demca=MmTBN|74yCxt5Y*UnE z)`*hK8j)qzcoO~H8d+wIyX1OUW{tCPKba9(W{rE1(;l7N1k;vTL!!0E%otNQP&?B+d!K^`Hi3D2*vj&CU$TDkCSQ>54 zwMtHMmPs6TFl(?6?w3I9xx5GuM@eRlD9Nl5S!NAdTNPPm4GOEhRGC>L(+{(TUd+kq z#6+2#)`=qBZ<#f8Zfq;<$VZl0gL7kp$TDkiJ{2R&tg#$9rRYAK0hl!?)J2wAV*%6} zqLo;C=5|W|rwl!h*L*iQ`KHJ+YkY{D=EyQ@uuMy2nKf9ZHL}baJjJ%iGHX0k$19s; z)`%>#MtU5do-DHlO?O0=Sz|DA9J9vgk#Gs?#jL?oDl==a6(xA28F=}m%o>@snKiVd z4^MXV;mMA+%o@?G5_-cjYeZ8i9F^vo6(fmac}!aFQITcVI1tmJPGZzMW{p&tSwqNm zGQzA;y%w{EHfVmbLGzOhvdkJ$l363N%o-9RGqTJYZ`R`UEwcuPL|tT=H6B6dHbjki0JTPzS%Y`+w#YJTP?!-}W(^AMk!9AP zFk9W(wP`w)j>s}=km#c`vdkL14|i$D-I)F}au!O||J+R?^mwTnW{uRC z432Imy2`8}$uhG>s?4mB;!7v9qkK|TW{st^tIQgbRAvpapv)SQEHi7Q%FG%mW!4ad zZP>B{B&p0Ak}NZ8q?B1hj*iA$H%G`5t8QzPqe`bC$ugZrO6fFsbnu}l7MkxoITTN} z2|A5z2d-jFr;$=R4Myh;s7N}EDtL}{&}j^|bQ)(uC7Ynr$SR!%$6=UNIt`}tS*6op zx|mfu4W>(3rPE-#E~|7JOgChePJ_*F%#t6;oXhm~BVR<@n!J?KX|RC-n}8>7l*)wE z$%O2njF4(%gj6G?q#CPjct%_%_^w|b=pWPg%9L7Ebll{Xva;`KIW#BRAV>f4z{Ekywhe6Kzj?H z<81S?N~*!L39}MCemkb~SrXS2rc%9_70L-om$FK#u>d-C*#xOZ_K_y&@4|=l#;lTR zFx`?>QVrUikyTO+rrXiZsmRY!_&XA|^@D#28KfFp;)OsBI-s=?-m zStZqAI`5@!$DhJpG?!9RjU8cTuqD-Kr%5H%=s?oTGSpn5Rn5p5?$5;rs3MrHp&6x% zG9im*!fo)IQc{hJkj!0#Uc4F!X}XeXY=Tb9&OH=%kCviJs-aadDqIDr#+|rM#jKKQ z@W#-QRZWkX?n}!byAMH$kew;<{$5@%bi6xl%x?K_QGRsRj+_ zBTK45Yek$Do19Wo4W0~1H7?;9J>hF6fOHz$_w4tOLEN5*jR2xdFp*ECMLu_cve#CUiODUwL zBfAfN3)e8+?xmDeV}aIx{XEvMq#B7n=}pvsW1{|>67}DlsQ>4Q`fo|p|BFQZx7zxZ zRAU3wOHz#t;=XHt-kIpnyR`n^Cbau*t^Yx$mnG`IN9$Ko4Xs~EHMD*u)zJEtRKwP< zq#6rYKS(vEu>Ro~D}{%&{wBO+Ej&z@6?!Qp)z|05l~jW^it0{ZVn3C1%&ca*F{`8+)M?2osRq-nUP?(d?$A14y_j{%Is8-WR8kF& zlTfeOYg(t0Y9#7>JyGWyTBnj~Xq`%`Ve3>V@5SVTxQxcb$y!{vjE7g8Y@{jNj1*K!N`(o+yMi64*{u$o($gztVOD^FUkn1 z#x<_+HrjGWs_}iQkW}MEBw|txaZxd;hKzkBESe^jl}R;jVPTSLG}Oe6YZ9=PZQM?_ zah6nrmhwtzvy0@ENj3fxmV{K}fZ8&t#vvGuF{#E+ncjzY>plE8nP%|%!k#{FHZiFN zZyGVF1|5D(szK))lWNcr#-ti_9xt-UR3oya8gx7j`krEo z^xvV@93@FL^g*^>9u47>l~jXGj!89Eu+1^4#yf0tl2k(R}uzYoIF$dYRO9m2ARo+#JrZ#0%K5-q95K@e6%mQ-UN zgq6{ghd}K?|6vHLB1@{_Vcf2cEU88_gf-ES!=d)7e-Z>os_`QTo+H(u6gpCk+aZ-n zHGT)F=twmvl^m%Cp@?;kRO5X}4USZU5XMGFs__L#O^#IKFi6dgRO3uYEsj*2FwUiHMNtZ8aP=T!9>C7kFum1PvVHYKIB4EDz1aZ_!9Nb!wZc}_>Pp>Rl01s z{65Gs4#&?lc`e2Z1aHxh+2f6{I8k`7uZTuHMxNd$8ThJHa>R)>0c1Xo?kot5}&|>?%p}$2^sK5@lytY%M-|GAAdl5b+Ukiwz6Et>f{s5_K_9Q&51TpD^S4&@1HBxvi`0V}yow>xSXhjj-rv(e-DT zQ3pa8>5qWWX}EPG9P2ManJ%-5fuQ853k|n!gcF7Aw8wDkMmR}?C5Br!!Uhp~4YzKD zTl>5`OAWVfgp>VaAuKc8x)DzCN1>j30n)@A3opr*(r^=D&qtUH5w~uHiqdALjpQ+w9zlK>ei4IR2!Ysa*<;D0_aRS?ZWSLLhL?7zY3Kks#eXL`1&NS6 zW1+jVkWMRG=J_c)&CporE;kD2jfL(~*uW&AyGHJ*7p6E{OXexur2yTfFveKuF3Z#z z3*F@am|!e)mtW)>jD_xUur(VC-KEfCEOeI!T8)M7QaEscoZ^2IImLq*E}mbkf!E31 zlcE&*#T=d`bH5TP?-yrMTAunn^6>g#7r3$9y(wPGGITcwspO!$ljm9q4Q>CU(A`(ivJSs^A3R`gRf@!K zcqPFFOztnKi8u$mlHgND?yu5I3mtTqE$ea6-6>f7EpgCY=Jh&q3g#_!&|T&&bI{$J zA>HqwyOdTq=q`!pRyyb|rBx2POKG)(?owLgpu3dbanM~#o`df48ifwJ%jHDgL3gQH zbkJQ&B?sN5x2SW_UGW_bx;qW$*WjSL%xiSeT}ox>E~O?1-KA89?y_H+9dvgm*lcmo zT}rJEx=Wwd=AgTj%Fta(GaPi6QW?5SseK*L-M4UwXQzq{xRd7^0*>7d2i>JqhVD}8 zbkJQ&W#}%YE(hJEREF+STIis=9A`bL;!1RKt}&prBvsr23$NVNfTN(-L3jCpwA4X& z`FK=@?*7+ks0Ln8hVF)ILw7Y4{C+{=F0)^tcNq)a{RO;0Xe@O12-J`_7P`wu7mbDP zvj0XJ3*F_qvt(oo#E}87U7dO55H$a|fX`|T#zJ@5-hZ8(BGL3t+w>%KS9rj4=LXy& zlh^Y&Px`cIlF(g~gzg#(-DO8K7z^EjK`?uKhaceQVyP4?}x zwt*L-Ty9mcKQ3(;lT+*hAuk~#0o`S%70n|}Pc5^bDW1+i-$S|On z>e1>`O$QnU=q_I;b{Jy10Nv#&bQ*%X0Ntg~Wr*qmbeF#zJ>fc*brt z9`BF4NryEV3*F5jr`cHOF3YqS3*BXzR%4;N?Bq6Mp}SAl@yaHlyUH{Fwe$ukGuv3` zE=_kB3*FrWf`jf(9*JS=pu58D9uyaI+k5U^1#Xab)+LY`*D87xyg51H<@)A5! z8M+&;4c(2&DH0uhc(S7{bl1!(!KQ`onyD0yO7qN$N1TJBNCLU)hBbf{Aj(&N*I zUMyK%}*y9G(XuO3*9wI=&rHQ-8;}5MPs46RanW#&|MCR zI%A=`TvVy89spP^(#H&PNlTy6k}Lyy0|oTTQ3dqAj;sAycq&LyEjupEsr1@j z)PWy!8l8693lz|MAA}4Q3FsXGf3glhZ`J~O`7$n2Kre4*p6uH&XL59gvTehh#dKbF zZJ4u}F3P42a}Lub*|T9fnXZ#98)hEU4YDu8e2-_{DBCj3xlC_A@_p3OVdRm-8@dp}f5FMhfNS>{HBVp;695 zu{GNSF2bH%KKYfr(&c~Ufw7=WRE~$Ce=G5h4P9Yj1pWKzS*I#zJ{%IBzVJm)43nD>gY$C@)WjP~K~K z77FE+vxpSR%S#nT3gx{8D(ETxiD|T1Do`lzX-E>v%hh1igje5%vu;3PFH$Hk4+Hgv z78YbW=5^r7bYzow@dJhO{zfgG_az{zB8BpjrrnDa%A2s%#pYQ{-Aos~K%u;OLSF&p zy_hx>%FA-r#zM8BP+qm6P+qa2E&CDEB`;7Y?>@8#DDQBfx%BK7CC+ZK+EXYmr$9bZ zC@<4>IyJ6jx+zj9FKxF*3guz!Z7%7yO zIxUexd6{nY0)_HEtaZM64eL}WuhywhUXGJc+wz*$sZicTov$bAd_(J0D6iJ3P+nW7 zLV24|7ooiW<<9dxty7`AOvgMGw3m-$JbcKsoev)|-Q=LWKZhZK^0r{EH?B!|E%XLg*vU4|LV0N^Zv;rci{zA{yeSwEDDP4DfIDX9iQn=H z&HhY`#u&cdh!tnP+mS^_Q>;D;TWGY*&JmyOTUHpP9^gw4#iMj zW1+lsJPrEZVvF?qP-`|xD6c-)*2|+Je6m7$+2k0?yNYd&p}b)U%}hdh^?~>FG@ri( z$~%os80Sxd;ug21AZ+nPteX5S?nY;%ws;Nj!A#W^{mqO84DDQO;O2$HY?}XrZDjtW>U@VlELZh)zUaH|OBQ7(byw5{u zHkb;8@-nBzSSasD5L%6e^45;w`w0u>ZGS90(4|OTtOdL3t^K z4$Auwq%xHEFOZ53%1f!_puBHEs&i1@2yZ(Y9F%tyq(%qjW!%sv2jx8xQnQ2dE`ZeH zpu9IjYIRWFS0J@HC~tioE|!Dx9tWx2L3w!&vmKO|Qip@`E{0~OgYw=1smnom{{o3n zUJlY)`Y#2(h9QS@4F{;gO$EvuhNtr=N2(qzLwUpSB4%;eDU>%1Z{h(C*Rn3|&&2lD za6H8oJ%w}~Clf!I)XG?e*(f+B&fzGI zEBbtVkONo^3BFKn_+j`p9IfGSE@#0KvAs1MuzJ+$65CtHGzYonFuGdp`#6L`m$48B zwr26xT+7>joPQ_tIo1>TEUIJ_*2tfz#5o`<7tP|@Panud-D;+wRCDCIG$o__;|fY+ z;ubNOcNk8fAxQkvXvfk| zuevvai5hx@zIMtrCa>2wp(z86^QvzVK02Xk=hGy8ht8rhl$UosudvT|S;@cRWVR$8k zFx-NLIIZZ2w2tINIFQ+_l%7em1ztH-yVV`I4-17;c8aG2}E9;D33GSB0{C4IM*y7a*hR z4E#7K?;Q|y&1Rvzx@MajFe5~9YA2z*I9cX|vI?|NUP18k^&uCUQgIzLrj@97DPCxV zcrC}@dg(IGlQ8@vR0a4p9$8!R6cSM0&*7MZ@}3OASSarWNFx$EYy!&5p&FVF%m6@n zIT7;O_*2pgpav)}wH3-McON^nX)g>}MHoJT%U5*?k`Btd41(zw%6lbpl2G0-WYeow z;wKDQEDRs!ftT?!?(eu*ej}^xi*ofZ{q=Uh?=lpY-?%@nVbHz$cqOLT?rwez>3;tB z@e)3GDo1wEz4G|+5+=Zl|E!=ep7p6#YvOy zwK`i_9X+vw)X2({aQbilPP3Y=#sjdjY0;m4DgMeUP=7j~e9#fk(e(6laC}nVLTdIf zHG4nJp7OZbyech$(hvVwsl*ry+NKj!sRv4P?wl}Z~;jH^3Yl{%qx=Bi4iX-?@>RqB9J^VeW8Z&p^flT%uxN(-TM##@z^4tGjFQKc>@-S&2+(z#CQN>%EF(m&s+tghE7 zU9CzTP@4X3rPA-6(lx5|&WT?AQ}0zOz3Y^Is!D61wC4wvZQ69JxC^dVrPWZn^TSG| zU7ga;RB08I8az08{ELV0kxuDGRayz98NMpT7x)6Fbh9e0fYN2DN=r98rCU_#ekeT| zR9af;lx|g}Wl)N$DwRHPO1G)fQYh_QU8%I$)^Qiyu1dX7nmwpe>5ES3SE}?5hVKob zD#cxJj8nQ-m6pKLiy2k=hW4q~?Ue3QrG-%1C0nWV3#as;Ds@4rC#qC>+9~~3l{%sH zfvHsTCdX&@s48_p>3|`sbdPP*zEEnIdDsb_|JWaK+YY)rW>4ftR{wD>je_nqvnD-k zi+t4_l04ymS1dZmUyy zH(jMhRxJjkbWlrf;RqBOOFtSo7j#{k67I$xMm6;B{462&EHCl}cMXr8iZn3rgW;l}h_LrMFe76H1>a zjs^c>Cw!_?`Y%=LfYNuyR4OfUO7E%C8qBPvV^t|$2>!+?eW*$+q4etJm2LVvl(v$^ zkMFD23TREOQ>}gNh#R_XtW{;T?uXX=EmbS#G;4HP8LPDnT2G9twDnD=Wvo^&w6-LA z3;$wUXF9Ed)mj3r{pu^Vu60@)SgobdI%}e8)!Wvua9SH%t%cC~=OopN=fhi0YZI&0 z1+9a&QmwdCM}98ui5jcb1FZ#HSK8XyX^pa4D`tB24-=@0fAN%<;j~6ut(DM9O{vtn zz-f)OTC1SdxNW7@&z;s5R%;owzV*3Etv@=gEv?p4XkF1*spU1s=Q!SK^+M~9+f{09 z?zHNy)*5K#r&Vh0>9i(Ut<})_!uF~aU!j>!Yb&eO1FaMINQ8f})*=j9>R?p`v zweE6SQ>@k!XuZCpYQ@v+d8gHAwK}2Iuv4YhU^sT29aF7V2egjeS+(Mk)8MqWw_5K& z>&jg!wZ87OK5w;pa98=$u9aG+I<1|o*8Q-x;TI~k7CWt7;&z?jP5SZ|Rck*x?zQE+ zS&golcvN48?&L$JJl+?)3?E{*z6}TE+5IIx=;Bcz?fvy|JP0ez*0y+$!F`^0H>>qi zBdFuw0ZZ|F_i}>TF?^%6fO8}B!pQ56fNEWMa$j+-2>c&QnY*vD^{Us zkxeL>a2Di^i+JG`2Dy2u2M6QT&Puo;@U$%FTls!@T5c;q{olaT!mkPcf9GlW3HrL{ z|29v{y*LHWZT6%Ty3L-H@@})|qmb}{*>3iH9OJOO*^_}fN^Y|!r8>9S6Zg!-W=~4^ z+`JwS6-aMo~r(S@~4!7C! zJxKUy{ZBkEt+m;cy|mD6_T;(uxXqrE@OfZ~+w94_Uboqkc}v}9Pv$Lin?099y5DW~ zq_o0q_FRo+$V#`_lhP`;*^|<0x7m}@8n@Y#(mQUmCne8q_GHh8ZnNhd$jZCTp42S5 z&7PD>ZnNiU(5!QtJt<9an?1RiwZU!nWL~4&>`AG-*^^R}+w4iHyxEie((E>Sasz3L z+w4iH)ou3N8=7ryvnQqUW=~2p+-6To<;|Xy+TCVPj-^?t;?3~Nxk_PPf^UQhBo{r7pMGlTvxJC#8jMvnR({kK61?X^GqHxg726b(=l8(RHcY z?70`D@@CK1M?=-|wEP~oluYs@BQAaR%J!_&e~td6JUVASw0 z@wD(8pW|s^d)I@fr3>xMgg?aZhTp&)vL$zRusK%W+Vsw3)BEtW@HQIu%hSR>Eyg@8 z&%sBOVxE@&f>75lPs=>Cwtt?MtuCp zU;2~aa}X3G{~38&c)E=-Ps@M7VK#j-Ps=LY+Z|8K{c=6*W>0Rka6B#C2I_cPI5}p= zJT0Tp>Qkc*oHjOlPJqzyzlW!Vqhv+Q)52D-{A8Y%4{+f!ScG9Y){EkGL2j$`ffy4y z+9szH6QvJNi;gzg2>o$9Eu2s5!P9aD)ErL>!Hhrx!s+(qY2mkM$J4?xj;DoX`sZo+ zJsxEpPYchjJ?3fQ{!Yi!Leq|?h1)>gX3zSO7`AS+=b0S0ZnNjfkjk4q>8;kq({coA z&V}F4T)qKp;(u>|G#yl+_ z0W=$L_S_pC<9J&5)!p&5@B_Z%X`#>*^R%o0j`H8Z)AA=?>wbA!hz4*xEfjiUo|bLU zQ6PZ8?}jFWQ_J0+=7iI}yEEB$eRx{5@9btz7RkpvEv+0$F;B~((vfzvC&ypu<9S+c zfF;Y*BFT^AX%Pk8;VH>GaPiHKlGGiZOL2Ej@U#R4G$y5cJ9*=aE={ZL{{SD|ZWYUYtS}uT!C2Qfm%ljWEYgvO@nqsmRHZWilGT}%Zk11OiSS}`O z;a2T_$Xd7o#ger!8I!dz9h0>%-5*&?BaX*pE!@i44_OOUBuX5~T8O02gbA{iuOc@l zYvJwoQ;@Y#Jtk{mx<9g(Z=oj|vX3+YtiKDN)MK(1raz9Xg}YUStmQ2vZ0lpPmdUvH ze^#;u4gETQ|j)zuxIyHgiC zJa4D2?(kII&q&ttZQ8>Q&q>(OrQ_~7TQ|o{1z8J^_ea*keu~LjnEphvmfvWdul|H} z>JCq>Q+IfBoWx`;TBq*tWdB-%nAa0^zM*x>b$XLJEqa~aVj5%wy2EoS>f#R1*WG!( zr*-NMPo`tC7TSx+T9}T>T9|HfJ3McIA=%-%JJy>qS&NvA$y%uI$XY0@4_V7cG)uCU zQ)u>+$y&Y+wRndoi^vX7E`dLptc6;RtVN!;aR1;PCEnrrecIy=Pp%|CC0PrLII@;6 z%SzOewNR+#^1qgAx>_p6-kG~S;~k!VW#!!A*@c6jOxD8tdwGZFK`0|TJa2Y|`;xU> zN)_(#T!Tcs!&6*TyuBw5B<;YqnII<6TDa5s zQ;@Z^!_dc)wQP>>Qf`MQ-->)PSqtA!tOr@k8oar1WG#HSsf)>4=xZEV3-S4mtc7YV zF|UcY25e`K5E9iFKY+H7}tvZuV5tc4HVNwStLq4ep z%Wok#vK9)Ctc7ZLwa9nl-}Rq`;K*8-d=Y{p zYoTdJ)^a#<99he$5FA;{_aQj4mKz~BvKHnzvK9)CtmStQ99hd-5FA-cX)M0E#AGep zU*pJHE`;F7TAqa9$XW(t8p|=XQ8j1M=VCc6g3})aZ72a>G@V+u?Z}q-M9n^8!dMZinafkXqdi&le!I zxgDNc;GNnGx5M*DNbPQiC(mKF+u=#6!|m|A6q=oGhvzRKb-5j$+;6lHJ3Ki^Yw5D& zwuzfGhjR@FsP01K%@jwu5wwOQRgZGA*2;_pdpol@?BWC52wKD8TGoXH?g6dgc#13H z9#A1`;a8Gc`Bah#Ic+l`H-ZXz0>^Ca-e`fEl5b_1@S`}J1Gk)wg4_`*1v!f2iW=jC z9KdQQ`E1M0pfw!MD{QL_SxN6=W^kAzH&ZAS)Nm;@VFi$VHt$Xbty@)*QMnP01+#xPtwVwQxUa z4JVgYDNXLbN^ZEW;mqq-vs{~``md5ZNozP?)kL|Ta;mZ*&XjvfYdEdfU1q)N=El+* zdW61q$~7jh7x$FPaN@k`TZE5JXd3s6*3ft8EaK}8y}GCAMdIer8u||{t|+!88e zE%YUlgM)veD&2{y+A$~l$(N%PU5l88D))iPK-|@-OG>tR%IM~HE-1c&;h709h5)ie z7UHWqIwGwjIT5%WU2M`bX*OTJ*IG;57AmFbsuJ0@ncNmC+4Nlr<5GiMTKTEGOlTDM zi%L0qxkNe9E|0`=x$>oAil-P`pl9rBLFQnen%AV%HOxBWE zv&{{dL9!NV$2&avK^7;ABb8(=t8heKA9A576_c!GN{M=mG6yyytN=6NuF_>3{F#u^ zZgUSHITl%c$y&C;F}K6>1PC!%ODEFnLDs^po!H??ZMlura+=7+L(R0830XxZd<2)T zn)^ZB4$oggh{;+w`*HSv#Z5E!z4YbCiFbJN!&W9_)2koDPbOrsO!ylfU}(=IS<7<< zixi=w&IHdt4yS;B<5pJT;B2n)Gr?+maQqltc>g>6fb;h~@o5tOz8cCO&%bno2>^lo z79TkL?_7rIA9OFBGeNjoPUW+4(Eal{6NJg-G%5;p3*Qa<-`z&Uo;ee~MsW_OchJ3X z&V)a(RUNuZv};cNk)A(yZ{(%ATPB`+D6-DjKTWA^;sN+_>z~OEOm*)$k#Ttav)DxN z!Av5MdUsTi33{K#3E^MEaAf%}yuXjOe_}8n!zR{p zy47w5QEzD04Q+xf;f-M}GO`L@FcsFF<#(;uU!zw1N>+xEw5lbhufC&6AO=(4A{mG>n*xnA@M|JAp9emyOt z^XiZH{E3=wo%lCgY`k*qYmL z{5%-=GKs{|=ZO;BiTH_swz8e5gd;W5CM?>_32;Jt3FaV(D zV;N~{1_0Cp0P0*H05x5)0H`zJaY&Z2)4zrb)%DD|sk?B)>K{8TL^=Nk?DSvcjny1H zLFFzJylQh~iE`jD@vg)Y<L&=xHq9Q7w6Opg%H*2`TX z!i?x4LRzmB;h5-eTvA;nLVI*Ag{wuF740_&!V(c?M|On>9rzsL<9=t zek#J5(Yt7S?m7`Vqi1m^&0R0Tc~Mgvgd0TYioVQ~`AxF0EhEHXr%9Le@5D}Xob@>DG^KT6r?=Ho!$kvDuJ! zrx|okFXrTQVxsiHPU~p%@(|Ta1FNd1LPQNWf&%;A!U+i=+j-Re9Q4Y&E>@>^t zkDXqDr&x!b=D9iSbOzQOcABOgc6tZ|hn=n)iDB!o)1<~JW2YI+dHt}{q^rrSO_ZY@ zeR#5?`(UTFqi2=S8w}%vzDpA2#Mo&@{&d)Bval3|zXC)#9Dk*c z$4-;Q#$u->`El53Q7E(Hq!c?XNBhN2e=KZTij^sGQtJtumSPWL_?koIb=9XwP>Y4N z5!8P{$5{k5U3HA0rl)oY>N_9=R3w5r4=1t?cAOYNP3C%wpynOye;z^o8fs~Z5!7s8 zz$WyEoqib;xgYHGXx!#3cACi;JI!>Aoo2c}?DS+DkFnF+A>9vlnkw?nkl5*4rDb_M zk*1U#hcq-XcAB@_Pl27LdW@ZBx@?FKhn?OL`GTE(4GG)&7&|=? z_x{g{ou)a9ohG48jGaD_CKWq<7Lxs8r@7()BAD44W7WQqX3>n0wpi>mLs-hkkL!?- zrYm-OQ#kpL$4>KZXR*^{F^aL%ygU30*l8C3jM!;5*__&a?P<>@=xx&{O;q ze_~-Ni=8F~0kP9u0``NQ=HZXSPV?eh?DXww>AcSa!qyLVI$>$u*l7|U5IcPVZ76n{ zgQW!1}+A*Ib34 z@w28qpWa0MHzw-8DN+B;iTXc1cA6v+#7^e`@6-OgGtr-SY5l!TXxH6Z|AR~~OVodl z*8dr?(LUvet3(>&fEcAEVZW2c$^MC>%lIZ)@Tm$6Pchkt6FGEUZT zoW$5^t#fVcbfV5Tw9Ze!PLl+L*y(?`^L$V1l=|OiI>t`ZUW}b)I>t^j-Q=*-WD*hV z^ln&h#@J~w8DpoZ@37Mp)(1QNF3l1@~yoNL@jojg0kaq30F(S*gH=|I>t`_iIo#OeJ&1u zGIpBx_cC_+0F)8z^bM|XU+nZnR3Ucy6(l|_cKVkrOziZOnz(VF5j*`hED3h{AUyWO z*y$rM8e{BqFVmJC=Ut}BLQ&Y$=glU@PV=S_W2foxW9&4YZ;YL$BaE@rbRIEwniD_9 zPIE%W*lA9b7(2}&9%HBJ&|>T~9afB;=HQF5)2B0SvD3d}+G3}_f|HH0)4Z%PcA8fy z#!mBc#Mo)}uES361R=&wpM=hG*l9j6#@K20qQg$}vC?6uspYWK6dZP%Pqhv^eIwR8 zp8`95Gz@(#cAA0K9d?>;MLroj&G!@Qft`K@Z!R2mnvXROJ568Xu+y(W&0(jh=CIS8 zdHrIif6q3@*y#`0<|I2#jGg8~cM>~2rnDZ|X5Ea~ld;oXoY^sU`bQ8PcKRv^ z4m-{2*NL5O!%NLvYw>ns(UfgOTH~(1xrWpCE3r24ON0Znke zs)=$vYc zn0+mjYfcW0qv9fTt6Icjr+*yh@KZ=Rr4p;Zy7BTW6Mi6Nc9kw$ zF29em%mYZ4usH0Cot}VW4mRHCY1+$#tRfSVy{LLV zk`6n43xpUu&DoE$x7g`RkP~C484xTJvgy^o#ZM+=u}pXm4?K&XajS3*{SvF~3vKl; z{rv=Be`l4b@T$S9_wLR)N|rNT_wvI&4G^C`QKHtoH3lK z;GOxdlI4u$vp~?j>bnz8m^BlGlN`Q)H`f#1`~oV>1Pyl&*-zZ>m_;2a>IH>zJjSy4aGrUPh@2@)BSe<8_&Q#TD^Corvhw9W>9S^l?RR3L7 zr^TDJ{6N*&$?A-8I?bxn>`kisrs^DGb()wza&90_>RjYRnXbcWkr4hC{|Bt;hfv=*x_x@~2 z=5U^8IEO_CB;iCrBWo1YDCHWL+E|yO6-A305ET?lL~a|ag{Y`OQ9-d%*I=z8Zb4g% zb;DvSF15J4UTnRVx=^eetxK&%eSg3IGc#urf&%UBecyWzpAVDgH_yJ#%roEfACt^6 zCO{jK5w@F6EF*t=%VqysZ=i)dYtd=ECl%DW0t23?^TJ6cz5U?kzsg5Zr;@E`p_@yn zGoPYH?2Vx_(&hv$q0Y9|!z#B*sPi_V&iCW;_TP&-zbqR}v+=-FLY>hD_XrVvvpR|B z_PrvOsAF*x5d1{M9cn(&?Vk!2-mY%M$Pexlv0NRF>qhW15i3-N2`m?}N}Z5_xL?F- z^*V02f}e|6qpm{p2M>r?tFEqqcu?|LuWrZwUht6kY*1%0&covKv0BO7$VWtM3}0fV zE1VMQ{NIH-55jH3lFgvb^jrQv7HnqRwv) zz=dN=QRmcErW5MihG&ulbv_z7{6nboaY!IRomt+09Cc0={l64-o{iFO6Y88Q;J*%a zeh-)HEkK=L#Kk2+ogbF|h*0MXaXm>;=f~hvLY=K(q?hWiicO zdKRzaU-h_!P_M4TwnT8C_ZfT=)R}P-)R}R*MxCF+zDC!mvxjYu1a)T83F_PpJ_+hP zpdXfm1a&6dTtuC@-|)R`hdoqvUQ>;DSWndEiXsPpgPlc3HNZCi*sr`qnHfI4&h75)dJ z&i^#N`9(~^FXNkuOSlY3zPSu5$CvQUa}eZT$~Uh=+3I7ynFVxNgw65I8J}Cp;oHGFNBFZ_{o)4S+ z+v`a=oBW@odqpb$M@|0QNI5TL{-B(9Xa0tAHu)RMnafl{Irl;sQqB{Tu+OE!J|BfO zlyg19f^uHQu!eFrVYiWTp2qY*IS&HV7*Wnq-gtY~k#gqwt|(`=Q%pJ2{iT%igC@^+ ze#krxIWxVOa;7_`oawGl zDCe7zkWkL`xYdj)XGt=qoEbi$oGG@1a{iFXl5%chvR_U)AA(>p<;*BTIrHxK%PD7u zN+@S})WQNu;31}*TbUjyXWm3^CFRU03FW-M+=NDyGewGWX25uL-UaTMa$dvCNjYDL zlUqwUk3$@xoNr4accz@LU=UKy>)_a0%K4{^OvU_&L7epQO>;B#FR5H8ZqU}1wW>ox%kGEGZ(^`a^~U@Q_h_DG3Cq&8B@-j zC^6;CAs$oCT+m|5nG04-IdkyEl=C@sN0jr^bVro)L8xp@IkQ`1%9*_sQ_k#;m~v+8 zCY1A6AY#gS1{y1&ocXL6Q_gI~gmUH+WkNYKR6;pZbWJ(mg8QAVpqx)eLSINZ_roix zgmUJi-Ir6&+?v<|%6T339J;2Qxz;3<^V_oP@fNp0hIkz)XU@DXDd*KJb4)pZ%rbXH zIrDitO*!{3YysuW)Dp^hA!2+vDCg~f zG$t0JPk=}$=eZCG<@_{6LOEMN0Tar(0V1KCzYCF2&euX%3FS=XCzSI8P({l5S*S3f zoT&;4k<$MvnIP7A|`6iy=a4m+##s6NO<#>uSdID~tocV&JO5T!Wd`{bp|66(y z_~Mu?V$3n+{9)|Pfm`%O#9lZd5jl$EjE2W2Ie<->(s1YgI9ti#T=YUplX#W`)|`D1 z;|6D&aY8^)4szp@rkpQhBo1uj^)TXepq%fdKgW8?pWDbCDCb`@5a)m)Bqb5v`)x`& z|Airs*<48RC>|uAjt5kzPO8-pX;gA@nJk6o>^jSn7?Lxu%aFy~#MLpk7zMwaNpQZJ zB#QYIT^%E$n9nf|r}gIJY*F6jNTQM}Lg#dfIi^w8!w^!26X#XuD13B6(Z0_lxptUY zvQ?4jJHtEQA(%9vAI;GyrW`X9D8%^ zN+m8iNY~1tV>h8_x5e?e%BA8-=;BB$#w&IeU94i90$0Y)DHNyA7o;!%5v5_cVs=iU z7%x3EPKu*&Sv652%9$o^B{9AQDf(1VqO^J3rC^H^BB7l7;5I6zoXrmXR#MLYfz)Ek znTbV|Ge-p9?aKaKL^<=#aik;4`E;D&|Kuz;qhrdMcBRVC#37-a?||r%a;66esk#c6y%U9u$ z@fi(ItvvA(4ufk@JzES@ccxnH>z)SyJ7i)R1Mqt6ENB?g>lmp1oLqo-hkT3yU>9iA z#$E8>>>=l13*N5g^l%rn&mO|<8+%71m&_j8M5uWHZ7aJA+7K8IWA?!3u`DMUb1IhC$u9uVLvvJZn1hsSraa4Iu**J`I zhxW+b8kV%oE1UZ5nXrcrw{sMl*_Fz9e%Z+oj+4Qeq0G&B3n{wu7SeeroBUEbD}0|T zAvky(J-hruft zajhwVzCs{l>)~J$(N8)5(`_1;K;Q60TnmCv9o}iwIpG=DKL~7>>MKr|C$H{`s&~TU za1R+&h&sRtt2sin+)y zPK~w_=!-SzTV4tDHFkNsvT0lbeROLKws?B+=+b@{Vmz#dK&vM`Z&I=c1ob{ z$=^a_T*7wwO1~25TLOJcpfAY8S=>_evkzm5$j>f;z9rDN1p4ANPyS`TOAWJk;QE>W zPfn2ntjM1X@k$BwEk`qK=NjUW;n(!fxacsx56Vw5Dd2a7fPV-0BqT-i$FaD&2|a&ebO$0zBFfC0)4qe#Qb9k z^c6l*;L0MPC@$Wa7cCjb)NKj$?Zi)a0JBS=FZMdBN}w;+mqBQV66hPWxFyi{zXtT3 zybBoGN@Dn(saE^Cx}h=XTS?HDwsj5ZD~p%Fyn_1nH>mF&vxo3!_I81zR$$%=02_9- zk-&HV4P>#sqmlDx4`~EtYK!e-qP}I=uCNF4a^WoShfKl^r#;B1+>j%2*51je&=A8r z8)6s+mW3FuF~o3hR{{1*2Khnb)y4^(t zl>1Ji^DyM^g=6U)PyV;>S#)~Dg?G4w&gTH9mrazU2}hT&VTPHFIG`L85yib7hl;DD z90!hs^J-JbiYrkl-ye;)WEHhIbo2ccjQSP0v%)X?A3pAYb0@#-f5b{!iu|(w_j}>= z75Qah*l& z>3bp?^oxMc{W1|_^_z(4mx~y$FJno3`*VbD)Y}b&=w_Fpv8L$9ST1F=z)kuP7Az-X zrk>CZqKEwh_%v%Df&K05Q4lS2=IBw6h3szOJ4C(a+uiqE(;GCL3fg zMzHz1uZ9?Avkw>PYKq|^+H?)Y2oX#42#Q(}?RpQ2-E4Nxa!o_U{zxg?3jH_>y1QMC zd>*rch1gv5ugMlXG^pRkN~*81krvZwsUw-pO{}i3CQiZemeX-MhX#3ut>=FYUC^CF ziN((C2M5oxH|6ZE@!6hMK7*WkjA7>c*gW5318ZMz+)Ej6Uvc+rK!ay4VxB$sL$799 z#mSH2X6B0Xg2OO~`m!M1_e1^*5VDt*xsVZFpw|vO)1BSF3=S!kZS}N}fA+@34D~EJ zA=}0TdTPvt>|&1Uo(==tA_1OffV(6>cLumyhW+R2kkDw zkW+tJ2dCqC+jA27-dxabPR^TyKkoS`r`}~Rw6gE-gJbXWaKaA`mk*RjG_h$tw+}MZ zgQp@;8CN#npN`}9AqXo+CuXMH;b5}pJJ(hEMl7e6UIPri-;z@l;TzqGxgETXNibreK^`SxJ|@4`U7UV zR78ueW}Mqa%+a5)pm&I9)vvIr?i4Xk*Ed4kC1Sol6j!p~ZV?N0HG{P~0TNxLPpySm z=J0aarq5t%_eijtb!0Y}4ZlQ3W`im2(2?0-wobc_%m$lD8kr5ISfL}c!4#`>WHy*$ zwT{dNQ>@XE*1T(WX2CC|RSI_4 zpX2dYqH65$Ba|R`T!sUF$UK66t3=_4%z2KeCnRLO9WG+XC#3~9M-#K%@w4U+G(!Gb z8XiAuu4D&2BWi*j9>>x18_A*34)0;e)#5kB4u3d4tsOSAb>9)S9;wjpT~QnC5MEaBo~V!Q@J!Zd zgDA@hXK)Glv-FYggtY4tyzlT*lykxj?9C4(Waxy4vCTddRdB*58SihhTGTk8kt9*gD)HaZ>626J60(!^9nnwY9c6H^swVz$ec(ZpQf8l7+_ETuuUOEuXE8`zsW zikjkteYh$O5;e^U-)E22h-z}e8#L4~m(zWw6W+@@jBwwAYIed}rcKD0RDci1kw zikjnuce7n~6V>X3Z?knrikjzy8*z6Mj1o29314QL?JjDe6AoY>?ICKB6OQ6o+SBDY zYjeUiOr=iL5+@wP@wvClG12a91x@VE#d4;HtVVHt|3w^ox8g)=FwW%zg1O2ZZIE1Z zl{wm-i99?#l!ZCQ6|=$m*DTAiu9ywh-^P#hf(cUSP=BAnj+6H5r!PDlVv@_7g@Rc} zr@HLB8ZG$qbXUv<>$&WKCRfY`>-X7JGvy-Ltn0bLo+EKuEcbYL>7kdPoW8#R$KJ=` z#Mt0Mm)lmR=n+k9iryL(eI8fvi(R4oG=Fj!Tq5b`Og-jFn}&MyF!)^N(iTBM_mNAd z`vF9aewSS~Us9{pZJd7#TrnH0WpXTZIXN0E_YC~e!-gWX@AHE^y_;}iL-4Z8Z^@Vf zzLIX+SE2%5iD1E6m*1E7^$z18{@@OS$muqg=~ZcfP(M=-@tTN&?#Ft(E;-lek-VJ0 zAze_brMl~+x^;Rm*V_%Q(8T&&4$}9f?hX2ACh(y<8RK`X&U2uD?D9A0{5i9g76Ck>KoR6zC zIkX@r;%Uv_wg!2Rqhz%{l5NmSO1(x~tafinV6C1>v7LzZmb(n0_3)2z&i6mVar<_h zsBwc`J^m!$bY^5W*o?N3-b_@{GtIxdXGWXMjXk6sIeinyRGr6FsF#^fdwF8ZN@tFM z&uEXcxu75CA}~fojc(%Dt@p%iuwKKC+1C@Z!P;V=|f^lMzxJ73vj`p_E zY%uE=y5V10zKK$bf@`@`(Aj##B($pUPrfTe~wIsOI9O|epH||O{{yf6Rz-tCe~e;4d#%j(XX-(uJ*)i zu%5&2y~d;EqB?ysep4M>E23Us$_3~KPs|4EAMl!VqqOB%J(O{7@;<`=8n0JyIRDt= z{ZpfUisBX#Q}j5_p<6{X>9aW~mxyRK%h^)z4iu+F`)sQ_Juw@sALnY(?wt;w`KIBP zd15wLhrDySM?{>8R_&JJL@r^pj1 zH`VoNa&|B)G)KO_{x% z!|q`GzP|f4!w@8UIYLayRnsQ0Isqll4G^=z>IPIlH&Dz5tIN=Ixj|w!Sly0{a>J_7 z`3-71?lW@3#cZ$|gPD{YA!dWsL+HX>EyFZg-FxB>gUIHCgVNA!u zb~HvnbAAtAY1OePQ+`j*HcQdQLcUIFWAL86=*}rlul(K&9?CbHjk}8M;7|T=Cg(22A^%O9 z4bDzMKZf~nM1obyY_R$!OE%sl!zDR?%qC`orHOo>QGGER?2bld^C#16aQD^7jh1$$ z=tZ-^>bw&Xe!7?qR_8OpCNUeVxUl4BFnFul zgMBoU6`rr^(3kn|(7jNt#WDX~F5HW(-VZ7a>7bv@=;ofS(q0EI%sAu|REhBQ?gJqD z%XVE(@4^+eT6X9{)QV<<-O;BY(dM+-U}ek(OD&X*DEV3JDqlU!mg+zgOBi3w2D`y@ z__n0Y2CI7Z)&+O5R7#BYUMPH_8F(@&b7tVlq-2rU`R<)(BHG-v*BWakDT}yYtaxXjs z9@nMK2AkR~PSx%vlU~Yfu;R;%{LP#WHD+r3nC^Pj$T4~g(;lz*5+i>r-HnQ`F!D?2 zo}!M#F@GD~O)yw~8pP7H*#&5gH3xrlxk07HdwXSBd9SOte&LXm<=}h8?(X6m<=}hpU=6z(&T>=Ms@x% zlmF9fi(f|hkHF4C{#R+U!N#cUQzrkk*{}c+34QUZUjRN}CNfX}@RkG-iW2PJC0A zKbt&_+2B;3@2B!KW`j*XeQ5HOe)=fNbEi|0=U>xigH77TY_Q4S(8Ojue9E+QW;}dG zcQhY9r@Ovuv%y*nLzmf74S5vJm)i{QYq`u+h$!e9oXYz)TeVJ~gkwGL;f`B7af)#U~{?8X_LaVyRcTtQ3?2_5w{6za7HybR^jrmh;F&iu|ee$Q-e4=cV=dt`WoA17t z$n#kKbP?^EZ_o4Jws|wWT+Q#`gm0eA3$oFX)7Le?r&>g)f4URIj-Hqe*1ti9!2q!bT%(6z?gaxqESBYhX}sbFahFi%*33x-DjdbuGm=>~j$+r#*@zMTGi1 zEYiU-wwMjp%TItfQ83{e{S5B^f~mHc4c6mu0}z}kqE4r2Vtp=#P%z6Dv%z`?w$vO+ zV62|ZeCFC>HdvoG8RBxACRiKw%k)`b)8Oh9UBMD86w##nvlXtk#cZ&?ne|yDNw?@6 z6S&qEv%z{W<6Ljk&gy(klik5$o90y)>3f;m!PIWE#cZ&q0rQ~U7PGhstnGNBc=6uPV6PH9O0H-&xT}za#S8^0t|X!qCN5D0O6U7Uv%!*(6uIjx zi)Mqn3b{pD7R?4r62*Lqu8w6z0gGmXHydY*@-CVU?vze3$8>)QP0W!aW`jFL5wpSm z=FJB4s)wR4#$sg521|S~8|)ji!S^D7m<^^6f)>pNOVH@7mi`igb%m(|um?*pDf|w0v3Su_6QwlP(I_11c z3Il4Q6++AgcS@m{b9!iq*;)U(5z$ixPev%m$ks z`g0@9C^8$&jdWk{!5e_{g$l^&b8r_J%n^YbeFg|FaA-Cd*c@(2eV=B7eV;jYkIe?l z{#=>WozFz_=6FA}TNy$r#ONNI4UR(L^vz;q%Y@>U&^8_>Yk!WYL z!KkbhN$vt)M%qCik7k2q`;a%9l5q`U4k@tcG#l)T*m<=x5#B8wU-exdY-r}M0US%g;>~%I9?E5quTt>6OzL*V$rCFX3v%x<_ z_3Zx4wlmdgU-!n4m?rLTXyPTahup~U1%pdZGP8q;|fl zo&eF$z73*P5z6%j*~<`Yz9N+C4YMDFSfr{chKp!ZgmS$RB9=1@#iIP7581f$Ch$4`9HIQ==jbm=kQMN@Kt(!hnatr$I#+ zFvVCEVZcmaym|)J0R~JlK}8raMWc!^V2a5q!hk8Js0ah5n5H5On4(EV7%;_56=A>> z%__oxDb7(5229bSA`F;fj*2i~idGe2z!dXTeIp8bmlSlqiZEdMEL0H&{0hV(mBxTo zgaI?y%__oxDVC@R1E#n`MHnz!r(H!DFvW5eVZanCRD=OjtWpsMOtD%;7%;^e6=A>> zYgL2+GoSS;!hq?sL7l}ueOT)Av5GKY2K$FGU?x(;fY~aA1Ouk3Nibj*q&C5Tsp=98 zm?7&E447#)l#B{*f&sJ5RwNkkN~j_ROjX2yIiHIdFxA=w1Ewlsz*I#Hn5u{YQ&|ZH z%s%oH444c^`H1Ljq{QAHRq#S|4`z!Xg?!hk87 z<@3qGQjgdA7L~?;RfGZasyttC#2~_eg;Pv0V5f)yXEw!v&8#UI73Q+!7z|jPC8NUF zBc01JT$?o*Fq@?=TQVw~%cd~ktU$7Y1Q)Uf173(WtI4J?;OsN?cvE9A;A{#5&KeAu zZ9FAwFkrfyu-QNiIG`Z6D~1mot6K^K&KeAuQ{T!O447^&YcOCg7Jk-X!19n_IS=5J z!GN722HY)$0cTSfaMobJCYjA(!1P2(OGbs!t|g0o zV8AASg8^p^25j;-7_iCTV8AASg8@hRZ;AnD1rjwFa5jwrJDXy_Cal4LP1pznb~eR; zOnw zRuKj)Z|gc?z$(IkWglNHJ`shEFkn?n5n;f}qlhqImBxTo8Ut2o3|OTx;F3{cyogI< zz$%Rat273zN*FMqwpKgb8VoqoB?g??JO-TUi~(o5#DFuMF<^XUdjOuGIhl$Wa7HlT zjKP3uNl-9ghB3&kOs|Z=faxt5aN>>U)RIwQAsz`&r3hdF8F|NEGAc|amp=@s;>Jc* zv9XG+!zanukV7?phRfGXkrhl2CUK;uoyf52F%XzRSWV32FxzXnZkF00n=9& z3FJ=!GQZ4?zcU{fFmjxkT7?gVk^Rcgxe`2E5fl+;Q<`e0ts^)DmEi*4dF(V9hnjK zhj1$@HY1!H!p$gqXD*h`g0O=X;X(&1!a$AFR)kw-4^1<|4Z{cp4@0Fg?%MSc6nxT* z8J1nk`onziaKV`OF&T{=E)?^AMvWgj`K0ey_MwJEZZwvInU)NrK9uxt@C$R1HU7;8+sc1?;~}SHIT%=a$#O7Wy_PHoW5Sdy2bU}dmn;XDEC-h? z2bU}d*E->exFridbxz04QV|)ZDk8&FMP!(&WI4EGIk;pwxMVrFWH}g*^>ro7!Ggw@ zEC=ICTCyBmuu7JLOO}JVf9Lxp%fS&cY)oC2EC=JK870fXCCkAj%fa;tGF-A840=m2 z^OEIY{7|H1IhfXcOO}I6mV^J_MTSe3gRxGPEC-h?2M+{+TCyD6!=bg$8asTZ8+L$t zI~$>3phqbBJ`T-$!a&a-X(zkdIS{Je4!>UxwX;Kmoeg%_qX*PbhxR$g+F`4L8sX3! z=Xe{oAG7NiBKntYO%w= zodh+_*#=~|WI31+7WOhGVX#-yBDR5*@4!i%ZN`a`<>05mni-R*Ma!;TQOX<9W<@*2 zv9aQk0S^X|>DW2cOZIYPGKm0l*HK z2)5QPHs4^fMpa1=KH_jEtPpEf64gB#VLPSg@790TJY!QL((|F_EOuv@e`jPJX2!?$Nz zv9Z`1jC1)^V7@&QSsgZChdzd_wAEo9SsiADLLFHh=BGgV>B#Ev{SXB$ z*4wALyf3fOZ*dadQi4l_=R_yb@=E zwJyKn?rVN(H28x%3?gSfWc{i%S}0$94N3@c2_Y^a#9Wa|2r)jn8d)9Y3OQCsR);^s zRb;%5tPbM(!GGv9nFE3!H) zAG=Mg4!cFG!~UjLhs_!tSsgY@;6t9dyhvw0?3p$CK@=u<#Jd3(zMS5ZFTPfIhhaVJ zrQ3NCeAGJzBGiB7HSibmh1o(0A+|~gaS0)=RnOFe6Es$bO9-)*KaFcy2_cSw$qV=u zOiPJf-V15%$X8;&^&(zCa!TyFw$dHq7Iqih>AH8GiSab|J_PMw$j{qrd&CwCyO;63 zt);}m?&YbJ=BH9xU{Z45IR$B4VIgk+n*3FBnTC|$YQ~GwSY*Dm2mi1LOCGz`9cwdXj@BPFlr|-av(ER@5 z17l=8z6*7L{THM(pe=u(eJtMi4xI7t-?s7%_9U$QgZfTKfP?JY=|1vAxDU2Jr2B!% za35mxVl#;LJoAUzyl4!1lac69 z4)fV{vsQ=AhpZ#3!{z}uWp$X_ncHl2SVvZexmRmxF}1vhP2uab)nT2sI;_)Hhqdev zMplPQ2=TxYLX6Q^LWr%ZSMabP2Ry7?Gyt&eojAlNZsLiRJi%>&`0Twr`vTAMeFx%+ z)nU%>qSawvtPcCe>M*Gzu{z8!CaJIR$;UTVhv_X=hZAr3h}B{GjKNrqGa3%JK#CK1 zQpzO2t306k{{A>yIirIYQYsSM=2#r-jh!tAoMclq)pnQKPw8#TE zRFSKzI+`;>#u%@9@Lx>-XX(%JCV_Cagb<4%U$n$+C4{&SUMra$`VvC?zZM~02&UB_ z#GR?ut`Xv83|~ZumtqX15Mn`lGX@d{LM+U15g`^UzFDs8?t*PWh;s=-++L4tdvt*i zx4ex9SNt0))`aEqTSSP*aDfzr`0gw5;EI185aLQxvKS$*?1&JH+**YA^C7fCJOq!W z5kjnSf(Y?*i%bE&0yqD?{sIu28!2Yb~Ra`G8 z%V*=Ha|mua+(8E*iszJ#!&@@v2i(N1p{?yQuWahKXIkZSJ4fN}$KB-!2K38LhH#t= z&J1O4&Ra;)owtzAL-7vIy_C)h-+vH)@SO%6J-hru1xDm2mpmk+@8G8|ltv&p=Mzr*wzeD{wF6eMWa7dmQWYIo&mC8H(gt@^O}0 zbrmjjo-LwItwM=BM?}4vk32n3M1y)U2T>+sta=m4c;zC-tIJpt-@X)~8`XBW$@03{ z?D8qs=Oz}_VzIl=c#qfG_-$+ z)XrDc6CnE8w?VY3v)M0$>}3cxU-bn6;tjLef{Ro&#c&aAs)k~Oh$U(SMXiW-wFkv+ z_Ata*u14$)F;dF5LOsrc?rz_Wd>*s(!g8ot>WbC+_Jgiyx=g)D(}lO1@9u%U^I14%k7Up zJzk*K4m=aAg#Xv!kOJCP4+}-9xN&cWdKUdr(Z&RNXbj4V#T>3Z90s^W0zA(EcS(Tm z3~)CCIEzpkzwZn>4?{AUu#wL37qoo*8)+V@c9>>uYLM!6U# ze-`!2uD=P|S%SFPKk?g4p66}P?)Sa90soXu(~QxT|~t6IjN zj^p+r&iZaJ*Wr70^F@J6oZk>YGGEHR#F+!PXFh9msdGO@jjvun!v&W)&!ZYS^)3n= zTrQ%Qnt@Zn6%I29m3&d)N{3}HsF%=|!9o!O)wyWy;0F??M%|AUEx68M;}2Cs5Hq;m z`3ojpt(uKn```wL!@EIgoCM54`$0DYwKVhf^w}@y`ODS#@F;gAQe3po4R)?c)gWE)$qds7!OGUJ( zYR0);#2obr3wno$R`m*->P`{!RDC1FT_WbILs_}IMJ!Z;zO_62M#Ca?YAt-0IX^;M zx2ZFj+C38NW_1$lbFYXc>R8O$;3p#PQ1e-zpGxbrs~ef=eIk~t!!cumpNUwZGE88( zh*jzYHr4$iR;$<9KR*|-MqR~B9}uxtUClOlQ1V%?ZbzYlhs0-tI*V~07N3vRO18lx zA~uFEG1C>!V+d{8VI^DsQBl4f23V_uUx>=t;S8#k&TkMhw8Q;5Fdmac`q|+!j;3Eq zs}$_8KSpTqD^d7e^hZcJcw7pC-$jq0-zrh~U38u!>In&1Z-A>1ogTzdKb%DVu$k>@(uB8 zx5Hyuqjlo9+zwx$-yg+qg&poN2x`5v5{%JpuJyN0HyP`JO*m#F}Pt?bDcqVJKL6qf$GuYFAmX`OOP$VD6#&HKaM#3E$^P0hobU#YrC~0o`%EXimvtE7Zh&fb!dj+M>vE-Sal-Gg zU3L{U#|iId?z@R#6NH$l6cJ*oMF~R8an_a~#8gWXgm@+D z*Y1Qu%{>lkz0+rU)0tMVulxA`1a&Q^h!AHsMTpH+=4dx{l{wm- zgNUAr5aM?9v9BV8m>-+TsR$uvp+glR#BBF|Dnf{#fGC)CbgJA8*C;9QbeG?vsZ|j| z%G(xN*gqZ!^VmU>GII}52Y>M8RE_!QJ^fW@Oj%T+;2(hWhJeO@6st6%|4I`kS zB82#F5H%`7h}jUeDnf{_LTT&F2ND;$oE#07a|ZtCVY{M(UG92i{5^3@IO4xxFqu-m zk}l;dQ7NxPWN^d{SgU;%am2ep7_cLFRa!0-Lb!spd-3@LTUc=R^b2(Dqm%ES#bu<(B(4CBtJyzv8+CO&r{qpf@0~f%*yDvzm zoQiS;pSss!>6xO2ad6w-Z{gFV&Z>v-JkF42^#P7Snb!}Y&rvO`Pr0`skZ#~*1f` zTn2YyxF>7Ji5fT9)ti9wn9dyOrDn@W&&(ES{@o1`X(q@XQjVOui5HzZ?+CO+FEgq3 z@;IqNmBG1Sw8tr3P>-Vq!59%Ws);uZ_1?`0R;$*qWA^p@!5n`U`~0g?+!6gB|1T)sHu;;Z7{ix4etd={YyNiR11#Z#GmfH$0eyXp}02 zZunQ0Z=#fTemwU%=#s-|ms5pbDt#{Ue*a_Zhw z;k6{V)Y}CGGX=UlU7*X;1)3lA{8V0d7I=JHkyE`{|0}%vkb9_}J^|uNFN@pxf;@!5mQW25l2kXq#}-(qS>rzOXc%gEh^%O-(+8_h$H63c)n@4W!{JI zS)@YVzT6|C&2kJ!%wND{{JnAPtuf<5UCwmR!1&f*6{m&7^}&+DOmvz)M4Z#n<@!*G zb`y*94RM}}MOPm#0Uki>=_BRrC>HWqIeRB_`<6JLW@5)lfUB9?Npf}o&z>Sru-9Nw z(5K1S!K~Xfacc^c9S7$kI<0O648#U~-a-V)9?2FxpI0y|%N1YG;gIyR zT=4Y;bmy{M@AZXrhgmN7`XaguS+4fFmF}7>7kfRI?%FI@bbT?4Uzg>At}mhcEB!t~ zF7=jEjqLReETGFG;0YYD@R2!$$@u1Bnm_5u`0pakjL%8!3?74>h-(f&z;2f#+>~7P zC=@Dt0#eKkpwr6UfJVp-q&v)B#@RcF?%M3_$SyZ5hrVpcPRHcV4L<kWvG5Nl90Z9<-|gqnVgBHKa4O3u ztNfu{3#=^f_49|(?PbTYR^MRp{p=*np!`_6bC&Y}{^Sp5a?VmDp8w`JIJ-?jON9Ax z7c$9DaL6CcO;0QPO}fXMWTb}2@a`jLRnptMRFo#l_>7wIzmB6b8kNnTd?%dpJ;I0J zkfL|D`nTn$)}qm}=beZkr%6;}o7iN*PyXdk=T$vdXgbl#Pv^E%m_45fHt|Z)lI2R1 zpTXd***(}t^7Zcd**eVD{C8OSh1s<@=D&Lcj*G0`4`SdIf_^rm3j-Te+T35V1ltX& zMEGj=0Vr;N**46nU3f!QEjxuFYQ-WuqfbGi&8$rSFn@MCYvCbS{`*pktWEbUc9oxf zm}6n~0|@r_KwfW?d#rLoAQ zVZdUOh5?Hu4O6mf=`L7~0gI1idd>M8`{NPU)NXOAb~l;y3|P!5kjqx!b~b-A-8E)v z{Fv_gY$M0$ElhiSwhR@`-%595b`qB1{1UpSWRJu#e;eIRmNVxxh^0$S{&(KU{H0Cq zPPIvUD*t7v{O?KSe{U-PpQQ5tX)6EwQu+TZ%0F`k@?Sm}`J(<0^zRe5=R>LXeAwjQ zUXP%UnEaoldqpb$M@|0xj%5B9Cjav}*H@bS`3=eZVZPpQLuCQlhB8#qpUQ?~5-F_+i&g-n*4 zPWkS#CR%EDUewH+9p9>cavD_Lo`hi4n%9?J5(T*H_BL;aP24_F+?P1J`$}H;wZ!od zJ4mRUIvA~;?`O-i7nU{N%~ap>9h|GQZ(vz!^8IbzRhsmwqx5)3pWji0uljQ3A7FF2 zYkff6NDr?X&#>|+qWfW)~_S5gR1RK+`(DyAK;&ilY!J)EoaF7~_3Jj@TVufs_t z?5=tBWUe9k;ZbF{MUWq1^ZK5%svExtRcoJyI6M0J-Rwt_$fHcj5rpg0?)DM}3G;i} z8{ydQ%o(`Y?PbdnwcX@1XW~vmddW9G+88aFA^iv}eu|L^79Us{7p`8yM}^xrDqI8> zv%hmH0*hrBCt$IU1OzN@sw#rT%~)q+u=r8BBd|D&Q5u8Ayx7EGF)tc1Sj+`K28+4) z#$YiQ!Wb;(;t_+zocJ+V%n2ET#hfTHSj-_l@brmIv`x$fZP2&479C@A!5TD>1L#{a z_y%#iC_llz0^tWe%t3UV{VLrzO@jLb`vf%GplR%)M(Nf;)7TdirI!Y|Tu>)TcMN(1 zb0dGEw60ZM!I?4HJ_0ROy?-~jPqACkSiR|9lb>Sq;ZYtW^QYQuMN3`<@(Bl;ZV*JH;l4rlU~h7TE554j<79276uXEzkC_glE}Zwz*jXFYWk?u&y; z*#^OA!Hr5#CBjo{usQ_&Wv{|FkLm^4CdjGl8sJlH_6*cdcY@f_+qerRmHG`b3f|)@)qt>^Xz^XdHpX3^vw0*$$Jv3arTnEarV%3>L3rnP(tqE|}#71vDtX zdmOaLCWK|4c+ZswaNpXgLLS%w7VnOLJKv9+ZENSRp+)VTA3!;;T5bRRk8dLG)4)SbRG~Xx{tnE!ksF z14##?rLP8B+h3+J0PJ8N`-}ld{7tNZLA}k*4@)gR5#sCix$r<+Q+&g|8X~7WiX%mY z>O2g@;28TJ_!QLg6Ch5sS3=aNXK+mqrrIw-)T(i~0SL|%QKv4VXqI5uX2cK*X4!v2 zum**xUjq!9o#Dsz2sPaJBtS z_%y4VS)WCcbc@O{fopBTm#u0r<6LjkZ0mf*xfd+9Z-7{&?q$+9*$+XqDbB>;N8+GaWV$C+0G!&46Z~$yFC)D z65GTRpz0Ib#Aib_B({mKff}3GCcYnPd}5pU&rpqtZQ_wN=&Zyx@xMbgCANuKqvpgm zF;z=qn|KLAw%XyVE=t|(`~qse9WKTE3C?l;2(`$zDmh52xXKv}H{)|SS8}u(JW?p& zjG>1)Qq5T|YgIg8nYx}4IBw$;%Xxxhw-^?|$Prg^sKy!n9`2eWki_Ra$pb=h+GhL> z^x`teF}T9^hU(Nu@6UaoY4XC$&U1Jd}DA7yi-<)Wy>WaR){M( zu1(Glq6|p?GA2bg2f6VXgN34s*Ijtu%%nK5jn~6CS;fnK?7x!!9P256My-;NQ6jG~ z5a)mt(0K9Q&pePz@F=8@K$X)s7g9Wmha{pDCN5E(lzTTO#K~o{lp=SX<=G6$nb&2= zVs7HrOL@}SDt7Ako?0UxGwBCH2Ey}welBnd0&^euAj_LlIjF2+WIIlWK z;iD6Zb|sVK+F@o9w>M1h@~Q{_pV6PIiHXmthO_^H6E!R0q-AsQ!O3?Rl*@?;8jU#z zCnPAB7D*aGL!M-Su}N@o9Yx6@T(uN88wmJk49|>zBLw#C7>U!03z5k~f<_rlqBq@K znT$6#;^UOgjbn4EN_j`I+hT97U8%$+2kBZlbnGS+>XA4eSGiO?30)kC#dyW8q6;bf zHBNymW9JlPW_8MWlN9zsL}?hVn4ME7=A0fHXU9>vtePm%>U3A^!xuh9pDId}c4rI# zY*9k=rcIGT(Bh21-Im$1KR1Gp9^n1mc?J(qd-xFNi^!>SaN`rq5rJP2GKJs*=N+V$ z@yn&FnHVOF0}qY}zVVg)xiTx@GZEh>M>^x@5hFMsXZSxk%Pr~Z<1u1_+3q#)D4&Z% z@8fVH7qqy)fH3!L=gRw==$`F7@$iu~Lswr=f#|<;`EnMO#hHvRcY!Z6$3EWMI3wGK zywQ}5YY<}J0>j;eo1csy;MOwZA0SP}d6MzhGR_ll?h3D6#=tS#v8q2oor0O(7@X@( zcOm$9Z#IOwts6YP>yg*B6n8pdrzc)x;B+}jN1%4mHgP4d$L@k9vxo4h+m^>%cfrlGhw!=E z?tdRT$z8B$_7Fa~+J$eTwP`chSRbxt|GNv?W)I=>h`l2pM@aV$;T^a=;1?oWW)Gce z*@KQitGNr7&mMLxp1SOv*mv%N2WK18#5;2la~9MOW5Mkj?g%&w8pJU1rzmO0ZG5*3 z5iD!i=L|5M#Q+IBqE9!dQ-0ZovB`hIY8)6r20%Z{Wi_n|96W2r*=Ss2MR*iW5CI0` z##q*YatONn3@)HD@DwiO@PeNhC;a`RT{~zSHIRuyukIje(0jAm<0!%+_ zO+*MVRS^NE!bU}e08z z|6l}o5b9U!geT&DFZfjO;5wkZ*z6B%!F;y`0Um-h$0i6c)%brh0xTmWL4e=l2>BNx zz+YrVm>1R}0=z3nPl5pN3sppbUmJj+TZ#aut}>kv;5OWgCkXK2$l)JCfR9E32?EUW z{^JO6s_0vc0JDV?1em4?3NZpKR)o7mfX_l{yGDQwawKcSnf- zSLt7tdJE{^k2Rl#Kf|#||MD05rZY!+sm|Os`j_)*3+P|sZ3+F$J&LaB-;d#w(7%k6 z(7%k+HU0ZbY|$k2FYA`jzn>vaLjN-9g#PvL^qkPYmHjYm6Z-di==37}I~{7v=-)}Q z4KW4Bg#P7BG!1=nx}m>_{-wF@g#KmCx~6}R!gNUJU*??9za$04ka)%?{$Ea=(7*hB zk15dQ=>lyr{d)^qBcXratHL_d75%#kjhoQF7egfUFGWKCuE6HTzk>e#HT$|t`u8RH zB=j#uTTK6sKtp9VwIXcV?xA$seG&c3IhxSFVnsNnf5nQhis)aCzrq*OzqcW!i2fDl z7ty~Gz|gHZ?jmsqo4zU$zKO5g40Xd7U@18~9LR?L^lMVRkiNG8U7Pi2w@^Zg#2 zU17ew%>cYg?+%zRqh|c!I7XQ70yt%#elZ+Ubc6Z!!pi=|m@hAJ5$5|d^iz!a@{;fm zV7`pLjhHWs9AUn!3^Ct@ti=~&zBeKWT8e+-w*ib5VZNupNz9k`eO+L_JpDzOFFQZN ze8~>ee(*@V=snd=%DTzI!t)FyGr5)?mIS>^5S)Co?@@zWqV*s zFUEZ7jxk@l>l4iP1|%ey@7}n{j4@wHGRAxvKEZq`wgmHikI54AJ(bCRIp%vHg2k9G zqX_29``$0dd>JaieB~hv*AKq&k1^l#m>w}--ac+6=F2Dv<~v4iKO@YSB8B-fV7xlh zPH~L+KF7?7`L^KXmt(%Xz85jyZy=6fzCTJLcgB3@F$gi=wQy`L=6g3I6Z0Kh85eFF zG2ge5l3>0^;#nuQA3Oo0F~)p*P63mw(!F>4) zonXGV;C^Q-FyBTb^o5x3c6b?;V7}Z6`Etycn-W`q`4Xu}Fke2_B$zMPngsJ*hhPcj z%U}uS%bC|D=KCbe9AmzJVVNV$mo1fGzI@V7W4?U~TY&j8wFL9M3^BeO^SyvGJH~wH zLnN5*wGavB%j`Fc`HsWRZkL$vN$^N8-?JeS%$Fj;e18CuV7|9PB$)3*5DDf>kzl?I zmSDcmKqQzieG<&~O^5{Z^#^i)H^O{(gh()7iUjlB6C%NUnRJ5r9tEES^PL8fV7}); zB$)4Fhy?ScPlEYUB$)4`5DDhH4kE#Pb71-s%$Fwc63q8}hy?Tf6-0vhegctTzWV`} zOEBLvAQH^?DhMmVe5vr(Ey8?BxEC?sr=alGEy8@M3JK=B7OEz}d_RJ!O)%dq(5kuw z^R0)fPcYvTpc)d)cNWyx1oOQfYJ7tE{vW8u1oPdVTZ9Sbdkhrbp8akzp1>L%)}cAU ze5qOz%y%9_wkDYGEl~3l%=alMV!j-tRa~-SD3-&yk^|JhiV@~}8qad1nzNj&#xQWk zKbKw{cJYbpc!I;V7#0_QS{Sb6c#1O;qWuW;2Vad;$@>v-w3ulbpJs*yyW^NGa=cX$ zNcUds&4F9=Mnu{hmWUk1aYi-qNe*CBrZn9+24^cdoQqyaiMEC-IbhA%2T@L(B`7Q; zBnP?isl!4ML$hSxD><-@*Taa@0rS0;{v7Kme_;+KBwfhkaR%ZXFo>Xd@!oG!%=c}E z{O0CDibwGv`E)!WvpUIgJET#`$z`$>oU!XHPhd#Sye>l)a}!s`+~P)>%Op5oO%la? zimr|kQOu_qhtqoVakeOL3rSRRMd+MPF~>B^*?^EToH(yKN8zIriuPS5$+g4GB5rS( z-X$6b|7+>b^~1#HRKr;s92Rz$Yl-;aBw^u7E+-~vH0IXDLAkU@(g;dASO(%Ko@B@> zaqUehqnow~5bzHeo*Dmg2vD8yl+4RQA#JpvAI;GyrW{y ziM_dYr4pAMq-*8Sv71n+AI0&w%BA8-=;BB$#w&IeT}a_~aSB`+JEu^bK3|YRB_c}0 zaK-GLLNQ)?Xq*s7;j(I?M61&ku@B#W6n&~FQCcFB*rJ3;FyEfIjWT=l=Q`$%)>dM^ z&my&qZ%bD*u?X|!h~QgY*`JFrU%oSrbjDYR5uAcE{GXiVHgt^n&Vold(clF0y#*r1 zd{g&q=ehJC=F8AA=F7LRs4UKO#C%udjBFqBMpH5-<~yjsaK@y-UnI@}(qt>;_!#3n z0cUUA9CpTht8p&Dd{2akG2dpmw*d2zHZ3GGJ5h_ z>@1iPqp-8F!bB+S@evApWQ4*V!D8T_`YmFVP}mX*Te5~*vWDw%+1Urje~vZW6noLh z8g2=N{nuH;i?wCea8nn^5(=9b!sT;) z3587=!Y!e&u_4?N3X4sG5(vmODJp!g-tBpmQYx`gu<@0ODJq`q*Fp+ODHTcr;J}hVXFj~6xy;#EQ+fm zFd1XGB^0)V!WwX0MnpU;N+@hhq?Tb>DWS07Vbd0G|0O8wkwjs|;%#T1HAZ2@+O43l z`y#Ao&6}N|urneQ_Lc~R{U|aj`|R(4!rK1#LDD)-#R&ew-mADCJEM+Yv50@{^4ain z55emvXAsv+$0-{J6x!uS&zzdmamMq?rha>-RYtdaR2HXq8O?xx*~t*DlYx8ae)N2c zDbAZi=b<39oJ;8}_bcweAK$+pN91=Q^2^ZeE>@8oz3^N;XKshF|9Jk|8ag~(gz>#oXZ3$IkUO0UNgS7FBdUhU&fO7_U8!QsJ9yk(akPHV@=VIv0Tb#ft&OpELcv&Og*6+L=XE1@M+dQ z0{h$9qaa#zc~6M#?SmlB)9aXNXlD`Nd|f>OqMyxAcDL%Y*<^$4#RxWE_tg-?Z1&+I zT}?4uM4PUm7$IVb9zjtnqFwJnv762AS*}Oy4KY&6wn9J7g6?itBcI3cu?_5x`PXC% z=!Vd5Vi`?s8q(>XNAGi*KoYv_XR97-&9Za+A9mc1!w zca6{X#K)hIQ;#vsd>@y3LUAoNGUx1LktjvXs@B+Pd;F<31{$+4TscfsKh5WNOE@r4_(Fxf$CeTx3E@T&T zRQGfk;1&tM4*_QHk^tQq;BE$RzlQ>=KmHQVviFgU`z#8o)?LG|3T<^%wa`kL%uLd_b9Bd6* zV^#z=iWsXuL=y#VlE8TV4C5>oF+s1!NC<8c(Wn=qWrH7yn5-={UvRUCDf%gv`o|)s z=|5o%1hqA+&yG1P2)eP3|(9HcJeQGVlGDlEYeFjszM}pm~Phx%U6|qDg zt08_O;toBZ_4%o^PP@L5sof`Hxjr0|Cit0%6*|KNmWx=WPheBsFJiTRo&EE35o`2S z%=7^fYxUJ^g9jy__4;;9;@~0i*`Uv2oQK8dW4)4X@Q8?w;Y-YPg|n?zVL7t;*&(MH zP+0kVfF1Vdc>I+p{95%R42s}!DF}Y8I)Z+yMB&$}d5)+jBqV;Vx`-j4l!D>cst2>7 zo^m>(u*?C!UHyP9`fF);{C2fVtFRo4_$BK-47plD;+L%dO;%yqN{j4pILGyCQuj7H zoOBY@>rO|juq<`E9UjXew@&<)CstwUx55s07zDrd&b?5p?C>D=?34?H| zU8zf~!crBj!cx^c;c>W@2Nf>W0Zv%W5u#mLbr6rahwc|yh2;X*=!83ADGjP!s>x2+ zz?rtAs3}g^hf{5ksA;e*%O0x{)#QXXXsBT>r~Ay!ScPRzw>aT<*e<)eRCAp0ZkB8} zQLRq+Hd}Y3sCiC|!ZPH1Cw!T0w!8Q(bix7bqdi0|a>7xZ(0jUHgu-%6Y?W15cP-#U_*t-=cT7z*efAVFnGDjQBrRFMgv^x`dczP%cbBrriVfC+BqhnpM3ah`3 z-*Lw^mq_|KQ;&Jl!l52L3_h2MNyvicm;QpwU9k$Q-{l~jFR9h)HqO5Vu2_ZDGC3By zoE#07dj|gKVYCYC`+!neT%$*FO@BkWpjJzD*GYBj^kA;H8(cwQ^|>6R?@Qeq^wCV!>a*E%oD4yx`p*A z_rxl!<`=?)3XgNPRr3?!fiGgdeww|T5wS?~BjG_e5p9}Z2@kR&mS}z^JWwLq^#zQh zMJ(4laq@wDbA&4awhQLu=`394xGj{FPk9N4tW;`YV|-&gHjC zoBX*`pd9N;R9HVtvkBt5+0Rg!=(+?bS$S4 zgY6GvY*x?PE-I|)=qM_z>F8<6j&6)PdUg>$r@Imr)*nUXbZJ@MuO1F%hRZW#(VxdF zp(av5><%5AR8=o zxvOUV9vp#sIaE*9!~b%`l#>Lu~9#eHJbJJ=WN;FN>o^X4d>q@u0)0PTSbL+ zrlTJIeq8<(eUm{UqHMerorJU8= zC9p8^VJnDy)gucPMYqYs{~5kD*+zXQDmfVf5LkFGJsihf{7s4H*@- zD;2VqM1_@~L<=VwH{6WFWinq@)Vbh3ac-n!zPIeD}koNSu}6aBRNL1 z+2AF*24fk1nesBd1)t%0+_INjJ=SSV=AfXM-CV8pQLiD%@*@B}RpH?m7qA7AB*@YKd%l)kt)Pr6uCz z;)JIqtX|}4DdjwVPL0j_S~4oEslm0(7x`Fjd>9ng__!OpYxqs^VQO|A<)Y;*I2#Gq zC!@le)~!smZk6$uhzhGyxNZ$^;&P}mOXFtBwYq_G^cMP_q&uNT;jNS#^eHTVHRVP< z9-rZDl$#KV`V1(yC!@leKDj5+CuV z)9i~MMdc5}GllTS$*8a&d!JLdCD zIj{0~R?ED5jXv^bJN-T9NzwG#R?0P6`sQ`o)NARRKTw`zIlsi~lkkmXR9NHtFQ!Zr z6_)eFGj(~>lqvK6zY}G?l_=9hg*D^!jww^d>D{QzJx@oOe@#Y(HNH($SW~`1VaX4qBsL|mfrq-Nfwcvi)eGoXcPhf!fo-kwoj{tRW0oI}E)QDgWlARMN4 z5*5~D9&T!GRWxva8ll>a3M*Sw35AupFDI;Uv=oM@vtyf(`7`PsR5XqXYy8*Bs794L zII5g1zC$D>>)*)>kwYZ~zX{vzJZNK7M^xBwvidf<^V3Czl{?1pbR|(?<#kVZhLWhT zawitfP`sC1Eq7w!nWC)m8S6AWOYv5At9A+$0*zSAa%DCG(yo%`#$?7H-M2_7BmoNuy7Zuk3VrLxhBhNc9TX3Ng z^cBVRH)D4Q`pH8H&)kz2@93p+#}N?=bune~~$>$VC;f(03Ag|V&M_#g ze;JiIVpi*4geeqUs2FOq&hO2hnlCB!{#2H;P)Sr+|Kh1o7AtQ6pF||1!ul!JV42zj zDNTMq_QJJFqQd$&u|3Phb+ezPgX@$;h4lwA&$pCBh4mScIasMKhJ)q){Va5qS_x%^ zKjSMbUQ}4m z+hJ5#uWeLV&ucF#tk*Uwtmi%2A5)#{`xOW#Dy%0_VLcNSR-P$flJupG3A8E8%ku<< zWilsjIT>4~M1^HaIV!B@Rl%x?2iru2jV=t@iwf(tjS3stN>o_NoaClX5*7AC976Fe zIGTtG%aeRxp7#4j>20FIN;;GJ#uA*Aqr$Qvlf-L36YNvWBX?yKwmr)>Y-pTODpRUa z3bpgRa#UDxBvo#|$Z}NJ_AGa)$Z}LzaZxU(EVZsHDp-yRyTd%YRCXDKZR4k0VsgAB zDlBKt*Kt~u3&{j~%iAneZHG}|dDcUEIV!9aDN$iP6BYJ;7)VrDroglu6;@26qY@RC zrsb%xcRnb6V!HT&^ZKA?@5*5~ysIZ=i3d`n5R9MNxOY+hcvP6aDQHcsG$>pf9 zo+nXZnOma5mXj%=@)8x6$r2S-lEt}v$k571>tRAZi3-bn5*4#*n-TcHax4kei+hBWY_{^aZelNO7;>O(SqH%= z?NtAz6B>zsT{qx^$E16^S!p`4rr^W19=r%OP*=cGufl;}VS-(M6I|fmaP!C7^CfsD zb!uKC}f zFSDr(&=+-wa*a5s(h)?<9bvGJAlhGHGpN=PM9ZzMPDcIkBx(x@YdmdXqrL9|qwbOg~-nXMy;mdYF*L9|rn>IkBx z(ySwhmdbn`L9|p_bOg~-S)^+lP`A6KZcB6o(K2P3jv(5Xpe)x(h*n1sEzNGy5kyO6 zwT>WKDtGD#qGj)_(Gf&TWvz}NS}GfK1kqC2s3VA$$|fB_v{W|h2%@F3MMn@V%h{?U zh?Xha^!Xgq_0pdAbOh1T>{COubW(pEwxQCM9cNOIfiJdZHXaTYFlH7mfE%$qNVm;4AD}vVu+SKUxsLT`A~*vX<3G7 zsTE_0mb*n6qLsZPhG+@AR@?b0a2@>JW?C7drB;S$sny01EwwU4%YLbgA=-W5vmW>> zDiQQ?s74AD|6L$uTyV~Cbo8KR}uv`Y{zN4hzNXsMMUT52sZL`$s< z(NbFyL$uV&5G}P`gJ>T`J=fUzH%>uo4|MkD?6LC#rGC~q0@_x)=gg*aK;Aigo@qIj zU504!#l0?VAX@YL8WWwwS!SYR&N4}eR!0ym8Q83jAX-*BuOo<-{a4TtMEeAkqS;5M z%f)b&mI}{wPJ)AK9YM6LZ-Y)kw7M4O8bGuh?`CV);>jke{y!Q|b{=Y5BR|O)EOWRx z>MZ+g{4o%1dV7f0^zBQ@zI`d`TRDSA5Uu8mvl2vWeysOp=>YRu7Z5Fbqo^Z@mL*r| z2%_Z>RO=)}t0Rb(zgt+VlMt;=LbN)9X!(X>l8zu+qOuJ-f@pbX*{CCkmba5l`uti{ zG=gY_(JDc-x*3%!L9{|w0itECTLiBHL`!9f@Ku0lsVo=33J@)o6+&16qNTE05Gz2m zRMzMSqNTD{M-VNQ4MJZ5qGca!6#NPhEtRKr5~9^fh*n1sEgfvp5kyO6t7VrV+H^Y* ztr^Vy+{A3#&ov80x<7(w&Dt0#^~mZ7qUGAiX<1JZM5`l+_EDr1bp+8;snSV^R!0ym z@9**6h5OxM(k-<*f@q1o*6Acft0Rb(c_!%yqGb~sO7Uc$!UK>-orGw01knM>e)r~Mg-C7 zQB+QM*|NNjAX+&`=?J3b&wEx0nHEE|b{V3TXESMmXghBQ(V7Y^PF84fvO*C=tCJ9| zPC~TuLz#eR-@)^T5=6@>QKch@_8D|;wT>X#B~WT~1kqBd)e%I?pY^QM5k$+rtk)4l z`wV9NBppGtJc~Ez2%@FZs3VA$N|TNtS}N_wljReZ79ByfJP$819e1z$8>B23)(;RZ zl@<79?=-eRfoRj)L$s#v9!~b%!^ysjAX=S-Xmt{z)k%m}h_~Q}F6Up-vZrHA{r$M& zZ3EG=Np=~cwaXB#Z6I2)H4v?cWr)@;L$vlfJmlzzCu{l3`83-44~Zw6_V`ZRHYn{F z);mIJ`{E3jF(@rXD`QYv%K3~zX(?A{3`(1IvB)w8r6oRFpD`#c@zY5egVNHbf!`pp zESksftDw=Q9GDid@VXly(_xsxk?bHuFp^><{HldQC0MhNF-k zQ28T-sP^h<U$Z3(o&A2%F>-}P}+mwrb~poJI4Nj6rE--^&=3mh!U97JP>1apO*)w9Ia< z+4`uvu>E|DsIsiGZBSY^re8k%>NnX6gVIVXG6tpPPd(Np{*Kca{3VJ_RC zv}Z!>R}3%V)nBHTbMVsj$Q=F5`~n{K%*4BlPP1m>wNTE(69?ZmDD8KRr$q#kQ zmTgelG4wYlyfKY$gqqf^Otfy5@n=w4E`e;uptO{uAC$kDa&5+-wDdhGV^CVk4H<*d zQf|zQ$7gsO`S&Htzdup_cM|14kSPDViSi$e z$~P!&4&|c#52bsS`t#vLf37#>uc<}7e_+aglJbT``Hz_L4N7auHz=(s-=MUne1p

F->-Qb^TeREGw81&{B0$^iDc&8D^b~85x-+T z&y@2jk7qLmrKOL&*-n4Yekz*nbSveWj6rE>Q=c&?EoJQoBb4?Xy3K~ZYNA_y4HYP@Y;Zb4X}QCeQQ9M6R^bOQ%Sjdhp1Uhv{hGWz zqr4GH%d$XPe+lNj#VV^?p|q`|%C^AG9#vsK#fwPeuOjjnp|q@+rz4b>+kI9?C@r(( zb%fF$3Z;lWljeP>7?hURm3?Vg+MV}?TtaC#v+#U4NG-rY9ig=A;J}Rky{Nhnt$mDDBs1k`MP+uR+-DoLN(>@Bk%SR0*Y(xo^IvFj@*LqqGk& zb20p^s_s*&T&<#gRJntr%0(zGQ?dqRKU7l6DD8j4Q~wp=;p+Ilc)>91+_S8(PMw0; zSlMeP8umGL2W0|m;aK$!Wg=_gk%}jqN>B}umM7{$%ElI&Vo zuecFb5?u?&DQ+H>ubmJ1SjB~3x$o(a$15(#%J0x-g5pA{e4ly0C{ujEnUkAvC8D^Y zRh}>%$B$Fouqp>&xrQgmQmXVBFZhjxK{nwo{q>OpyP*r<4~DEEy(Y1xaG zy!0udwB{>TGsJ4O`R>)3qO6ghpe>=aI*HP1ewn<4(&{a636z%8dy|e(+D9>UpTmrv zhVr@@l$Mt?{Ei+_S}sxBptJ?NlIrmW?)w^o-g0ZFBb1htAG3wex%(@@kKoku7T3BN=cOVg#K_Ip|pI~Vd<4qVEB1O z{Ls_YRE|*>LCI>D%6L)oIznkl9u{?k((-5Mt8|3Y5;m;X5lTy?Mn@IkK!(xfAlmSo`^9ig;z-K-;& zmcKdQq9c@+P~j3Cp|nH@m+J_nB`>%_M<^{*R_h3*rLsmxC@qP>wK_s+2?cJ@5lTz2 zZ=;S-TC#habcE89!rQDPl$Lni79F9qWaPH$2&E+fw@pVVEm61k@;%rg?f-#pK%p4=vbj7_X9ukvG<=8INT`OkaMy4eD^sr)n8BZ3|_skUmB zmq&L!ZT^UPjmrO?Yp=p4omQ*z7j%ZUmrWS0PUX9GgErVEdseUVEx5T4hS?;_CgCj| zHnU*3O**VWO+LybWoHnuRa60$lY&E1P(H$H;n@2fQ%~9Ut z%MVc_=`tp9+LaEh;sH+AvMtQ+=TXk5QbA8ZuHs_i3zEL_mL%-rZ90}jI4t|m6P#o>U>0D02(NlPo6V@D! zZ0F#B{02QIxk(X}mX{02bQLpkVw8o=Quuyml?irHRyj zk&Eeq>(#g@ms6HnXGF*TjCr`McbsRJ%I*voeYhjE^;0e}IbKJ@Ql=AES=%hUbwbrH zpiAx@W)<<9!e(@N)ccO{%l2{N?u(R!FrwcAShxXUH-iFJ76a=zr$IJK08+p5VD?M}-}DSQi3PU%Y(wGDBVf=854VwAQguC~k* z`ipGyUMoUr`5@iX5lTxXt0R<_N*Sg7FL+A}N}KlREbXx_0cQl??aK4HPFBFnLcTeU z^t7O~0YO>*kB=r%+WAQ6d=5T(oP_z54VoQtvF4gBLTSx4+r^ULp=erHT$)1pFFFip zgvR0s77ChQ5uvotKP;`XSl99C}XaG=JPE}7g!we`b7edxl{wprw*u2$;)^Zwa z6;+o0(jJRQdyirGIy1mZjMA=wqT5AjzmAlO=?bMSa^J_kkoH*h&WzQP_LvQKtvtY( zCr`y?F`x$Y8cF;3zTMO}D@W{=J`;Ki0S{ z@LX4ij}FYXS~GI2tK`0-MsLJ*pKFU!s~I`gbw#Puj2!EB5~W_hj*fIYi!wn`JyIE0YYetTByQy1{GDmxuH12L{ER<&58B@aD zT^$GIV$I00ZeD!@-Y(GyJhR8#V<+_5(P*GNBMvird ziLzQVa;#e|${Nkcu^pIg2WIPX8{>Q59v5S$^MY{_DsH#wQ?1|&4tHs66XjU7o%s#o zbUU$X&H3*Nn_pGcznP+g5YJro0snZ zvmLnijxk%Nt^NNPv#mz^s{h59?MV2nk1<{aHM_AHVtnvW=q#GX4@4?jM-Kduq8P8Jz1X<+^^t) zTGq+0!hLaYk~Kx=Rx#TN7lG228(?=oh~mbH)BPR4Thl$dRzLc5IFG9YNa zgxP+8=MU{-w&Yr4%$CncV$7CGjM+YgH(LJ=%yu)!x?RlncSwmbTPiDd5wlJ7-M;{{ z<@_slV75`LTKgY(D&^1QvrOBBt)2RE#>Nr30uqR*JMoCTFRd(yotKiTDA#W%L+QILRta~q&+?&OiS3>w8yZu z?Xb4}ahi)*TZ$#tmU4-;rQ9BC%Ws^QSla_2x5L`fL>?THwS7=(mSAl^kJJ)t%hT=0 zU~Oq$Vr?mZ6l=>n^%84Kd1tIGqv;B3`zC~__9fPKD9-(#l(nV1h_xL9Hzn5gT)H%@ z?WGXgV{LiG0fLmttyo)TO?wC6Gh%J8hbRxr?}Q*#H>_ylC^!r zl=-I{Sf*iZO_|%Xwx-POS=&UJZ<{hdg0&rivdG&0Id13QOqqtYrCefd>954vQZBK! zlxt(w_BJ>Y*7h)5ZiJfutD--rWCgJO8O&f%PYx`$=WhY%-Yt;m1xA;Qc1A3G%W4TLm`(~+h4PA zvbI;?;KyZck4GM1ZSRgVw`Fawr3qQvHz0gm*7p0%OxAWppHk&MA#3|rcoNq3WZd?Y zSX)L^FR`|aiC$uDKcF13wmjLCSX-VnN~|q6{1R)+&9}tbaw9CUw%j~QtSuLQiM8c| zEU~s+C?(dGQ@q65aziVzw%o8vtSu*BiM73ma>UyHlybz{9*xG9SX&NjiM8b@l~`L2 zM~Ss%@5Zd{!B9%9?Rn^|n6>2%V~Mq8FUG7bZ!1@nSX)}ftSy!HS=)PYy|ZE0S=%$< zXs4`g1>w>+(s+Ug)|U5oAI;kG`2_gS;kbI+8Eg9no?Nug+H$XnS=%>Z);?>?mDet7 z`wP~&#M=IybtW}X3R_!ZZF$q3WNil&cY(E~x0tp426Ft%SX&mqL)NyTKT2wkwQWK| z%-Sx160^2cV%Bygl$f=>4@%71ZiEuEwp3!)mS!<)`vR1hwPi}o+P)1XW^KC<;G^D% zwH*Q_W^Jj&tnDFCV%CCu%C^2i>2|!xR+8zZZW^Ly}iCNnfP^_4>rB-HbH$W@1 zw$DS$$E+>2V$9mU0j(-#Z54>7>X^0N4O&gi+Kz=*8?&~jL#vBf+lA2TW7c*xv`H~* zy9HW9%-Zh3r-dswOzy{PP-Co%h1bxI97QL5#L6tS#S? z^p#g7X^+b`?fr&He5SxTTZU=-Ml{<8rDRUraxyaZ!~x03SzIb;ztTZYU{j|gVpxZx zeK?)VN$_+Uk8;AAqw6qlaP$Hk5X6y_+@vH~+pC$06Wb)MN1j%!?R`w=Tu-F)u}dq~ z_GdKW8ZexmIN`P5_N?t+Y5AocSxQ3rL&|CWfd`QcjHu>+F%OsZj`QqN*%`R#!yTcmpK^&wpz>H)%5>to zYMX_(PN>?&bjiKLtfK8$+gq5<{lnzvQbVpE=o^sQ0~Uxk!Y`cg%0`C9{cLIrw$MheQwfz;ml~`Lki&$IE2)^Kz=W`Kj%h$(|9?dVLQ@JRYg=8U9e)uk?fqTyjFB#TR6d_& zo+ls{adFs|wH=IOF>8AkloD%u3FKX1Z5P4}tSzm#XKm>(?Xd`iOvUhZUJNm2Z6AQr zK5P3;q_k#jS@q8RA;PrBY+≀3a%?>wzWOeXlQ|wgdBkUR571)V7qXy8cF_4I9X5mM)n$Xf4$}xM-cF zE%OGoQ0>p#0%vLSyuk!>??Dl1r}*EUU}ITBp1u{w@NaLRbo}=nyz3Y`-BLqXS7+(k zdBZm0h#Gofgu657? zUR_FT;Mq7zX!(gX#vLDs@ZTA~L!EX2Et{agrAWVHVV&}(bI%Nt=9^ULhDm|vz6^UG9Xewj-9{4(pd zK|h|xSdCEMM>&sK{<6-{zS*US6`yxku#uS~@P5%jOUJ#1PO=!i z({L>1ZGje?f)7?(W-gC%H09`%rK8=gY#Jqn2eR;k6px47NN?8~`F6N)4;HywT?%2T zFJqbA4#5WAsYOKo*lDMQB3^nlcDi1yNN^H924Z*XZaMw%r`vN(>dhkoNAL3xq*lu6 zW}*DljiYGw)9w&g&_OpJ^F6il4vIDnZV`i@)8K9~=t_foXkaf#ZM z2s56byo>1)JT7wsPf&(2ZKG&-f)e6<89X7Dc!IK=mQM=eizg^!vA%+*>|bEdI}&kj zxp6%$mUy1>Ha5TDCpLf09nVwxaP~bT+KDQE5|&=@tdxMKEBDcIlceG43gcP@KNW2T zo~KaznP_;{@;b|VUbNXN|1h?K;04j}=iDLs(+Zx9PrnW7P4NUF5I5sdf zYX`A`c`D1=`E4A{w{2SH?flW~vv))*#<799Ta;r1%ia;k25t>4Gpz&bhX;0pQXP}acLiyW@xydI6~``{`G3|iC-0TV2C-o}PerT+~7!4mOS ztylDbvean>Epu_SiVd9Factm31z(CPcr~&IpDZ>oOWuXpzoQIF1dxb7(oWP<<3^_UwXDEM$h}(GdO#UeC|1M}5H{Y0?DUV%~ z5@y7`vT;aE%QPxhjS>}HG0fO6wmT7Mp`!)fBnX-cb!5z>t0tEj@K+BxoZ2|x5G>CLN&$lZwa_xFTnZ5UF`;F43<3lXD1I7fnN5oW1XGCv1TVqucD58C z_&Po}DFq0=1xmgYAehC+&@!u(3=o`zmgQl7DL`-swES&F?6U(}zJL$I_p<*3pk=TN z{c#>`11+b#9YV_~uMM=E^4f!zQ*EK;lqb+K7gHHpP6@P}Y6C6P#!$Ypyi#6kXgN;C zy<9uca?0Bgv>aU+vK+BZdgO*cX8)*3#tazDpOnGgfWl84)l)kZq zHk6@d7G#omdE6FSPI+Z$xjoA=Y$=kI)A|F25x>HPStS}P(6TrxqoP8b#+hJQhL+p2 z+@&JR(6YEFH?1tiB^uB1mU-4gkCmZi z$uH1y%0SCJ6$!M=6quHwWigG83bahqGPImB&@v4KRTlI1pyiZ6%PE1DQ*EGS$s_|D z6(rCyWr3C@xeP6*yw=ciIXTMR8d{cQaV{S+wDQq>c5(HdHoEYa@N8d{E1478jQXc>WdKyZ7|a!R0Oyk+je z1`t|Kd3=DJ@;LcZok&0xhRL6||i4VrV%f&~mB_ zEe{-nHxFt&AEbZbe!OnLzdi6ef&bL?uOn^H>>>^R@?bM*(T4`E9)0$G@THlV-#} z{yGo;ePd7w=$&kM-9~HZ)ep3`c{sM2Vr&|$p?7`P*c=)8u~E!0{wAwBjT6*ax_n;s zQ<2Tk$i{%fXBZ!KsLcBh8YfotXHPm2qIs4vs)fz>THB0`ZN5SqqSe;Wkq;ZAd{olR z*l2-qG|3uz^m=16EV8*ewz=Hc)LTQxZ!k8c-dP*lEHj0znP*j>^@uS#-2AbI@h4){ z!)Ab1&l|?)3~IemSIom=osN2P7zEesW;q=5eNMxN8oBOX-~UR+P$}b_C5hwW4wdM&(-8rI-?~^-v2Ioq@NP!X@GD*^ik94a5e> ze`EfD+&h4`l|ix%!`&{KJq8|HliB3wOSm`Xh|+XThomzE-Uo4p;u+aelxTSNnIbIJsNhSd(>Z4Ts4 z;cYsI;B8LV?cr@PFz~iaZ3A!5f^7tEH&8V2_CksV-oB2afwv{w{{XygmZ8Ag2zrGr zz-tR{cSM{fRdAx-giR_~C8%zLUdGp!-xg)6wg}bUBub-xirn1IqRh~L=mzB$QJVDa zRBjbzww_3EbhRjR^f;VLg4;xytKY^T1hlHogmttKN@>w@uamRq!^wwGVG|akPTB)4K?7n+jfy?4J5hogxZ=7CcQ5`4f?hw3ODX>( zL9ahGv28z#2UPyK^xF|}dJMMHe>=S05pnwC!P~r=@iIGrx0#5CQymef@#yYCKL7SI z>+wz{oR@^RqfY9GINhTMx~2o(7BqiNE&Aer1-#9mUY`WsX1)%1`?Gj69R8Ev`Kj%Q zIE@)$=?LDI^OEKp(y+hc{oBsq?T(1k=%zyz&rtZ$=Wgdg8>2el?f*G=o41u65vTX& zTjV!zuM-S%dH+-|vEzdwZb!uF&#Ml2y93_d3#avth||1ZVPWqG+dstJ0ecw zH9=oNY=!leK8Zgtc{(CaW0sWR?T(1k$;r_g-sWwC`JRK!Cs|`Vhqu#SYk0ejhxXv@ zwAUKmmMronKkc=Kx8szKh|?IDik-mQ|GOhj4}1Xc4OD^fc3ZSnU4PCXu)6$Z7FFPH zJ=jYp8Fsr5BNN$6$D63q@=X_Vyb@HpA7>tTS_5tu4Y<8_-eAF=_b?=KqoBN(z-mnpGPw;P}D}Sube?+nWZ-Kb> z^Qfz{j4&dY+{f|9X4FY%Esx;$E$~^wb19eb+%wRycJSO6U{bs< z2Jq+n17d{dviJzk717|iA|~)$7t6U8{}Eex1pY*^m3gGgW0-+igvEiJsTzuz*@X+% z%KQb#Q<-NVdWdU^KbOKnS`h_c_HJYz3Bc^FV9SN!^;U8+3&ZQ(A_fe=?A^~S+bGg)xUonIXeFX9}<9BZ~Zkz24L2I z*b4C@12FsL0L=cie59B2g6q%2#*x_vtqyMBAuH2|@{N>TtA`hS;~E(3wv`936ER0| z#TU8XxSlY;aC7te*v+j8H>+betA0&4XE5(g;${HF+rC9NUH%EVI=FUU^waLFkF`79 zd70nP<_;dtW`08XPRjYr&6Mw=?_y>h<+~{l$Ye2)!9BF8vH~7D1Y$IJJ*vBoodI>X zzhS5EdX!Y>2ITE}v>fFRUv@oaUluOYbZ#lF1i zH{rAA#duiih2xy{PSp2KJFJU%=pTxc^6nMC3Y zudwqK$elN>0zh90oO#tW2c%}~{0GE{YVqr?iX z*YBi|vO*k8($AnC;Yv{q?HsNW#n8^-w?#3ub9j>|hIS5b7RAuc;Vq&V+Bv*c6hk|Q zt3@%ib9kF5hIS5b7sb%d;T@tF+Bv*a6hk|QcZp(X=kRV(4DB4=BZ{G&!!>qKw8YTP z;k~wlzBaUTc%PWvq)){f4DT0ZwLad5@*Pp`)Jr%D4@mE<(Km8@zAMUFJ&vRBpeP%3 znhw^AvQeK5Fg*O8D4X=}I6mJOWwZWz4$4EKY|+=Uuh&UATlF1$_4%-*Y}4m6&w5FD zPe0lX$`3^Oud#7OhGZ-i7C|6so$wP}N~6RCR%&s>3J5vQ`zA)AC92S*HpN zRUJNMk3vC5ssck*hfj-Ty(%zNb@&tM_(`ZWXWuiTou~>7RUMW>RTmhlI@}~_jjF&< z)!|P?o1qE}RUQ6Jv?f(xsOs=}(PpaxLsf?_h&D$R7^*saNwm4Dz);oUZ|uWRvu0Ib zsOoTweFC%=RbZ&<@VEBWSXWC_fnTT%UzQdvSB0T0?-d!66{>K`snC9B&mV|$uPQ8} z<*SmmMiq`{i(ZqowW{zO(|#{$8&rWma20N~dAixC3ZLW1zAoA(Rp>bx+8Z{{eVfr| z9F;$cwnY`@uy_9?+E#dB+Mh++rV2>1!oP_2o+_Nf7Ht#FvJ0~~(r-%7dv-y7`}8fF z7YSLrz);oU+hUow3k+2qz9U-EEQFh{Wj#HvJVvI{qGE)8+G z+-KW```LzJPCc|acA=VHsvYjM&356-?3aB+n{OBHVd?vd)?yd_$ll#gv_*E|L$+wR zXiMzEuh?fJL|bMT`m2unU{%rAD;XcHuzI&ruHN#2UNs#wpMa zbgn^9thEaZ*`m)nw?Nx!_nFyrjujs4)L{}?j%6>z29zB%0+mR6qw(3Z1qWKf3C^of z%volllQ_#vbmk+Yp`F9yoKBd1o`iS~k9WR{60-WNz9{ZQsdQdnz&f8K{by+B@Dztv zdxmxnr_0z?X{qo`XB`|=YpHLO)VD#u#i5!lC&@XwmYdyN$MhBtw?tJZ?Hpe2@HA>dRfkuIe-o-YTqJ#JLRE)XIftSJCRBB}*f|c0 z2~`~~5pRZe4wpLoA)*@dW4z0p$I-%wcFqo2irS>TYw_8$3CFjEzjD5SS!F8tQnG?C zMHRdnX5kiRH3r(#y(d8Vt@AY~SrZWIW$A#tex?>FuZU9A1x~-;Ny&zG4qufasMgZl z*QB{MdLZ}PZO+ko-oISb1u*kle5rwJ2-!rOe}tvR3bj0>VHPLpz6E zU9Lw%JBL|O4DB3-E@z3Mox_~e+JveOdx(QAdN%uDH&M1)_Pv-b*`YkOq`fKl?7apD zs+@2icO5#;4Ca1rVxjEknuQ|WKf*P$P1eRpsYh0?;_g-B@}kSo&fx(r*Hd2eE7Rd< zm#f*(&f$Tg7}`0kb>By`t2Ms@93JeBL-*Hci~HSSQr%j8JvWZS-II_~r_bPg|D5Eh z*FR^T&r6<3n%_|lkCHqMdL=iMquqg+$c=g>Q^rZ#n)KW$P>yw{z}p85Q+B$_jS)UW!-(XC#PGJ@2-AV3q&~i>;EGy9F2d(@mkTYcM^QOl zT9%g|@C|3UJVTmL)nSwS(C%E;Le-t^vgB&ZJ{?WVRv(KpWeZ4qUxL_~de7-dS{+{L zF2q_e6OrAdF4lXA5vbIf*jyUXp&gsKkja`#1bS~NQ;T;uW_Z9-Lt z_qv}&%5t6O+50|GR#^>HLYAw40#b<9|1szra-!Dckd>;j4lJ?Ba^U?5Z4?GahTfR94i3V}* zUU30(W=_TkcJEKo%G`j4cOO7GpW*M`b+4pcow);_-G_`tsdbr|I6HP9$`x0iInakZ z>}tr9G7n?$x>wVt!3t{ehbHnU!A3noC~1$WHlH@+hTnt(T}ET<=j2B69Gf{F_08?i zb!OsI=W5svPk!+)cL3#Vh6^hJDhS8YWNMLNPOzCFpO&7<@-p?=f<|+P=Ly(UeVm>fAA`zKKtrtEU{rr_PO|JNtGFPwvF;bxpWj+Yr(t1pxLalZAFE=qgMn)a^7r#%`&n49)4#NcP>#m?BurRrU++=|@v z^Py%go&u9IBrD@n=Vq897@sihVcaZ%CS1=0$MPn}C3&bfImuISKH{8Q>A=CXsC$kqHb z9Tjtz@VYNk%Q<-I6DZEar_L?lVH2M^C(AKwCY~%uR*8de-*pbME$jhPcv`fap1z2d z<*vFC{;bS>SOU4l2~SJRv}NFv+)~PU%l^}8P`-9DeN^SXzLh?RA?L1TzR1UN<6{oz z+Bb}kyRr4_{&HHq@?O_YCMqWt?4<@4EMsr&~L<$pI({)18ZX|{juIVczHf2a|c1*ShA zPW0z`Q~sJ-82!MM|0LxNiSi#Y<)6W^_@OEP688V2ru9Bh4M%q^8 z{wv!Xer0~WU~FYh{gQQvX6=iVvzaHb3Uj~W*c-$-_iG-olk!< z?_P<@=8E_o^BKfB_bQK@_|&=A=p%2o)8Dh7ie}DirCgJdzImNC^%?1#KTw`z*}ps= zPT%N_vQXxq-izDt7gMIplWm+Qo~g^5rc9al|D7oFtwfn`n=)mb-Z5p$IK3N{x##I9 z?XQbj=A_*FjE-!4|IL&sefS||FC+8e@AQ|=$b9$*WWshQRcg5>olecG-m+`4{y`(Hp&&4%HuD4ia zHBZ60f|8poY->EDRNVJv9P6Wg&$?9Q`YGPN7=IO!KVG`$_7KIyr_Lo2=iC6vV&YTh zD%C3FFT(lbXm?*K{dmpak5?`I=)1H#w?LNo)VaOY{*d>`=LV@)A?Tx6-jJwpnd-^- z)VX1b=l3jvPk#k=)#^LU?B(`V9v-}y%)?D)6Q4RaLcMAH@2?JnNw0HeO|fzZDA}TV zO+9Bet}0}dJULT{|KW@hDho~_5K@suCpDf&polTGF5 zQy?Fuc+#kRg!0jf8-C^Ul#fx|d@Juc6>`1eMp)UEYkr*K=27_?*W$5?3%_z-u95MI z3$pS%w3(o|P%7VN-Y?1&A8_X6CafUE4XyG7?nTEbZdjEAIDt-($ydo=FUy^%US!_& zoJ1$7LFnhoRjkj+YBl8<9HIsp*2)q_{Gss_W2G z6^G&;Ja?M3=J#WBr%Nwd60$gVhT^@XL7a0l#A>zNiRI1|WsUso zc~aN1k_JwxH~=uPCnGjQWQC z4pbMya4(5Lx7QIkPpZ9sfX=Y@`W+hOSQXFWOcxGQ^w#q_bVpSIIZ<;!}qsMKSTI!~NACxUwZaby%a`fnwrQhX*JNu1$REaFi5p;!}sCWvm8R zy|2P%wnBvmsqYVf^VhKlhP8@MIV_1!9e!Rti3IdDmRER;+5#o3T`J>6$?JdDN0weub;t038$+)pj7J#xBv*x5v4|7MrDqe;d!D9P#pN`d3U@sxE`ls8gpxStd%8?uRuJUaPK0${c+Y+p}C; zH|s1NT&EsFN{b%IJl|43g|b9*?S(7V@1ZQ$_tW(%)p<{@46elR+md49Q-?Q+vPRd^ z>{hiW%+_iy#_%>Z7Rm9C}@JTgjFT|A5 z+@-?j)yYt{YHmm2Z`7?&wrTE1;h)s=P~I!_pzHV42T&|k`1NFHAByIw!b&>#>|RwE zA=QW5WSFt5q2*O!4AXqkimLE=_I@|}Fr-zf!ZX+-!XEYs(5h8I9@_P^o1oRG!tc5E zD(st~)v5yHQ-^!mk3p+bg>K!T4Ypr_R<8;z?Dk={y*GwR6+$*=xIGG5gDNbbcBnlU zTB9nQL2bOf9$J$syvUk0*lf`pyjf$5ris?93fx-58TOm7Y*B@m9cXjx%s_cVc01Nj zIM=R*wp>|#IZ69*my^>bb{$UVzMQT7xI>kWZsJkSRCAQuT0edu!)r|9ye%D2ko$7( zmTh6yjYm0EOD?M*SK$KEs^dX^z>3Q@?H#}*ZiAe&{b*xc$?{5j<4eh$#pPsVJg1bx zSzIdU%F;njVB;uxZ@UUd`*J#$li=wQ9_73?M;}KWaFnQR6=hCxlkzR>8vS@Vh~z&p z6DPJwdIAUg@w8t`*GTHixt>U8)_!6cIT=hNt^v7nF-g4kGdJX79$Ai~<=P!tN<#S~ z&M1{B)u=}5{Z%^R;xa`_mD?}!F`QcjOf^XVBVL@ zddGQosq9nfhdV-BKjjjW<8>D-Wgc>swavm?CsgfMbjiKLtRg<%Fr&+}9?}83Sbe#h znEYI7I9kMkDxR0Pmq-c@j-)BK6Jz=~l5uow$&_1*xW>WBJV=9Y#O5O1NL6O{KXC$s z;oB&-VZdHlosG6^rlDT&!oJ$EZ zwDQq0j>GY zIMUPJ*~k$*jU)UYALV0ciBBEQb6!D0=bz!D=Si^5hRsd}*L>!h?IL+m6J4`iED0Wp zrgg=oDOBu5hi_rgSY%0iaurC#Iqd0Yb@D0#+6mvNn> zy}g;|HxR!9VebPW%(JbE4|sB$?JmHvo|KE>x$Z_N`nE1eIM4kxWJ~i&XE;Y*teE)J z;rT8XLe^Yxe#LzSW_hbGt>rY$sY7{90Lpqulcf$D4s&~HJx1*{n9!GubZ9xOC|>ZPYSupJ z5!c%LO7x{cr|ZykqSMoUV%*P;+`sh~cr(F@Z4<26c2nQN#f@zKTeHZbdFAZOmd zfN%ERdECbA=`{hBe3y;1bayO7?{D`*^z?pM*xrYfv-)gQ$oo6xy#5*-c>kbW)F+`O z-ajc<`S+q8o~5W%`(M{klqfa+M)Zkii&E<^L7AQ_N}c~a&VODfQR@BIk<;re$|V0P z*2Gi)gmr_z+W;tCR3~&+qyHG|r4=jO(Okyvo4f62Ia^CS~*#?h-(D`B?8@8v@oqBw@j{R%2WMOooj zQ5h!6YJV7&YEjnsBdP4GI6Q0pVWXhzCw1H4KhBDdP!%ZWF)LWs8QM29MGQmUTfs)^ zBiKnRDO!3w-K=7BJw3S*pSPTe!&!95GweO@2n<12P9;`5dk6#`(Jrp%UEXjLoll877@u}rx%Jy7Q0I?R;248D1Rv| zb5?o*Gd#zn-aOKk!+$RXsg(k)jq)=$uB6pZF$kFzbkN<$TF9*AtnO~p;1)3;W}UfP z42W50?xBHWcUI|f(6a7){BbTpJ^j58 zKree9Uo-a*sy7(t90z4LP`$wy9MgLasa}8JbR6%*M9-Uv&)p5x8!WUL6wTj^;)5&f z`>`Y~pHwdtZaA)gH9mtY?eB05{pZl4;40gJgRD=gH&`r6&L`Cye9dM7d7o5oaJ9|V zTJ&E)mj}y48Q@=peh99SJXJoa-r#zhy)xJzgq*>*?B}rrs(n(u!3{PiTb=LYP;jFt z^**WIV1+oCQoX@< zMOo{U>J1(gWrI(uH&`pmMxRt~@I6sB`J{S-?~Ah8C)FD~B+3?_RBy0O%Gv6Z>J1*2 zlx_a`%(Grn-t$TI20swx!#t_pV1xYwSX(MjsyBEW8$Qs@?2(!Va#4BsywOQ;K!m>srGX{fPvRo`hO+_B|uoi7HR@DtJ~(Xi#}l zy}>3)YgBo@mkxd^+6DZ+8mW9)f>Dd+FX?<)f@cA z-h!Gnt30XRV2k}Ov=)^o)f@cQ9*Uk=qVlAAgO{a6%T=CKZ}5uLY=z2`>J5Hp_uHFP zZ=O_d@T#P(QF&6m!E2JXR^^{#+V3T8gUa`=gtpbbADy*P+Rl^e4gN0sN;!rxEz2>Csny0YjH#7l7|X6> z=SlSjd1nxO*4uefy+I$*CfRvXy+P682GJ9c4t;Noh>J9c2ZIPWP)f)^K zZHb*H)f&5{K;y@t-yASR{R#_eT#w%2f`(nOXGt3MW|XybYzw|1*bdiFm8_S8)9;bbqdv@06JHFs$ z>43cdOf8gGL@D~DdV}9d$yNS-ocpiJ5LElp+}EVJHU2>Ex7(c8vAxv#qJ9$ptVIhOd{Vu^-<@W(y3r@q8z@(v>-y)jI1^ zGNP>Z$$SM`lr=u7-oO`St-mK1Paw($KZ;?@^|;ZGVi;3-+E2zX_Q$gia#HKfzQtzu z5C>cQ*;IBDWvk_o>h*`dhhttlF^tV%?&l^J%6{%_G|@HPAH^^>Yh$F#dSrc4y+Mu3 zy(MSX(*Z8mQ{E@l8;o}EM@rG>xATGnMXBn3LCK!ih|eBE^#)U2u0+$((~=!ME!okHu36Tzi|{$!fumkxN?s2=W#YSxnIO`sPYMt1!ub~x!Q6__4?I+hLUE%p7%aJJ5lc;RBv#l z%agmQ(Bfo;7AGsTB&yJK?qf?`iDB#~V;K8U4CB9G+bqQ}=9H-NU*;HG>uyBnR{NxS zgKxV08cdB(syDb!lvQ%RAKupHy#f ziztmgsovmLQJQ>Gy}@cx=9um5c9+{(v+uF5?s9*^vGz&z25a0ik+Q^e+`aA#NLlU^ zJ`C;?WrgLC>h<>-hK};Q5%}yORB!N*EI8A54=4NX;bh;fkNS>OZ}0=jlJ!aT1{+-7 z4CZ`Ny}={yaZvI;5xn4sGXIK}GadEt_v1=)c)R5f=k<$o1akW$7}Lrj)$7-YNUGOA zKx|3%`lCc7)$5NI15&;Ifg-Nsyf{ciQoa7cZ=w&Jv$3!Ghlyyxxj$Askm~i16p>V~ zf0Se+)$1Q4BB@?~oEQve&5jq*#4r|-PpV7|W5>iWmZPM4{nO+QlvJ;Oh8!i;>(3C8 zRIh)g6v3D8{%ju2OhGo!|LXIowtVfvpUeH((#`nrFW~m|rij^B=U+S@CYn^Qf61ZZliR#MpS!##-`(&prJR+oZuko* z=jEFl{$-Sl^2H6mg>sdAZ^K_mxmrTe`2-3a_D*TFW8T>)*f%rdb8g zV=m8Q*La?}d43&B)*;o~Rk*;+Vx%=@EAp82npAJLKSfL5fQDxWP|j;oz1d32)%p$; zksZ<(!(OLJ^=5~XSE|>fdb7iZLY|~a^=7MS(_nS&jz2V!#|}2?ai`RbsSc^$aQHz; z%?Q;Sj^sI3lj;rkzZ2Dn@Xs1)hv7mGpqw?h{3x2|&9h&Ti<(q#SUVp!Rr*=9Av}00 zT2(E)Rd^_G&uav?3dc~c*Q9#G!|1b7lj;o*r`&{k4o7-dDz8C^YM=Q&^n5tBKXPgL zPUKNs^p=)y=^ahk)f3pNV_1DppMs?l)>F<}&O`VUj-xw=RBw3f0dSKMsyCc)I$e_L z4JUpDpV71KNyZH~DU{Sv_wkJ{?lM;WYjkvd3^~THu03uY`!Qki{>qQB*$pB z?0C8cV;O##@-n>zpW%7jvX@&u)@e-UprDxDT&?v{uOZ3uN%aPOMe%%6y+ObK!`_>R zNl~4B|8=TrpoXsMK1G2x(hNNaabz78bVQBgnlWy;4=Ty1sHhl6C6UB!#3e&S7DdHq z6kHH@T#}HuVNl~PNlc>A7>q_qj1l7+#rXSv@4LFY2Jm_E{GRuZ_j)h9E{k)Y2#jV;9`8{e4YhWz46&{7NKGI91c|wKE$3n z_c~-jPw}4y$rA)D*vc z4{5=bESLINpgyQ>jIUB3RQ1L`6d&5Ms~Ijk9#y^ZHD86F!PDdGJUqtg*)7VP-Sz5^ zs^0hpE&&_%#(isiBg0L)G;U(JHSFLVT}mRe?;r2S~UKJ)=#x)yh7`zay5Qb>!)%xek`q@ zs^0kV*{t8jPmW>z1L)&vt-qDi^cikhf#Xrt8$X*z9^_qlL^bcPGkJfL=B27PeqlWG zHpRccjd^8$y`*{h7!?13ZAfSB%M9C)s^0h&j(st_om=4_8IO5FRd4+2arD;_zcv`R zPde{jPwVE2c!T8vt>-U{x1r3tH|Zn&4dq+xr?SqO)eN_U(l>u)o(ZA!&EFVqcRZ?k zy&eNSL>8{vWD{{(6+p%b;`W|XQs~gGj)ETb;>w>sCCLXeU#Sul@n3t z$HP(A;P&`muUF6WGp$qVU(0Y1%6#~o{%k1o;ol6W>){KATdUyWYvE`}TkM(fxXFxU zgzJpBl!wr`mtp=OqN+FUEk-%wB`^*Qd$lDx7RR_=K1RSTRlRW^^Df=mI5H>FEuWp^ zg6xU7MdL+Hzon$A-Z(aUBAcjs<9V-~Fwx~_0 z>V-~F=Du98pc7onlT%f1yra2`h0F0*&G12$#{cZg2B0!=j$s$M9k!s@`~C!;6NfdgJ{J zH+)g`LZ-;gS5&?61jCI`RK4*5hMR||dgFr(7rv-^p=9KO6jg70h~YvJRd0N#OmR{5 z#_fh1ny7jqcI1X7s^0hrnS7$^jgK_n!PtqaH=bl3XIPYl-!!}7WJT2*cgV1csu$p5 zj*_T)bnf=&b&t>W!xuJ|)T%V|=3Yq9dPs;*-o` z%!!5aBo@wW^gWtT-%^~R@|#aLv^9@Mii2%;*l(olw==_jKOUBnUZu<%Y;}``{L4=S}c2s=ugu^8@9fE~2V8 zKSPvw1^sCx6m%u{T$sCx6~ z`2U0)Ngy^rTTJoE?nn#kg*!- zY^ti>{LbbO+*?Le_2yd*-*Pz7qGMou-5iSq^fitB&6zN4L{)G8U@?jjRlWI%<_e^g zBdU7y$C#U8G(}YP=1(vW!f1}D>dl`nMoUChZ+^OD!}~-`q5L`KIb>^#uoRs91(Gr$ zqN+DP)BF`F?GaVI`8g&S%J+#>_2%c9Auy&yRQ2ZPi_sZT)tkT4Y=@NT5mmkU1>(9Z zqN+E4wK)_i-O+HC`H`6lV{XK?mtSONz*rDb)tkTGEP}BxqN+E4gQP5pD6r4pD8|x= zs^0v~<`HCD7E#rkzr|1)x;)}elwWG>Fs=-$dhwVHHi0s@{AgR@oH4&ff3qu0mRqDN@y&@9%yDtJxIgrQHBGw*@Z0 zrbtz9zR_)k)mjA?Q_9$8id6OHN4n?0nqZ1l_2$R8KZ4bc?{hqzvF>kSb(kVmz4_hT zO`9-Srbtz9{$O_^tWHy;syE-^@{FeA%NozPnVZ5WHJS<}9uyqhKBp zQjoK_Qc+VSk`q`R@lK?2W3Urq2XQ*rlHln`#&TY3?Cod+VoyUv6T_V3n$m*NY*?$w z-7Lh3tx3GdH}JAwNxzxtqJoybm2?(usD@C-FPVvJKpLn?y!X=wa><@n_jl$z=*x0S zLhVp3D2=JKs5e>{1e?IeATBPgQkuNsD!<8`TzMPJS*uM#8?JIDU2wgsi&{OkP|t#B z;4>`4W&P!4{-e4bxERD8VO>A98nffohMY2;xXRWo!bc}G?F_o)-l40AuQzn`dDTPu z)lBDZqUE{N5PLr&n(jrAdx@kV@>k5t?L@PtbMDPbR&Fig8d=vek{QM_l7R*Zji)KI zn=dMn@ik1(AeaLq2x274>2M>`LfM6|CzI)lJCi2!jeA4tiHc|`&8;evoHp}i5f@8-`-1x8f$ z=4XibB8aH!&7UuZji~C)Um!-R>djy1{tDh8n3JKVvmjtw3Y-!A3N7#F>YRe-JC1xa z-W4sWL#_}d3dbV{|4(z_vovBw)tf)pI|m7MC*#mxRK58wZ!rwLXFE^6)u#7sGbAB^ zQ|1;`Z~kR(>rhS>F^ET{6>#xN#K`MI-e^k2O~^5<%zPK&<|hdLDP{290b#C_AfS#~ zByRnOL)FVusHl4L)BHxn^%qrd{@eaBFe0jY^Jn-JIXe+mz4_@rr)m&&c`&}~^ZH`- zhVy&=ImlLY1~Io>#u}I^gNK^w4^OXhd3FKg;LWtRP_1>wbYl5U^MfP-I>A8ytp@8I3!W602QT&uXq2aa0`>`CvjIUDK5oj28S-WbV`413H$T`HPA3)N6G`-fCC%bR!p z_vCvh(bk5;i+FYKc;B(SIEML zxOllu39J7Rt8j9Zu=<~^K(ImN7QPI||2eYoRq$3N3lo^TVX`oLuu2xDQ6&r0sFHMmAcH`IqpKy@5Boy2a3Es2J6wik+b@W2I=|{B0}YOr$YKYlD7v1XUuRn-gYBQ?j20*~*!F-1+c(_3 za5J~W2sGICfCk$)C2g5PgKZCJuwCu0z<@oW&|v#lu~sTH*uL%Zvi71vgYDnNTBXon z`;J(v6&h^+A=VmGf-lFuE7m6p4Yq5+X+pZ&qlq?Eww8J)pt12Q=9BfCk$h&|q8i4uQ`J3Jtb{#A*lsOW z3Jtc~h?PQv?O3tqDm2)R6Dx%V+ik^KpwM8uoyU2WLW6CKSSd8vj`uhxmMS#Z?&KYT zo>->PVEa|?Bv>gl*zV#*!;m$F1{X$r%3F%S!NZqAgY6;StuXW|bC{R8%4DIzcB1zb z-f1W_*dFeki5e6dY>$*iD>T?Y&)gB3Jtc?mzO8 ztJwx-p}}^QcQOWAp~3bw?=Tpu)v#G;u+2h)?Hf|FLWAvJWC#=*Y~PgARcNqX<0beO z*DBCp`@WpJLWAvx-fZk!3JtcOc+~zVG}wOT{TXMd&|v$y$3MfZ&|qu))kslju=RZ| z4uuBWI)4|mTA{(Vm%k4Tg$CO!G}vaL!8QvGwpnPf%|e5LI~m2PF3@0nC843vV4H;o z+k(&asL)_*#ZYLljeX7%g$CPx(rSeU+blHL_J9T#Mi0Tj;m=m#m_mc?Rz4+yI+)w| znT3*t2HSDI&NfQ#?Y7bmg$CO!G}vzMb3G|E*zVwSH7hjO?j(jngKew-5V9#W*zV$Q zGJ;dj!Ip2c&|tf#KLjZX4Yqqp8HEPhuS*$)2HPw&*zV`QyCp}K@?v{{oSQ;}?LmGM zyeTx;9wM$48f*{qMZQC?h_##n_07tVCBc?dMPa2EGxC)b5T;cRZtDKxln z&dV@7X6zpn=8wU@0u3%)!A(=3!G#43ItmRg%(#<2DK9RZ&&!rVg9{gMUsh;v;X;NL z8eF)DVTA@4zR$2ig9{mGa3KQ?F8qMaS7>nIVup9z)S|*xg$5U{Wdl7np#lwN*VG64 z@SI>g1R5OwaCcOt(BQs}{N1uZgZpmIpd--WzC#&SXmHI#XzH zl7R*%+iTBpVI||4U7^7mR%mdNfd(hL%*F^PG&tFfkLL;vPIhNlp~1->^r_I`WKV_( z4gLmv3pChr=F59lr_axkSWNcjPizGmob0QwPAM-=GSJ{;e>PvC!N~-MQ)qB<0Nn{R zI63GT1Qi;b976f4K!cORZbZ-#XmHZ5ZYVEKCh|4|C|o8F=6sVsu7{SgXnoL*qd=D@;m5*^5W!5mP>ssP#=_8CRgdyr_3|SK!cO38DCataB|HQ`kS6yHwp?c zJ-bDjv%6mXQC^(fz$Kv2;N(Vz6_T3V#Bi%XgOkPdt%Zd;)-Qc>SEf&vX6nB?Q~y1g`tQxu&v%QJ`hT3M|0fJ9v^e=G!+;i3UYsmzLA^Nt z`$s_~r~Ub0ravFj`j@sM>%&_AQw%T9)c=UqPuXgcfd(flw0=rxlSj3FN@$bE()uYc zP99&z`fc*$MAlF7Y4Wtz-^wZb47aQl8k{`af;`B(^1^D~UuW|ECe2HEaq_}s=50!T z|6AszG&Xrj^HN@%WT3&x%WRKAgOgV{_6iM7ve4k<)w%T7k-WASq)*yUucvim1}AT@ zoI-<>zc5~*!O5HStOLCK!cONF@FjTPTpRnb-wc;>y&eNSL>8{ zvWD|Sp~1;}TBpqW3^X`-KU3!iTBnTDhpcBpj`HHWsJypKC>py$tg! zG&q4m1cpL`lfbZ76&jrMfCeXh%m8>BVw1?sr(4R4lY;Dt3Jp$rK!cOmbRwI`i<5p* zWJo#L)bPGn%MM7(QeK>7p~1;O$)(WXq-5kF3)c@`QAA#x3^KQ~EltT_!^ao(*O>a_ zt$Q*=421?KS!i%FREj7xI2mS+NBJ_GKY;UYpfQ;D{DXPdGMK(AyYs#Xi@Z45(yV3W z#bkuJ6_LXIO-7}a%T&)ogOf3a*Y{LjoHU#7qRfyW+1fl?Ej(5WtGqZFXMVyg#bi6v zrvcv@C@)U7H?lMak9JF1hsFzFxk_bgKy#@FHYLbMYy1dyg1p*JkKyieaYTt0A{Jki<5l} zFE%1CPWCmtXo$Qx+0Ssp7kP2Azv1R9^5SHI;YKL(;^Y9s%|qnH$w7t-U*yHf!G;S` zR?{A}>xR8g5u3FHVk-$tUvS1<n> z7SwGU#87Ck9V{;;6dG*H@;*SJ!M0If4hS^Z4)Obp;EwV<8fQ26`BIew`T%a1~A+a+%s9O+Pdo;+z+ zp}}^z*$o;0YiO_?W9Utx!FH@!M%R=V+i_+E&O@QWc3UwN8f>>ScX4G4G}yKn{%%mA z!FGG|7z~95+woF8US8mF%dP_rwmX}1hr&7K#kSS(Er%n}VEc752MG!dw)>l#U??=$ z9xR4JgY87K94QJ7w#S%X!BA+hJ;A&ML!rU;bTJefY^O^$yifGu^BnUDvMDs!ULYw7 z4Yo5)G7MKnfd<<-W@{J<4Yu>lJ}?v-Z0C!i&|rI|ISMHX4Ymu!wL*jK)usz63JtbD zGFQM*Xs}&mZik`JV0*oJ8iqoH?G2Km&|rI`7)u2jY;QJiBb!2l?JdTBg)4(QkzH!W z!%%3j{i!)0hC+kw3Udbxg$CQF%o-R94Yt2CL!qQnXt4d0nF>Rp!S)?N*L*bZ>V z!D>-xux)ftgw?9hV7rAo6IPo-gY8In39JbU4Yp(4U&3lvXs{jYegUgPp}}@Hcb6?O zSPBic2fOFP>Qrd3?QnTU(-j(Qj}xm)p}}^l`xE5sR%o!D?o!e<*OVwPwr9B?!h*cG zfs=IbfHDreY+~2pbZ+2m9n2l75_>sgIa4*3+uC3rP~bKuao$!U9%lsSZY?je{hqO$ zsuh>-Al!5yT=3J#VEH~$A8^?MdC4ShgPgNU1(wFh@~RI8AXbt&i)+a!*iuR1EUr{^ zU?q|hSlg6+wmlxP4V=!kBzU@rv7FZ$`vBUBSh9$l80I9`l%ugxR1m!Pun;Gu^5CbnYfvo=Xj- z{(^`mUYEF+ND3l9V^(e_nl+ts77>z_TZ_0x)~_&<8NN}?E}_XZWpZN>2KB+u z1)smU6gdYV%y&8;evoHp~$N;3DZjB}|$hE@(ehY3x4 zwo;C}T&A4luFb?+x!O=M1P^s6D5u4paa|9xvev12Jr7^2c;Jq?u7_I9*{LzJQiR*8 z7D;!f2P!H2vQtYLOcSjgSLX3|FccbWyWE}dJx|}wpXdG&$xcXlv7Mn30D%VE^TkkT zu)RQxR9I1eV&l$n5(DHr`&|p3j@tbjKgHnwW`FV)p|J7K& zh8B6TJ=a@;gkD$TpwM93<*kIF_iX3Mhnn=BZH6Qe8qC}xFSajx{0fVcMN9@7YzO$C zB1T>x@GEMkp~3dMJ{N*QgYEbHCCCP7FmuagY%o)0@K7`T;ptV5tIOz+ zI}uc9u)WZK0fs<>?M$DmA7@W_vHgMnGo*;T*v|6#HLE^g(|i3L2mE1rIj&;F=Qt=d z*#6kx9FOG!4Yoh^DRYC1GcaN8GCx4d@RLUK28OL$S0HSzc?KCq@OIjicx|TI_$hRz zY2a1_CGseOfL}qTdawCk;@TPQuH-GN}{mRNPp*PCkM`;}00)+fYXuH0>RlKYps=cUD{$cOgL_ z;J`Fgy$d)n4OQ>vv+CV^R=u0gs&@egW*JrQ0uD?=)w_TL(@^zpKC9l%XVtra12dbd zck@~GZa%Bt1ss^!RK1(es(15Q^=|%dVaBR@7jR&fQS~n1z%*373pg+hRqp~0%-&fV zrf^^y%fb{6Ok;VN!hvZ#5q=YU1mM6lR)#4Yn8u4?3J0dKDoo+PtVh+mfCDpSP552h z+5-;E6jkp64ou^J4F{%^8XTAkiEkIIS6Tr1#T{P0qTVgW zxL?ax)Vsw=A*_mex7Y|#O}?Vu{ZHV)+|xR$a9~fL+_2M)7v;P8Fi z)mPxaVJn{F00-te=yKc|9JqdcIIuQ7g#&BTv+CUn99aI~VFeBx3gkG21BY2Su&8${ zaNsb71M_m*94_R}n!@II!qJ00(9V zD6R@{U>fBxg#&Y0s(Kf2U=D$*ck?M6I2_LXHiZL=dba`x4zqCJFbf9`vvA-r3kMFf zaNzK}t#F;ffrUL;fdhwKXjBCb9Hww!wz^xek$?l!m@C{!z=3Hj5O5^mz%&*LITCPS z8cPHn2{y{UR=u0gs(16T&tHkFdba`x4xI=M&8l|+2d1~x zj$4BR<7FRrHr+1%DJ3kMFfaNsZt2M$v>Fw1m=Svat$cPnsU`GcAj zIB=MS1Bd@RI51DC1_x#<%J@CO6m0kk2d-Zq4y+xW!hyA;v+CV^3I`6Sl`)bj95@_L zBZULYpBDujnAbK{?^fWz@};f{2XfH()INXj;G$|Zd)Vmcpu#h~fa9~mIMm6fNZO-i>PN-KeJCRXDIq z^{&E!UA|q5YURp8cy9x)EmMI*VugqMkccYqmH>#<36%Onw9GJyay{m9w zQSYX3;9M6*u>uEnYj9xiKY#Yj9wXaNwTmU43{a99Y!5g^YSv;lQHaP2s?z-c8}axeOdQcN;G7 zHlyB6;lQ~J95|>;bI-LRkHUfFZ`7x7;GDvNIWnr=jjQTi!huD-OPX)mdR6Z#99Y!5 z@xEO2PLB8UaYnrxXVklKR=ulmU{UWX99Y%63I`VTZVCq$^=_O|?`{ANoPL@i9JpTK zz@pw&IIz5aCr?+JUV{URdN;1AcjJtDH(n18oXfz0bNoR-oKf$_8TD?QQSZj7dbbB0 zSnt-d>RrHrse4iNF5tlO5EZ6yV0LQ}XVnjnKce342?rMSZk$o?#u@c)PdISeNt8H? zdbcMWSoE!NM!g#=95|fN4jVC|E;GJT?OV69)_z*@h;fwg{x18e;m^=_O|@5UMR zZk$!`_Jjj#e<~bU`%~e-xuva`$_fY0J;kuXfwg{x1Lp)f`wPy1c2R}K8TD@bsBRew z2WA-R;q~FbqTWs6z&WaSD{x?UeK@e@RXDKbP2s@q`fy-97li|hwmiHJm4^-i;Lwtn)sj-i;LwtaU0JSjQ=&-i@>B-JWn@Jx_%LYyAob*7=}tV4V*N z2iAK2D>$&?pHn!nsCO%HV1*{9aNsb719K&+dKYkDc1`Ps;lT3j3^=fCUSSFc=AQT; zz=5@FR=o>2FzW(48XpfUaNw{99Jv0=;lS!ItKJ11m+)@cQbHc z-he3_INhDU6b>u`S-^p{BZPyURqy`Sa9}OmL%sWDaA4V@DsW(qlB#zB2WHQG2^?7c zXVtrLR=pc%)w^*I^=`bo*$4;zKLQ7qC&mgKIJ^vlRe=M`lUM}~tba`+g#+s!MNZ+s zVHOT7Z@?;W;BXbaW!1ZY1M@k9>Rn2TMZMd%rrzx=tG=KsIpz@pv-9GIu3>RrHrX{dU)0tXiLZUqh;X5qjx*!dI=Eb3jr zf!U;W;lQHa1squ3;EQ^<0tXJ8kHHzFaNy9Vk-~w)8OOp%;lN=Q4jg9Tz+n~+9Dbkg z6H_>_sCO%H;1J^YDjYbR%z9Edu&8${aNsZt2M)7v;4ljZ4zqCJFbf9`hqKiw95~Fv zfx|2uILyLK2sObQ1MTiLc04jg9Tz@px*z=6Xo95~Fvfx|2uILyLA2 zgaZ?G+5JC*1B21FIS8d^;-H9AewM&q^rdj%`gP&Jkhs#rI&k3nb>YDE!3ty(_3rDe zj0e;+yUe4&fw>J5Xf1!BTpJ_Ht6t&2OdirB83hFn%#<1&81M(=5_R99%+8k-icd{% z40b~7x^Q67KNvfsS|H6UaA1ZPRZ|2G%quvmUk47%i+lqw`weOO&2(CW14}xS8mb{U z`6V+69GDeplF&^BvnttBcQrWhhH@S>45e#_YC&mCrA4aVt-*oCku-V3Ro39Z8_HR$ zO+p*4vIYkh7qxn7p`HcNC(qzq6b}5QW&WeOYjEIo{M2g9j+elJIh`)2;&`1R0tc@D zayYQOwyDp+fu+2_fkD54Ly_bP9GEFM%NiV5vZk>D2VQ{;H8^m+!hxAVkie2%LhHbR z>je&6FL2;`g#+_+zDb#|6p}fWRwQs>h6N5R$u&4|eIRgPmKHd0EjcYMa9}3?wx@Hc zL55ZiJ%y*n*4S@r*h`@oR(sXwcI51NL4qQ!9I56bY_)QjGQKF(AaA18mpTdDfy$d)nzX}AR z-US?(hN^b~2d2>z4qPvA;QD}Y;8eZK89`07yq`Q}QgY4+#gBimBcmR%X))2d)=5a8LCv z;lQHa6*%xt82WQvr}0yC#D?I&qTa2*fx{FI%)wCgF5ti%B319^Hvk7_s>}qmg>Yaz zy~>er;9l#&fknOh-@<|G1rFScaNv4@1J?^2xR=0zmr*r4Q0C1#dRBAIm=P6O?m!ax zDXXOrms;R@ul#8pPRnsd9faRvn!)U5a)Cuk%N)|Z1;?Wk=VBau=UzO-q$1iUQW5PV zzkx&i7vgfo|1SLqZZ?W&<#A!7h<2lh_WylY@J10W{>-naCO3*`H;QO+`P(R>-6*0x z6tiHXh<2lhHiN+3D5BjcqQxJR6V2a75iS0ZqEAt}B5?Cr1nx!=ZGN)+&C87QdVt=J|uifEm9qlgwimrw+5yir6uq$wV329@yTjyT?Uqlgxru%o#P9WtaGf7J{h zgr3xG4$~#!lMsKDxq)Gi z%KM|uDu$`si;t1sbp(MMPd3}4s|A4@A8)2MK;N(%eo*;hJjGD+vQb3KpO|hG(Gr2X zQAE2@MC(%c)?|v$VUNi7cZuh0HpR1!fi=LT(habhw}>KXbX#Gyn&R2LU~S=2yVhok zeJLaw=~AjT!4$i(HRZ>+RHn59a)Zq*Kh~uXt-};AqP3e#dD#?GJc-u9E~R3frugz? zSRF3qebY_x@uOfJCsvm!{tH`NeyY1sM2jz>8%4CLr0uC3+$f^O)MyZqWKV5!57F~R z5iPc{266+ij5dmBH;QQUUEX47SvQJk@rdwR4ocMgh5oJ3#Qq-;(Y{3yZ5>6l>*`s} zHQ$BwRoS+6sfc#OT4wLX>|ooDAdcJgo{pA)dpm-XVG}%nRqM`cRidN1HVrq{Vh^Y-Dn4C7_W zb?XknJ(70?^ERD~7~iiu;ak(3I)=SNbBNw@2WAZFj)UR3xHET0?#jfs>2cNt4DO4~ z%e{obUP15M@lO!^3`f*=5$daF*js=qyv=Z9<#_v{e!n<@!FD+HH~TJwK3w|)FJ|!9 z&`;MLC9bJ1?)4%otY3=*+Hn-yaT^Z3FPC=gxebC>Y9o7JhDHU!4#2_mZpNWc5G-fW z9TCpG?c+%^`0$r5X3=JcAm2wkNheHtBmCLD2nOLccxx1_W!Q$NqCvsu3>U*o;UM@o z!{u-i&LsGP;il+rv?Fi~jppcb++YSqjF#vL^hw}~(HhM~oq;b#Tl72p06(Y`V?y*- zlni=_(H_laO9Jx+a(6_V4299h)SQR= zG)9ZDFlwSPMvNuV7#huDERD9Mv9;mwEQ?6d4YrZCEssdi4aS*9)bps5pO0x8{4iI> zFcgD@Jjw8D?4(5uI^n@|b3IQt2#=bA6#>R_G$nSc zN^Ii9^{A=uPON-q!}z{yc=oLtzLn*6k#N$64zK?{>rD7pA?v#(NF06rObK3y1=?pb zHl(mC>Ys<4{ha!XSl|UF4P;D#!+$pd(kkO54(iWcw}`oZg+a(Iq=O{FTF5QptWI2J zSS%TS!wh#yh5|F(#SGr}(BSZ`#}KT0A8x!~p`qdGmzlH#Q!f15n+UqT{3TpB@Mq>> zS^on3w%Q8*T&jO@ew52g2`Vd`|UnrHfN6*0xn6~tGWV8}90ZdyO9npOBESR=5 zCPxnDKA5&NrbJJ()i;STHToML9T$ty8Qn_bW-+Ekhq0a|VoZ+?z@z}vmJZH}KEM%7 zTN+(aBg@<-#s$%*Xgip;OzDnZ#o&W!OJi2l+5zKEG3G}6gN$I>GG%_$$ZSj9Jd6d= ziOn!lrfqa8z1<_(Zj6rQ`P?hUlIZXV#(iSk9?j+X{McphER9m8tuE4(X-i{ylrn8; zJQ1Z#TN*2)lxa)j#VBRk(pVLxOj|Z|b#xmRF_^YYSres9Tc&&xtzaKKEY0~}Gi~Xy z#Ps0af<`$k#m^jtTAnw*69|n zjgU6VyB5PS%`M)G4#|)4-i9^ZEjDw(H+vlEt}4@(R*h*(tJ^L99o>`PMsn7ewoIGr z7GJ?-CqGWoYD`-QtV%(jwLpx409oKKbz;XTegp`1aATcJg-Q z>~V|2i2bU!53JShfKxh8ck;V<|JWQ^J;$ptZG-ihwtAI0%*$M54)gRXBMi=o-fd`a z5D5$RaPLUeV55|2%SIQYv)SfJ(wn6yW!my)p{)Dp2_DC;DUt@C;vEeK%~8sIq_r<*cuqm*g;0j_AR z(RsXWcwf%FEy^-&qm*g;GOlRtQOdMkA>)xUZ7;?>!jvdw+CGVt&gi>rPRg{6KH#|5 z`J3WOb5_)aMpc-$QOdOCDRf77)*~hGY0QnD;q|j#j0MrmZ@}my#=__@ddrEiBzlQA z2cZ~CqYGIk5@T8P6)v8<7|WwqkAzY1ISrqPjynQ|72}zx-$WQG(>6MoebCS6l72CA zxW4;~gH_Qq8k>l*+VN^k+h7AsTOG`8{LDhx#^?O-wfj@1t*(u2r5!f9o^z_j=ibsU zN}0A?PsJ!@+TM$la`a1Xjyw4@nxan5-B$lPWNVI6rtQb*{+7t$nC~IYZH-c?WhO)^)0So0qm*gO?(c|FrtM0+U7r#yVz(S1yxz`8-s~Ub*TdWNC}rBxbyt)! zZ3{5Eix;xPCi+b!tShhh6}IOH|9hAL)+=7mJI6`>DX{u^#l5*(b;v2zn6_+189P#q zX&bE1wAGG2F5A(^Wji`$+D6mLs3B$AM&oIm==02qQS(?BQ+>HcMd$KPsM9|R%b_VE zD6-15^=eGpdcJZ9glSv19@ADEG$-4jIoSrKOxq~Sw2e}x?cJ!o7(Me%RC}5KDL%B8 zH#uQ4_79m7O;O6UJI-!j&<}) zZktQ}laVr4JMM1(4WulHio99AM~sDzS7X`+>oaY&?;gzd-GkY_OPRJ&mT4PhnYPhV zUIZWUCrU>WKnbQT=U>_JPCz@NZMghB{t1z2EYIBg8rF|8ZN0rh1Y2&wpnJ-+mAuNd zm0*o&>(!XH-UFyonYNxXZQny5c&B21M|()nfyZcX@h}Z*AlgTQr=W4lwDoFCTThv` zl3^@ccDMxZU=>G5@ELlTB#l(2t;8zRR-QnWX)CeAc|ubqxCU!onYP}hV-P%DVij-8 zVD1?B4Wct&L&ph!JjL6FT{xKv-qusR?E}bD&y1S|cuIrgf!si&EBM)9;LDQ`T)?1{ zD`O(IMKk6gOL#Cg_7ra`6!Yi;PRT$Z=Fx==TVa?-7cpEEgn9IRhRZ@QkGdId5`cL$ zli_Belt({c^IJl0=+VUt?^t>dZEJNr#oMxh^=v{Auv8GRmx4f_qlvfmhVO(|0|Lm* z%|Y4}+qgLvK=@5~v70mKgx8{X>`;b_q2g^BZVnZ1+koL|3s1pY0Xv#6rY3}nx7{A$ z_E7P*%+ui%68ys~@&>|1JANuHW2(0`9K>V4j?~-^SWVO8ZF#v34@aBg?QTaioKPsE zEpi?LNR78=*eb1TJhK-SVw?%?hWPY4xnOP^E1 z@1t+xJsIvqJ9kEUfyR3Xq^-~W9Ck6@do;#5e4g3&<@$F*#oIFMhllX2_Gj~h@M!EM z@dSqP*TC+_Kk)%{=iQ1!e9-Q2lbeDOEXId?n=bhdH$Ln_9Q9AO#qH`w0KOA>$6=j8 zOjZPtbWsqnXb^0VqqhTwFh1@Y1Pd$S58`{RMim7%JYDSBEYkX2+*7dv~}k$7q^teqjs7GX4(3^TSm*#%Jt+;{vCOw`Fm? zaT{dbM3NJ6Sb?{t5k%uQhcQ^*+1V(?+tOPRXT>IaI~)(E(|Iz3i}9J)@hp7Im-uWs zi_kE94u>iTAHvoXpL;8^pr`mxgX9SUmh$qaAhqi>1gXsBEnwKn(Yo+X6n4V?s3E?H z(I7!`bY(eOop`bw*(46WcgN{So_W7|n)Ow%E5bwBC9}6hXE-7M@O?Zd<7qCNmwKAV zaMAJJ;b~o3hq8m4;>%~#hqT~ImP>ssP#=o7RUd*G{h|2KmR-$o+3_wq75=W-i~gp^ z*X8k7TJ-D|WzOz;^{04SE&&@V-j?AeT^ctr+!}UpjxMI}_OK2uif?APBRm=#eY}L> zDdE95##m6&)Kj->lcLU zqgub>ZPWS{Z+jK%xABwXS^ogesHe65R!-ArxMc;7r+C`|$b-BqkE`bWbtdm`(!7ed z-Gh0X;@|&-d1ZdRqrNt6GG{mzcJkI zc#5}uMC*L#TGlD&@UGS=^JER@NuX=sJ*`vb{Xa8xzMrY{1FciW=|ink#_6N9&aa$^ z6Zv=?>Kfc0|LY&s^ZZQfl={~)9E36-KBqq$%6#}Y!|8hXf?;rIm$2U)a|;{|X^TCx z2kti`8R0r3F6DU?cw6QVqOam+FzzizIZE-i?A4a&SR8@3WixAd+fV7%#*z6p-HIBv zAbTS2)_76V&nh*%?E%Qv80C@DPl`YaQ{N$GdpoZMmxk(PkJh;B9w+VIyABfwyImVwB=- z+hCO8oY@D_XdscVfp;wp%vjl-M_U)BQg^4tA6*+nKi!*yQxnj&b7cjcidBysgZAxnKcr zyOt;CH16Gr!aJIuvoMvp;~*E+#T>`7Us?1#ltD~yS9nyrssw#8&_vbsS%3Pk&^r|wKR##P- zdnIyqSMj!Y!WFtDl^cUL#17(gt|h_K@r>n!)mYwL zAogrTG%?Ict|@}IU8~8Lu@EP=Ch?-(z{`F^ntl({IoC7kOlpvvX{KK@6W4$=P?LD? zrw`1Ar5ty;OgYJ2n~Ak@wV`4N9^R~Y;Lf&-+$-E%HW}X`U?V95d=?S`0C~$SXJi!7)Hc*o9p3i ze~6UE6EG6_S^mGUF9ZRbUiT~xLBL``@CYMb#bJ2s7~Gj`#%kA&@#rcSN_Cy!rR$eR7VlaZiBH zdT;64I^+Qx_jJdUS-Cgw_H##_>X=48MSJs>ojYopV}|f)$D6nG+)<}FW^+y>Z{B_9 zjylFML-~Op`rpyhU*g?4P8Fxi|DGA)IAfk-wZnOhapz5NMql&?&BL#o{BKP)&q&QP z#Tk9Y%RT+{Lo?OSXwB2%jK1-eo_SiTd73p(yVHEnA2m;(v@Z3rwWhaWCM`z;*atHE ztW(cEcK(cm?~Gf3p)nVuXTk$8Dz9MuJ^HG;`y840-%RxM|AhYQ9f(4{YLH!OkiB8M zGe$MYE;Yzr(+tGasRp?h!!9+*-j-5SHOMYC$ll1Em`Dw>H|r_}sX_KGVX!x*6#l6X zp2HFKQG@I;+(#6^{)dO-JaSY3`ya6iCr1Ua|Jf4=204C3@z*kJb5sEPpEF#{Q334# zo8fYf3Sj>WhMPhvfPKf%s3L%Aw1iXu`>v$4hExFiz8GyG6~KO-7!$(3BD3F1jP{TU zU_UUIB6mkf1+d@8EPyd3qypFv4IA7UQUUB+F{Xu70Q-H-ZAh6OQUUC5V)lm76;c80 zZ))%u?#u|O0QQT9pPbGQ8;^uhGIzr04yge4hnb%s+uV=}V1JZh4=xBBX^a+QVMqnA zKSqotAr-)WvlvT5DuDg1%_x*v7E%H1ZzFA69#R49k2Ck7o=2T%elJ)*>{G_#Df$a} zk~u1X{Y4BqIVynt>v_6CjtXFZ@eD*`UIs@Yu0Hq!tUL#j%@&C~V>y}<`)DOLaV!qbC1Stltn<+txfqQK> zgRP+GYYe(N!8cv})0bl4UIQ7E=iu*;fHcrJeH}EV_jNln7sbH67t%prih+AC;&kmx zF>vq2l7V92-gim{ih+CIB~zQy`Czj%kk_SjzP@-YgOtwKZ#J7jO6ThbE@Y6>`94QU zF=~i&Z_PuAUQgiQQbU|ueKUj95a<4O4}!jGh<()%`zo*ZRZ8qqWglKHD~&4q@JcSj zenE82E*QbwRv7Q-T1Gj!K@49ftJLWqL|1hn!zQa4d9?)lF}RQekLfKceYm-)yXxlV zjGHA@H`m`xHz%>|jpAk~gSQ+{H+{Z9xH-CVEsRas9%oa!3vz#Ap4%90bI&q-JHy4? zO$^^b-{sr`4ByG{(3~BO@LkN)))K;ds~>Be1=UizppgsT9nQ2C$api zfcgE`q8oJv?pY~0#&KU`i3#+DzY~IEZ~zWPm+I`omQy79TR0Awfr!8!;B~{;45|!! zpvMl!AW@xN7`_0?Q8& zeUUwU0aMJ*b|rU6b+(-&M!%5iY+sm``Hi!m*vI@>N0V|qw+w!KA+vqGw~ z?X6;Tg^et8n-~{_RA<}U#pn*H&bD`mF)M8CfN`f7b3>}L?OkHb4;z_nsrx#*Z$U_P zw!PbZ7ETw2RA<|JB-@Q4)!Fu5F_wf>XWRS4xILsg+x}R(YH3Jyw*841%R;KN?N7y6 z9#Wlcmx=L2NOiXTnHVcWsvX6_^WJ({wv;Czsq}i0H&bCj8)nZC9XVjCDv(=Pv>*3g^#All+ zQJrm{c70T|k16$IJD-u96HJNfZ2PQqd^=jr0eViXBTZ=%&*6Egp~IB!Va}D3HpP@E zDzLv2YpN+dPV3iVb(+#&S>Nx(nr2E5vM*l}Yq}|2g*9hi73(ZhTFSBclUqQ`x=iVp zoNTMyVX(SQ>D{rgUUMg5UClKmK113+%NZ>&rO~YKb!pi`Q#$%sSZ}!d4W~N0M0K|P zi=-_zrNenfZ%W!SQ=&TCz9nhPO^L5Z>}r>nn-HDWn#=`@b?d(!iPTjG~9`@Z`K z3%I2<9L*0TXVER~$3FW|tg>5risk+#yG4^*qJ+bKs(Xi9I-F~At$Q%ez1b~Moozpt zv=+B?D$m_`Gf=~hZYk!udt$Y^rAeHFy~WzyEj4n)A`hD})Y&Dfvu)8k96l$wC0?lQ zAhFur64lwZEH|PZZs{u+9NQ??WVh7D(HtVy6t_fmwjCzcRCwWtHHp>fmZ;9QqdYG6 zX>N(?Y&*u=45vBWEm56qn?3HdU2f?+?3b;?y1*^n#oD(PtJ^J6oo%-fYnEGD%QG4) z)?Bwlb+#QR)_k|LIs0W>u@<-`sx)U`c#cbTc45R9C|)1bp(z8p5z%cA@$Q15SDC}S zKbMhNuQG>uGZFSfs!8oc?|E!LK}dDBJ>2U;4K}1Y+a4*6E{0TR+ey-YrI6}ud$in< zmvtXKLB_5rlm?&TT?7Zsp|rPC+S?IQoo%PdMRIybb+$cA%5*s{)!BuSKSw+3gXL^G z*W3hqkv9Ruq)qS6HoZG-`Yi6bKk#@N4dm}F+Ka`%)$^DoeOe5u&bG6?2xm|Zsm``@ zyv<=Wg;ZzTx#F!kq&nNq^Om6LEdp7x^F1z(Hpe{`{}e_Yf!5$g7RLddh*)D^@k*Fg z+Q3({4SY3i;AO~WS9x4_LCDXr_BHQFP7Kkb*gs1Ls7S!RE=D<|I@`V>H8+J+XWPHX z5HyE!x^K$qwuDq?+cjRXh*$IBd3|8KFX!GCQk`u-^e$r`hE!+UPrNU1ZtWq}+4eK< zEu3LTNOiXT+`9{FbV^8dwl)4cNa+l}+X}39el3la`;Pb0y~M(6jGgSTm2Qt)*MouZFlhpj^NaDIOcmub6Z2Iv+bV#7D#Ce zsm`{0Ntp>D)!FvzQl>qmI@|6mWjaEtv+aKV2U~Jv7cu1kIk!&vd!F_ne-yk;5BdJW z9wM&0LaMXvVg5Eq=`LN!4x8xjGYHexD}9CSIl{k%W8#&r=e(QbUkj_BSE4%GcE~9e zz0${Q-%-+vGIk`YvkPP9p;zmJD{&lfJR(}`WS=WhJNme6M<18%=qYJOPbL=f8Eqh^?8LXhg4_VPX9u@s%a9PqdnDU&CQNWb#|e75bBgI0E`m^d(j@i zw^~c=CH_Gutqq!!ZP1)-gXX3UqOQZv^SP_qkm_uEssCH_Mp4jL_A$=t`-tBy9Z7Yz{e{fGvg1;nUD$@p-=#XcFqUWT zQk`8G_aa*2Qk`9Bks#ICh3zFT)!Bvd5~Mo2u!CfvI=irw1RvnM*ja*9XBT#PkbbGo zF6<#esg>Y4QjF^C!u}GZI=gUyWEjhq9WFttvkON^@ELlTBzdXM zE*vYdRA(2CmuGu^+$fwRu~cUlrb>|N?83=XjFRlaG{*K3Np|7PI*fOYKc6X_#r@gI zb>UDro7=PRxRdGooK-M9X6zpn=8wg{n{d}GT)|Cq6H2lR3mA0zh$Oo(<6iotB)f1v zFI!HIKf)?igS07q8^4BfCnyh?D<0)8yRUw1>aOG$Pz_FG8pBa-Z7TV7*x zlw>E{ah*9iDr1uto=1?Q_AuF=VVmQ^O2#vLF-O6&hRZohvXfT6_)%DLvdd+NY0f>@ zium35c-|s^Mn2h{;R!iPvXedNb4req>|{@dJJG^pkdmj-pEDmlhHFZnpW~lmviE_A z%JJzb*>?tFoE-1xll>U>bChH!`?L8$j*{$T0>jpE@5evM0d(h5lARnh1;IWd$xaTr ziY_V1P7b>TK_|x#6-m3gku#jg+YIY8FnKWN+hFOU`hZ32gGo5Llw>EzEk`iVtKLck zr0E5x-@@dC-LSI@EIBzzic*rDOw}s**&;camv39{JjO{*c@s@6<|xTdI(bRx%5h6c zPGk1&+_oH}X*}V%IZCpV?=U<+w+hGPj0168;0$;GYp`rfhQ;-6ZII#HHdWf&1~Gz= zl59IzUZUENl5E>3?@fz1D>m7sBs-bTlj&DX&RoH>pd>pvTh1a!Np^A$hbqWXlAWCU z9I~LN_)n#iSjwd&JL$Rt!G7iBeBSp7EIGMw1qwSk3Zj#X7#--uljUf2;>mJklQ{S; zCE3Z$KdGl#N7EB!rOE8WF#1l8a?fN=#?xFjFZDE!;iBVGlAT<-CCc_|N-n>LKBNU# zvRvw8f%>2{HMvTCP#T-a4=Re#*GrmLw#7fN4e6|XnPHowDl~b8V_(cs6q@{z@t7x+WGAm)PJbQAYx@nX z^waBU-JCOTuw0<^{Dtv0C-d%2`Y7sl`WE}CtaD~H!!0@Ko4+#8gq-xv-xzLpTuQQ& zxBsGbzVi##Dd+I6)+zI34d+RqWA>ibDf9lHnL6Lk)cJwdDdY5^)+yukQCcS@*~!Nf zQCGkAkU8wG0P2nGc`SpTd%pe>0q}hc6gzRY`W@m}lW|NL%8W6W~!q z_eq@*m-54(q?cj-K&0wPZ!yXtCD}<}*sCq!u{b96@}UTBkK;Db$27p(5Sv8iD!S#1 zrKBKxq7zb*o#=P2RFa*<=G(|7lI*0P6d6)ZHZ{EO)v^Q9vXo>en@L?z?BgCI87R4I zNJ(~5GV+jxZH-qHkz^->%-w8DQ!?1_@kRYLrv7;Ao(vHq2r0=QnfulMcBX)LGn-M8oosJp zi`s1R>CDoZ8V$&Th#7A_}WH4{--8`moN)5h(RHcl4bu99Nq2NB6` zl9EcYlikfgIM{q)vZpy8->O8CowS*YaX}GDcCwdwfnf?Elf6v?W~oTBlYI;?HX_MR z_BFg{h$K7N&v3&RNp`Zo;pQun>|}!BMktc( z3q>T^$)PgEMUtJg8*XSK$xbF3ZdfA8PL7btCz9;sNOKd*KJ*>5d6M~%Vah_2Z<^E4 zZ6e7|I%HTylARnSqa>2-<2Zy7#AFAFKjPEIku#R^?6Z@`jH z!<*$N!c~~H$!RjZSB8{iC#M_UOuv8`JqHcxOG$RW(|Jq72Med4+z`2xWZRwhK;s68 zB-;*@hq{oGY&%HacVM=lZrdP+A5xNS2g^%|K%dFW@;<I4>;rB4T5?9S$bp{R+HY};!1mct1t$+llN^O1nQrm?@d6$aj-(KuL)Vn|80ooF6I zN;#w?+a6lB5BxOQ4 znf1&x#bLNIhLmL6Ic7T;9U&#zcAhx^#*~nfY&&0!&XAI9d!;!JDbqtrvh4zK-4#-j zZLc;LBBeW|B-{STTn%Gx$hBt|nY&>u2r0?7*PE3v7KW5$+Z!ZhNk~byy-|#%VJoxU zY}O##vXGK&dyDChX}3J&PGpywonbr?Qj%?dYPw;p3@ORBE6hDGUJNP8wojQ4VXO*w zpz%Aiuu_i1W2jd;H5XL8^{&f9`c@&0YN|a>VwPFRPL`k*{+&7SB z%^*s$ZO;7^R?(DpXIdmy*_0^Bwtd|~69&nY2urs8-C?krP3bJwFu)xTtHqQEOSX;f zDX>~i>Fi#xws2>|YBMFmlI=+M4pJHF5HbjG^=mKZBjx`@_p?w+uw znE#KxH-WRGDA)gcOVTH&t8y9==s;$2CJPK%2M7>?$grsK$`X_%Y%)NA1cC(2g{YVe z5HNuN8Ho}VF+rAqAOpBW*@C#Ri4hf1`C&xmf{3ClHzMNydEU3XPtW8eOaglUpL@@I zKIzokRrOYNZM}WZ)5A`ub(psZ)*N@(uUNAVk4H2QZ`OE3r-;?*4*MJ1VYYV{QZ90b zJ>$cg=lu-UQg;}U?8#%@iH*=5<=PD^*mFpLIIa6}x)lFF|O+xjHTum38 zuj-;!PA$|kBO3Pa%)@ED@jQJhdm>ykb4BRwr&eOIzs^NU8Hb!@y|eJv301p+F1dE- zEaKx0?cECyjrfNd&(%cpbE;wQAF!kKK?J#$NDOv@i*cH{oM_T?%niW~Ny?=~TqEgN z?qq_K^5hblMN>xiLpb3hVFmw{!h2=g&P<$ET!^%c;zamQM$;!(CXMD3_u;9hWm#@6 zRfXuZns;W=T)PU+r3C3(+4SrtRPFIBA6L0TK1p30iM4#Su3`ut1|p*z7FWjJ9%N?q zDtQABmEztQ4{?mLr01 zq2>8pKgY5NzB5kkQn(j#*w11Q|K)r67+R3*$^!pZMD)KJo1ubaS33Ql!O&~A^W{ZN zdd+r$M1&}ssRhZd{L1HBSR5?<Rvj79!b|p}{|~M;;&YLQ@KEMT)~(nC|Pi!YqX? zh$w{zNRvG%+n1T=F$51l)J}UNkRjQXxxqB-8!AY41j()}3HUY($Adln|AI{^WVTZHd+zYY!QD9+m2H);2dyxo zVH4osn*lL5xHuP4mDPbk%vNVk#N*=VDC{0{5rS@io`rh@!|v4&Bkfqe3U&MQO(-Rl ze~!Lz2XHcbkWk+KU9d{*6NoL3<2ATDkgmM0=_087OE@U`FQvrxgk64(0foPlWeX&8 zj^l3oYozhII?ydY!*1q~t^}&d;_(I#Tj$5v1kSwA<62lL=g*EEE78`mEwU$(cGYBTp|s?8F<87`pO%y21O3&Vd7!Bn-G#iy#x5>(Y@ z394!{>tz3gRAYR+L2~@z_%Bs$<{rzA{0{`Ag1Kt*6sA(uW;#e!n>k!l)n-Ycs?Cx> zRhwmKt7`MvNSmrQcQ7~|KYJ)`x0pdyZT_&Z{`{~idF7~K^FFzHsmwMmA@55Ck62?M=Rg2hO=6wy5UA2hq<=#(l7!}bXwpWOuTEzC# z9t%({Vq4TAwnZ&s`&r4OTEzBhkBzTd#P*BcQ-e9HsYPsyTEw=fMQm4y1Jxq7E5%SP zVtcI^szq$SEQV?k+v~(oEn<7U7^+2VZxBPZi0zGHs1~tZC5CDd+ndBtEn<7K7^+2V zzaoZe5!+kDP%UD6s~D<9Y`-doY7yJp#853_+wDD#7F8``d%MT)%&Qi$y+e|z7O}lk z4AmmGUlT*Mh;31e*cP>jZBdKZ7PW|NQH$6XwTNv|i`d>R<){|1Eou?ldnHD-i0yr1 zypd3g*sk&J!y!0sLM>u@zgVH0P>a}pN34pQP>a|;;603#_)rzKi0ya9$uKve7P0-F zv`W=Ys6}kQFIKCYyavxU(;`l&MQk%I;)Ghn_902x?k1#k?Ze`8s+&-Y*goR@4CNi@ zCe$Lfk4j2>#EM$P_J`8&_=r^#N8e*&9qT64BDR?paY8L(yH?_6x(U^^?2pBojgMH- zdR#1g;EGzr_6f1(x(T(2?JvZ_=dP$lY@ZhEJU5{hvHi8T4mIm^lOMRS)_JeNTI43w zBDTNrCSYFS<5$!ow$I2BEq4=Y5!+0QIH4A?{hc>@Cu$KV)FQT-7I8u?V*9Mbt#%V? z5!+0QIH4A?ea?FTjrE|LP>a|;FVvNTPmzPkB z*!p6%dkM9O?EtY3@Dgef+f0i%p%$@C{GH)*nwPxH@zNyLbT6S6vCXuI6KWCLOp7?7 z7O~B=h!biN+f0i%p%$^tw1|^yIF`oyobGeIgj&RQqW=P{d0s*-V%z3(rS0?*Y7yI= z#aiek)FQUKh_%Q|s6}jd6>Eu?P>a}35^JfKP>a~^Ce|`98Oe6pU99C^LM>vuhtF}g z!b_+{Y$uDg%1fw4Y^V4Spv}6y`72Og)grb>`$wV#)grdX zN~Kkc*cP>j?TP+FaG+Ymwx~sH&-9Oj1Jxq7bELjX>Dsw+l2k2X+e3@kjJuTQ_^=Dx zA)-ZWFZTHbD6RUUV$~O=Rp(D4?Ik`>qpC%0FBSi)MQoQyo2nMEz1-(V=TwW>Ug5t2 zL$!$QQt_r*#J0;{fU2t&v0dhKa->?sX8btRrWEqSL__9a|4a5M|3!==t>Dwe3O=1y z@Jb}J>-_!kJ6Y8tw!iVm^GCBH7G#TB#I~qKY=0*us}`~Sy>x+U5!+|wa8--gzU2QI zQ%tpp?aOlPszq#H^=DvEs}`|c?>~WayJ`{Jzx(&%2vv*N{=>f*tBz_BTQ~SFVpNOR z1_39BY7yIh!M3QiY7yK1!3Y?tMQn>&#I~qKY>QgNP<0%Srcf?e0T8!1<(F#CETMvstx>?cQQ2rEA-R zWk{x4#CG4{uQK!;u6IQ(V*B3UO~j}cv35?Un54fi0vI>tWYgtv-3nW zR4LpI+aaPwZ10i@r)_snvF+|Dw%xsH+fj?y-X~d9i`cFSc+aVnuDw4vN*a>Fq4qm6 z{;H})Y83Y7v`5CBY=j0&}zk zsYPs#ks!5*&2f^JTEymL*-I^AbE@32lF~J&%U)^`o7obi7O^=)vQaY3%;jFyA~v6R z0@W5RVsjqXXVoG$pXBnKY7v|H^8Ga?+%7cB`oY`w)FL*Y<)XPgwTR7f22(9!bHPF+ z5iMeKAx~SXMQjRM#HOG{YzkV$rl3V^3R=XbphavhVf9su*j&o+yM{fBQrcCE*j&R3 z`m92xMa-rtg?jV+Jf>_ZENE}ak4`Nl3INqIS zT2Um9_uy<(MdG-iNE{awiQ_3uu8PDORz>2teHpT8eN^AHc9G z62}Ea;y70%o`QH$B>p1;Y3)^!IR3y+IPHrfaeNS`zA6&O2Q#dS#PK1lzA6&O(-=+_ ziQ_}*P85mb5A6dtsz@9kK8G%;NE{#8iLELU$J5mf6^Y}cc(GA&ni#F08kHt0h0IzC zCt)j!#PKOtA!x5c-`|LURDF;$d__EKJIrKJB#uv)tW+e9XKN8uB#zJENn8|(<1_C; zKdB;dJclO+RV0qjW^z>|j_1m{CyK=JISi{Jahxg=4-rLTJIrNvy=H52`6NjdiEXnO zsz_{yyL7CI#J0tyH_HFA%A!ad&*Q-iPvTE}o<~7N;`ozt6rxBR7Zi!(1=k}9T8jTQ zOPW&1T%t%Ecb&iR0gMzbX>P1x4cczt~QyNE|=MuqqPA1x4bxs7M^YaJQEE;^$bV9K)ZrOc^IH zah#|kar_r8Q^tKkkvM+2Q06OIru0)mkvJ|Y6374DhO&lFkN@_3ew=^TGNt@C7*=*W z{s;Z3B60jC!|8nZC&TTkNE|!vb#OFdYV5o1xYQIy;<%qHE=7?z?(Z_aDiX&7#85@z zICR;nsz@C7P$Z5Ay06l0B{uFHy5-}gc#y1#sz@AHWKB#JiR0Kk5XnT5I36rnMpWZ% zU0(fa-l1t;DiX&B_Ahr7IqQGYF|KVHDc zBg9Ze;<%_t9FLMLsz@AZlWRIhHvJzOEZ0EtMg6>iz0EnllvqKPvWue z#n>sLzw!9Aa2e`FMdEm(%kz7xNF2Ahha=C3Fy6(zDbGAfGpizTyqo)JCQ0Hw+-DKk zhKj`To~|rW+fb1>o+7=Zio|gbMdJ8f?$?>Q8o%3ZYsxCuF6s3I7Z(+Y zip22&?qA_)ayI*0LI-v0f+*cW<@@IUY%ae^L62}L* zJZXp`aeT1L1z!}2<3n67zM@DRPjk5tiXw4*sLRDe6p7;xxt#c-NE{#LazctCaeTPT zi6V-`@ewk_MUgn3?s7pBMdJ7Yk0p8X}6s@l2QZ zilRsypC+xSip25hF7GH+kvN_$sZ^0TK0^#uB#uAg@?uyOiQ_Zf8*sg&ip238mzT_{ zNE{axiQ|vDyriZg@hK=<6p6R`C@*P5k$5Y)AQDAlyU#GZk{Tk4#CChRwG%~R+aymt zFj{a)XPd=PMPfT#9!;nsv8~Ee0aYZnE&7N+6p8JK;8{G*P(@-pGT_aPDiYgK0T&)s zB(^&Sf0U;lR3x@zC7CJ`+wpR1m?{$6*}T#cMPfVJUCTO)BC(wxtcM}$cD7TVIjFjw zJzws(b46l1#vNXLD@9^E(WSQ`qDX8fxyz746p8I_shoG)Bvf;EF;tP*?%`g4(BP(@-pMT%ENVw))vZ>x&Lb|3ecktm6Z#J1h#vkp}xw*TRtjtEsG zwuiXq!%#(HdzcuiNNkUCuSSe265Es9TVbdov7O~U07DgtEk*?lRV23aB$+A_+xhNK z5u=L4cA>iS0Mt<6)>Gv325+rVmrzE6|4?7p(3%}-)m|`XSvDgv<~x*hc(Ads7P!(JRZ?J zykFxHog!AJn^2M1&h|cyl#ATt86Va>?-p1~-Gqw7_B`*$u%JlX#6jB3RZdQuSamp@ zn>bpVxk6=o&*EN=RNc#Et(hBS_!Of!ZnGWNatDWNEiIDW$-Nw^nahU|7DZydAZeDj zB&Cqk7GK0>6qiAc+2#+T7}}$3u0_GqiQLO^t$XiA9k6#EcC<3gL9Q{A(VNY@-9q%`%*27MQTJkJGf(?j{H=_q zR&ybqS(_zg>g0z^#5o`p)F@v2=?%FgPg7FUx#_5lrId);CeJ99$!auN>OBlTnmD<% zNU3uDB2Q*Y&b+!QYo$r3evwzu1?Q`}sFhO-^~{KdeVlnXtv8;hPi6OqizcoJz5Ucm zEcVyINGZdKv#fU(-a4UbpQKB!9XgBnctd-aXFbHPWIR_B&CjWZz4v2B>jMaKEs+@P z{5g|yInku)nEPXvluL`aMp6%98HiK3lPQ}e)J{`IHy>9b;cqZJ@o@urBDO6vaawU9 z(oDsPa3G`UiYt>w^SOI->gn_>HFTsRi{bm7 zT1+!d)HcRd3La6y7$SfWO*k0uQ z9NtQyD_u=zrI2;891(mMEzjqmNX&a8zBx|qQWznJJp+6AFW<|D(V|Ff7x)Vi(f^~^ z3=u_Q+v(o|L$BG+m)AAvHQNOeK}BMw7DZzFE1&OTaj@8fiGsryMPfTNcmjLm@gXlX zrC=%&kF7G@5D23le_w~O7 z!6BkZY%dDBVTdBJT`X_$aFkRewwDB-L5wI8+a&?tX_Z1&z5j#QK*(RVcXP)tuo?66 zL|mCPvDn^Jt9$j>6Z$cdue7Kw%m=A%GglgD3y(byH&gC#_O0J__kywf`iWcRt%l## zy+C>0mRrPJwP4&ISjtGQ`j8$T_YAF3YIQiy&Exo9;#b$;vQ@={o7$AKJ>vHmPWbyF zmIMBs;Ws#2w3OUSG&oyc$4{Jsp|h(zKWcEc4bB!%y=KjD?3Qr0cVdD4pXF?MVb|bn zc@uvYTB5<(I@JbeyIGv=a9pXa+6ZUMxYhrQIa@Nu?=)vicDKga()z#3*^)S}akl%y zs&Tfz9f=c1Upd>tS!Pq5?V%{)ZE?1|-O4#z*7xmmwuP$yOF7$*p|)GZ*%m7J@8fKr z#}l$XaJFl4M$0+d)zTlQ@h12mKAD%Cvn7Gs;B3Lp2Zhf3_i?s-uY}J;@)<@i&X$h_ z>T|ZtQ=hZ_9-fZW=WI#s=A12E=bSAG+?=y*8iqUKe>G=YXy`t3wmh=>ob3^q4sVmQ zEmUaBINLwtQDGtUTHqO^4gTPBewwIpo$ zJP}_z4Dc9n7d)DbC+&mSjIiZ7b~6ZDCeH|4hTn>?o^X>9wx`jh61E>lupVK{%M5U%jP60$GHWTEfNe_Hb|EN_$X6mD zRae4xFjn?H6Sm((KV^h1PYG{>ux0iwBy3sbl(6N&kg#3Gqu4ZI`z0hnOYy(#K|FJ% zgzc#alCb4+}J__Coql!j}0m!d883 z24VYd_#t8I1G1_^*s4DzY&iuo!j|ETuw{6Q3ERuH{97+%`5O|ph4ODNlz&H|{5uQf zZ$4pbQ7#Ev9}t+f=RJk?yjRQbZpX@VpO*hH!)prV->>CwAz^zt%LidQk>x94tK}eN|vdFt(LhVVXI|sNZ1z2d_~KA3&M5`$|7O=+x$5H zu4O7=%Wy{6(qBf{GMo{%47cZm?KN;DgzXeuWM+h|xXcJ!rq2mm8hs&b|4g?eY)_-x zw32{gJTc<>O`&w#Ct=%(otsP89)dhV*nT{{<%BKo5;MY_)#^i)8vBjLQ4Z-8(gzX3zIblm9Cv5kCkrTFbofEc4 zASNelXT!(|+Y4aigzZWgIbq9~oUo;l6Snum$O+qLVdR8uWpshCCEt`2wim+43ES_( z$O+rmVLTVzb~1+2uigE?q~(O|Suk?K_A@Y?oUo-8=7jCnVbuuRM_?s6VN0u;6SnJM zwdREFYp`mBZ5dS4*cp!t@#PO6BLr?~_3F9_#IM<@!i8O8#2dwVB8?Au7 z1aSpIKbO`O&n&(o)}3HCN|MdvOB-1M+hx4j;7T!9cYUFjBxOV6)+JLYnoZH0p zL-TX0As3NcA#AypNDOw8!)@YnqDj*+Cp`ZH$i<~a1`d*v!^gWC6+6>xl|RR(`pjSm1wSA1?N(NbggW9b`y?-ysqTq zDp$xSscR##mao=T48a4DUh%+{vA2iX^x1?5!oA{wD`syGwS2{)L7G>xa9PzX>FPvE zS7P}7qZZRl6SXblDg}=yVdRAE*0_wy2wQzNznO$Be=d;`wse*fwj2?Bt1HjvQo@$+ zj8i)$Y){1={>%3k3ETOI=uiGOCv0zkQJ1h~1PNQF?n&4Z%I!(muEidCe8>w;DVT)q z=ql6kSN^4tVm77l0BJG~ez2{~^ALhVaB4qL%0uwEzw#KwoJVt zVM~AbeW+~jMfde5kDC*=H^Zn;*j|B{o`fx{-v2w;ltN}Jh2P)~N~w&w5HzdX#M#oD zXLYY$4)k>_1%%wD?+qk6``rAo+aC_InQ~Bm*Sh&*PsQs%sYBe{NuoAUW@Mt(iaWjFZoB+|exB?^?QG+$_i4;e(R2bHVsM zSgq7txL`b=1i3qM;`v?67mOE8T^a0t*DLeKpTxvF@%6OdwPL~eGg*l-nt0WM@t+z5 zbF7-(3&vlH_0t_kq}cCTKY#o<)W#jJ<{R_J&!ejent1hs@rTizsIg06mSDC~VZ`rR zv|z&CIQUg)qmtk0qm}SCQN(;B_legKg}=71KCgk9Vmi(VNIq`H$>%uk`}s>EuWOpq zb{M5f@%KCI;NOKzbE4yZjZFiz+1VMjNOL`=x!N%%*J3A2mvKDE@!4BW=UCFplUDKy z^U{78Ay*>rQz351|62?BITG=&8a8NUv{Zvu4t8-l+#9qqm-~h)u#1)B?WzJ7UiAMD zsRHZcqJ}DPgH~>+0&kHjaD!HUXH|h4v~s2j+@O^g`Gpf?gI3N}f%)hKZx?WyQ@vT*X3!|+;D`Rl|%T$324c(xX(a_ff4O%%>1?G$CYJ*m8(8>*3 z*@^e(?RkS%220cjJ>Ukd?9|r-ZqUjYKpWQsZqUj?AU9~`NAdQjmmY9~R>rgbjpzY4 zXyplKV!-U=HfUwG!~5L^tvujVG{g+IK`RG*N0?-KzztfthaPZ)R(7BV+@O_HJ>Ukd z+@O_50c#V%HfZH$4iU6OgI4w$v@-Z^4298E z4v7DwwDN7_affjk?ai}74_HX$VM;15U!bINlak6y7bvMLzg8f-JN7Q3yu%gr?OZVS zt2C=>uAe{lNND=HEo#1$a?B%?V+I2)9P>!!nAgo8cRwq&1Hb=A{Md1>76y0PmvaX9 zsg%Key%&S~-=zkF+hA}Fi0uZ0yZsmt`L@B};ujtlG#FeAg=;;kw|7L#Dlon*#*E0p zZ#nFBV$6&l!B=tZ^>!lBm4{##hBy8XW{;$=)W$vZ#g0y5%DT z%cIk<7i+nfOu{N-_wZliV&f%Ga*3NP)+#UA z8$YDCQ~U?e*40M&z8Jt>}hg zu)QN_CZX z2|h{>$4T0&0Qi`bW$#GtJ(a&#_xO0qoGyFE@Mi+ECHNBeo*~)z>0C3Hdk3C`Y@zuC z6&T8|peE+LZ4q?Jo!FR9jzBnYyczU8|8^KY6K)rpW&Pl7d#;-1vs^T{|J88_E@#jg zSjDgKr`l`*(YYJ$P#3jrt%ODq^%L2?Dy^}3v~d=sF&uGbaz z&>7D7+*D_{4xfA6IKI!AeSOa7nw?c6_s8q;iUWTa@dXC{9xFHa+yiop>m@fqlwS z`|pGGoHz8$IUjZGzCJ&$=J<}cm{`!*wWw|>d;;4ci?CynJ>2J~vlKo%(l4B4j`SBI zV-StwkUYv?fw2)rKjaY|?H`E}D$z&qjUaoh99|NAlF5#f_8S&md?<_){fFS7s=srX z<#W8ZMlYO*m^1z3;Giv%`p%L1c0@0;tLFOr`S-l2oxi6zPx5p+-iHxo#$AefmclM< zha86;)9l4QZz?iAw@~$esYdPsRDH613Dhq0IXR{}-dXt1j30;El)_!G9fB8L&P(DwewtYHn+bfaGuJZ{xg%Q7$Vt?cF=BJ|Hh<-*IAd!!$+TV&%jfQdf{Z2}5 zjdtbO|GjiUeLk0;%j|zs`#~c|83C0$`mH!7V#Lu-8Qq$t@d-u{7eHnk|X3JR-Yg2a2&G;!T?^ zi?J%=J)4ch=#DO89wWx;Xa_D-R*W?f!7e)}AkFn)M6%0P#Q2x;xrNd8@6*WT$A%_H z4*UE)a`5fZ)m+@)D@5(o=yU?w?+=!tb*4o>!9=nD5irm6=!;yX4w5__(MnF@g9CnA zZ)UWTF^2~H9@w1dypv#jCy(9nI>$mNkGQQIWcYjz;NA&C}nG|vh6RoT{PDo5E_!HGELHpe>+hi2NyC_; zIdr2KbD~f1*Kn)En5WCx%>kFQ&M0JC-5T(7Pm7`-aJlIY_}iPM+HkiAzeddRDB+dM z9b&A&2jwxr&CV0ivZZi0Y=;=^Xtj6AGNDW0Jwc)E?g{ktB0nm)H{cVXFuI!ub)RIZ zM0;>FuL%xDZ3ai(9Dnx*N5M# znU$7ijQ#TQ7(SJe3_9g&FlQ>G7*5KUW38-=X1J~V70j~A_zFhi)bg3Q&Ztb7fc?|T zdt)Y5CQd?ldifp<%}N{7bnxWoI7}kn)$%a>@4)(73K{F|0tfM=_aJuQUYJPp;@x?s zE%Q5P@gAIQPWe34H=aBm!LTgY%$^Ka%A8*D6edr~T(C4;Eq@!{JU~xET4#FB%a1_#qsXKfAOJo=iQ7={Gol|X5dV; zL=qo9hb~`dZI0~3)+v98;pyr|j_@d6Y*d^kM(bxprHM)*vzEe1*m`^6VB=G+LQp=; zcOwGn2hE1Bh-YnwMk`-%5|Z>mDfb?u$bc@zPX#h;W0D7y^LXIF*gdpQ;sP-GA-#sB2njc~+V zo;?$>oo668yc%D~tG{wPd+VZmk=ZE^K?(82+#Tw`6KKAo15coNR*9YO-TF}^UHlF8 zv}8|wUcGz-o8)qSUeYPwfhiDQQSh{s)k{5fF`PKwi#)7PJIFh{HU7+E`j8r2#eAuc zX0hO3Tu@mYwt`T+Wy7k{aQn^`@&m4&0bR{bfZ%PCMP z55U!Hd>zBB<#*%U6<^PAd%1&S^alE#Uhan)#WynCQ9hC7Z(J#NnU;U+VwNv$a$BKI zx(nssUMT;LLiu+V%KuuS{I3_v{|3V|%ZFhbf0N-kj#uLGuO5tYar}3c@acJN&wC2( zd9Rk=-HxR9Y55N`yrxk8{aXI%91Y*m@-JljKcMAbi%}haSIZYQ*zcv~Pn?H{?=NHd zmH46ESpHD@ctp!@=P-SgOIGN3*L(!V4+kR+(yl!rPy2Ww?N8FQhs;9SpSCk?Yy9)u znO4TvFEp(zi@#(Y(oy>>hAZWVFbm_S*!M~K7A}SVkNYuBb~y#c)3fQXBmT{3TtsO* z{WdL|Gvas57iu}b=l)7r#@(~@k?3;zUu>tUj+y5eo?Mo;d7f#emFpH^;pWjz&z4eYYK#nvwKyKUZAJ zt!UieW%@9ZximnGYSfBdapmLD&1CMk)U3w09> z`J8t%??#*HJ6oN1LbxSW%6*cBC-GSKV(g3#VtM1!!eyvWNDqcj1mcM<&+njUJ3bDp z%{?4>MuhP$?oD~-Ntzi+PDhg6+)pz}67S(Yi@-J?J^Lgl-qV#OYMU7!or^08>7|WO zx?gAJYW!}uttqQqyQFuN>fJZ3oJ_v`B&HI{?Au>r5~pRWb5V^0+`q!p$QAK>-J_cw zXVlsM`4K0c>Yj+vIC?vtrQYv;h2g_aLihvjs|?>Y1K|T*o@_?%bt1wCxjbo%zMtWP zT`u^epJ4b9my7S{+fGJ!n#+Z7^dOGiLtQQ&qo4g4!XI)u@kj5%IdYiG2|4;}Omn!) zi8A_c%zK0k@lj`-Fb7v6E*G@X$8aq=%H@JJdK3rHF*5i@4`qKJ>z;?c8-4FN2p{J@ z%J8+U&++d2aj>Ijvx_>UTSw1kUz{MlG}`BadZKj4=-;#4lcaT>mQ*SCU1;i-{c#Hv zpX#2C#u~!#lkrTK_lnV@$0Oo2X+?aW72_;E-Ms;0Vujp~#j_>VD!Ctv&k&gdcpk>^bJ2F!ys(TBN6&vE&j?ZIdry`3$?du{a69xy)Y@+WUU#fUxyWp6bC zS43NVl$SL8`Jc0uTo8F4s%Q5ZhF4NUUchZ$huvOo?V=<4V}Fx8eZgqKg^Fz!BZ!{F z>R^Y_s2nE`a0e^hh8jZ!=v!enoJZ<`%V#nZ* zNH#Tk0hP03CD}B+ksU9OCa^vp&-%>fl~&8ytj%b5E$hs1#m*1b!_aR;cLr=JM{jV? zm;3F|*&(NNhgThE$8UK^vE%!Y&fW1oG={h1?@$@vY55V(batXkZ$rL-=4iE(+-1lS zZOh_!lTXGvdeFOz5k{-f6?PBzBF^jxk8~iw;4XzRIC_&Yd%8W8a#?&eS^(E}FX^jM z&bF5u3;@^e;~p~-B|VQd(6+nsY42#|NihDyJslBfYZ`~R=fkK(0gc1NNTLfc5baU! z)rhG^tB;3ql6xzR*61;u)9ozx0T^x3;kX#G7!@!kN1viGPm=Q2WyXPV^N^BtZ8nth|NCHqhRE8H;H*TlRSQ^zQau} zrnSFEh-{{toKEX7k6)CZ<0iji%{n|D(L6W#K9A@Wu{zy^ORJsj@eA{d+~gS_);y1& zl3(g3H)H^+NnIZ|~mC#!t_98sTQ z6vu70<67?EaIK|9vOBq#Lp3YtA%t5$2=9DB(kyRDN+G9hDf}g)xD0a4HZzU7lIc|n zU(KR9ifhrxI2b!5BS&#o(1dI!2e3Ngl}L7Bus`-TaX8na;ORu}<+#?pccTv2I}bZr z8Rj6@n4(hd<;=u^tx@-~;ymqVBC)qJo@2cb&nwAhNy!k(_#qQ<4oC$xir0R6LoUhF zlz(N)qc)aOB5IpFqf{oV(PSxd7<@Ewa%quL<@!aQ%#@sYbyL<#lTiI4ub>OgS9MV< zrxxm&5pD7~^Ke>kJWrp>?hhADToHQvsg+pluY-|Nh7)I5?<~A^Le)M=ms~q^7V$a0 z_AbwQh+oNgt|pqFQw@9X$Bxzq5ae1SG1&QYCgpOXNz*a+$1EwA7IBTF9>OvZr*J1z zHcP0Tri^aN2_WHbu-KHsD`1quZJCMFiVKluDo%t08BJGQnKYWZ7|p4t)3e-MstVC* zH807cxpoztO9|4ovgz4PsMJkaKCW_we3H605^MQtUBwU&G4sHcv9|}AS-nc$z{5yn zl!oDo+1o>{f1+9@b;KPz+q?(}TUp`#HRoLRY$)&PpNcVmTuCE?SZxmiTLI?wM$`y9QF+C;lF$@#Uffh%*&t!{z63b|0p&?j>C?M?euSfq1SBZ%j=r- zn(YFK2vIasw_G#;*?;BpT`Ue3doWRO_$^Pd#G%0x*dvb*d7&u_BmFbq?;-?g@ zmplhZlX0Gu!iSmXAq2M{<2XC*jlcrWX?Y#TWakDuV&4#ktM)&GSumoT1|s6zU_Qc5 z#3!A0p1fWOqfTb|Sip%;(F@Lx2MdubahjM~PGilCmCi%S^j8X5L@E3(y08Bw2oCuT zdLpzJ1>G>Bn`Xf0VtI>)qkM*)bV=|T#I#Ui#x4o?POB8M>ir+YrW7(8?pnEn3M*sQ zw~?M@vAwBQ_v#OfbDXg!^kV{^k3|pn>&WB|=j;*|_Yb(Ga;xMe{H{0VkA18iW($X| z-vt(z4+`87YJ#`rBWZUer-9$KZvI$4m3Bwb1gSf2&fK#dcQj|U-}U7DvFFk84jejO zS7j{kWZWHjBjb0iojnLFS(2eL&_fj--^ue7KFg~K6I{I*gRQT&21i;u0KZ!J+H((-~(F+EA5zXg?1D5kY zxBmjf`3JJOMoWu&{SL>N_dmQ%3&U?=i}Ef;c_oJZvKFxnMe7rw!8B{~Zg z3je`y5`7vD!Z#VNM#teu!hbT{YHmk8LdT`iWK740PGYGtGBdugGPE=a>Uov5FXT&9MVv zY~_9yG4o7_#NjsXBp98h|JE?Jb>9!;0`n{jP24gPTxeR3g)z(}`nAY>f=xEsU5R8% z&2|RHc$a;++_cb`AjS&QN@JoJtIR|iZDMqr-D&LNvU^sWiBn+gDs@|9e!zycXn2GHTXJB^)4e}UUFMJ=mU=W8A zt6kX-0q$jQ%HEx`y<0nR2_G#^eCuvR-|$jB^P3bfo{Pc*b0xO+GVg2bL-SJ{k-gmW;hCupnp6Oo#ypb>0Mj_nqyoS+I!z0&CB7nsE;OkCFk=>(Q~;R964Ty+ zWM7qJOHC>O%$Q}Sg)Q3c@gi!uDGC6avv}BdNS^D=$rvg2PBB)QqYaF&iE)cr%3k=o zv`)AA61{yxjMe5)Od9)5G1iz89jq4PL32Et>RV#0HNRv3d|Qks&1YEXU1F>=SFx?{ zmU5mmU%>#d_eji3=3~rruf(i353mjH6XT8K7c6v*cOOzaZqkH-Yws5;bQ6n}(|$*+ zikqBG>jCd!q{Ksx{W&ncD^7;F38xtVW421wO@?EH+V6|i>L#zjv;Bcoq|HqxGVVdK z@W>_&y!&N#+!haSn4Lc7qZXD5iNI< z2`uloQnM9qa^lIbekTy|svI!pr0L!WV9dBRIbeJztOs+znAX}HFy@K%$s91IwJryY zX+4(%#{sI)dYZh0>+G+ z>?LQhH(j6BySyZ33;JTUd&zMeg9F4mz)M=#W5$x6Ctr}oV zs|Fa;ssYBd`UV)wDw6}ov}%Actr}oVs|Fa;TAB+0)2ayo(^}pq0bq`T?py%44}h^> z1B}BB0b@POq=2!WWsdacqKv>40b^4Hj7Wh@$)v7N_t1j@`C8CHM8opSumkPjK z(c@SmqRNTcYdm5um)q5<;d>-|h5rgBaI5(f2jNoj)@D|4W$p3>Fg8NpFY`G$raJyv z_)h`ja6`aYE0_YtTEVB&3SNnP8DMPqIxz!`4PP|cXQTlV^H@8K--=N+DPYW!TTKcW zvkTgc9BwKAY{qcCeaYvujdpWBm*SV@*r%E!*$}V#GmvMRi4BbPKA%=iH!pGR`@1iI zu_*$^rU)3DkFh!_U~GzjvFSvmGQilRfHCLnB9j8fG?tnaFs8BGq<}Gv6($9YX{<6S zU`(Uiq<}Gv)g}duX{<3RV9Yjn(4>GdjYmyU0N4}-fQ`e$9um;OIy0BXHex*I__w3a z&4l&X7lv)E!|R21c_Y_mo@B@DE3oin-8Y7@HzsY~CJV%;QV^OMGz=3SiuC0|8*I&=tiBT~Vyi(zHV46Kq$&CovT>gdO+kfUkoS!xzQ&%79Ni zGQgNaqSX`uV^ai-O%X6QMZnmkfH8Y?nn?lUH!*;wn>8HH*9Sa{cbF6~rZLl`fH93Z zCIyUX%+uxU=75Vzrzrx)CIyVoK+IBYxD+rpJpg0B1{jAM0>;{QDPXL#=AL5PrGT+1 z0>-8Y7@HzsY?NMpTFlclXyt}`!LqbZhSfIzn?RDvIIxr^4jbvY4^pX zG*cwFGd=7j3A(v=ZwcOwiyO0#1TRDXnSK9)Ht^5Fx@z7dL9jTE`G9y(0bmK%1c3dT z0I;tDz>+`(fF-B`z!H2Evswj!eH8$fy($1Kcc6UEZcdlIV|YNbC0G*x_Ei9wd&>m@ zVEF||DgYdH;s`PUV812+T#fY60?}$Hu;^1xrEi9EI$)5mol6Q0Q)ro;L<|0L>Mwx7_wp?y<gU{g?vAQ2{WP$L{fTb+Zw`Sehslz&I4Z z*slS`gVv%nGPzRqK~4r3M;DxgTAVIftLL8T#Iv;sK7^0Y;2XF~bq<)TGX*e?F2vkU z0b}{)LVPxpFN$_&AI+6@FH*pm;bqY}Y~ypeaQ6a?^_s28eHKxW+(tP7jA?`>1&ryq zVp71E-V*cz0b_qdz&KLCSdJo6z?fYXMjHagk|q?u*xwK^j@ofTiZA*qGCNTLFct(l zmPx6sQ~->l0${9DLjmKc1LHovfxf3l3K%oo5h-BI z@XY8iY~!04o&)Le(_!3P1dO#!ZY#8j0>)at0>)at0>)at0>)bY*9zr-y-@x)3gv$@ zEq_D6Sld$pV{K0bjHB*$R8;}v=wXHxFxK)FFpd;3*76lF*76lF*76lFPRrjAFjo9j z0pq9$82cLn#+p_EV@;a^#{PzYu^x*8#ySRm$vS`_#k`7t#c(B3z?glXDB}44xF6$W zL%>+uNdaRWGrwcLP|H!kI8wlvKJ-VOu>!{0W(pWb3K%oZv`7JChNnCJFYyv3exV2$ zt8WF2b)3A!aT01>6fo9t|JOp93K(md3K(lYy{cs@V4Rk@Az-Y&6)@KF6)@KE@DH96 zDmoq%FxGN51sI#5SPkM7FqT`<3@|o)Zy2Y5u}J}Aw(4Yq2VQs!Ag?6aot9Hhg*DLa z0T|0ACjetvyi5uhb4~06V61tErg>Aqm`xIzB4BKK0LJ0Q0b}*olKM*lV^%CQDPYXy zzG8Sv&j4f7127IlCNBWSymnE*I9;7L3K*LdFxG}h0b@NFJ`vb7V62%ZY0Wnc7|Rls z0md@+<%AXQCB0OW0qy}9tN(VF=^ahKucQx63K%n{Vp71E)ouXB?=WC2_l+4~EH8aB zz*z3bGQe2w$1=d!@YQ<;7@HzsEYHC*z}T!qhXF9=@Lp?Dz?hfRKgCr50pqO|Fy>Xv z*0_}gV9Y7%Zv-$lDPYXOkI{nLBLK!U0#gKx_0GI14>~F)1&r@Rmc)F02jm$M2w-e} zgk2e6Y>I%fKBq_lV^ai-^+q-WjC}=+S>=ra#?n$Y1&s9uw*VNkVITuMyt*ZTu}J}A z9vXhaJPEFLmlw^UDFVi(2pF3pV61QWrbzKslLE%9Qg6W6q<}G>bvR}vu75MY*tF3| z0b^4Hj79$t2u^B%F$>ZtAtVLWl;o+q8em*6Wetp!P@YjLlhtSduZP1LU@VTL z%Jqw^0mk)G_NmAkU@R_b<xv520OO73=~LM?z_^#6T8YK}62O=vM*!nqSp+Z+ zHx3x{tcR+v#2HlqW63Xoaj1YX&r1RrGX_a(fUzV^_X=Rlq&2`eRKS=C1S^*0^#J2g z0OL>q<4^%(9*zLUl1au?T95$73=3c^(KWz03og+ z5WtvO1TdB?>FOkaF=HNS#q`Z%6fh12FvcTF#PtA-^$C3n7@HI@=7aRmq<}Gvib(-u z8a)AHU~_no7KQ|j!;p0ulmW)_e6F7}h*yFlO|>7|tshbmFdmcv#%U_NoW|ZFVBBv5 zz*w)@QovZR*)qU5+yF4f!Ah0nDzE?;%i}{{XiCAYsLt3bt4_c;6u>x?kIdoJA>B;C zSn)U9_3p$&*c4!Fih!|60b_PXXgbk10F2p16|H<7z?iYpd8i8k<4^$OPypk88vw?p zPk?bKfN?(p#-RYlp#aAH1TcQr&Y*&Xb?Z&F76IdaJptqXoLvGKug8FL2lNDtLk`^< zU|doYfblq%HIUOl0OPZ1mTBgIaYT5u1{fO-og6T>JptoEJptpGO-Gzp0pqRe0LD(a zKMKnL;{!>?31ECA@lE`FL$(FLc$n5T1B{3D1dPQL!1%s_>YyiJJcgGDM4mB#)*wj0 zSO(n?rzC*!Gh=a!=8KjM<2k{3LJX`j{R0b_x%(&?$5AC;Mm{kgW^_zV~@bGKaQn& z1djbB%NQhZ>|e&<01P7hR|+4(7UdB*7G=4Cg8K%q9*!4VW#YcUYb?Sk6ZZ}N{s4ku znYeH82E&yyao^w{3@2sczQLOeSIfkGgMTvI8WHym9G6C0MBF!U#h4rs_YFKT+9Tq= zK_JG|h`4XiPmF2N^T-+W7h`%v+&2i_%aFPwBJLXubgzUlGa~LAMDm08IT3N+K!5!{ zHzMvEY~|hnKl37vmtY(B128%x;=aMQ?oluo56`{qP1$>2ws&i%Lfkhd?i=jma(_(RH)z)|Mzs^{E8$YeS2jKw)U8PV z_WKlz--_gKzeN%x`P*-?1WEq(-;Q;HIwpPrfu`Qt@rUETtw{bh6pYcY@1%n9onZd| zXBCWjMcYs?=HyQS#&l8xjH!=T%>iRt ztvO)KinQf`F|EltV9b>5IbckmQ#S$_Gv%~C0mdw$`_P8(M+ zX58xk#R|q$Z*F^s6^scjPss$je`M^CO`yf3Vpg7I%hVi5LK!MJdi*_49u zp(x>PDH!uUGgmNXec!%-q}D%u`>%_fp`rV%V9X<{uV8!xro-D*FfLSR%M^_NTmX!D zE1xSE^T|)HV9bX|xq>l`_DsQe&Gzi;f4ze7582ms6^!{rDpxS3v7(O(#)Y=J_W3L7ndkPp!S_O<1s zumBjB`3sN~FfMmuxMhH`R|AawJ^;o83xILC02r6~I})>ySGr-DKO-?0FYOlT_8E+#`e@M-KE;a zd%{hoU3?l{Dq8$;1nX%R^D+a9yo~OlUCgYda00fec5xSiB0jPb0jav8#e=c3_gTC6 zo9L%ZyO^hhx1n9k>_R}=&DJhvl~e6v9t^dMm+>eztzG;jBtc8@zf9tUxl--oQxT+g zF|YgTXcu$$rnHOM`Kfksw|ZK#D zH~dh$*oS;&9qnTEr)V*!K&D;HaHd_%aQl{O7hkUB-+Cd-7u>psc5$Kn+Y9C2Q7He; zLiwAoU2IVK5nLV zF|*{_#e2);XR2LHL*$)QyO;^H)p;bsnRfBxES%cKo!Gg#+Qo+;k7yTvInUf%yLbtc zP`h{?0-LK{d>b=UyLe1fR=F+IF8(7tiFWZ}xYx&HnRYQ3{7k!;i*Ke~%!M%1F6QEqX%}7jr?&w2Qf5 zW!l9Ye3^FfISi-T#njOrooW~FkAuy$i`lK2b}@S?(=KLrWZK1S-CVnPcNm#=@oY3! zu3gN##7w)Gtyo{Xn5lB@VjAy=c5w$BZBn~<8@!ClwTpRE_l{{7ufx-Z`r5@@YjW*k zf+6*_i#hY^Y8OAuI%nF&e`TEsVP|M@rd`ZC?V@(^&}tvhVtUK9i!VoxcTBsO#cx!* z`0$Y^sh)Q6$%x3ci$4J)*Dj`!YZreOMy_3aBaB?T_#PO!b}@}yyO_!FJP|K9p_T)TJ#j9j~zMy_4F2aH_1n67i};v*1~YZuRkk!u%U03+8f zUI`=DE@n)wT}-1pO3~u`VdUDy&%(&Hiz@(Pa_wT`Fu8W|g)nmM;_t)AwToYek!u(4 zw?jd@_$(N?cJXImIJtH)tuWUv{yMChcJU*yl3cr(RyEfyUIz>B*;4J|*I?m2TdG}L z-VxoDYZp^Wv_01@J{}g{v!&X_^I_r5TB=?AMOf2w?c$%o>d3W=x8)m!69oNH0oOANV* z16KE@X(@3m@~#}@8dKCRUc^is*cx>&{P)lZYufCZV3CWpc^X zNN(bMRTs5#YN4JPQBA5PH*s2TJWrp>retyxSA^bvY9$s0YN?hiBZu>{zsmxt2%_c2eQEiOY#5O~)MJV@b-TMFtL%QsGzz z;w0{5%4P`(Uo4}W4=Rzcs9j7pSq_H_k!C7R1PZN-Pp(WFT|r*^7cd@AS`A= zg4)GQ-BY`ma>qTji`Qb0JU--wrW8!=;?Y&6a zsa?#f_x}zyrI6W5;WxO0AF14G2y5U$SFarl8DoklxlI(l zB#}Fof-r8gV#U`j7`q2!hZ7R?yH+e1OHmlN%8yR^T~{v{`*Q=cg{uSc-*F;0Jc`fn z{jRSq7QKMomLTxP+n5kP&u z@9oP7Z;JBW3ng^?pOF#n1LfN{8R5cNW>Yf4LixTO8DXJ(GZ|st4|cq58R0_J|D`g* zLiws($>zxj7b^JglM&`)hCav$^TAOrBP^6}CL=7AZzdxwly5^uIHP?3-7>;L`PP*Y z7RtA-jIdC?b!CKw@~tZ)ER=61BP^6}CL_Fsl<%Ebjki!nxG-z_EF&zGZ(SK-p?vkX z+h+w7Fm7`;S4Ox{p)HdU=Hu47GQvXnW-`Kj-8eau5vI|e$p{PO`)`mD7RtA-jIdC? znT)VfzI~GsF0|b{AS28dx>ctkBW%tPt>cD_Fy?a|0bwG%serIhzNvt)P`;^vuu#5r z1cZh1O$CI7^4(BCm`OwvfC9p#e0vB8lk&|3gn5qL3;|&#Pf`J4hTlp+n78MXRTc68 zhBqZ3Ov+aTgo)**wa)~E33_g+fH2*q0>Y$xGXY_ux~YJ$P`-5pgg2mk@wAm~$*fRf z!ZsBUCgm#%AOvHj>NU!@&jP|i`KAKGLiujFfH1Rfp@1+c-&8=jNcp~nfN+uWO&f`n zZz>>Mq ze6>B5@>RiDrF>HXVV0Q+#wz8j$FG#{mI??LDc@8;So^a``KAKGManl75H6%mDc@8; zxJdcxvHU{QD&@*9kMaoy(>9=Xw{Yd#z+bI(e=Ke|@0b#aZRom=2h9|$3fN+uW zO$CItOr?CO0hI{|Yne*<^4L<*;+G3$D&_lD0>VYgHx&@pG7FS%Dj-}K4{tJ@&WC?8 z++LsZ%>;zSWhNlZ^kF6-OrzQd0pT8$uZs3=Qb2g4l&|L9lz^~MzMB#d?m_vgzdj2H z^NKUc1cdj7QN_uLi*OSSrF?z7Ol)StOa*GAl<(#W2=}0T>kA0irF=Q`oIVH$_n>^= zmVj`B@{Lb$-#+D=2?z`2TUS7s_mZnJ0bv^5?}UIbDc?;B2ya07zGDKyLiyGe5awFb zmI(+8Oh8yD-%LPQDBny#SSa62K$tY-@=QRO0OX2HK$tPB zG67*4-I;(eVaU~)fUr=$nSiiRzL|iqP`;Ufuu#64fUr=$nSiiRzL|iqP`;UfaD(!F z23(At=k$RVc3iE4a0hrZ`hmi4MU-PIlpU^FC=)YnD|QhzKm*f z%r-NPVzH@2r%=9(=D@8*Bco8hjHyw+Vc3dPt=!p*@=b5s>QTO7Z^}1KE0iz89OPOj zp?u%KE)>5Adkd7Wh?f>sM|)Ae63?tX1cYmpFALHrUi&HKE6LO1YLsuilr=h0LV3nI z0>U-QR~$)|>laz0eCws`Q;{{wS6tM}sfBvh6%AXXd^eh>Pi5CA-(G%dB^LWjC|`~o zp?rH~;nN^gZKISg->xFQM)^v9p?pK7eEB?HC||}PX^rxgr0HIve3`UH`QqzcqOo<=az0n3S&w2n*%QLnsIcZ$SBG0>VQ1zO{hx29z&5FuQdm<%`eX$d;6E zzYQqg`U1keDc>+;)%%h14TbUzh4Sr3%6H7~iO6oxVtezf?$w_F`Z`ts-0e9dy{@U_ zK-bEd&+D2lEbgU9Qt~@(%HlqZ6NcNuCil9Iow$5ExW%94Ehzpb2qxT0OxWw{nAn|0 z-=MM1IN@=}Dvvu8I|r@7h9yW>ZRM0$A!k=?0_R(pVJVQiJ_T}b-Cm3PM_SwtLmNPD z1IP`xaVKHaG=SU^CQJj!Z2-AuEk=U9*7F12m@k9ov;DFdGmL}f!d@rFO!J6^alII` z%^&b<4SRzabIi>&ZWLp#IdTAuRbtFDhhpiqH;Hkcc?AX7o5kofEzI*3F&3KFaSdy4 z5o3{g8s`pss~Ag6dk2iKim}ujgtLjgO^jvwJ^F4zPnVn1+7NTQ$6uH$I z)-o>{i3>@)yI9M;WD-^xyNCZ87aK2ml1toVu~vD>-ep)*eBLK_d&vtY!rI&48Mh2? zA-mfEa(UjlRDKmxF+XIRE|E4(%wFSRT<-H1{Z%uRC!s6+S75Z7Ke5Y}`g76PZDs}T z*KC*H0CGRpj)n*Vo(tz0syf|QD9UIfF}lq~%wxn@ZFb<~v0|(-)N8bZGH3v~oQVw}m*^W#L*^J>5%@LPU0-E) zWv|Na@?n{;vb(ZZWp^c5lil?jKrYtj29OKltO4ZWO77fKop|;wIPrucO%b2L(|4sh z=OiaSvjOBfaRbP`ftRM!qkeek6aRngeG8mbRoVW&oSE5Y&fc4IaDaV)8O|u^z;GEA z3^hN;)Wp1mrirFGA|fj4sQ5{yfQkl*$|NP3DNrez8fX^g4a4%9;U&YgvNA7erm1D7 z_J5vtUCutk0S2u8U*9+Ue(ZVQwchog0d+%pI=Q_$A;bhQk6qZw-79N6Q;d;uQ zjz9M#C^z&1a)nA)Xm@)7xqp!H1>_PRIMf+%DIQ8K zapT?HUO=vU{?J}P?*9(j-CjU0A5-I*b2r*u-oYZZ7m!Q-TcO=$i!_x1lY+Y}V;4o@ z?z0U46$x$F3&=$y2<X1?2u81>`pD00`|sPK54wR`;rA zKwlLgcc22~P8&%=-0hJJ5N;m@!d0+YrU`7e;AC0MX&p(}?9W!<@}+oe_eCJ`*xt~H zSok+b7oRYw7dDG$)4e>lV>u|ITD;s32Dtk|IX`*_Fb%HEmGG7>36}f=!#~^yFoj?#MJGIjZkE-+HVBUah&;HK zPV(r^N0A={`{4Ff;QXw%Oc18tk331;-lT4Y$7YDscQ*#_h0XqxV6*&;!TJBnWBVHb zSK4}cYtn%@1ywQX~x(Rm`@oxojz1U4gV@;2L=kfM!iJMHuyM*|8 zZ^UqXTJ0taX!(1|EA!YgZ8@Hx>- z-ozCsZuF^5@{$rk^(CK=7(4#Y@YsHoqsL3;XL0WTcx<1+wcYaAu95yY5!l!Geg9&Bg{K)F+Xs=;*~?=K9@|uB?wFZ@8J3Co ziDo=5!*4VLH8*w=_-;O0Mkx094A-QFXe5{Toim(INt8Vp%B~qc#wtbkb8*~Fl$NNI z-r6(Qz^pA=mxHoL=C3mJ9IkhJ3(B`Wx{75!p7BTE=jaTNEz3-do?w|zNSR4hd2H9V zuxFPN!+4NDf;$yU%%?J0c$;Z>Z0UNA;j!%lWnOY2?iAv~GcAL0rhc+5>+_k+g%~e+ zKUs$R;`pe{+0YvNWItA-Lr!T6VY8`*?lF%o&n&6RV|xsyL(7Nbu}xK|$2_(x(He=o z1O;sNU9bdI<+0^g#chVi_RCN#k1Z9;WBc$R_Vrh=?TD|DQ7|zY$u3xy`2d4qQnZ@A z^Q}w_gO2E7D%XlKEjkplJibnp&gkh#sg|=FGQ91a69uSkd{br}`?@NR?eoZ4 zY8gmZ9+&gBOV8ONW3@e|Fu6H1nb0|R{Ah(%-&zdMM&!WR>R zo;eEQVtKWbE8&_jAv*sun1qM0-!IrxeDXtyXf8LsLCEhUq6;bKLw+U^T|_wv`IST@ zkiAmKk0c`D&}<3$jYKq`Hf@ld%=yNv^|q9-^HPD*o8Q%pK~ir;=&Us(%!?lfJQYu`)EnpQ&TPAOe(pI>GZ#n}#HUAFf zX#r_ut5DjeJZ+fLwgzQ~v=qKft9)z*rR~1-H?wdJsa>m3+A4pF(w0*o zuPJRQ8%kTsTTE&DRTcjxQoB~6v`xidnTmf)D*mmh_}}FcrV+fiQPv3F?@`tW-tSWe zf;W2_`eaoRadG~4kSMOnMt>cJ-;nZEQ`+j-HSkwKY0LC# zl(uXqLupHSla#jis+fPgnlXh^_D?G2`joaR=K7SjshDr6n9@&g^ZX}<(ocV(49doB zPsEA*bsS<1nN;}OYxX?Gu7RsQX4KZ4ZK3bA-KWp+SmlT`CN4xdm2bH%4ho ztGuDKmHRDRKe&TwzU9B+uhG2(23xljhPd2GDt|quv}F-XX*&T*3C?+?YNV2)w56e0 zokv4%)(F~PGI&yGbuUKZrV+HfzUQ6hjD3Ze%OMy!uX zPCmL3yU4ieb0inm-|qNCi$C@*7uj&={k$qt*&F6xkO9X6eP zag6lRFrN$RWa*A!zh~TIrFEU=I%3PGxOB*=EHqX>%IgZ#T;4Dm1T9<9F_gBv ztz05^vxVtmWhrf0q&lVTO6+%5N1w&p$U>*f&GG}$b8uNW-Q5*`)<&;?1j-pMH`7mI zM4tpl8>O^u#(SxLuVAy^5f764yG>Kt^7%xdZqG~dXdoY5H37Gr&FVQp#9!c!x6ZsW zoGZ#tQLA{H41cM^B51kRSW4SBU^byDr7dS(RZ82RvCgN%V?Lghc^^tuN?YD^rzvfR zmK!E+o=0VTr}?L+pq^t~dh7Q!G)GH3*8M6x6=z5!*mp|l+|j5C8vPW*j$3Y4{m()Lm)>kOssV^E$m zl(sb(w=Wq=+XJAyZYXWff$~n$?^t+y$6W%&ag$#j1MNN00ykMY1zO;(Mqb`6a+!>C z-c!&LH`$AMk!U41`2_Z2abNEh5^Nx@bN84le{Vk0#4f?_%*ZM^s)S5T$DL$n>1eehc$C)>HY+s-U!eoJO1jQbCo)z2EwjwtuDNXE$Ui8Rdh-sXX9>>aZ!n z#tc3hIk{9w!Npb&c_J-2^Qu~wqlr`*%^+wO(FNzLa#4;`mMROPf&PU{Vq=~3() zTr_e;=>bxQ@Z_RV+3^!0-Np zU@!}DT5%y#G13#^AZ9ZtS0Q>Q7-r7D%Jt9hBp=Gv8VE)k?_FXwkuR_W>O;k}^{tf{iQ9|j**94__j@N{3l%cd$uQ)c7()L++GnBS;rYUVXBKU$= zp3iAYTX}u_G1SCT+MW&z{?}HD1-bdN+y>3|=Od$LCJz0MLP9>C$op-8>e7;`(59i-FhEYSz`UJ<+EE?Q60jm z>31!e-8zqIiJO1FYw>J>-wuaQz;E-luyLGG4>ut){xzVw{I{SL%(oA**mk@|cwN(+ zQNJEiX|uxG3|BTC&Zsv_%BHXO^Q5(DRW_5HQ8imv+Pq_JMk$+#&X@sYGsC}7`%!fn zqw*(UB&@7BNy|1NLpg$v7w+!gIx z*M>uV5UfVSWVwpvUct(=E_j;&({SLSXwARK)hyf!(>nOO%*T+gT!Qx~=fl&okl&}A zgkOS#-~-B~@F>pZe^73TR^}i(E|s?E%OMn3l=0C67}J3#N_(^rF@uaK6QZYx+^rF1 zV)Qaf2DPG0iY{eM0+;aFj;KF2LP5Pt{Oq*oKGrJ~6mDmE(BO)l@ z73IcgVGQLqg$s?Y!6h;No+zuLgRsEF-&d&6C`$*cM0p@O8cR!jyC`d;=dsYmKM-YI z^koLULzL&DE4UN7Q{uc7eH$xXe3#_B9(|5w?v|W)qBXdX$M=ZxUh*`9uJ-PMwc{p@ zSg7NBMGM>{#>yH0P_(?8oK9_x_Yf@cv|`V#pxq}<2D`~htZ=<>;Vps-lWBJO2H?WX zTlK#fF5HUq`)9+2$t_!k3sd{Q3K#weM~{UI?*pw27v?XJIX#67r>-*H;KEC=3Rt-C zVTkad;KE12frSgRz8@YgoT~c26fQg)wcR4PaH@j;KDaO`Y( z^wh$I`2#S$aA5~<;Z$dC8C;n2sRwZ3MKH5);XljJb9f!^1{eMSITkL=G8Qh(GS%V2 z599exb+~W_j}9zcn652cxE?tcF1*cP?o$n1m;m82T$pdS|0!_cR73X|F3dBl4i`QW z)8RwHg;N#kF_> zv2bB3OL_gkM10w;POb4VJd-TpTup z5e@>rMXZ7pZo(B#BZVm%q%dWJ6sBAaDLfkK1}QuiauuX7P2`y&k;1n~{qQW%@pr(j zd|~X~$Tdh|UTHT2DNJ*N6sBAaDa^;U1}V&&bb}P8yfLKkF39i0*A}lr(6u*6;o-RK zZz)ok?le+(cepV~;ZC|#NZ~mUt09HC*#Q2P*%e4(77c>2IBKNu6%gf7|8)?g>Ix}5 z5Hq>QNa4HCPX;N>3&V#%3bXhYB86FHjTGj|5Gi~m&mw~rqj2txXed0craX&X6@+(V z)hW#W7EI7m{9|4yu#`p$pA3;mVebB_AcdK{F{Cg%-&aWC?8 z9h4EN76y%Ex9Ph4-RAAceC4i&a4iD}M?p%qd`y!juhCnDQ1Qg%_## zH_c~!L4baTFY)yGtVqRQnTmf)D*mmh_?wRuZa`cjg|h&&X{2zfJ?~cWSG1#@?@{p| zqP#j4|6Ub;3z5Q$7#~RCag1MNe?F|@E2J=&DT@?tfDMtt$5`9PQ?^fNTZI&Er!A1e zD`_hz*Qb^379xeG(;tw+!vGA_NMRkDt8xWWnCaD!!fYpl6sEjMr0|_8<{!VxmenL!G(h#-Zz``t8Bm{t}k zEVo*?eh@TZkizrmk4Rx|A~zE$%pw*kyeE_roO7XWq>@4k)6lHW!yy}_@Hz%3Qurby zZW<}f>w6g~d??BYQuumXxI0q#OEe);_yq`?ixj?%g^3hy`>;siKf{wCg^$1;k3kAg z#%MH1;crvcNa43BYostQHU=roi-ti8bHO)AVJ^M~Da?h?AceVj7^E;KzCj9eLK>tn zCyGG|bBG(HFc&m~6y}0ukir~%1}S_FWsMYmgtA5o?~9W)NMUxXK?<{%3{sfgVUWUX zU5ga{XbLHOIvUF&g?X=Nkiu+5ixlP^r9}$U$|8lSR7VP5i~Y`KAcd#G(MFNNg9(i_ zNMYXEZ5k=eM-x3j3crA74%LyuTx%>+_*IxyM+$T1RYeM~Wt|OD_#M`{=CgR5GAF~^ z21k8Jd|rn4+i9fmkWvqj!t`d5!i!L1(@0_Y#M&T*2^+RZVZw$jQkda4h!p+|p6^yg z3ZH}wixfT&ibV=ju}I;opjf2vO;9XScnuVb6sBU4!Zfo;;U}S3q%d+8m1%=FhOtvq)k7 z-ugm|6z1=x6DiC=+QcQx;A%OX8#zD~-ccikPh%=as!B~Gg}=Zo4m*PsUd99t*Rn0H z&$lv_{Jjam<#Xzy>M&w#nweEoY-(0}`YlN3jVs z+9YxSt2(6tl6xYxk;A#11y7Ti$^olV;jxvJeNh%DNeYtpX{0 z6Z1LNQ~B@FNGvHK@=s{QIiOI6lEuB>`bgnF)AH~QSxQFvAaN=W2u9=;Af)io$jPNb z3L>(4$m3|qnOD`a98ILkXa*^K8C`I`Di`HAWvQ|tD)>0da9VG;OpjvM!bKxjgzkRI z5z}zc17Rt{iSw#^5#BnXYUk1=*A6v{)NDdNzPE#%? z%2bcJSB)u`78y7&^&rbY+=Yp>Y!a!Rs*G-egJDSafgn&{^$%bnPAe`%s!)0&?9Xhv z;>x751u3jOon%ULsY+$*YJSmVbL~nwmk83ea;WSkRPB0Gj;ma%oLHAfV!2$ot0?gl zjR&rb-9412&qh3KgM!j9Trsp;Sc*GlNKBT2~^4Z(&XaQuryP$m2t9G$k;R!mTCREx-efAb3a0 zq>;i8vCQqL`5R$B(0I;pc)X;#} zW3OvM>q?Yy>o~c*uJ+b8YI1)qDB_3Op=LR7{jL?WTUSu6S1M4%|3%}RQh_2q2RCDG z$k!oWm(zOc&d?&>sSs1#%FVeO-ze(B*&~lVd5YuaxrTXNlSc8IN4G%WoY&Pc@&w22 zyDJ*o>zX*4Ou)ZGrL(@%w-#xRGxE68Pj}p{d468kAqwbA3+em@C(BcGo)}4>?}4|W zWfU*)QZx#IzC%Z#9RAf}Z1CUl%>epNm;hhvc7y73oMeK!#khy4$<&;1$_%G=FD7N` zzK*0!P%{b=4j9SaVlcmSRW`qdWwI5Jch~3(MP*#ZDTF0fL5WBUmCxrTeyR{in6k@lwMihnEt*sSBA$Ds6 z_W{&UA$Du)U9#IM#BOcqvcd|nTbma}A$Du~x;G(5A$Du~yVId4g5TN!?io-N!EbHi zJ_&D%;J0?L`#cmy@LM~~T?I2m@LM~|WveTK-`dflD1zVGF`_7f-`X}&6v1!p4laj{ zBKWP{QR=1$erw0Me?S~f@LQ`1eruQTB!%F&b}2DeahzDZu*3B33!UHit2C`0lhNHl7_}}38N3iUZ%o@a$J{$=bLXZl&&Q=a) zZ1$QnY4sQ;es&2RY!zW3XP0t_Z{^Y8S}}Nn1~-dA9~yi|ro(|KTc4Z_TW=CZef_{I zC}uEq>IW^O_&8S4+%aN%HqJAA@y|$=#m{>TCl$W*I6QdEQ8xU;FCk_!nE^79GxK9; z`OIAW@h(8~Dxhz6gFs)^=w2D$4Op_o<{e?i$ob3orgAyzanK?qT8{$#aDT3 z!I4obO2%LJ)H9aZ*z?C%dmN(^q6nMK_!?0rMsK5u;w9o>QuHXxEEVO*Xf2vJUM5Nh z7^k3oLzF3zgXW9BDay3yVb=OvqD+tefbkMvD@tc{1C{GUnGqevILk$u86AYij;|Nx zoaikEy+M>YQ8UYYTa>xcyR7JqqRfka#iqJRlm$_H2b7ydSr{F_llzV+i=t+lt?&pN zSR9?$24$tkpAg?%pf8(hg#r4ixvhb|R8||HFBJ>)rDB1;R4mY!N_C(wbN)vFeOVDa zdKgoWCVM~z2Od2XIHDeu*2JTS#W0B`4|_jDV!4IHA6h$S9GycI$F zi8MSOL^QI49u@6KH#v%<=cf_@k0oxQzPm6{}7GK5ej(;WEIc~CogZ0H4f@k!CR|)iG-fGJW%$4u~H`$l7^<|IRS~n>k1ML-$EABe98Rz(`qCMv(Ghq_{ zQM8xfg?X=u_PUG5fd-E-^ zOuXbkw%OaFmAvF3miwEm7A;;f`_s_gRcnWr9KkvHo>vL<-3va)d&y}$ch{%(Q7{W zXw$(i%n{NeTBny>&9OAf=X9UpCAab%#`v#5o9QKO^wQ>YYdXhE{+sQxy=Zg2(qS6!G|zv%OOnLwye;)~^Lo4n#TULb9nM7ttPe5uc; zhoy+jFY#smTToh}*VttX#ammng!8YqNrq@w5IP zF^*IPf0eG_uXF`3hgtlbPgcbs8gwX>-}s}T1BGOvMg$zf@ z+UO9Bg1A9wO4mgW`X%ls4xWohmcb;yuef}FYtzezN27fd4YQf^ktcjXek$z12cT`^KSzB z@|4QFz^p~dcf4un>}bqn^l~7RG^krNA^LT-|s90X$ z3ZO5q(F@gk(3P1gKwq!S3!L3BFL3Jea#yYW;oIDjy?4No&x&5 zgpuSaps$E!ps!a3`g&!cuU7{8dgo!)RzP2`4D|KNKwqy+2JBsdr8_!~`wMRytnbnB z@_35RyQ7mNbp)5F=_0<))RT!N_WGh#q8Uuh9gAW?^m#rq-5?pTr^tZGE#}jZWWdDH zZh{P$DBCJzz#NB$44ASZ1Ey@qfGHa?V9JIJnDU0nfECc!Q)IxbpwB8~1D47LY?`c) z0cV8_I4eM3Zv<|z`&@Y(OmdgOVp_g=Gl9M@qUeP1RLtf4=nVmVY185Kc@}>J=qnG= zP#Xe$vjKCxjRJkwz`_a7!9r6Q&$9_aLA32mIUgptau;^t>zrypUp}O034e-yF6_}0 zpf7z+3%|fvAE(@j8Vb;tiU568?W+KNIrW{8yZXX`lr!O>XqLh!S^XfKjQvt!BIUf} z-GM)agXqq?0f)k;dI0oQZYE#^7Y<(!=qpW>4G8qj3eeZv6+^W!Re-+t`;H?(UsioR zpsy4yoproZn65%xKLsUE=9{p5sS_-Tr)~)7OY?c*PVios!4qB>j>qa#_;1RK!sl=- zoW+HEvD5EP%*0YW*i8d{`D6(6+lUi4xm1E^+%`~#$Wy+2G#pDo-0ad@g0mvf*W2}Y zIGtGq=(`412I$MKss{8Gn`}U!uNR*RM{}wGeSaj51ZH~?H-!f1OQ(4?@a9owl?e3p zHVE{sM`LIZ<7FvN3sauDlqc_xJgqNn2GCdexETvz;Y#tLYW6kCCC9tyG-O;=1?ZbP zyJf0QJqP;Ix2EL1jg!ng90s5xeWV3<3sra|0;(sp{|NA<= zq6GdY1ASF|MG36pD@tG$Ur_?<_=*y^M?hci>Qm5GKj{(B*Xt3`mvu0dz?Ac$u#!H@ zzE46SCH*DSF-~@virBx}1kjh|0tLqXJ;#gz`qD?D7}j4D3~R_&Q-zl(8=x<3CWg{B zf1o_c@qY0+7`?IypfATspg_lOsF(`qn~M2nDrN=HSH)D6z&hr(CnDxw|3?9R*{b8C z<8Ulw#^SK)qWP=qrm?G!B={f_f1XIL!}DhgNVm3G`KEpRR-TK#3JZ=iqL# zFvzU}^v!M>=&Ss317Fx$7V97yhz=`k<8ryrNBnlDFjTO?-GIJXdA(K@=&M)f;n=Kh z6zHph%MM_)J{dj{D2#D?0Q8l@j-dpu3iOpFs{fQTW?)z0%Gj5O424}K7=Xn4bt2kF z-Ks!e+B?dA4_!G;3CtWz2`t0dQUdn^eL)HQ9|82`@a{$loDEVyU+!YI!tI6AS2jeR z#~aq&KP=GqAsITF5|~P2D1rY;Kws}@-a=02POF*V-gub1nLuAQOgzFJQu;7JU!EGC zFpPz(o#g#=5G}`g7k|Xv5}+@uG}IYzX~b6x@$POK=*wpvj-dqZ1^Rx&?FIV&X90b) zK{cRnHrOE0Hyd;V`euV=+W@cF4d|Qg4)o0i55la4lZhB#1@z4d&^Ox+=t~=gjFst? z4Jv`YHXAoiRe-+PU_(IPHSmiv)quX)?m%B{D?ne$-GIKl?!r|!pf4}YO}y+k>HKa$ zU&&`yla=744D@9nmBp>9!f%SXc2@@aR9z*wE9C{~n^izxUY7*u%N&@Nfxcp@Qw8Wt(=yOEtAM^V5O}YcR|EQH1?Za#) z1o}!Lf#K>v0`#RUKwrr&1AVhWCD6B=txHz|eI;9*%R#zU4wc=6ldc5%mdlBCc_fz0 zmAi@(JX8XGyLphA)h+UR9x8#p-8_^dr-w!*&{vA+)u|HbYjYIPH!DD2Jfg(ApMNgU zHygNkGM5bq^vwpWOCJmL<@32T&{yf%AVi5i7U*kIy90e|HwWmOT@UDsla(sTF7R1I zjEflo`ttFi0DT#_1(j|svFZf+W(DY*4UUrz=HSl;0`y%AQGmWIlcEIf8PJ!V5kzwk zH~w6PPpOf!GBQk-$SpoWH1?XG5g+SkIz^d00=$jRwZ&rZ5wF30LX9u8W zON`hZ)#_e#???mmE%CLM-?eU5D=CHDX3j3ZYwfI7{>qQLHSrRD*CVr9`C!`JhBvN$ z*XmiVkG4Y{%8?8p@GJ%O9j4S3vs(Gm*WH$HIzcBqtCg>P-R+dRWR?N~x5&$muDk*Q z57$88Sqca|LIZ(kwf;Op(N?}G@w?{D8hHesO}nGn(0z!GGGJXs#mi3<-fcp>p8&j-@#r>c9FVqD8Ms?0~+_Sj~kUqKX)=?^%V~hW;L0K`` zQ<*H8J#sP|drv+XB651;Dq+1dW+G0MS7>W?$>lS5(tNmcvzW?_!~9Bx@9!BTGJ z`0P+;0NiJs>%WgNsbI}d;IxFlcz5OKe6%M2eQn!b{$h;DAn4^U=Ih~FOs`;oyDvtD zLPH0Mo5P4vXy{EFnp=prM1M6!ESSqc~Vr1KU8*(80BIl1Fz6 zLOR$78)WqX2TTO_&#opLwWMxuQqySYV0V}4f`$&-m3#xd278Ds5a{d|7*in7*?A)J zO?Gy^h=f4b4`fC9d<^lsV2Q5{E@A;fpz8-QrO&O%UkO2Kr6JI{YnIZA5a`?zIuHnS zZs}Z#gh1!66$3(`b2p0tA<((+(7;!)W^PJqWF1tn=4Y6tV9hT;^c1Yw3k25eDOj^# zhBf~)`HNG(vV5^O1HnHOta(>Bu&`z-)nUzS6$@)tKQg6Z%^YkN)=Z@r*32)Zd-;pw z`-Mok&BB^FIL7}=Va<%t@jnvQ%$hB+u;vliUN7GOe=+k`{V(P(Zo~PtdC9R@lH>P1 zt}A6&Gqp0TnOeJrHB&3Yn%SOZSo2QsIT3(lT!G?7pV}lZDd8noT=Kc5by!$4wKA-k zS{c?%tqg0X*7+X*YvvWN3~T-m%znJy?@chN8s{c#*i}^|I7V#IS zD){f?FXoRd^#In)pUtxT#cQNLG=K5MxXZWv#SbEY9`hFy$!uZGd{Aa#%^ZIv=Rc0W__ZDI>iN@rPUn{q&VCu; z?3WSFei`BHml4i>8R6`g5zc-Y;q0%Ea3+t)1`*D_LO9aHeb!&Xf(pnX*ARQ{FJb`3=;q-B1~`f>}k3!cu`CoC85P2ZC^}LSnoP zE_0g1n4%#urff)zDOV#g<_~Kb662jAS0OQ`i9CTIiShSQy&y=D7=Hq}hQyec+sz;` zrn$j3Q?5p0Ou~3WV$3^rLt;#MVZ|M#CQZ~ax{stj*W-Cl_bVYH`r#LtHCz2oeYUFWrJ;|jlnik z24SYcHs7ma{_$$YR3yeK=K3VYD(3nm#;KTZshHAFZ>yLJ+pJ?MZ1Xt8B8l;9_B{Wt zVk#12%7(<4{tSsRWkX_286ad$VthRu35oIE*l!vVV{vInjA?I4jH&d5#Q0shC5bU# zg!X{M_#l`W5@Qw-5@T+GH%($pD@$T5_gh%j_#)Pj7+*qvBr)brax+PcS;S(SCqOB| z`HdI_jZ{)3#xyjm^JvHh+x$xgCyDXJNZd4uF|Y4s65}IKMo5frwuQTs7+*mXk{G`N zVRK20f55^dF&@`wD%bAbjI*=Y=Jy~6k;XPN$6}kAlUQu?U*SnejE}}`k0CKW9;4Ba z7_XqLNsQm6tVxV{u`wjZyl5B_V=nlH#F&e(Au;AcXh@8?co-66PJBaR%n4~oj5$#Z zi7|(`Au;BHW=M>=U>Oo)4n9L-d>&;@V*D6oO=5f?PS%hZvs(>`F?-377_&PJi7{K( zk{Is+rFqYKtV+kbXQ8nyi7{^&4T&*Z(UKVRw$hRq)5?+jj3yb}AJ%^)#8363^O zV%$vD-dD1?V#I^wsmP{DjQM<`2PDS)73%6F#$0PGiSZjSt4?CfnOBv>_-CxMAu)a* zN>vhL-gKu)jE9zbfNiEXOJe+0l-M+hF?oyghQye>#g@caeGPp%dOY66Wq3TtKugEF zy1ODRjcxAO29aLoqwjWCcnl4;xf2zRTKLEv&7*nw% z#x%1e#!o}BB*x6KB*t$+u_VS@0dQeSj7LGSB*s)MiSfsvSQ2Bpwj{>KAjgszp9RH| z7+(U#k{I6z#gZ5^$C4ORu_VTiK(QpoZ$Pmm#)F2XNQ|dIu_VTqLa`*qk3q2{#x+0? zz7&1uIP}7=-2+AEy!LfFeMZEbjf* zCo%pjEkC;=K}iL~U*t7=(}CQ@ZILt?y$E;wJ6 zi*lT@R9O(!{5i{TT5q^ak7DQGqLC{?cR%HbX{Opw!BU13=T-M2ymdm=&ZkSR9cmV> zM`C;}^SOSg@|<5*cemyu?M+oi z_qTARhvBQZs09I^T7s*Zg*dIa5UCjHiEt3J8I&uN$`)+1_S9)gbE!&Y>uO$RvblDp zoJ$1hS~*m96DoDHDaTbVRZgtSBe7hr+*Op|;RWM?D`R&L<>|8#4=pGt4Z{_)yN7bQ z^w2ob6ydU}if9t!ubUjc3MuC_QAKV0W0!(Qlu#_Txe41S#oTh9rykR9CW-O0@McJi z=}eOtb42h3uRNdA*k*Zs{4vzTk{F*33;x$uiuc-(7|%yW%}g9DiSbG(RY{DQK@wwH zSCSZipE(sI#?K%{9v^a}DS=61+*YDp7anK?!TVBXlr-6`a{MXF+zzpX&0%*E?S zB*v#eF(k$pK<)vF@qCzp#F*CWlNi%q5HLg#Jc#bAxeTHuF($~kI*IX>$f+bTX4Pwc zf8k0iGb-#~a>(?(Bg z$0~t;{qX{i|E_F>$wPF~z$*qgi=EN4_E0t>wawkuroXb8-xk^Ltg=jIcI?l})=d+WmN?%_pr*6K%-u?2K;Or_yMKH7Y5i z6)@U$LZ#7Ht287jF!OY%ugtzLHhiEXN|U1MvG@VV;1hGjQVLK z)zB@VyL1iYmVoGX{hL;*Qa`3L_0dd3r_JtVnsCL_v(@F_e>&D4l8F?<;%Gqs{j z3NK|%0{0SFcZB`11Izq=ol zIU#|{nE~$MP|gouV9><97%fm5L+C*6q?nFh?Hiu(&fwH62ZFP7*D>}~o4&vPBM2oN8suwvwxn%75^34sXVBsYabUIk>;{3U>`h6%$E0rM z+Mxo!y^^d)U`-BJ$M zzQiooT`LB}EZ5yE2E;7aeTN1fxyXZor&A<@b2jOqNCxNZ!1)x(;G7-wHHu_#u0KYK z5$c?KaRExyuE9YVoO3TNqe!T8?hiLX?8e}%sn`|bxnU1X`rJg9ldqva<%6VK8&0Ks zu%rupdRV4U53f*DRdc2vgsu)gjzd4q3VjshY;Y`&{m;jY3gUzObD<14VTuzU?5jT2 ztk9Pry_T8T;Bp+31T7Ny@qF)P4ES&zDj#3${T%1(gwv1$WiI4QcsY*oCEhxWtRU2^ z&`-cRA8J-;Dh;7#g=T;x)U42~dntSx?G09FDnmof3e7Stp=O0<2aOChD>Rvv+rrtn zi88FvVVV^>)U42SFe%im&{U2L*P`3N3QeUW)U41{ri7Xmn##0LvqDpu9%@!-DxINb zg{Cqi)U41{W`>#-n#wt$W`(9QCv5JEpx>6Db3@Gv&766mW`(A*AZ+h|+0A0MFx0Hj z%vlsRW4MA9`d3gEhnf}ohiL01;b~}z_!cSi&G0ykzW7#AmWLWXO$RrI3uENmCZxqH z!fWX5d!nog)2z^8mJU`)&I94mY^vKuSsOmj{`r9@>%uQH=pCXw7hZuW9N#HHUkbmC zO2v0c&gNW_li$rD#xq6-@)2(i;Y+d<9kI5++vLNJpQ3*e0oD| zjrSTX6SuhMR?zMfCxhK0zfy>QB&||%i$gdbe=J&yTl@<=13o3eAR}gj#d-Ju2FfZn1(Dx~N&9 zX*ta;YF21!)7_$Gg{Ic&7Bwq0wHa$mbXFOTx?ifLqk8&}-0iYu%z|h30%-hc;ucyy{VV&MnSl>;6%+ zm*9nYuZi}$i}wN0{v_HvZc(#Bvz+4i&xaF(6V-TMa>GmFV3&cD{A;O^TvBc%?eHJqh3+7LQ`w^ikcOg+Fo9MbX)U42JQ0o<5@s-Iax0`<`M~_#W zpM~~c{&CP=@&=yTd4?13;nxj?DL7aaEA(5Ku-V{U9Qzwq=x^d0sjf1I`KhbSVg6hc z%!Ha1`aY}zLHHBSfFt}f5g{LH_%th>gc?50)*KvObP&uY`wv1XsdZGdLWfe}Q~l4w zL0c&G?UedY^?B*4&(l@c ztkArS1|dHJ1uHZ!gL!ox3w*X|67Grx3#`x{3`(J9g-)Qfgqjta4bc{AR_L#z>f=M1 z9E*HT4$BHX5w*z%pT@C&C(_9ZUBD$kRq$8o3jRu0P_E$5`NyLgK{)78RO2`PK~VBS zh8JsAXkjQbtk9umg=XZIa7T{)-^;jZ3*~fQ@Oir9L(K{u;dZkY=Z`=^}5jEX=_&Ka0Zobtk8qeaoJ#N923h5 z&D#gnnLB1u6J^JYnkdrz<1%Wr$=ui}!+PYyW!wmi&m4@_X;AZN=M3jl5^DJL8swD1 z`(YgKmZ8!Tc5=Vao>>L6ws0LgW{*sM1bfzDpYJX0+8$oTG9S+jM$UxrB$nA%%1jKO zV3|)ynMvW-dGZHHnT}AiLcfgXUDLv)%sD8-bL$MxITp&NGF!vj%#c4G6CWzB=Y%;b zhht@Im!&IoS-L_Cb%iu5G*{Jp zs9B*OLTe=9BS$0b<(aLplP}4Az#&ktf>e+APwzDC+|VmOjzmSrBrV3-uHX779}!>f2l_%M}gMVS^J%2o9` zQ98rVb5Jf9Wu{urZpd&^nG*)6ZG2Pa4fb`Y;nTbhFH{Y;GV?xi77J}{e2XYcKu3xR z9&P_AG!#gBaqJ% zY_zwCiWOQsC{}0@6)Ut9Q>@S;DpqJQP?%{E6=qsQg_#yxg_)LAg_)MST7{XGRE3!q zQDLT~n8HjmwO+GAzl+w&y@e)-&f)s(Kmj(Y3Gt0raG>-#$pn z@$RW`04KeZQ+&{rGdaZv&FTj^#RpB<@>(U)L!^m7bcKX$a4?RV5BfHU@fB#rA3>0+_i;)~3MY)m%APy_ zSol3jiZ%-$vx&&w%3Xj$=ciVvFd zqTF*h7S1{t$Hh*6!w1dcYPZ(t@;Q^D`34^}l_1o7&~%&+H6Jv+k$jm|)_l-BnG!J5 zt9TX)Gc9M4b1`-bv)ENZ?rzMS!t6CLK}+$EX(X1?e9#v|ECDmkeP6B}gSc?v-6-tj z6dyE`12ynuI_A~DvwYC(e9Z^_r1G@jFnSW)=cW6jJDr^1F)vGbQhdZweU^KEoy3fi*kFe1INO(^gSt8gA*+%KIq(JtnLNH2c0_vxrItT=v!3$o33Mg zg_%yZ$%<6`m8tl*q~hP2ivQhI{M#sZsQ8KxTE(yAgWdsgasGE~hue77o_D3%^KKP? zMLX(!kBa{g<<+V9_p0~`Gp*vM_@HwsKImK(KImH*ADHP+F}}h~tN864rjKx$3LMP` zy#s7uyLPsCe&@nCYL=R>s%U%2r{fSx-G`pP`)332gIO_I;A8!Uz3D z`U7TqPi&u5JN-__=8Slr!_Zd^p;ZG{2!c23V1gb7?sF*1}XchC#RLr+jOof?NF{|)FABb2bV5aM^B~-ru zu3}33_b3NB84vH%Up^<};RDKgKKz4nyVIaC(?5hm!AyS^9u+FS#ub-}CcD<9eV}-< z>qIGqEl4T^E?aeccpQ$F54u6~L2m_bf|Y3}%`a4TG8Hf^RU>Tzm~?nhT-9Omp!tm}yRYgPG=pG?-~l6oZ-O5I2}< zE@%cb%>~O~raAZwX8KCX8Z-R|${I6$Jetj5rrE6qGtFKym}z#0!A!Gtorb#O(Na^~ zBcL>B%=AJuR)5Ot3e#NPCCVLR;Y63M=*a7y!bvXgC6~yZSYf(YEmuDvapTav(_ljJ1|bZdp+unW z$xHG)ARlTz=r!=0gtu)Av#m23+*+2xpCT#VCd1pBmJl!Aop@-53s0MRLa|+@9%d85 zS5UdQRm>);Ti8+ZPy!tNiVvDqZqR(tud&VsGu^+0I=NGCuqQm6>9O=ZP3X@htbxFq;r!Dmd|6$(a~VITp%%HyX+( z5$8{Va+y04N=NuCbGqD*Lzx!Vu?CAo=?sUk6|QhUgPfV+H~FM-vACWS=IP*T?%Bwh z7mi?=ue%GOEDSmK;-&7jP!@-`()BX;M^Ki8)3I2_-;kW;;q;TBd{dMaVLQ#PbAJ!B zRpIaH?RvL<7-t4oqIiWn7Rm$Ry>$J3_gpAzLw?H-Y6C+o6-(;-gq2;(p#hXl-s$9@-VXG0?`lMU9!B0m2SF|^{5-ZE$t-Qqkp`xx(DXp`Jxfu}Rp`x~?lw|Eh?J-uC9&{=Nr zBx;9v=R)gri_frT9UjkUCf=;^jHZe<$1VQtIB3(o6|kJ=7JutQo9R6SZJ}Gd0rMw5 z$NLjBV5XZmNQZEhlglPn9S-Lvj@BVup-k#!Oyx*bshq4sWX5KLZ!wGG)+DTE0>^II z7JeUNDu=3Z`6A>NPA0w@86xjTKpk4*jpjSd;xfoFJA^jMl}xW}KqR$fa}<}eQE&&7 z!%=Jk?Qaq}fR&^4z3nkbZQ^h)XTj4PrgB`X)H_iJq<#enEtEOPRnC#<%^}TY8Rdg5 zD3via8ZY(Ug^oD6R7k0E^^h0Pk~6QWWjUHi)kEGy7o4xkMLAAcsw{|xeVt`Etv6hz zN3n;(MH5$q?taP<)BQCamNL*d%eoigtrM#DHM->5p=J>uZ>ZkoRS)_1FrTZ5D$l8g z)L$c^Wer5GC6a^0w`t1dM49R_SC0fS<aRM)%#gj=_*W;07{w zIgVo?PAe`%Dn@!D5J@dQxiYD2J{20GJrPMQrMXn4vUN3yq?T;1T`A`hLAq8BmEDA@ z-EYcql}nWq>+(n}mn(M_C3yIU@xYa_y9b$B-6F5&VGk6Px^uFbC^=$q;4KUJ}X+A;^!kq%F*cS+9 zBOjiFjZZvR6m0bAA->Ri8{V?PFsww`fX=c3>k@NB@GZ1FpQ~|V-V^bivDULe8%o3% zBZdEMDj!2Pd=?`np6xF~Mr{`k{f|OIKAz)01V!!H&XX54de3&gWCRFJ>xK*KQ2ZI6 zZ((t=NWs%#oPLAmgMJGs^7xP&O$kgs=zUAHy8)Y@Y|tMW*M#?2WYkluPlMnJ+*IudheOS(&RKD|U!@W`;vG2;{eOd=2;vLnS42ZT+l=SS`#YTFZ`ny- z%-jmI2EM$C7i9Q0D;u!twJ(UHN9FhnCj1qL5kq#w&cx?z>5h4IuWIE>O;=ti1JT^d zSJZBXZ>YVlj@FL-q17~EBzRp%wnlVQTM%th>(12bYD7Cku{8&r&R*991G;_t4xEPK zBfcEg&tkCE9)Od_za!-6IG-8S3%bRt8o71o1>JJn-V3_z1>I(XUeN6|f)Sk93%YfB zLASWf_kwO0_kwQYcRg-(NA`kl;~fPO*$cYm4QER)=vF?P=>^?7aaI6>i+e%0;4EH) zxzP){?FHTPRaYuwE@--z|uA7_8|?r?a-iGpr7Sm>lh2VDiFz<$(G){SLkcO42lN; zv4qBix5fVtY)$u2UK~zVzQ-sr(QAHegk4qub6Bp=j#=dE#;(M zG1*cs)hi}j$}Ks~WJ{$jrYQe>y$o^gbHYW~%Vw_3mH^}ilPyoOUNPBHbm|q8El)S7KV}+Cucebbdh(-4s|#*} z7O_)V?U)0Asq9Tjy~d<&<@6zwZC*3kGCi-EY(>5S<-lZ1xh_}%C3pa0K{44fd_ghU zil~@uMN~|-tW)$Ttm=Jy$m#_DU;)Ks%an*6IRb)I&;_jzs#15&K%^8DlPw(-6q7B7 zYe6yDih*LX6$8a&D??i`*-nP7=B)iZMH1E4zc?L!{Y+*6Cqj^!kB`YQO^(4d@f8~_ zCfw!7s|)UcVeJYWwkq&r+}s&wu||-b+zbY6_&yhr!RI5j`y9q#xU>~b=Dc2>@0qa* zWPhff@5q&Zoo#l$^V|4}FWfyz5^P|J6tkvJe zrM3sG)eP{VSgW6HAin4SHf#0OXzTw_*6KvFR^NkDaNI<*R#OYyM6*^?%e#qYt$qj^ zesipXwK}O_txhUftCPRLvjxy66|B`s0UxDV*6L(2Ee&gRqFJkdhIsqCNd;?lqFJli z@c2D4&00ZF3TIuT;tH@!Pi zAnzp=tkp>cYjsk=TAfs|RwtUZx)tZw<|W5s<&WPNaQ%2MsbH;6Dp;$N3fAhRg0(u) ztkv7Y=R_}g6MImwR#ThgB^9jI$+o!tv8>fe1#5Lu!CIYEuvRBmM<_SSml5J6nzi~B zju0=YV69FnSgVr?*6O5!wK{nZx2W-0fzvPak_y)9WE-}NVXaOyYchbRvsUi|?Io|MS*u^(1|4WwtJmU^k`121v7ceB=1=OWtIT13 z>MGNXwffqvc$Lwt)rTR%hhnWh3Jxr5HS23xt9hBK&RTsj>WNR2aqMSUtNH6gs_OI7 zRqw`H&C94|t)_p=TFo}KtkwJxLCaeG7L@9&)w5CC>a5ixQ5*1A;MlJdZBEweKVlrI z3jQix!EONhJ#ou#S*u4u$$uEuYM!oTt^O0>R$!Sy5?HHw?v}NB3KYv){S>b4mbLnB z=?~3XeG#5SSk~(MkYib^Wx+J8)dNs#%UZoPl>b4j)f^?3wVJi=0c-U;=(ud~0geMK zYc+pMPj%*wnbbt-##*gL+a_77IiGsKTD=HnmbLoNGV~m-cimX4KR}LUt!5d^TFo-m zS*sszVb5yTYMz^Atl;hAj)W7ztMX01M(SgVt&tkshdIU7vFv7ceB=1f!#JvH6X-B_ztL(eFoE+=F-(JX5<Y^^uqk zmbIFZEo=2_P<#f?27kk`mU=(KTFr~Qs?cTW3UyfU22IqzgSGkz_H|X(>R%(rvQ|@BVpyxkprNwCI2`*K z)@n{T)pmEK+pZgHwQ4)fTFoLBK+k7TmbLnDX-Lgl&GA>-IBWIw@T6I*Mcf!`wHPSY zY7rG{wTPR~S}nyCYqf|yWUUt4&1bEaV(YV3KZVxPtkqnf4Qn-*XUkg69}f3vI1sGW zWSSYISgW~c4pOYu6fJA@T$pIqYF@Vf<*e0%UqF<0!&=P>`mBOsttKBzHXzdn$kK5| z%LXrF;#T3VZo(B#b5~O|+|`r~cQs|hU7hEpQgc_2M!Mmy9t+uUSJS4$!A~|g4o!pu zh1}J*Nd1_rxvTd^uHmlcm3A|@t7&eyt0`CGuAYJxGTha?NjKcplsCp*y$kY%yZTiK zy7q>@}*ADd7gR~`pIxt^TM$C+|~UIcQuP| zA$K*athuXsGDE;!eI?IghCE}3w8#3ndYu;!NAtMyqBdsZJ4{-A@doytLM{);;v>n?PIa>u^HUed(j`btFyS7 ztpcN0{uFmLr-0$Erfj&YDQ_`%^&%DjrumGoxT{lbvLY3KWh(wHsra|1;%`28bpzt! z{O`zuURAZ{U8(lGTg6||jyD|lsQ3?2UY&}6uZq8g+|`R1AKcaB7+-N$tN87BD^qxc z%arA=Zh#GJ*B)bSA5Ymnp=}j+bvtdrUA>aFio06bZXtK|bov8#^)S%Zs&@LFj*X`R zmE6@#uf|=?b~4=6lsCy;eW!}~$FDM`;;vRP6?ZkqiQ%qRG1up=PQ`pn#oPpUbsJ(0 z0eAJw_B{WtVk+)x%7(j|{tS0DWy4)fx!q~j+|}QNBjK)Y$5zvDSBp!-T}^w-T}@?U z+||ufk4JmgyKmENz7V;cbh}~h>SoPdy+6#FHFq_O2zNDiznkW+rj_NcmRl`cKe&%+ z*4)+e=#Sjh+(d3BcQuPx?&>|El;E6;a3hr_?);m$FKMEoS)GSNZr0q@>lmEe)fXXg z)7;g(zUQ50&0T#c$_RJ$^|r9#u5Q-c)nB3sxvO7*&|h;`%M#Up!`#))n!EZo7AALf zTcfGmW^z|IYwqel!;^4VAAvg_!(BZYqtS3zf19%Au6~;`IbsX@yS&&K?rL5%40kmb ze8XMM#n*6Gb0IX`)m%IbcQq%z;jZR{G~CskD2BV5L)>szb3rrQ)m*R)cQpr};jTW1 zvgWRSgtF$Y-WMlpxU1Q%hP#@*WVox@9frG_t?M*v?&^<1Y1Z7;r=zj@8SZM{D;n-< zwxZ>(<{jk{!(B})%Uw;y!svNJYq_g$#D2$eS97zxaqjA=aI{hG>cMy=)z5HO^MS~w zxvTkTq6gg7FW{Mj<*w$~h+aOXk?&_0}VPW*=L9yJ`R4jM(RZuK<^-WMLcl8=5mb;pY<*ud~o+@Gp zfxG%iD3-gLIhMQnPf#p(bv%?$c{O)+3lz&;O~rCo?*_$kSJSoSu09eumb?0ND3-f= z9u&)6eH|3bUCkT|qo-oItM7+mxvO7=V!5mP4@+@Z9}UHFSI>uHxvL+6V!5lGZSlz6 za92-&V!5l&g7QwHxvNPu>$r*LuBL`}Z<@RM4rqD&Vyxn>eheDky=m@hY9%-M1mB_c z^ zo9W#M4e!Y`clBe?z+K(QLE6M6OD>zZj&e9Ra)35*g)*t9F_j}#rE;=1$&3ZFC$l*0 zOu{lIaJZIj;rCXiay%KA4?-61YQ7+8lD8z;fYUY`{DN70rob`VbTIl%xgsMgvfnn@ z9Ju9dOB6A41^%R#Pk z#$%yq8l|!?Wg!l1m322#n|Rqb`8P42V?CA6qD^9{o&1DGoC8upmBqcEx*->HZTV+f z9=;(<$tWLeL8*+X(Rewn!SK<@$)!R{m8*w5j+UHxRV~ZWM5-S0GP>Y=RW8bL%2H)P zwEN>M!)d+YGChi23m1)C5xV;+M@;wEfv}X}#Cg@d2ydNGwR7o`YloUee7vE0_grKn ze<}01eyH-CYDm2o2|`HCwM24|_;Z?aIZ>v1%)M$%xwMFDn0k<9Anw9MS~iK)PE|(t z5?twF_!|t*Y;YNrY%qX@IIXx4sY2_noCtGTUYaoCYx(l z%DF_4u9ZV&H=$D3n{r&`Qsu&Yz>v`A)1*KuQVs`gX zj+`DE$C)BrR#g$bIxRLid<#;}X`+hS7O+dfBT6X!40m-A+bH#f{yYsc>LGV^v*xb; zIlN^9SGtbc0MJp+e+hP(Pk zD2BT_wP!ouX9l^eY2B>3tNAV#CyNxtUEQp?tDi!OJU-+`Qvwr4-&&&G0zCY}&lgCU zy`;(3$dRPk!d*QGn?u80-TW@E5;HQ}A*~-}a#x=K#c)^8g4_e{>bWpWoJLy9Wvq$Q zL^=<3p}%av5ZT~9bYJZkA@(!e)hnPF?rP3{oW16*{xWi!HFq`NX=MXez4iedvH^?X zu9XQ-<1pgI9f^Qt*zTxS_o{PR9Y^t359WIsuWORxuWsbKYOiZzD}Tk(ZQ}a?uWSES zE)=f(dJ{ORTM1EcOYC8$MXd%5c*zs8x=NHJ$ID_ux{^{Zxod3A78j*Vta_|o)Bmdst!6I^bsp&Za ze^apg6W~q5?kNIx--SPQ!PShSVfT~`?0y7JRKxDk9*`C6elbJ?yQgem_mmCnp0a`6 zQ?{^sDi(H6#lr5XSlB%k3%jRcVfR!l?0zz8Xkqu$pjg;FD{Nu+R4nZN^H6#KyFUfq zEbM+36brk*2o5alo-Jr$_f#zGo{ELtQ>hNSXWcC9ohr251pGlGV1bd-kTJE;6azVE0TnuzQi|2e5m}b-}lw1b0EyuzQBruzL{| z>|R6#yPwQjtbrBr_JG~L#R3X;&y1+dSaaSUVUP&_+>t{aZV1a-6Qx-+{?QTM8Tt&+(G z!B;xKkz_c#lOLi6YIe`ovwOKN`o0T4_}4u4!_98*)2N|8`~bN6SA1W?6E_q68xYMz zr)(xVWi!z!n~6@@PIM}EqEoRGor<04RP02jVkbHkJJC1%eGMyIeWG)o_F$s_yS}es zMeRi2((h~NQK#`28qZ7yJar20_2)4)R}w(hunO+`;~Mgg`avS3LHFtI zUUec?h5^Lgx{Y_j9kv$(WbJ5rz@yfl{DFDRDz78?F14-C7t14y+-sZ-2U&mhAhp+U zq7?|kG+8)DH~|AVSEE42IcSYtf8K!~{;0;RVl}(0s3B|e~;6h&$(4pE`_-_xxS;@x2|I1ED zR_l%b_iG@k^~QgXvRZHa_bIFO#{Yn_T5tS+P*&@W@3>UddgHsIsP)G8L{aOFpAki^ zH-3#MYQ6DmMN#XGAGk-MhH7Ks*Sq{Zb+xhZLzfj+>y4imMQtqnzV7+RQR|K0-yH)* zeb46)a3@1i-}Cv2djhI3@7XC2z5}2utg+Iz=tEr8JKUx&EvGB);qBa(O zn<#2y;qTz`@%$?Jp3mP=>ZaBkf1EoTarDN**WdH`OL&s9vGA8tR2vI_nf!dbe9z}! z%d^d+_eLVWM)m*^JCfCo+38GWZ%Qf`HAzixEd1SFrpv~{Z&xxm7XBV0%Wfj`1;&)! zL}s3d+)ZTWi^$zX%|KQp+7pLA!LqMY`#cM9H&HW)DG|G$TLX!&KjN#sMn3i9M|`zQ z=s*^k+NB(qvYV*ARt&hCsJ&SXxX9FgN5(LB6Lo{mf^83W6E(pX5j>cUgW635XYjf& zyNO^1T|0ZUa)vhFXJ_cBacYKs>r=4Azd-$QZr{o75M)O3*1PwMJT$`iP=BGj21!QkfXOj3d6#r7|hhU+7+fQPL6WFLeJOdv5|~MRB!_cUSj754XGTz1?uR z7iQoNUUV1+7zA__mr>D-`?wI%U>p^71e8%w$A~a0n!yzUDk|z=To4xqjY{H%TS8n( zGzmsyFm4z(d|hH(zvnsCedj_*V)EvFzyI(5F~1*|bE;0AI#qS5s+W3BF96fxMhIO# zbZT|?!*JUvlgtb^Lg?NMrp@KJ*co$QFzs#+_=?@vJRHoqZiLXi3fj(dt0p3+!n_qs zhZ`YuS3qo@8zFT0&}WewA#}-fx)DN`%u+W(=#uGjOA)$TK6`^M*$1N__tPe)Kc?mu zM4rXv0lRGui@u4m^7vk?h{!t#HT<^_d3-TbMC8%l|2`tG#Pt7BMBbUO_TNI}l^FQH zhsb+7!wc?zipX1o9j%DSTPfoaA@X=SzKF;pR&NU;&+tdtC4>3zA@Vq%{#8UCPoUMi z2JTZfMda}X;^q-~EVFq;-V=BXuz5rtL3l+(9#t0+d3Fu%kzzz%Wd(12|A!HIB^~{( z5qWIc<`H?{#dP>@BJxTM`u7ld9}K{Qu+1Uz_^Pys$m3hrA|j7W5s|kF=Rp5A5P8pV ztT&6u<15@EB9Bbxw?yQX^xb~|kw^c_Z$ac4-bw%OBJ%j={-0p+ve?@qEFQ@ii$^-f z;*s7Q7OxuVF&2-YyUk$nC?Zcch{fZX=W?e6i?=IsV=Nx`*na_wNAVboNBW;(@pyY4 zWARA;BPWs!q3ZOZabK@mT!d#NyH9 z2#d$Y5R12vt@y`Syz3!?p5lM;ksX$buy`kcBo>d?eVf7JG5H^1@i_Pq7OzWdx_A^I z5u3r{m1z3=SiH}v16aKCs6%7%ST4rmX&wIp7H=2mAr{Zd#;sfO5Y$DwZ_xTQ7LQXP z#^RBVv3R8aZ7klUy8b)PWBq@N#Ve_QMM?d4mDGQCN&Wvi7SBh$#Nt_ii$qwwlKy;1 z*Wc9uw|-dH|0L;ECG|g|>;E^gc$cz%VDUzK@STP$8logeEu{|Oea26YjO_gb-?U+6kD7LRm{ z#iPC$i$^-f;*oABV)3qnBEjPAh>Oe^izg~$EFR^HSUfV{28;Ir)e?(0nQH$z7H=jo<^%0B5%g28ai^n2GEZ)v?`59sH$jA|V zjm4v2ygCyd6l3vz&B}?zYe(Y0ip4t+Wdw`&<6_}Wv3M6#gjl?FApTV>-f|Wu7O$o< zHtyfV;=Kz^g2g)&_c}2akErz+i$^4RjK$-5mb+ zFvj9>@rbc_ocJ*oj}tP+;&GzHSUkFTjK$-E7Gv?aV8vKGI$w;%JDqfd#UtW#aD>I% z1C5QbcpTOki^oxlv3MMg7>mc=En@Mu0~2HMrlPZoSUlb(##lV|;^whc|3#ZOhsEQab}1Gwm;V-6JZdXq@h(M){}>jJ)&E^A-grFO-7FUGIAj#DcxQnr zV)4inv3OU2DPr+%0aL`{JqV_V#UoS1;!zCG6M2Yfmia80A{LK1MJyfxPDL!9Q{eO6 z2#YreOc9GmrijJc9!wF7N7Y3v-gl8x#Ntf_Q^ew(3#N$0TLPwt#bZtpi$|u2#d`!y z5sUXGm?9Q0HMj(eM&D_e1uk2};*rZ2v3TpiRTr^%pMvYg;w5knzP^aXBQ&O=h{Zb!Tw@W7Hw#=h z7Vlbc6N*^8-+*f_V)6R&5n&OFcR09iEFRm?R>b0wYcFE)E{0@B5sP;-xNa;Sks-w5 z(Mbnz$%>I{bmvMss74eK*p986kiANJs!lD%;+?}Rx?POLBV@0V?%FL24Mgiz(x2jr zh}IJ<9$$?NkoP0y4ySFoL&%%U*-Th&bnU?o?C zP4#rwSPHlz`cK@6^J>!~ymf+UMD|s3?a;I6Z?JfT@l|sD(B(PRP>SF^!Qyc(ksKrv zu~*6EM2ki~C&=H&P>M^7I1WUK*b_$_%|uEL5J~`f;@yPXK(G{xM~sd%hYOJ|R5}p| z92T8inRK>b@ghwG@JVSdRVCSxnFR1jHrK8alQ$&Hsy7JOZm@EFP6bSUh?J-|EWqxd@BLcg7JPVew8t z3jY;TOR;#fkkNxUt|AuiW-yz@;xU6*JW6kj#Un~@V=Uepq{!n#UT8|i#NrLkQ;zY# z${mKVEO*99m(lrwf@7Iqg6xBf!%eYxRY)sh@s0%(WAWNRe+w)gL3qI8QTlJOc+`ix zSNVMi!`Fkzy&@KG8JNvu@h(Ho##lU>-s4gHlsha|?%c}+23@S_KL%GO{rMn$)AOw6 z;t@FdI`sQ^xMTJwKpt2;0nzP>z>l)wlnw#g;>~|Jl!4Y&k?5f7W8lA=(bBWP{vt zh_?7y3qBFpa)|an|5=L?Z`*Q+7MG#lv;T)aYf;kCTMp5pqp!2K9HNarYr*%W`7MWN zw;ZDV#~-xVa)=gzBz~C0bDzEV@V^z$dA1y)#Y49(hiLIUF(JnkJLni$IL4Mkw3sGK z*&8j1L-CuwRZkf;X9}2QTMp66!PqT_XrIR0pY)&U8#u7_`!m73v*i#idZXSwjkWL+V#^`gEr)0eIBg|g{Md4cR*pN$S;_A6hC=b`v-|TITMp5(JGLC6 zMJE*f1kXCQ9HLcQ4$255c;k59sQXkP?TOCT%fx zy&5u>f==1-U@V1$n5uSnX1+s>7xO%wwSOAqB_w+|J#WWo&qiM%&`007M z*s<3rkXLFWdoF-cjx!d=27A`wrqe&ZqcDI00H{7i=P z#oZtscNDtP`I2t3E`iuQkFjr^VJ63Lk;mA#&TwHmJ;uIuMhLUiW9(a}PM9u_v2UGiO%BgW zZ^VvZMu}~!JRaM1#+X&8=W)a5v0dltgdE#-I@w5<$9A11Bn_9xcAXp8T*u|HUFYVL zkeot?Jj>p5zJno1(v@g-YIhJ!fW=u zBhi*|jdGl6`0bm88II-8Rs0Z-w-(j=7byY}46g+#U}k`}y#@G<57BxrphfcPbV9NU?&f#}m^20>#;y_g+Mo`wN9Bc;}!W{41nPwf9rrv@KTbm0E8o zO8VETS1|?Zyyy@uovqRHkmO&l$TWGMpo{!YQ82-KmSvU*GtpZEPw;OLrrBGFp7nn$ z%p}i1_xU#p)8aivt8Wr!s`qF3fPb?vt==*+w+J)CJB;-#6{gKQ2%YWUD$GppV^+FM zn0Bv5gx>TA_{Kz=CM8ZNbhuc*HhcQ!mRWT;waoF%qp*( z9kNoG)!tF;s-Ft8#(SOP^D|-AdY7{a_Y1SmyOMqIfYh_zyA4M94@%Ak?@X3?NOC^& z9%COoEX?hehNSEe<6kdAv=P;x>`6OWYhGhUrM#Al*X(U=snl3YY z1Y7i`le2H^~qJ(Wwqhtl(o$}(Vx|B>QWlv3FZ9LumYDvR7C zm2Koq8zfwd%I3RhA!K^LMfK1J@mi_Wi9OY8lpC zEI{7SH89t4ev98e9Z2Z#$6JJa>s{tBt7Mls%$k9MwpUAI4!3TDy^i+`Z8^f4h#FGf z$-uVy6UFGPcQ(b2l>V#mE;tCxF%~Zt@_HRTQO2&?d*>MBOtX%Gf;vy^Z54Z)y^lCl zGX!bU<~4A!n<-`54eR^J@@g;QrJge%zkQBGLX&@i#Rn+b^o~-~J0jCB=9+t<#ckB_ zh^O-}68$ONj*F#Fv)@SiuV7SfKx?-BNCMZyOEW$Qiokv8y^QUhO!3|s&)f1TBcW!mxjj|cOr zwJn&G*GZfHBps0To^1g0nlO2-q=DsP-t@moU-fply#uRJt zN+Z#Fhf%>N)+G3Elb5EWe`dXe{+{4%pl^L)J%$!Gdr>6Xi_q#8Zy5dBw10`5R_{!j zW80h@ZQjQm_cFTzR?qa>*`6NuHelMl`^&-fv^i%xygSJ_!p!rY=IE9Sv&ftKeK5U* z>GTexwuCTCy%#wpU17Ss^I66dW~H|^Cyy`8D({tvV3IcH<7)5t?}14P^R(Cda4>0` zUb4nJloPeLSiROW*z7){V4XLEOh%aXhP49H-tfXnzKof1<{bOwHZ8A5u6FXAg4fLsco2yW7J)d^4IiIp#`N7B;Yu}BWyvGkJ`8x|! z?X}W(8|Ilo865LH#oPvOG0W^_TQ$5Ko5C`COPMC`d6wBn%1rRC zW#jjkGR@u+PT~XXHPsy1CCoWU+ScmLJQmC$b~&`Qc`1sG7uD@vg3Mud5;?_4wAB^p zqhci5+4Ng0djtLMNP7mj-d1*B8qq9G%39gK(7vO^iab`N77VsG;u!R*gXAH=seNpL`!))l9?(oO zjr6ipY}Q<7Sd$^()x8g{`^`1To%mVv!ESqs8TDnBF_a~0Ql{Rs~bsoQI=wEH~!=Cltg@~Nw zUn5L|cL^7u>+GlcbF8o6o^-wRWs}Fx4f!|NU&9$Dc&q5nH`%-kmsN;y@NzxG|f9g$U9ii_k?_!8jcj%D_O;HlDZXBPmtSC#%A!QNNNpR zHC4zBOqFo7R=|p9Fg3xiK{?)8FTr4!tF$+hi?rdkO+eh}6>k9(Kla;^8~aH)4(F7@8|q*E?e zdhY_#S(gjF_e0Wom+QROLAu)IGVjeLUFUKw_b#OQ^)8ok?;_GWRlI{*8Vsun)qB^` zz;c@4I4tEjlyMw(kR#`59p`Q6v}$(7qk*f3K_qb*@>)_=TfrRnDCkOUMbdDuL-SJw z(pmRX&e*}E>)hK=QEJ!#j7+0D4HG&woDZg&+?_FU6lkJ$R>H@9u(GnjS~G$(@Gwr1zxG z7FVCACEW@O87ntQ=0gyX^@*Q>&!+cf09cndQtACU{|%Ry_UQvi+wOR_>Oh+BxW{1D zq?<_Nnd$xbFMSZzS=+4T6+sPc1KbJ+R#)u9^F6SNv>;o-dEK&Vw_ z>lirFMUKOwjl-m7y$y(()Xtw%|&Zi*zi~I&@H4t>aECfa$A6hqmk*(s{$W;1pym z-kbUml$OC+b?cUtwC)D2PY0#t6iB%}aS5Bgk#x158aI({aGU9)H&gcnw+t4gZz0|6 z9>e;Vl5TMi#c%pn(yfN2&(mI|>%W7+S#_T*FX@x6lKNMa)PGk={dbqt|C5sX?2TS_%Azgo014JLz^*>2^RZ0Di==vqt>7%;-^ElTZ z)Aio~uTDR%>z6a5Pek>PXhX)&uVVcOO3NU!I%Mfny8Z^b>C;@Y9K*WqWH8U09ily!M&(r0ZSj zo3|;`?fIzQHR$~b+Z z>y&Z&G^%s!$!N%5#-J_+rG3BH&M$PGIw&n^#}$A0iuzKn_`}zvqxtX+X&iiA%6>D< zTcJpT((Z}tO)rPlAYEpPO1U3R_b@5%c(Tp(6ejO6E_>QB*{k*5arnh~TAJB?p7wL9 zWl-AFsFt_U>7=ZQhBt<1smPI5eEiZv}*<4iiMb7SiQkUb+ z#Fa+6ze%Z-w=XuHbcHGRTUge39TNwoy@dK0l$KYLT3=P9k5}>OLBcp*KMYuUD|0Lw zlJdBvrwdXf>z(9-8EiJ9d>+bgheRbA9h8>WB?G8CUY&=7j)T(vft52T?L|oR_Gf+i zy^r2Q(!-<1@UcL8gvtFqWmGl)09>7U1j@()r?`wC9kH zg3|tqbQF~K05moZO3Pu5gVJ)8;-It~jyNbSd$$;rb~i9_P}(!lS;e5VykV4^$Mj^A zy=cgrpY#-yx0Ri8QV->~U90e3su+}( zPer`%_CR{2JoV>l;;zUzCF*&qk)vSxDh#3`ZfD+3yU& zr1G9ah5lAH?}@6tp_qGq!REqKryo{qV}A&-Mz1s|t-hNbW^?9YO+JeDOyzZ36$8=w zgU#R2<~S(rSG2h_D6PKBJx6Z3B`ED!nowgF^4}sTEwvSc(q4rUUSC!}MqV)EF~evu z+X>@%OEDDw_U470*&egE-++6u%WvmKD-%C9> zfa&jQHsjjTdmC$@-(d2Ahv6+b7R)|oD>Bg6WDYbh0F&};GKUJ2_0Gj2?H_I~K~COV zc@&sq&AY%=mEm&xhY4rxO7p^pS2h--=$o4D})$Lwt z5}0euqmk3$)v(O9<{4n-d7OLx67v!;i@dv8=?&)XU^>02SSgTWSzHF`vjZrjRmt>noX_KII&OD1 z3g(a?1?k0cMWfUoXjL(E%bEDfu;pI0wW)oyBWEeM2tdk>p<}`Tf5q zDH+{A#e!l?Y*9~GhYK_4sO03*Rf@@*uW~XaIrBCv*d%o-eK$z1C6a^0mnq8SM2ki~_hBr`r9~VEqCG*2Bko!h7iv$k;@vl4 zAA{hVa8Jis2*zdv?vSGkgMBHitY-Q~K6iWHj|Yv9VbsRo%@o7DU_8mduHI)*FerW(3y zE_IE`aS<-7x=6G-T^r}{B~N$G0J5-_UlPD0N-)Ksv;%M%r61Fu6P-1Rg3|J7y5nu< zV8uR9js~Q>nYaq{=LmxfeG2&JtCyh-XXj+7smyU`OOhVJ7t->4uFOdCo=9FF?+#1K zAeBamAgr@5*t$k}|`j%Q#OQ=Q)=7Dabq?t`1=n zW-FuWbGWlV!>&bIF(~cHV7yy-iF}5A9%#cmgcnq8HeJ>6+F9gGn-d|WjsJl?7h(uX zOKBaHmbo%`sG0g4hgCSvFEM;&j5b>gN_!6&kIy#!x$-Ixt^X4T=|cNz)rfErQZsF9N)6u;wqFJ(R}*%x#!s&F`E& zlrKHaYL2iqfAQ?03n)B>V_YuWv(yNGBz|0n||6!hB=4(zM9`;jBEx`D0`uJbbeSL8df|sY?U4ww)7!k#Y4hYEww2g61Fa$L6V1rtxHIjIXxER zzn;#m_=Qp)5*E5#j_BH-j6rAh;t^e2AJOf_Bf9n%KZdx|i?2ECFG;6*@rbVd73pj* z9?`YGCY|raBf9oCq^lD=qKnA7Wa<)8WL+}#iPf;kR+7_@;1ONh7N#-5Bf55(FinZK zA#C>$W*qyRG4$YbR>90*B)%nhuFLXkLcRNO!nZS zL=~Cg!gMBhMAsf6%+dsp=-PF{bR~F1*WT6~hB7M?JfdqyM|2ZWWZlb9&*O%>5Ke4g zU7m;YAhIqS*-J;(C2921k#*Tzrx%at+R+i+p3Y84>*?GBA&-Mfvwb=MQ#qQFdVQQ4 z8c80}P4S4Xy{pOeR1{fP=w&E}$hxF^I$dC#)gaS4vM#Gn>&Uu7>d3l6>d3k$p@7FT z!@bbe0gh_3fR3!o6pw>n140Zm@vIT1^t`?wQqnxF+fz>Krg>Vo=MuVWnx}Pp-Yfz< zt=m&h>!x{Hx94&SD4uP11OGJx|Tkui)kWf*rW5hjvQL= z>D&*&9$oke6_4;HhWr9_Pv;r@_Ld{O?m^aDVEUXm$#4(0bnmA5Y{Z*>2GY}@@a~nZ z&kWoS3ryv?NZoY0n~NJTxP3nuO@Rj>pysz^xr{X-x!mfEmNCO)w=+$8sUJ>HgEmHu z!@wAyKZWM%RpmEWpt!1xISH!p_v=07KjUo#_EtQ*Z?DB$P{X3<*?MUyv&&9Ad4|yg zstk)icC@-+zC%WE2KbL%Wb0MP)~k@Mmmfv=LfPPHl#W)Sxp-()w$15CkwvMjnrao% z3uQy7RaT_3T3RA2QrX2vljMq2b_vOzj(@~n-dg?o*2MV1g5F_E6A3{sY#6!@;Ak`DTQtq%F z9v_#w1tA|Jxvkjt5lN}odza)`rYd4SyzCs37h$x#+!Z7V|M2?VPLdPH>wh0eUv>fi zlQ&Q#$z8zzlq&F&8*8us1?zK?+y(qENvD$B1^ll_XOnEG|264+lDmNa4e9EDyMS+) zWac(qbaEe#;KvvySNMB86wkV7r-+_p}7nAy9w=a=}-QU zb$VR-lN~~G=}*oTl1qQ8-#8Qz4_^++K8AH83vlUA^=FDK$?3NO_(+e3$rDzQUVk7A zR)kfg|9k{UTd&r(Uaf7tOv{=a3@O9J!$&pnn~^m+JjfahrZ3jy@L-FR8mb7Z2x@l3 zZx3dQM7h%dGOMu_;aqhEstbs%2rp8dd6Wu>tpKwxXggSd-|!N}XR}W50>&e}R6Pfa zQvtCR;bp?~4v4J?f23GTHXyblT%a&vjC}ASdMR8eOd&W24i;V^WvT;WE5gNU9K>n^ zVk^RH)kBc33ua@whS#ZK$Y~6Stq89drYRt{BJ30e6M|=1W{EHpgEjD+@CIR;17a(} z9}6=nAhsgBQJ9v1*oyEbVWtLuMytY`g=r0zk-0^f83C~s;ZkAR0%9w|TZNe!5L*#0 z6Q(^Nwj#Vum^lHl72)l|bOgj!gm(yYanR5V=1yVe1;kc_%Y|7OR8g!;{T@me1;kc_ zD-@3mb_SLkMvGgKx{>LuP`eEVk^S?gjp33 zTM@1lW_3VpMfg);)&#^>gg+BzZ9r^Ac)u{~0%9w|2c(|$0kIX~gOal$IFn@_lAO;1 zVk^Rjh50h~A}d{``1IZ|bCvA*M}%|CoR7sWd{j6-+9mgxl1KYyZVx)f`Xn?EX^J!>~}zo4_N zQ%{5IFmt>-3SU*9!$0PkIX(dj|0FG1Wafyi2wxM+I?Wuh72)g3ts%A|cQGa3ki0H4 zM{Gs-rsSo^b1-g?aA_x532ALU11l_nDa^wj$gh9F`Q1I zAB$vG@Cjtq7}xo1}8YR)m9uYf(93E5gCTO@$VYShaAiDo1QZILzX7pP_QZR)iz0N5HkI z9I+K)oyC>5UFC?a2uBJxN9BmE2)7lkL*6elvot2*+Co!7jba9AoNl+X1l^ z;o;UyEI&@bhbiF^7SB_p0zOI!CyLS8fY^%gNa??dfY^%g7`Zsk>vi-*8N2F044!6< zgMzw1>}?f$n*(Ai!Wps;wgnAb>}E=tc0&C17a(}%d98CR0r=ve>hLH)diiL zfAg*5VS0TalVhR9$nA+FTzH zU=ePxeub;@hJXNz@FVGf#()5e@Dr;JJ=+uzU=e<1twaMS1O!-wUsyMxna#muxM28| z)q;uI5)fb!n)YqTX${V#IkudkZwq*IH!QQ?gY?Xxo$cvie+H&K;Gx^Fr;Uc-;*_Uu zLr0i-0gv5=<-#lqc$|Wp(o7BfB=io7iLw!L%Ctn z=A>L5@KkP?66WcEr-Z|_O;=eH5MUAZ7OU3=xU(|CKB8bTJ+=hSvi*PTScU_GE z0T$ujQl=>&z#`m7%1j6dun6~;GR*-27U2Q*T|+ps1XzRzN!wZjzMu^cvEM*FZ2nAiyHLMwo_x0E_TCduav7ngEONdg;rifB=i|2Kz~* zO$b(TbZ)YF@!A{^U=iLdOiMt3MR?kAw7Qt8%AiyHnS;zLuNMb93BSn_jir_d&CAK0s zLGDF~tq7(_DzO#8R3VA22u_k>#8w0|nCc6*A~@@IWG1;l2Q#@o8_9P31ZQ)3whcvW zMKJ4!kg+J(-w77Jg#RnJY6e$u(X1f0B3MMy@bmD##^Br|;6q7bD}wX5Z5c@}^TC{9 zAe|&v`QUugsU#Qq-~!UwB-i-hhotjKF7bhcMXpYAg%9R3y)MaRJh+hN*C)A(2N#jv zsqz8T(qO16R3BVN11*|R?ywZ*DrCwXb`WCCVCI!OU%^_Wpufu3otni%i4Okf}}*XOY=$N2JsxpKSoW2k+YJ zle}Qdj3eEYB+eqUCv~^}}EMv|BL znFC1MN#ZOr2hw~eNt{KdiFC?P_v63JK~$%Rv&bA$1vS3lEHdK{rb^;0GKU=l(n#{{ zV`hR@BP~3f7al2N0J8_u0|!bMl{+k2?qu+*h_lEXeDN?iwIE&0wUB#`FkbDw1^i);ru|{Uv^)NA;B+eq!%1xp@$wek}D#bgJ#93r! zu)*_^#93rcC%rJa4!@Z*#^ZO9k$C_{=EDk;#r5@DrOD?-n0@HGaDXsQK%7N5P#(FZ z0^%&fDwEn6B%CHI;w&<4Y)n3zIcp}gC5f}hoGqE**i+Fp@mOow=+;(>(3A;==PuXASipoAskVQAc&=@+s6I7F@}4k&Z=L z2hW>luF^Vq-aR8IsjRl_8m8wBMVv)u@z&6jZ_8Z&4)savmXx&a2Ca`ci_DKX1yV`k zEHXEeuGUlICejVbX8P#O)IA|doJHmq(#^?ZSig>2o;(yOnOm9OYDnDj%(ByU{dY{k z-L>wMVHJn&-444M|J%?zn^(b*H0`#=5bv=u>_eXqWX!m$o%|t z)SFLbe%TinD#;vmJf-VzpqoC;CCf1saTb|pKGm{o_9)8!xo45m(#b{PkHS#>q*xqrElJ*OjA<&=Fg;~xaFC5c$^z` zzIPVuls0^z>y){(fqvrXG5b*0DSrQ7C3SvOQs>9IP8p|9be%FzpGI{OXOa0!fV%P% zGJhqwKOj*%wLB9k@=O-Os(UwTWCLHSHylUKsJY%VHGoJFRe$u4mM;w&=#MJg2# zXOXEe<+ckeEq9dkU8f_h(wq!6gQ_zFP2SLGeN~Y@Ud(3(3F8FBS!A{{$3uB4AkHFF zkRsWDIE&0+b1=&1p?obQ29O!ZyMTebMj1%m@#>raoo3wf%n%J_$YzF`El3QAv&iVz zHhK@q438SarvsT0CinN0ktWU}Q)h0EGJ~AVw&q2}!lSk25SapzG3E@4WHZ~Ft3mXg zekwM*9ZXrG`Vwc6*-=Kxkqs*|Rw{#j;w&;dnOCxKKC_D%;CYWWu0iA@<93UTlgYQc z0KkuxaFBi<^XhcdJo(Y zW==2YHS}ONunUjR+3Wy%aoNV%9 zcx6DGMP{1Wff>3=9*kvLOA?o*a5*7;Xrv-;pkiS zygVjI1q54!Rr;AhaL?9Y2H89hmJgmoh2d5$q3emhF_c%}i>;aC~4f|1o=i*Q##CjXf6X&7Z7X_ zP8X&=AlM>o6EQqyw86|W?}u0;HzFgPBRNgMB-S(6{4H`O1m{iybD8-GnC5_Bi*UY~ z9L$GN1Y3j)g=q~4wg|5@3&?2;2(}0piR$(sMFrQGdmyJHAlM?j);t`{ynquhTw+cG zvnU|gBD_Hm5uE|S7U7R2XK6sNMR=nyT>-%s;VtH!D6=vk*dn~ud>+iIfXh+XWqt)_ zbwIF1c%Qi!9CA&-#VLHuYz4D6AlM>&(!3kYx`1Gd@VDk$VAcl&TZDf!M{I+q!9ntP zFz=b)2lH934^@9=o(sk>bALD*+?T>RW^M_UJL(4HrObY(o^~=GiK><0vSw}^^E~15 zW^Nz$exRN~UbUHfmXolLdJSBinUiOEIrSO1dNcPH{kTdEtH$1L=FaW`ZX2~9xJEM< zU_OPlY6`d}Ge@vRI6_?rZUWxju{opFqu`p&+y&(JQ1%cEmYJJE?ohQCxK=aw5-n?1 zY*8EDy0Jya3)gPu{>pxss?LODhnf461+Gmk05{Lf5o{68R4c&&Y%zdNI*==k?44M8 zc1OYhdh0+gQ*r79rqWY&D%ZAw{6T?}nMJ>i6Xr63zS}Jev8$O%SB+J6fv)EK=4+FI z^6sSE;j}Gx9$^;OLi+4L%4k(Gy~>@J<7|3ycQy)s5$Dj0E7b)2Q&)=-1WOV-&3yLwZMfGC01swx8xwIlN zdGl57M@i1S%}RFHCe-GuJe?{yU$u(vdb+8N3!-Cx$}*hRf4|JPs{1|a;fk=Sp6(h; z$7>8E#Sb~lHZ8*YCzv(~Sp&Fs=vl;P9C~!eAshJ}%;##N%X6wBm4JonKZ4|1A~{HW zh@xChv}ojW&&Q%%T0}KO-)15Os<2XMaiO*$E8cxNHhc&&@CeQTfhl*sW+6^1E=0Oe z=|mWXRME+mNoVsx{J==l!OUi9E>$Jjk(sB&*<8CyluHdVwDPm@Fu|yKaXGGXCFMlA z+Y`IXbq^IOXjmO<;L5nE2ANr#)ciLZdZC~+jVtD+8oFyPbq#_TqzIQ)T_jqaX2&^v z;nSTnkSwhI3=UB4Tm>dWs6;+&R|c-O^dtLoRA(Mi0l^mGx%zlOK(Ix4o-nC^V2f~$ zFu2;MhVXp#5VVy$zr(&#?oe4dqWt0^9X*0?sO2GDnUUa)5$_u#zTEi}N+b?N3jY;T z`E)u>uthlAnu3fTN8l%OBob0#yR`s}zGgc|-rq#mZ0AabgQ_W=KEEf5zhv>{EEl`h7C1SA6_xb?`?T>#T1a4R};9f3(vRbg9-R(Gn^|g^w2)SEW!)z(~*M7LgMX77!)F+u5M5!;wsjGHGYVRm@U7T9A6H@y`sjtVWtF}XG-zar` zocaVcWTVt~9J+wkZ~7JV&QK*nA~CSE)nIpK1)9z;4|}3jTs8%<=b*{8Dv(c&vIGsXS6WBvRe1 zwG9>Haaf!+)y37;TrmNfM@4y4jp6-p_o+UyFO6yeCx7PRvslaNc#MKvt`*Q!JA@wh ziM%646KZ)It3H+cGN5iooHYFevRb&w7``3H@IAX%qQQO}Y2{(vEXF+GV)f!ne?ATKbb+5cgR`Z*O@l20;(B^e1I!Bw!0%Gm} z!=gdM51_d>+l9#7X~j->1i9LRn>Xr&Um?BRYTBq1m?m0thQ)Hj*K#6UZf||7?u4(4 zS_jv~S}%{sMH(^&>A*adHstE4A(7>jkU9q8{440;M{}yov8$JETF2aC9dkCS<0`4c zD6M1e#&u9a>gbQ~vaI6^wqv?I{uW)w^Tl?&SFB_DMs;WxSy!iBLpd4AN|m(ilpz-!jPT8 zPg%yuNt~j;lv1OY=vFxsxqkx1cSxYsgNCS~{-wAiC^Si;~v-m9uO2Cx4RFik4gRyJkz%=OMG)YLAcO5N_nF+&AJ{H@z|rv26Hlf9Ni=iB$$%Du<<*&*Kd$!iaZ+42Yvt^k zuc>aI*sx*KPMcwoRcYVz|jF37sVkg1KF>sxsz1fYs$Z52e$R7Bg{F#f7 zr#+L7!{)V%MQIM_smGy;1}VVCj=Y?{pbwp1b-8ZPbH#<3K*n0WNr40}m96<5vugP^ zM|~dg?Xzlm)v3OS_|ML+<%@CkrNZh7`3+~+^5uAuUw?MZ`E--7RWDSG{8=?CIn>`o z{JhyUJFribrSrRH*X+f0*b*M_%$gfnyobywYyP5H?4+L3D*&R-s=0)@z6>pJs65x;I$&Hl`Q<4yq*yeY%b)-EIaP{cOtVSHH5M02H)QCt#59*J1J(sV*+xz!v^H$5MiCRX(}EY>;lEe_67vFi1Uv{iG8?z1(VQ(LukW20gtC4o;vm$=!?AmuYHZ$cMH__3+&QLA>n5Izf9B#F zQMbNuS#FZ1tI3YvW$GFmYI&+rt&sOl0*)U30^Deh(1~7W9}|SRPb|)He8Zt%r9C{4*Et z2G^?1LktqScPG@oj`1k6O*IGd`tCxLXE$M9&1~jg6tQ|Jv=g^S)^4|56(p9KjnOC= zBhRM(qonJqXJ`w)D(=;9(*haaqoOXDpoiwDXlR=B&@{`?sQLTpo^FnMxDD7~zmw>fs9;WZrc15IU)#@xUG3#q;D%d zh#1BDsIPSfylPu{Q%;{4c`sX&5WZhj;@V<~et5uBE7y&Qs3RxnET}oT zKUDTFR;a{ns0#So!X*oHg)XQbkSe2nlGnmJG!OUMADK?>76_2!4LC^ z!X=UPw4(0)cY)OliUPO+u0Niu@5671WfOKe9C@~Sqz-Zwms0LADyldeH(Tm)mNs^n zHWfEWPk5l&uroRRB5snAU{yyVOQxu0?7W;h?%d2VmipKmNa@rUXC>nFe#>Omv z5OUg>6;z~+xvRvOyRR-aCgLU;yG~`l-SqXzy3cU=D1YYS@9&BpJ{8-_P1rrqiir&` z?SbVbGO%AHr}by8)VT&!{*v}DiA=sWZ8jEZ3R<)Dy2n#Wvp)yY{5rr`1M6 z=W4StyI1Xo(Kvp_50I%1-*2x+(60@f8gbSJ7{1%HG9(kF0kKHYkXAh;O`96hYDGiR zY#86+U>*@k#3j}2y*QR9Y*J9o9tz{4vJ(uk>j#_Kb$$nBv|ZX&Vv$(871?#+ChC#i z?J8o|!cFa(+d#XPY*J9ojmk}ehds&(Jds`ZdK9stvDmje><*v6GpJ_Wvs3p<(%T}j zqPHDW1u^Y$QC89NCQEl%a#O=vj9o{t;_oy?MHUt-oezukfPSZRKqp6nMX%cb5mXy> z+ytpU7AaOAb=(i4qD9XtcHBfmkIE&RDnD=ltca91i}F}xQ{~tGUFGOq8I={0L|jrG zBHr@QCI!_YhqF#S`CD|+CpRrRUIz4cn-(44?FfzQu(O%hV4#X2IIu6tpShSbqn?)& z<~7kyZS38GZOS^?vbc!tGaR!F3_IS~`*w_w)nZxnA)V6_e{w8e5Cb2-G51USfBCCMDXVi|_xSf*dAl6`Zh`NWFTc5+sl0YHWHv2MDM=!b# zWE_x$wE8YDm4+Nla%cm{p{qbfQAwh}MqEXsz(&lB0vnM;7?Jh(uiW_rzi}85(!JiX zagfCR)p&$Cr{*<*D2_4wz1CUNa2R0&|O6b5wq_YWzw6MP>oloe%Mx?9VD6kQk zIyVYzM5f+djT=b>HX_sDMuCmUG`dk>BQj0y+xSIbBQg`*OX08xZ1huD*z87ujUEQm z;zoguXmG0=1vVlx!;JzP{TfW08wECE=eD~HX@S5-9GG+6D6r8J&~~011vdH(m<~4z zZ1e|+&2#&CC^O7t4=!@6$P5>z)2${mLYSp)6xfJjUG8?wi9%YqQD7t5w#tnH8!_(I z@)(A!-N{BKbYLTrcqK_SH?X-*f*~#Jo2i5$Ejo}^j*q$m+Q5ON*-5>P zmOC6xNqr|y4UH5-TBM`EModp{z>S*SU<$nqDiGL+G~UpGv3g?y1Uj%0s}FQwBO!HQ zBO!HQBiiIM3=z*4IC-!b^ESwmS-q^b3-VE7R-fRV35Un|N&{3af3|}{s`asq-YmUZrz?g4a=SwSxmR+bD2Dvm04ax;z!@p@6$?QD6_=w= zNmIU$ru#ldlV_P9LagsAG<2RxvAz|g=bJ3v_b4{L%iI&`z4t}ggQBu2%B(PHZ{O!h z-(~VBv*nRu1g8Qj=!x2XU&(oe`53=5`{le#}y|JzrwGrV$lk z+c;h2rjLqg%NSs*M3q{Nq668eDn-MTF_5i_7-jUc?L>d|K62QsN}=qEQQ#|RYMwPK zL)!HtwC;UP>)zM2?)|B1FBFyDpQ>nB)cX;md;fSf6+&!Q zi%@pO3P@Ja)I4iO@3UPqLB_rR9@{lTl-p?6Qgtgb*{-EZo>kNLHL2)7x2mU@&)&Z^ z5@7FZS|paK_b9>MUlvKQ_cg7}yio<^+RU4UvMc7INCj)Ipn80=6IKp_Ox*ila)K@w z&34vF+@p>|Cat`u+e%F;`o1P@`o1Q67$|kGx}K$I`@JQ$YZ^Tc-`BJ)zOU&X#sJ4o z+@~H#QR)5r6b-Yr??;S{Pjfkm73ve@u=np4%BdIuzJjLaS+iYr`e-BZpyDc}n^=ac z@OU5sPJ@S~9tR!$k=hoSY~n|1r+6A@Qo8wLMMS?e2H$-Z0S<(wMdB012puvI_!g{4 za3D0T2jT;D4Mb@1heF{)k>D#>a|P8KKFi$(DjtXqu9}~VW)6g5y^l;KJIFxLZlYe}fjF8?>?QR$Xkv$TKQh_G4vX8W>;{^&=~Z*BKQNzO zH8&DqV>B%i7h2y?f-~a6NP+{QX>IWwYXoi~Y4HU@IThESNCj)Ip!zC2LQGs@aqlvG zTCpp{<1u-k245zXIN4OwQ->Sq_BwM&Zs8q#%kgTAodDiSm z6R)<0K}zPywbrm=Ynd1gkIz0J06A{`0M481#e@`w<9TZ`GTHj)t;6Es(xeQ=3)aQV z=Wx9c32?YHEfT-6?xX~V>o<`EhfC9XxSq3Kfe0J(E1{fd}2LE0v;c%_9M*7;|b=?MQQie;DGF+OJ;d<4Y!cuI;t0m3Qv>q-^>*3P0 z9#fkXEA}p^%Fan)UE%C&1+DZU7D1^xW^vLe75tRNPxqoX_2_sW-u%n zu6rX14wt6&aCO<2K!lCCODLz}udt|sHCIr*4A)QXbEE;Z;#wre!}U{cJ@-o`9Ii*~ zb;zWDJ<@HkCS|xZDZ`~n8Lmg|ez-W7W;|Nb3{C6d(zG5fP3z%$%-$76Ww;)*X;?H| z5u=CeLHjHSv4sx{m8u}Fpn|66S+gFlpW7FKmf`xP&FgGNyfzFb#>2%vxF+T?Tu-p| zPl*XB3G(L+xf|Iy0*>E766DW6$a)@3?!-xkzfq7sujVzR_h2SYNaJ^_EVMh8KUeMA z7k3J4aXaE)q_(YvAui+lfw>8^?egZyzeJ510CAV`{rpQ6r%}pfd_Vs(VS2la@8|zW zv4X6-9`}d-0>xX1y!#^V!Tg276kNvl^RJLH)h^@v`HR(c5UX{ELZ^SN8ehd{1dQ+J zNAdk!#`p81_Q;l`a#e-DP|~|2ARfxQy@T z-!4pt%lLl&9l~7fHZ+5|Q!2&+67H8 z%t9r5{t@9Ev%vU%{-eUB%)+VU9#eZmGHVtX-_L(slvJ3774)Vjq*wB0VIckS=fYK+ zg-@Z`kK_9lMldgq?^j@aKmV5^*Qz?^nW2H4BXI=l@!`Rd1is} z{ro>kix!y$#`p8%_*snIQU%qw=nB>&!wMd-pxz)|-X?%zIzB4Q2sZ zc*rB%XJ&!%{rnBW;S4e3`}rSA&pWC>ytV(4T8{!LRbYHS|6`HNs=@*6vrmM}s{-Tu z`G1wwqFNOg_{0BPuN|sz1n1PED^P8E)&Eni7qy(*l-wwu;Lraf${zP9WDAqmntw^p1-rzg`QZc3XGTM?_#Y2w_XkASzv!R>zF!- zM)Cc;q5EJ>}Nn5B0a#`p6Nw+809%P_v5e}uILHKbhm zKKw*6I_omNpMRwEUxmx~e*Q7mC#X5E*U>1xpDPAWvwjN&b*|XkD)u(JjPK{qkWI49 zWqdz>qxgPaZCRG4C-6H+;`{j*Sl58j8)QeR=^c^jJh|XsXmJ~LT*mkFFB1JJ-HwZ; zPqXgWVaU1EIGiHmj)fK{M-<=B8+IG4 z5t9J^pp~u2+~B`#O~nf+ZRIPaR=yHhxd3ARI_pu4w&V6659U?tb}%WI@Av&EzMp)@ z(~si&xs31Uzb@5RyNvJWzaisL=SrL3ls4D9jPK`fuv)e<@C={v{rr!l0~%e%_wzro zUcrA&F5~<8pIHZ1@frRGI@lN1_S^9pKI8lOUs>NEr^RJ_Ki{->Moz1HW&;@8<_u|* zPjmZa_7z}ey6tRF4|@ricKN8bAI0~RuWI{Id_VcDwjag!lkaN#QG7r7u(lt?_meMc z`%!#9m+}4lD88S|_FKeORcr~+0W0m)?%$yt5sXI{@-`)J^M`3sI;G7pa1jxn&;uL*4}Hc zecy9i?^@F87d(gEHvav*d1Tu8_w$FHiHXa*=i+yO_V0%`F3;oBn4ZiLxzuhMk<+_H zhJRE}FFDyDqoo~1kN*9F#vBi(9-eHfF}Z%#yeG>eE!Zu`EnV%=zhAJsF!f$57jRQ9 zf>?t`|9-((z`u7Wt%l!#lk8Cad`voo1r8ryr0o&K!zn?$+*BI5j`+NNM z7yo|2v>dmi9_ShAfu4~b=$U4q=V5??SvhXBqBoYz$e0Vnuuhoy`b@huw+-uXp-2CI!R@(&(1OJt{rd&$bJrqgxgNL;xyi^`CBfZ; zJB3-J{rmYle;)${w`%z9FaG_4`((rE$=sA4yG`k_d%%p{{p{3(Ql#kZ%GI(t_cT|M zNB@4oL%A2g#1i5>__3_NYVF_8AHnS}{{8%s?78^&^GDS~Ui|y{jY87DpFc)q>EF*E zE9B0-K<*|2^zY~IE+qZ?`FjdU|9<{nMe3!0KYw2#>EF*kP&ClLpMQ{$^zY{%EXA%z z0sl}T>EF+vC<65F=N~O3{rmaH2>BE>94E5$@8?gKRQmVxPm+&S*Wk?aPmxsm_w#29 zN&kNS94SWse*QeBmWzKs|Lh~M>JjR9L;NN;b^oe+?D&xDbS=j)ZY9Gyxxb}n_q$6{KBymj|)7iG+kZz z5#~B<+Ki(01$y%f_xdenprPTjNVwFm3KIv^n?N}yhH8HuRy>;xF1Kl6)n80 z8oPDyKhR z$yOt_28-*vwMxZr#*W8n4XT83y-@?e^b^yAqDOCjL5-p|dh=tG#hYI^pPd;Thi69+ zFVLG`c&_xKKyQAb_U2chH@~p03L+RO{+A3AONlqXaN%oIR2`nr`@RDG-Gvwa0o6GL z`hE*9VzR3jp7!Ra7oPRz$H^COe&Leg5QV0tcTyAW1H#K`g@RXv*aG3QlqT)XPisnh z^9!#yi#qDVZ)R$f7F@-0M#n0xL!!rPZ+-lRU; z^lojcch_ru^yU}dz%5WL(3@X)Bk6j*HNH!_sX%Xj;Z4*%xj=7zq4wripf|rzd-E$y zLT-4A-ZJ9NFTB-9y{P~8OdaZ%F}Wi(ChJr6Z%EaDXR7|YQuS+Ze!Bj9SWkaqi;+VNGG$n_u|y z@2IaO{PnfeC*$;6Q+F50zRGg0uIG15FY0yo8g<9|IQ>1xsamg@ZKN9uGB&SMW`Z7@ zv^T%-jZvrzb-vksr=$;W={jYdyv=pu>bAV2>y&k`z4_@n-%Zu|o~~2o=`XrYnWwZj zzwm=wSm)&MuTxp4^z$QKr;Oppq}_t7hfk=lSdjJbchY7*d`h~>sh~H%&{3686gP)i z^+OPz%y1VaD&-+GEK`(sJ-N(u6{gywH^0zT9Mwi|I)1~%n_mUJ`Gw``RH`k8zM>lt zx!DZ6$(iVQ^yU{9E<@6}~{o3bxchT7$rwkZ+i0!$I2|Za={7{Z+;aAwxaMjHH?L;!#&h3R)3Soo5mS$ex7W; zy(OpU$?i+M`Bij36Pm`WQ=nkzns7h$^FjEWLJw78vw8`areQm99QIeWSee5noe26s zbt>umrhz_4Laz^_i>&Zq#f!!;desUKQ9SU6(T`SmsN&%}jGnW?35o~dux?zt6BQ4S zVXvJ9`f$aKKWvwiK~GZLki+h#%n^zkWf;9pg-6N~ubFc~EAB+Zx!15`W+8ow;(;}+ z7PA;0Ba3es-wTDus=u)816)MMseLgO!>(t0j#u;_GmP%T!WNmi9 zTq93n;cSswCr@JG9AVabUAPZUReXlt=n-rk&Q*2T2b<+JSlFs~v;3I%3RZ1+x-9Rl z-rIPf3(ruznWi^C`ZDQGM}FPU;4KXwEZ{l(TKtM5zhKXAqH+D-$m5CyVy9YBC^v@kX8k@@dDXIzv zI6V+-YnxM=Dq-ZyOx_XnUSKX}iwwuJU9a3{tBg*dRup4PU`^QZ+n?!N@ecicV#n z3Oe#btTbxtFOK|zk;=zu?Nx9%Mu};xqdPrX7}ujCzhGCD!z>m(I`Rt|RW~p_JUa3V z#;6^@#QFo@Sg9T_FEG-@w`dxeW$I!uE#9w~vqG%}Gt;9Z zzhI>>tsWiu1y`vbAZNZu%dKFQs9xw5so>k{7sy%c4Pu$^s5ihY_qg|hwMy;GHxYMH z_4TS6%o>k7F}Oi;)_L5D!HvSK_nIhnvuc9aMvt2@xJ69|v)SWG6s%Xvz&z&h$O-OM zFM!$V@mvbFsLU?-*5dI13Z78(H}HzbQ!02~odsr_$KxpYAN3HJw>_Rm!JF!BFdx?T zr0Ne@RIR+U>z%m-T%)R`Bfp>~^B}k;RZB;H!A_Z%!8NN|I`RvKWIh2m zLDkZcUobq=cO<4t)zXn)Ffuami7ji%ut)Rp zd5t}qA>2Y$%cC`zohgjMgsECO@(bo?>cA~mwfx{7oRc{K+$!Y^caXX>X)bb|b1zQELA*6Yc2}eh_;K)0l9P0S-kht59A_lBs;5M?FNlFXkY%{7KfBC!)!jxtJP|tTDb<*s zub)6t)**LU$0B@mLet)-N}e5h7xDFmo?Tw`kiP@62J$q~<+;_6Iu;2#zXg(KiR2)0 z0!4Y8Xi>A~rYE92T0}KO&t@V8?y}-S{gAAz?%vf>Q46kPc{cz9pLs0AZN-B~*OA@` zk1(4$c{1s2zHuL9G`*UX=24Z(HqFc;Rh0AWN-38bWNPK7{WPIb{gZM$Pt1-QN;RjK#>+_&9;>>DIh{fz$UyMRPAO*) zS+w>NEC9Ts1k+y}`2`CzSAfy)=Fc-$M)&d3vPjzjcy#0!oG(n#qa(lI0%2mOf*OJg zGZUa7?=Hkigc!j>vn>Hv1V2T~`?)UK!jB)04WD-}MTy`wr0}0j7G~>k&!_L%&XW%{=ALblWYCcxr7P&jFL)`-udwJWisW7HhYC9K3wq}c zMT)#W#*K)rBkkWD)8^m0h zJk(5mc~{&sxVxi`Wm(*)_ZN45!G*bjU_8Ft43^0EJM@z7{DMnz0dgw%@hVuF_;WI}c!XDy(X$+N zu2`YbQ3T9j)uK#KRNDt$?$iY$RqO-u!i>Cx?=u4d2QoCjD>}P=%~2O;wxI{bQKOom zbcvLNI~}L-J8aaH%vC?vUHTmw$3M0DQg%t2Fhw+GRYSmvcs#~1K4>+`SnPZ;q*lPOc z(XFmC17})Y*S^&WYg%1bYV{_nep9`%RjY2bbG&M7qWVoWU*`1wHr=o{<<$b+K8(DQ zWA~=KqoG@fQN1|^e^ci}9%C}(tHzS|aqw9!`e2bRvEl>eC&%o{WW^SEZ)R}~o;g4Sd z`KMO5pa*)!Zc$vWTnw_4ZZV_!;Q~FXx0q3VZ-E}w`(&WFv-GguZ}=PA^tf&^{JJ(h zun!piwze_XnO5Kwl)s~PbDYtwj=Ej3HJnK9w(rYhWOl{UHfPL|pXzoWXi8jz61vYn zHm#Noy2TV(-lj+35k+(oSAsL~20U(q6j3Tl_s~Bk(WwTLH zjn?W`JfBwaOQT|yQSqW8N=HuLtJ{qHJb4`?`Wv`CPgTU*?Puh7wDNZt`BSa@9#>NSL>b^Gjc>rS zt^8k&{E112N1yJf=^~8Nm`ikqOcl;zXO9M+G)K!!A7(V+1)uz>)%&2^?Ag&q(*$Zd zR)paXl$wq+np&JaW^s%r%CdX-NjL}ZEfxMA`>?aDfJ;naoR6mF%T3{((}hRa=^eLk z6ABNK<#41ajC)d3_(@ZEP`dCCTX>J3vgUp=-H$x3o4vvo=E7v3`Lt@X+Gtv4H63p>^-61s(`%!*orgVsih)zUO!v)?={A)#-C{KPX-(bIYol0d zQxzvAC(~VJG%c}hde>;mr8SkM*G5;{rajMK@1Fntqq;e}+6(snd*Q{-Pgu?KwCTY9 zY#c#y{pN!H1(#M7d1E8*=r#)&*m-WjQh1_ng;Sut^S0XX)3AC z<_j43^=dkaH*e?gZXSE0sTTLL>RdkP@z`vd)Btt?525UeyW5&JP<0zE1bLshH+J87 z`hc3W_Z℘-e27cI`udS^nO*1I?}(jjIU$#!h}jH{}{(;T;4Qtsm=3TW|~eF_KxL z8@l3DJ6X1KuOpjK+jw^5)Vp=*4{_tqKehTh5N^6>5Cv|ErPiirn!xSL2P)jKH1X?| z+D~)M(xTg?MXjbqdrzB%CLeqlv|)V5hWF|k$}-fC_MvZ6bmmOcK9-mE!Oe4QFmRQ!L z-D-UI7rM!}RqLX!PxTnWspu>WgLOYGOjXMg=1W0Yyp|L${?M%${?M%${?M%${=lBWstG1GRRn0 z8Dy-h3^LYL1{v!rgN${RaT!`@U1eMe#=6R2gRQF!GS*ebO<+2Al`#c5)>X#U&}Lm_ z+ychB%Gdxg>nejIXkBHHv92=6SXUWjI(L=9wpmvhcc7ksaFxMM8dn)46IU7RZsIC~ zO6V%%Sfu6MUw{iZk!-eXDW-BZC6(?hB(;O945lZpGK9VrD&Q)Ebl%+xCQJO2ah1X9 zjjIeHwW|yvwW|!a3BUw1SQZS54}bjTV{7%e2V`->$cb9wZn&$mfx_ZL#^8#t*8zh8=q%f#Eo z&u|JBwp|b2__4Sh+Ue5F5Bs4<3ApV7&=%ZwH#WNkxAAe?g4@Vga2qSw4saXGSa2H$ z--6qI)q}hG3&CwvV8LxG0k@HxtzrXiBiE{818yTXPsIk@MsB`}4Y-ZmIVv{bwpYL{RIvfK zy$^1&iVe7J81~h26&r9Hd$dZ$?Z9pERDSJyHB&c`;I_COxGgr|Hs)r<#RlBQ!}guJ&T??V+E za2xZSOniETymw^e-Av5)FaWpls#eUz2HZx;I1?Li8@cLCY`|?iE$TC|0k_H7k%5t|;I=m~IrB5I0k?6c7iMAuZXfD zE)yGY+ZK$=`b_-BROIcR-Ic2+6B}^bSHNw{^futO*9Sn5J1%Uu>E#NTW-#yo_3K8}(ao8%NlJ+g|R*)*5izdtfZM zjRRr9Z43it!EM|e7Th)jt;xH);J0Th(ur$(6R$~h17A)z@MY7$DTpLweTw6JE7RLtHHlQz- zZ8kQzwr_CVW@CeEn+vW-Ha566b}7!ro#NW2qUO9i6TdwZTpM?y9_ShAfu4~b=$U4q z=T)QqvvS;M7T3m}SzMc3qY_-(vDgk4*T$MHuI*1?vaC4o{uRGvh%#``CWYa?TEZDcI2jf};$@ldh2Hrk|GTpO>$7S~3*M~iDCvnIi{4aY#?n<;*K zCb%|kI6Zco(qp$NJ$4V6u`{?f7O}WCK66@J+Y}i{f>3~KaL{{V4Bvs?u!046cpmbAoH*@oaHz8^B~KxC3x)U2t;r(YQ7qnte2`jikl3T>ue-YvX0>%f_{_ z`3bI#^tisSp_Zlu*Tx2B*@Ohw#-Yi(ys!ZSCA*ho=r&$y4Z4lH z?TgTD6i?7?q!V=8N)%1dZPPG93A&9B=?S`x^yi`5#v)(PZGQw|TA!fX2I8`B&~4oM z3A&ARf^K8;6LcGCi*BPjgKpasY7%r?D^+TA+d_~Dx=pLm=r-PL6rD%<$q?mT z7R|dO@oUg+SAh(!!rb2sLYm&q=?8S%4mb-8x=o5!3%X5Lq0w!;&=sp&iEi73c}mc2 zyf7r_Hi{?cHaYhUx{Y*ubX(8+(MY1(SUf?u@lBFNw~?{vHY&F0Hfkg0f=xE)Hg={T z&~4YS7aH9rz32qp_Fafzr1)PlNGxU0ZF4~O1GFuhDI(FdULA&hjjh_BD!rQ>j%1R6zkXMHeG)cE^^^h zJfP+idCsx~*=9WSo9$ z>gK8ZD$6D4Hl}xmZsRy5=r+<{h;F-I*ZJnvtW)~%mabEy+qg~=bepd8AJJ{8I^WZE zegV3z0d@5Qy6tt_&yRGS8r?=ZLAOy~f^H+7pxa0{IW-2|b|Vz^C%UZ(x0(sMO;jf6 zHp*Lc8ySmkt&}}>uU8lyN+YW+QjX}4uNPnW+ zc=wy2+jQB^&~22m=r(!O!b!_3N{vCcEv7!A+jtY1pxd-QgKi_!Il7HSEV^wUFx5~_ z@k%mPyz{T(y-O7Zlhb)1=o*7=dx4b`-F6WY6Lj1CP@u0N9no#Pz89SugKj$lW%?7{ zc8e`MQja1;PQjRtQddxf=(g8D^fKr+Iih+QbepXG_UN`6gKoQrg^6x!s7xByq$qD1 z*8$zeoDS%=8iQ{8Gc*k#y6xyH#N##Swy9W+bq3w`J<E&~04ewFceB1Fg=W z+jwBr8FU*LU!6g>okQB7+nyqA&~5vpvvmgD#%Zl1qz33V&QhI0w{be^47!b@>(m%@ z+c+>a2Hkc#2CHX+ZsW6Jf^Op|T67zqC@s2;QWo7t#-iJJGi=dqH{pKAqT6`O+&Q|9 zx70+p&4408gyG5=&W;w{)~^~)J_Oyilc3vn5_H>68r@c7&~4P#GeNhlM2Q65#;#d(8ySmk zyO29OLANajW6^Ej24m4}tiA)ft-_+)ju`;u2HnPY9Tweo3NkFZ?L06R-A2Zu+g5|I z=(gLzSajPKFc#fL#-iIOX3=fWfwAZ|=2&#wTVO1@EvV)D2!n2`2V>D~WGuREcQ6*+ zM%5PGb}Vu%y6to@7TvZOj77KI4923{m}AjxWGuSvCtxhP?T=tAx~*4T3f*=*7>jOO z0>+}-o&sahZ4T`GEV`{3j77Jd3FgDtpxeF;#!<0Bw~=#IY|w4@fh(%s2Ho~EaIuQV zPs1MaGvumOY|w45fvZ=sLAQMju0h2H-4^bIX;QI4x9tb6NyP@;HXU5EiVeE$0&o*l zY|w2tfSashgKm2XT#Jegx~-Bg3RQf{RJ3JM=6G2NI+pS8-C&uq2TSSSw0D+wOzZN-pP87Bo#|Di^Fyy&r8r>U<>B zljb7VIgL0ds)p+9%UOsETW39h)GA)~ll5rsR~*l9Y_nk1Z&TNm|s1+VgH-=&0o8(p5^6JFjv&CAsrDl`PdJROeMLqYCa< zt)f&{56(m-dzSJ?^du7w-pZ}T`0W~4q`S{@nq83eD7XmG@X)^=24Z( zHqE>w$>!OWQZ6;f)XGo$X+ooJNy_n*OO+Gp(n>6qD@_$Cb}`Ywld+=)*;yTG{s#>M zP*4VjCuT z%*deI_#)j+&~0Qax{XZi)EIQzFQE;=n`Ek~48A^aBNA{$@Y}Aup98v$cY^$KZ1}wE zp+qnTDg0+s`7*l3pxZ7$M%fwo>6xJ0ZUd8`+fw&zi?Ylhx{cB`2HnPQvFI#Puu;(c z8iQ_o9x3wrkT;rAG0|;FTe8chf-#|4A~a>eS&2k2iXTVhXZ#9(Ux&){)RpQ z-L@0bdXgr(Z5EgW-L?Ri8IvUGdz4A$-VGJ94vSS3I_0@YkqqCu+;C z*t%c{pE^~&&fUCV@FM08)VcRB82kj;L0snmi!2ztp6p=Fu3IozjF5+DcFlspd>5jI zatmcv#DnSUM-9_l^?p@34FR5$bu^$*esFM(tgHfuZUUEs*^+CeS1k z6KM9lqX{(e7AX^G_CQuHQ(M5uIsHVE+Q9^x>4^!n(6^#8m_U;@CeSn~6BFpNi&?#x zK$k5RlAoi?mIz4`=&n2Px&qJcz~W(3AX*^pp2Y;ZYagbVD1N6y>R&Ke-WM9m&Y>D@ zuzU>3bvSwpe_%Ml|AoOa4+{4s{3Hg;e+G@~9)7LCa(A3&of$0C&bf@4d6)MjC4=P! z<+v8T02K(p(Z3p9?$ls;4({r#!SYf_TZ3gX)?k?xY=^-z%XDtA%R^bQ8gyo_3dW$L#E%N$c{uzVFBeyqXr z?O-}LSl))#c5blzJ89q>Y+z!re3PGy?aS!~b}(4}7|pN-%ddg?XAPD)1=e7h-L(eG zpW;;`J}n>#2FvWbHCV0$V-1#H!?oQSEc4OW2I3%e(i$ueMUFLCmIE^}Sl)_OTZ847 z!2H_{mN^F2V3~|HSmr9R2Fq;qb{H&gz{KUV=(-k2jVyhIo4pAWvsz6%XDtAJQ54p8Z5JK)?j%K%2+dhNkKByVS1o*WE%iM{2pl759x`V;89_V?P z2pBALqgjJx_RJbA%QY%7Se}jTU=5a8vo%=08BCTH;{_Lf%g9@U<^P5yj&9Jhbb~q= zEb9gtgJqto)?k@o0Ib1s7rb-o)L?nn!JKOoh~uvqX=|`d#u_Zs<67qi%NJv{S%YO> z#jU|I8Eddi#u_Y>>D*v>9GcU)!E!TltidvwHHpFUHVjnW{S$tz!7?|T9=lEHvFl*4 ztjEq6EVGC;SnkS|lmz17$2J>?gX^z4F<9nKGX~4-k}+7G3QfjfSx9ZLEV9~QSx9ZL zECSkKSx9ZLETlGAK8<>{!LpFrU|BS1gJmJL!Lk(72FpTfgJluW2FpTfgJmJL!LrC| zgJnt82FvoqstuMURU0e|sSTE;m^N5uYGSaw45MWXmU%uW2FpC2t-&&JDOn2AVEF<3 zZw!`sXc~iMlGb2(cN{LpV40V#FWX?5%})%LNssG$9op2C7%a1aSvH~j`!Hnbwu`(i z*n(!vM4EZ~*4@E-wBmJ>DMeItaSf#e6Z)? z%d2MIy&mU**g=QajE4>#<)eEIEtSO%y88f<+77yVEop5B-Mx;qwuA0Ilnz6*9d!3$ zdmvrgL3bZM9<;WD?%qI|7DQCUe-x2k(GL2@Xet~JAX%b(L?anjN83S1qj>=rJLqUv z`GO;E>!VbZk0=%8BN|I_Z3nGsZ3i7Stwa~J9dxu;CB|CYK}Y-W**g<~`!n9u7K}QFU!QF(|K}QF3%(WeKbO>o}2OS+MZ}lb0M>K)7v4f5# zQk~dAM~5E@HQEk3I)c{CVh0@^wH&0jgN`O^HME0{rtlsH*1gQG;$lv|1h8n{9gknJ zgN|m?$ekjZ3i8- z@_M7~prg|%uI-?sdF-%`@)3QVw6=qe&O8kCD#zMEvv^_$%@1M7qo+p&Xrz>9dxu{DD=7#t$c~dEt z!qJ5fps=<=jxJ)dwu6o?rqZIs(ui!74^F<=K}Sm-)tZ*>k6kP_ztQEtX8**-Gg_9? zl(K`4(osI5D~c%FuRi+b)zm>d=;$hzGdfmj9kd6HQc*slRFsb>9pxihJ&F3}N7wa+ zDV*-z+EnkZ*ZOD&9o@h!pzWZe8%b-s&*;0Pnp@*VKQu*~Q}sWj>*qJ6C>7-+N=5mIQc*slbd-26dQr>L z4mwIj`G`_cKB8Z7?zJ6sl#cQdy?hS!wM4%jg->95oPKNS#swrw*+ECYW4gA3j#5!R zqTh3zv>kM`jkLCdj#5!RqCarWz~=71&Vtf6p3-%`c?;{5KD?#tly&kp*NL`+j^5FA z%DPWQ`H0?4)%l*TQ|2iZ`h@zl9dz_} z(q=z=O1eqgK}U|d8;bfjM_Dxicd%jy9d%KnQtY6kGDUf92OV`4M%zJ0u2{@!JLo8h z@*#H6QMu{@ZT*XpuNG1*?VzKy9duMwJYkI;bQG#%AtrXvQ4cB7zdEW=ys6e@dm9^S z+CfL@C?8QDkM`LA{ zT)ALHNt6$B zjw^Q1QL{Q7t5NKrqy5!=q$iz-;XY7x!J#U4(9uDP7aOsIjt*A5Xowwjbco`CFLuz; zp^AsE*g;1V6c0kNgN`OD9v)%`9UZQ?@x=~0nxwcP#SS_;LUE&r9dvZ0EOD`ejwUM} zXkrH)O;J3s#11+-Mi!sgK}W}`rIkLbM;L7f9i6K9oUHAjqq*vS-0x^R=%`ikW?9=oN9iaZ(HV+2)3k&BIvP?w z5}&@~9>d3>9R&u=?6Hfyf-W$0LywWoNOlV^LZ-*)uYxW**#iFCk?A`@#a{Tok{MNO zNENp?QV&6b7+Ci_gSSUwVBJ&hw2XoEg%Gd?*8Qt71V`d(fbb#QRo1|oj5V<4tCa09 zus#&e=hncQ4`bHAnkSkyus#A}Hhc)htbsMRbf*T^W6(@%V0{AHY{G}I=hncQ?+09` z-WpghhTuRkupTG|)&s@BdSH74YihFw)}Mg+Vgu_vcw{67*87982G*0nSOaTT|Ct8X z4-Y_1#=u%8JqaH|Yf@`q{Rc4Cz?zITu z*1-BBNG`Sp);;kOak(|H9t(~J)?)I^<5RB3ICZ$3E4e_cc=RQyG;^BDF75#qOCGekAOI1d08@4?0!yRVVLq+rm>kvv|I8&1ws3 zX^d>Iy!+K8n+vy;je_SUIb6j_+pkIzxqx+>(htqIA+?grxs(M>PcoGYR;S*NCL{IN zNEk$#i(KctgJUl-SN?#7xUh8=@3^aYKau3qY`T(bJ(bVf;&uksqbbBaAh$$1i#L_} zNngbIgVr2F$sd1~q-2zSY(Z&E(xOIDbRiXSbLlFj$(>jE3?;eqI+ZNdCRFEDen=JE zuUbW^o)Xo*AbPqR#4EY2KfBC!)jgMbcp`MvQ>rmNU-v>%)*<&*$0B?tL(^y>UCFbf zN_G+7o9Nj!SMhRWRr37MW7&%2MH zL_lL_{1QyC)z6uQFxGw#e@YmU$c`jiCqc4kBe>O+=nGuznUyVqpDy z(48Atb0b&->o*|=18Yj_dvNB;ukB)&??Rqsop^PH|pJCPl74&|@zUrl+}WDWf*6W6`-SF{&@^cl-PwfZW&!x$z; z)a$rp;Mn@?VMCCs*0I0Y6*rzeeBK$3x|ORMKC#apa%ed+f5fvgyJGX%Lx!_+_fZSX z#m^oRk-dM56n*UMAwOiTo3y68&(>>X9b{#Ve5#y=EfiRNo@rJq+k`*0`Z)*CksS`p?)is701oMQahbE?bW?@Xu$KJ9 zDIaJxM=7mGtzJkqbD4R#Rx^1>ANFPvdjm7>A^p(jglishO^R#TrGn*J7n`;@Bc3Ba zHnFyLZ6$o|r-yqiha2v~^=Oaf7-d#W8gkVz6dcEOh(3>`;rSaV0$1WqWxAna@Xa(? zIo+MCyh+^Dh2Pl@d69R`;^_K3H2qgD4w;X2KSx3n|8AaU4ZeAUk#)PS;${&*r**M-t20B(cj8ICgTmF0)RZhXP$@6wtU#9&$sdBJ&1im(C)oy;qa$;+Czz ze_bUsQC5{*1WiKc8R_YT++PNvLFGFf0mbi6g`iU&bp`#=Dv)mZ2wcE(ACoSYpN5)p zpOB8rufRa&{!Y5O{5Z5U_bKW6!Ui-6R@`J73g7g=C}A24kKr86WrS%eEJvNWoG{IW z=WzkebrEJl;dPYEl?gMsa5-Dzs;Q7}De(3=SFUD)nOS(4?eY{G+*&w@4J!&WuW&53 zMXtL#8#(g}F2+39OYH|{VWA8c_*{jW1ZGj;HC7s{Q=#qrLd~&Y`l7)~6J|}Jp3HDz))j`6X%J?8VKkXt)KHY!SQtJQ%m``Q=E6_d z&`}6BL@RD@B^FZdntU~;AxOfSC8-ZyEQdSJTE^serA!MK}1hRqoJ zE*G=KzpyIfHy^ms>RyAY%9i0L3K?cLf5r){8amq&xfXI%d-Ew|tR69|GvvNQF?@6ZDkEv$R-$CZHMRV@=>W?+1 z25*qW*d$gwMadS9_YD-sAC4>eQ>z)FC_ZI6B5{ZSPN?2@TX7tNJ4~PEsHv=whjPV! z%$qzFg`174W2~wzR@G$IWmSnd4m(kGv{f~YswPsEGOE5}RRx$S-KvT0REc<^>Ts)S zEma*rRgZq6JGh%wb)Z#sfKeswI4CLHXFdxLC7T?cz3lnj`*?IuXCiupZqZP!rb*Nc z*J@gN$&58{#xPEOroYG8Xtl#sYuHSl|yC3;cN*j0OJChpGksP|N~<$XMVH znSTfHhxK$0{9%p-{*bZ2pZ`QENPs__%o6a296kwLjr+jhWr>AQ0{*;$JbWPdUq&kE zHxNtYGk`zL+xRa4f3{`Pz#nq2Sl|!2Z5H@L?rjVFA@`vL{*ZGl@P{k@vw%O8j4kko zT=jMVe;A43%Le{1`auc!LvHey4E&K5Vu3&Z|BY0@C=Dgx&tBk4z@Og^z}#Bk&lf~0 zNL^(*0Dsy=Dwv2GEbxb?%RdYJp~DIb{9$`7@P{4%ItTuIL8O9I(>nlvcp0_8AL_Tj zpMND%!C7c+=fIyYh*XejUwpBt%QE3WMp__I;w!$c~eajXUY zFouBz{>Xuu0Dt~pi&T)B%nraGz1sdyA{EdxU+2IdI##j3pLUT7Sf+E}&m;I2V}U$XMVHz0p|U4;c&m z+1!V7{Vkkh!F4&lVwm9Zt3Ysl?#qo-@HFSz`w89)2J3Tt2W}%3yoekN{2{X@0saif zjnd~tDoBl82jGt$yZhOx2Xj1GEb!;EBNcG{RVTn7UWNV>slcDdaY%qa{|`ng=p;r# zjBA^TQ9v?@Q9wG0Q9!!07zOo6Phu1hztc&K0*c7z9%6Io>nQIQv5Ezo6OP;yxk-!y zUSt1>7zGqhVib`6q8J5yJWpa2kp8?F1&p^K*c@78nARsT3I^bU|F6aY>Jmv)viF$zus$ruH^ z@9QK+0h2#3Mgb?^#3)#=H7y+h6X{N36r?nzusLBGn-gB~H|ju)g7c|E$0%Sqja>*= zX&vILJ%!B)Q`nsF+q}S4JDH14!zY^6dq59k6lCM1cPVU6c)ixAV-#=;Brys|Yb-+e zUD8d0%?VT3oG^vW2~*gdFpbR#Z@paCfBX5YpO}mA4!Y_w{aK%?e?zMNJ5%-Fm8w65 z%?VT3oG^vW3Dek|aASaa8KWQz^Km_%n^NQXfUbXi6WaBluKx+rn^W~ar0W;o_bF^n zn8N0SDQr%d#^!{NUe5XvqhL7eCpIU1QrF*vyM*v59#b|(K>!)XC^*K-KAV#Lxsg3| z779K$ma>RZ@IA`jiLY27NdaUl*A|?{e>|KexU1o^Gen!eRxaPDeL5Iu9GB2fv!{5eF~cs zzMHD^Jzb~FQwp0Crm;EU2ZK--V-)<>_VXiMr_}#3=_E!0^(8S1NSpoeDd{E~qu^R7 zk{AVJag&+EC=iuNi~`Er7zJduCq}_rRLd9zCsXYg$0*njVo8hw7LgbQyzkXz)7YFa zjm-)BC`x^9jDkhf#~1~?eboBW*qktp%?Z=koUm4k*cb)7%k8JZ=8zHB#5zU+btk7Y zy{0EI3Z7-t6BG}^Bt`)bk0eF`H+~YMfEzN2QNWFo#3^w#RrEH7>GG9uJf)*(H+!zJD@G;6lX!uZonSw2M)|o!2RZ<_WeriBa%pw%I^vQvPnw%kfD&9iyOk^>)N4 zpf(Gkxf~_F7(%n1F$!4yXF_O>7=W5Oi%~Eg85TlwHW&+`A!8vl-vVPHG&h5>5SmS3 zEQE%Ph0st8?-Ti)zCb+##zJVAV<9wj{B9vMZY|&MnivKB!B_|l84ID=6^w<@P_>27 z9EltYp_vWFLTDC&u@IWIU@U}&ITk`g#zJTw0%IXGuYs`;8p0DSgoeIxErjNLFcw1d zC>RT&`5PDuq1k)K6olq9Fcw1dO)!py(2#R2gytS_B?!%v;9?7*Ay;i7G_=95w-B25 z!8KS2O<^ZYlZDXGD89);XpRThY#}rYz)i3an(u&{Y#}ti0M}w6G!=YBXdyIHz_nTk z4f`v=ACvhA*}dnw!8aw-6e7%qE0}i?oVIR^rj0%ej&ZRC`+I3p70XF_b|i zSE^1;$0#_DSzLBWi~@!-sN`}j$wI^JOyzn?D%t{CVifSxNR@ma$-CUPd6&2z@fprF zTM9x@B_GK0E<lZqJ0KoYrtb(_R-`u*q`$`IC5$>m(iLMr1K zRC2-UR3m#j62y%=7rD+!$0%S-gGw%Jo%I0pw~JA5GxNFDQ~7+dY6qeD355ir!%B4) z@BRKUM!_E`c{oku3yyh776X&g)dN zRGUz?U^~ziJhwdP-FLf~b~J5h}T@KfBC!)$KqQVuBN@m-9LYpkC_)KVM^<+yF$x&uK)S<&NEb?P1O`+QojjRzb~;7@ zu{~0nM^!4@H1mQan`c)_xzr$2D?jb02|XdsL)7w=OO+Gp(n>6qD@~P|B?d(h4Llh; zYA9`r&(Xl}2%>=}W=9RBa_ObPcnDI2$Eq%3PAA4WkQ{#hDCJabHM!^DPl+D49 zh0xptCV|kT?%5V)nL!8*r4tB^j#0qq1??d;TahBK4|$_06%#^JS4}y_NzJ?oB$9SO8;Yw0_uZPJo$Y9(^qx@ zNDHC46-)x5;qFK84TNSHauNuQj#0p-m;D$&d6&iV?hly2VAO-M`{2%`A4jyKJ*!$h zcslHyYnVriVyC4GEn)Bf74KdI%5W*l(h|1fQ}hXcN8s6vf9}jL$r84UhBjo5#N9x4 zAaNr(gL2T&h6|8lpbaF;+^+5|6w;`MENR!E8b;wGSze4W#SK4XS}mL%BgUkZCM8s0K1k~)o>}8`Q--Ha3Gk4s)5Y<@-(WU+@KoR zw$0@R)vyfpJnR%!mZ8>b-0B<#G`EJG%xhEwNhhyS4eYL)*Qf?6q5b?gq;+-g0q1cd z*=&Dz5KQH4O6qk_ zP9&ZDh%-RfpANc5o}t0rfr~)*bv!z{XR>rOr+H=xuN;rcuSobkoy??tPqaGy*zjiFUEg|L-{c;A<)_v&12Bb(+0#!2 z$2&l@g|*+w3o7dCd9tNvqSiXp8t=hcZrI(_a{I$WZ;k<9CbB^O=7^2o+mhJF2^9dVrg5?sg_yZ))V1IOwP)-o&Z=F zW>s6y>6TgDwnLL@9Sz-mAbuJrtj}ymoqiNjoXn|g)){?3_i7|LkAm41YueC9*4dXo zGO9ReKjLn2#=eYc)o1Mgpa{>{*%fFj{+`auf6jXdLX&B3hW-~gl%EF|%NJVC6yKx;JP|#Ej)gCz!F}+#33HJqgJPX6!p46U-Rt1T#iD!Hkhk zFk_@GW{ix*jFGXJF)|i2M#f^s$XLu68H*WXcngaeq`72n6VkG8)rN)V^nQ0V zO}5uR^AL&@v!rW<4*7Z1uNCPnBzKWUzDsgfA^%8nHVB+jtN7YpD0-aEUEEgjLuxvXv&T48JrCFyY>Q@ZYwJB{E-r$G zUEkJw+6jd9>D;^9dj63uHta?S&ngMu-=@1Qxx9R@Wj%%)-}4r7Cnm!sgEvic6P#X; zFtg?x=1S76`-p+Kmz`_-Iy1HGU;ZA4Brkts zYIJJ{n;AJ~HZFe}RhQAIx{Sd_?}lnJ8g`e_*St(7jg!vkCx|Y@NoR(91=3xf$EwP# zJdtFE+VE4Bwg*kLzy0I zX}#OX^qy=&1KPa`X?cFeFP6EdvRU=R(4BHN)^XoLdRbv1NvE9Grv;EGa2RlVslm7` z<7qH1tMW7$mo<4Bj4J~AU=;ypMYTnS6y}%0dAL9pmRUq8oL%FWsly>Yl0_0U@ zlM~VHy1QZMx;Ega+;umz=s3^?dG2@r@{deLnZQjv~|G^SR$u!ZiAP?sqf7H2Hk)cXPrt`+V+q zy9hJEe;p;=GGQkBeC~H$#b?PDzgI07_%X#`&Gh-)?|O<2ZuR-x?-qrb=kvMW?XJFs zocTVV``un@B$$OhpZnbkwLh3eKA-#DSQQ{}zR%}=x3B60X0gxbez#7oh1hbR&;9OD z#W`H%^SR$0Cd?Y2&;9OjVb=M4?spr6S?}|?-`z!VdN%re?srE>+cx`r?srG28r1W! z6Y#m;y{5o>kJw$qPI`Rqch{11JU;ik*R#8>$LD_cra4IFohcu)UH2=Pf^J+&Y<6*P z5KQH4O6tx@YUG4`?(fd$es@pB^zMA_cbhcL3zNH-&=DW@^B1$uh!6Yu#X|CSG`~bh z-j0^3zby;$OuvxL@ko=6?^HIM^gPZ~JGl%mb41p}&P=@SrgNYrH8}Rw>XN zN2S^Znj?qr;O}Em1V536;5+zmuGPnc!*}qIE9!9>%_bXYjymxj{6G%WlbK(jAEiKZ z)QPX+?{P$*mVw7taT92cfP_+@Ij+SP72iq8ts;qU15pypiFB^#vPfj@&C2Z%S^P#ha3Q+u}{heQ5EfMsx97>r$v1xZaWf^f7542CO#U}E4QjIF zre)%0?zH~G&CJBT^Wf@)o1KZ@<$9?Xt~C>1%e6E#D=XwP@TQ#Ug*MO}xl*7xa*H$Z zA2B?^h%C8MpgD5OGx4u@+>VmGQlL5Jt+IjUh=yEa1I>|Jmx*`B)h8I6<(gQZiQkwC zZujhH^nIfZG`AnP65e#%0ElLt>=GP6{$S!wUH5tX+CXzO?9f-4qq3>1%u(5SD46qy za9XBhzl-*|{?qKy(b*$WL(vyZ-LcYz*guzI$I1Bh^)H$TW@?r<3)T7@oh5Tu?@NQ{ zW{-t}27gH&Vy#)Wx5a;#Q#CKk%hY_oiHF@eQf8r({VKBjA;g=y?h5?cKy&=0ted_# z-Sow#>3qi?T#^+mlh1(G!KI?VsQa-rD|l0Xx1q?nJj<_Y)qZcTv}M`%z|{MH;v!rw z+8X>d+seU1S0>+Dc4MSl(3^cxv~*ng%8%x{IM_WQCQuS(7J{s>-3ekW7V z;7fO3lkPV9gLvM)o&6KGSd*W|oBBsl!C$h|FwhhHkPH38>@P6hll`~3wm!;klKC)r z(+kn-nf_4jIF;LioL2uVHYX>ju=)ObocAs{!JGOE*`Kmpe{^A?e_tL<*BtlkV*mSO zTw#{`PjPnh!mRR_d=*T&Fl&5`jdEo^^jJ-;5+PgPf_rSKaWf=VYWHh4UqPSeTX#I z{X2e3f#S5M}MTxz3?$jw6+b9(qk<@9PZcvCj2=wHt@)tKWc)I;y5F*)w1*v}t^ zoZWJFA*b4>SF2!mVe0)>uHB~G)evj&U*N>-m3s%n-{?D>^L?ecP5x?@*)R7Aa+>{9 zSZ04IGr|8k%N!tOCi~xE=MR=LE&f_=;zM#z)N^LnGG}5=@TUGbCxSUVmmS1CSftny zqI#iUAahhMj~t6P?cWz;WbvkLT({Zydak?Ua`V8I0?o01aW?*d?K?qQQH>L6CMMe- zPSmIC&cv?`G{>E&2YN<&pl759dZroZdDYNq@TUG)GAB#VVxJD|gV{N`M)_=A(3(32 z+o9g4SFqr;oW`4GPe#}LhCiXsJS%oxexdI|-UgbZ^^0!MvUG!%r5m)|G$@TX^?Py> zuE>24wa5Nb$Ah^t_g)nyTb=_}%95z}f5SPrDo224gKq-O(PW^}zXbNt!MAf{n*7Un z09~7Vqz~uX;7z%^Cin(#O7~Zj{mop?-_7wV-r_$=<|big`ix8!+$>D1e>NB8I$`GP zl}x})%G z1I_Uos>g0qdh9l($L;|$c4@q+zbjYE=G@_2N&b4SzlU;Pm4W<#qx$0<*I%`horQMz zBe>JDeBAO!vgg^aptL`VrpVd-xuY9}tYs_5h^!7YC!`KECj#p+D*o<5-p_Thr;s|( z+&dV9tPV6MBtAPk{(+)l9`>YvkdQjioD|c6=1Asg9GKP7=0s{Fn|HL3I@+9&I@+9+ z)zRi8bpVy0B#)sw+MJ~7Xmdj9Xme6bN1J16;Y1X3{j*;}&EgfnKZl33<1NIGe=g7H zoRghK-3xvICQm^|n=8kWW1`LR=^V;QjJnQ`nxENiJ zN47vJz-oJ=+?D3%YFD6~>@tpS;ldku8%==47H+VeD{Y%*VMvq6ie<|s4ecwPW zO-{B3)%(}7fq6E;by>=FIW(@TpP*ldw(OwY@lrrwYlUUVn^~->L_<7=(I^fe>3EE7 zU#uk^dzWK973)Yhc;7=6#i3Q0`(|%0?mLRZh9Z4}w>vgcaX4R3P4+fn@`??VX>qzm z_>Us;?jeJAY><{QH@gcIgd@izx3C+oy7R-)ywG|_qfOzi+-y2>SlB525ZGckhIG;6 z?h40JJob2AX}a3`5wwR*7eJ=o`ziVm?llX&YS3_?efW&t=4=0HtKM zwsDmq!dnp}xb6u2W_Lqp!x?;C+HEV8+yp|Ju0f~0F=*bR6CrYn6lFlTaJH_3zKz2< zyv|8LxNt55q{QC&RM^Vv!a|SdN_aZO7ki^QNBEF{W6x{ET!vpKz0!LHzu}p?;Wrf! zj>YvYTcvspS&n}W>ItfZas5#P!1R;1bwz(5Z@+5f1zn6@vB_C}QVi#_GX$Mp&0c8G zsr15AC=xEV zJJ~nctt&pI4uDP5goc^-zHt{WHsn?ALt?I)QzD- zdbc*!yX&<+4Lao(D0*FS^BUerx?XRM?~-ovTDV4UqVCCF7qlq6nRJUcmG!S9JyX6H zhqsV!b+Q+nf{a_Q)b-!KnDxt;+>siS^{M(dr0TyjRsUV7`tMHFe^09ZAEfHP*VLas z4fSt~P%lBJZtr9~H>Jk&0bT$4CWt<$>wkju=2ZO;>H0P3RM&q#SHl)v|Mec|hjsl= zaV#D&^$(v9=FuxzKR~A=S-%FI>iV0wOrPSBU=j<=X<(N4La3z$~?Vq>fG^U z)cL`1)J4$gHrvmSbe$S>O4{{gJ$yoaMNihl-$|SO@G0pg3p%|4iUf4JC+;+Tnc*%< zRLYZRSf(iN`f{1+DonLczYC$OII4~Qbo_>S`62d>0A#w0iFJml@oM&Ark$AS)cymqpu<1Fw+^n5D14WUf)eX zxUfM@LKy*_uCs+l>Xth-Ej*t_sTC9<==66W640p}Q4$a?94oWr$^|RjO)7)_16xse zoVuHZ2|68KnKZ6Rn1)c5&CIOwEhQYF+Q$8xnV`F%-;wU=u%_lrX@qu!UJdTC46{Xh6<5)OHnDsuP zl;Nq0&$Ao-xnsc0Ro}xV+bpla!dAtb<;VP2pfWsNmiJcw?Onl~p?EX>99HynXpQKP zyZafurHMc}-Q|WTn<>XF%$|MlMaqIs`98!qaof1~v08AW0?;X$oc{t&hoGOlnQ--k zd9}RfDEh0Lc{?Ob?BBB^nEtun4aBDMe+n(ZfE*ud>U~<71hqLHJPrCa#ZI|5AlB@^ zf%XQ2MQnn8jvXpbhB%YyH$0fl`?eYaO@li1Q?@w)oqoVJr$MLs`Sv_{+!oO3ZfwFJ zRaLzmpi^qIpwlZ+!mnWUqvX>vUNDRVGg=teUx%p(c2$>fXZ!FVhPOlNn_znQe`n4Z zwFXSAKk)s3?7a(|6vfpx-qq7U&rZ#B4+A4x`>zczVW&$=z5E*AR=O1 zl*Bcntbn?Bg@{VL0x^oWxM*TXykYS59)rdhjK*M03`UI_H5#LN|Ic%(yQg*R89opMGiw$-b1GgU71e1Zk_G*5;RF(E(I$7j*>Imf7H=Q`yGP zE}IO)ebjVBpsuMLsM?|US%=C*QHt5Qn51)utE&-H$_D4Q-3FyL`xMrHxf9jTq10t3 zVF8dkO_ciV`Bd5z&9JG6mc>V>zk<@3#Za(v^ChM!J7qGI1?sPeY0l1_0_9Sb9m?&9 z7a6li4TCZ*+lM7sEJ|y35NqK|wIgEMvNvE(&n*$x?OC4=u2x4PrXxFoX|7c>p)AaD z?B$lJ^Pw!s-bL5T6_3nXnhnlvW6X-|3`~o;8^o+L+d#9M)We8bmHiXF-K<`RvN}7F zF`cS^4M)a=%dr0K`VcBx)xe7`skEeDf;M?_`=%gqtkyvtBXE5 z^@rj18+~-TC$xs>qthwS8l#U+=Rs?VJ~~|vtvUMW^taGjqK{4o)}pbZk50bU(=+t#v z8Ook&LOHFOZ%keHJVvoYh5^eMz`k3y#cXybL)lfsj2?wt%kjD|}kJ_f^AaUXMC5@5RSW=zCk#fiuyiVuW+8BJH5nM|~N zbQ*Y?9;W706^jmvxgd<@+!b>!IY`sWPuFHbp;mZ z?CwEER=1ot@-P?)rD8Z^cK1-uIo>sg1Sq56qf@~FWVds1Bbz6{4L>^@tH9iRQOf7GJqK^-6VlXl=DIA4#~#5~ zyRtvm%ksDqlo!Xlp(MRvrIEs$0So>QtX!Ad(BZjl^AOSNRQyCAo!$z?tl7?y7q*sJ zv&~I0!i7^>$3Hs7#WKc~Rp5*8og3i%8X>ZM$c3h4Tnmd4CE8tx#gFTLAZbQRm2sT7 z?qf{zQ;1t&b9Fc`VUBH8e}wLwo8@eUu;`=HX;88^bBTPqa~5PPdk7a)Z4SGtn{8*3 zGaL>C-xU5k&ODgmqf=U&k4_mYjfb4+&vluF>#jlb^;!Ti`snlyC|T}p;@mcleq4R< z(dlBu#6LQ9T^7C9Bly8*Ch~g^1J>cE&>uszFpT%Q5r^%GMan=HwmZI6U9)H;4kY7y zW;KxSIq|vJNIs>iO5TTneILoa8YR;^KP(uzXb99nZ21&EEEt7P%c_|NK4BQuYN^3& z6nx}1n)vl)aGg%A*bC0_Z)*ir?L;PKe1|ql;O;Ff6Tf@)Rzsk@w4JunES9wsejMxF zKj88VO!s_T2w}Qcj7I+WNALpw-7_7u73FkL*7B{1Ctru*-N=?ZJZ|52E(@Ny+E zU2Fm*FkM-wl~i#M?$x=6MZ?a)-!bhaFx^ju>2^I9hO70?2&UWhSQy5w{(lOlTZ2_@ zon4&FHR>lemuFucraKZon*gxI8ZTFwqV~TPru#Kqdl{xnLY^{A_Z6&^txbjL#_lrR zV7hWFObF9G2swNun64ZP6T);^-mebRjTQYr3e%NiVa)r0F9y?%6|fsjSB{1G&%kua zakU9BT{4YDFx^$s9sx|3eC-iT_kP4AFkL|JomgXjIhZcT(Gh3OHd`!A%4V7hc2!E_xw(nl~|GO(6mx}S9{40k%dBuqC} z(VGm@m1ALggz3t$FlKY)%ffVH1=?ho?v2=Oh+w+p=j#!sE62iwFkSM~Mlf9}5lnaW zmTc?)JWN-Ph3OHdE62iwFkN#j%%;I~V|BO5FkLwoCWPr8E)^NTblLv^%K5(mru%;e zp-Y;*&qL_0giV@;MCkUyZ1Xt?-T5#H5W2i={pS(7-05!!5xOj3nnm~m2wk4}5+HOb zh6r8CAwrjOPY7K(7A8RG%CRsTBXnsZyM;vP@>m$xjUjY-EKG>dm$zV&_RJQuOk%Fq@3fm1AK7gsvP5^W_n` zO#USix;z#pK54BFEi3u6$%UmT$uKNiN+r#TkJAVSTtFb0unj)gIZOmi%Z$=@6cqrW6V zH-0QkfY3GVX%M=crXqxH{8$)cYmSA{VjCO_lQIb1_^~hnLf82Hk_g@Su`mHbHz;Q> zOgvo>x(x3Lq04>}B6KN#EL%R-LN|Ua zjJZy8ER4zD919a5bm==p=u!?5x|ACtgl?B(VM2tiTt9!p?fBj z2%$?QLg-!rB|_-l1SLY~@@S0+p-Uw~=+Z1g=spD{Lg+FkLg>B*B|_-BL%F{jAan;q zi4eL}B82YtP$GmbT}KGrLlF}pbZ0<`5V~`rLdm1AK-gl=*y%pX#CGt*{o(y=hE%kJF$ zSQz)ykA-pFbC`hLE=1_|bS#Y9{a6@aosdKjx_mWaj)igMSQytF3qy<)`)nD4TNPm7 zocvl-bFRt2jcp z1EH~FVKzeO-o$wJb(1v0<#s{nK0+fo7KRy_D6aiBM(DmlOF6ZoC(H7279xF2XI+0# zVFsaFJ{CqC2@T+XC9v91GLU zPdUdp3{#GUVRyP5=?vJiTu44lWXFn7UVedrmc`;ZGw z$(RV;niB1JEQ~A1!niKbrjXhBU6;qg2trqmh2bT{5V{*33lk!Aqt*vj(D@F{jN?_A_Libv~h7%$U<=D3>zkv>D2^ zIyh~HN}Uc)o1s##A4Q2ATTyAy!D%y88g+2m43#GR8h&xw43%aboHlbYO4y>wI_p%Z z%b-lt!D%xraI4;r1@je^Svojv=0+%Onyj4zidM#)Hp9zxGi050Zln_*EqN5e((bRJ z$ze|{wj2<}P`0LoJ`jc$a8M9($_J;-FgzceHY4&a@PpH4D5u@EP*S8q?Q2e(VfKB^ zX)_|4^AJQd=OM66xxd1SCxaBM)FAxnYtBPpNNx?{_kkb4zx0~-E`2` z&~~%C_BFKKVqj>y#ef8~eeRI1O}b`xi&J4MN7a$ z&icxOA!2ls**WbJO7D{Px}RO44|<#eN*sbFiWY&n>66Mji0nQfblw&n+D^>!)xz;JKx8lwOM_0naU! z79H^1QkkLyo?9x@bii{wxE$O1lnt zZmG=I0naU!4ju5^Qn^Ssw7~Tb#q~lR@Z2(Hv94x~cG@pNS)$`Sw>kjO((DEu@Z3^a zp#z>-5zj5P^%2i4wG9!^Ew#5Jo?B`kMm)FFtcd59 zHSgL*q4Ip!=3UK?cy4J~w2KE|`pms=Q!7P0x123%Bc5BCJ0hN2!duG#TE>+DwA9Kx zx6~RUo?B{Vo?F&SW5jby*k~C*ORWr`<($?M@!V1?^W0LK7V+FtEA!k^YuzNCTeft2 z#B)on%yUbvBjUNGR_3{-wlLzkrB>#-rM6^~cy8CAoShNR?e^?F5zp=3(8>T>?qymj z%P#ZWrZ?ufHFufAQn9VW6=F(@T7 zkDe%t;adIrap*Xwq>hGzIvw!bvb-%i&U339IN8mXH0_rC4c;b&=Qh1D&#ftXN4)4A zLD7ZyHQ>3`ah_Xq9Rbg+j`Q5=IM1yPcy3t{b$Tgh)V=T`W=z;nwEZ%CKGb4#V91D;#vT&uUm00hr1 zo1jk1WC0{|_bDyQjy=a!F> zt@?}xxDI%31)ml2-0F4|D&)Bp?nCh0veX?yeh8jhDhq}E5Inb3mI(bJcy6gI75+o; z+)`N~1c>0drP8Sbo?9xbbii{ z6VayGZ8_p?!*k2)v&%fUcA4ka9-4;jc(FA+w<4B#ZtXJ9t$i7ZYdWthUk`Z zUFKF?r$5?vaJ3mjbW7-LQ^pY85_;O4F+{htX(7owKFde2>~YvA!JSe*#@gFpGRTkJ z6R{Nm(Jk+^nIll@{PrAeRz~v=V9M(|?eDf<~ibW8JM#t_|7E@ceS?PA!}W(?7- zz-Tj1HNfZYd`Pd)7@}LsO&LRUOP|v+hUk`ZE6TY8;&W8qfDn|v;%Cr7bUPfikTFEJ z9Qszq5ZzLCGKT1u#dkA?=$5i?+4ta2{vf)u4bkl`a8nTw-L}%DA-W}8*2)+dt#MlhBsE00CX0=T zZtp`o6*KcN0P?N8F|=n4(Jjq8GTX6@X34ylF+{hN7iZSvH-9=O?ikT6lbbbLrQ%MK z;V%Z!EfrS>M7MP8>*0Lfua^DFBCd)>whhrOFNQ?7SMe$g(XCuX#t_}Isoabqy8Qu6 zP*eOi{1j1L2&H9ic{ zt@tn{yP9&zvJKJg9`pyI+cdZi&DAZ7UEOly&%kIo1pJI4x}{uehQ<#lH)IUaEqym< z4ACv+mW&~~r93S&5x@DHDYsg-A-Y{`^1pon^OrigBUUG!vHVxY^1m~d|6Q^Ce-z9A z$FcnHj^+Q8Ab&%2+YkAY=r#>5MpK{n#p?5ZlYeIe%Kd=J|8dHzWBEU5@;5MAlfNOl zHTfH&Ta&*bx()I-M7N8XKZtI}G5-PREBPl({tf8a`6oF|xt48+Zu`N8M7PIAw$H?D ze-+po7;OV>L3F#4wub1|*cuou%MkS17byD~Lv+iwFJ=tUEyK}I4AJcj`UBBz4R|e0 zJ-rg-%@OfOrgKd`e`2_wF+{iYQ8d%(pIJ{O(`PnNuFn{vTiP^b4ACv+X3I82xA&Sn z-~1l)G(@*1PXnW6KXFZ2-Z6O^7;P-icVl_JXY!PGdf((}V6;J=TTRD>d{BqHNOb#J zbe;b+c^aZy%5Fyb!zc9TXQV&;i*hg?{+n_`1f#tHj)ds80ZUB-e(t5jrQ!eWt!VEW zNOm7lN;)9AWv$lh;1ESma>6S#dt#lg@-OA*p0~jr5*fP=WelQD(Zka@g zZn^q3X$Q!w7)u94x6BLQ27ChebI>wxIiz-ZH5V6+|dN1|IUB8|W5z#kXzAi8D2 zTpbYIa=Q0*Ky=F_MI8{`?hd7dIg{p>d zW@Vz=A2Tswv~`tX;Tja}gTn0^6fPjTWsGlVrgxW^GSThd;7N#XkEkjW-5!VD7!uvy zN}2SM`F+*x-y8$oo-TD0V)4~T9BMr#g0n4xI3!kmn7vM8N8PIRjS zqFaH{%05^~bgS3HC5Ud>z1Ql1=$1?BXVIgl!fRhcbjwu?A1^?3%OPqTqT7LZB^43f zazjK1M7Qkx=q-5g0MRWKN3X+#0is)h(V8dol5BMNIv~1TgCs@$ye*dac)q<@K^ zkmyziM7NxH>dZdHFoz!pH|jWy);!3LmPbR($%g2bMGlE>*RsqZ(d~yUbDZebJm8+= z@OfKcw5%8q-3}^k0*sd4BBI;HNTE096YMy7n{Ank-cA%(2Sm35qtyY?t-xq?Ky)iG zTJwf)2g$yq=iu2DM7Jzboapw*A;>8py5(MnrI$^H;XdjlM7X++%7N+}D86>6OcbT4 z1EO1^4of;9y1gArtqzE8*FdS$0nsg$dL0nm(hR$bxS4_I_E{*68bg6Zw~T4hQzk=M zpolkY)&bEiA%-nFfYB0KI86scw^UknKy*t~VVe$!Zt1#R2Sm3=A*Mr*z^w&Dw*(X} z)B({g;e$(bKy*v2;8GnB-7;o{4v224bm|6{?IuOE;3^#u-4YPES_ee81ob_t1EO2v z_SWiv=#~h)bvhurCA4n64v21vkK3RFqFbWh-qzk!;Z4uEe5p8u5(Ji5B^%2o6k!cMP(Jg^!jSTC7`P#BDy7VYhgrmOXL-aZrMqz zIDZ+?Y1(CXu4HdDP)}~7VJ@1ft%5=vph64gt& z2f{}s2bXaqMeaGv<7vr}*P~@QH<5bI@>06scr`A{`IM!u2~n}nFb#+Gr>EJZynDk% zC1-^0e#$w<+v@;WN_XP8>Yjv;PAJ-0bji8HjG{8pE$@1WU&eUOA0|DA8bTjLKfzhVj&6$Y9 ziW8AZ6dwrtF`BM8Gnwczj5h6_6sG1>6^jmvc|jP>xhv*ea*(E#pRUb>qTL*(<180T zC)VYjSWZ`NDoVV>&;w`2?jB@hb<24p4?~bpDuy#=cMs*9<6YzUFbStslO&j(mV_~U z3sR1$qKeYyu}Z-fC6ow8I{?clvqOJQ05b|;wA@H{bwG4W#n%DREtN9S?Qh^MEkw6z zm(J2I%aUV{;JaPfpX+7ixDw=><3LXf(QS^fSN@Me<1pI!i0FM9ej*qxF|lUN7QkrD znr&`Mz_Q4i)^QjuE*2peD7gIUfavx)gvjom^h3!?Xu{-$@ z3?K!{h@1B$jF#DUN42VJ?j8v!?XkUR!22=TZTVcRD%qm;qCH0P!C1-TuCUwk(O3=Q z!*FU*=bVw;=T$>^@u@{C=8T+;r!O_sByAYUXA@P!N0Zc|rE^AdHLkWI5k+dz5+TNw zdo+k~M;}42!&yR5<8Dp#?!zcoI`wvAZ@30fZSu)eEVVOdIKX>xt1*HUoPN)SeLy`w*`Y#`2tKvsCfE zKG2>Q4Z9NG!(t`(l4!G4v6Jsze{Zix?%1Pv1Oqv@-sVa7*rRxBEVP&Ht+58c9t9se za(|GXyd=T$=038yb{>^rd2`ze(lWvF@(DJ<@|v%45-hKkV0roOD#7xOIS#kx1j~!9 zB`3l1CRkpqA`>icg5?F^D#7xWce4{LFCaH(a|NAXdGpsKSYBW^6D)6n<+T$mFW<&t z|1Q5pfG|z4y!mk|!SbGgE^&xTu)GAltxB-G36_`W$OOxqV0jx8EN=i0PO!X!Gvq^M zg5}ky1VLO1^i%32`oZpWg5^aoNwB;EtBv|ah(}Mbya|@~|9&j*`@pmsEN^#IYmZpo zZ{gc5RY_D1vApDzP*wcqRIt4KI#mra;9X#O`6;$4aom)#ywzQ>yo0-9d56TYyfyrm zCc^S=wK0}=={|8R@9sTgdGi65_Zk3t9qXLeA}nv8y$qK3)(Hm7yTbgjzJEr7 zS@f1|WNRtG@~*)+On7+{EH7}52`{geyIXLl2`_JP3Fd{|V%g!*C$0paKg)Ll!TYp$|tCcM1h&>e~wyZIx9M>^r<#l~a8%R6jutRK~| z2T&RIus?ztF=bW%@|)Op8KV+j-h`JI9pU#X;pIIZ^)SOuczH2nrrm^>w+2XAK4o?L zLER+0yxr)IyYMI{yu8@HtqK@^6JFkgmp9ig^t%Z!@4ugyw+5J2gXQgxYE{=<003+^ zUS5IgRuZmjFKRGUyH$kerWV~h$8hZqGWc9zd4+4YWbnBwgll(j7kuuJI6n6(TZ!;Cj zOEU(bo?7xRlX5fDABQ*QUm>5Cm1)2dE&oTRb2E2h?Uw%&!xMaNg3m>lkOh2#&rR^T z2|gEi+`)K&?R(noc$e1~Qi9J-@VQp5P2E1kvKsU`KtJTpR?k9d)ZkvT5_~S|Ai?Jr z|8YF(A;IScq`nD0w`L<~Zpc%b;BymvZdI_!v)?f6g;SmnK?19|@1EduQ3t~Eo8WU3 zeC|Vd`_%h_5&wRC?$o_Pd~SDCtGedtkzMe)!l&Czdm-CRE&9=%k)KS4DuY(|bT`Ke zKn)s<1!8K^(ynZ~OXe6judwZ=76HF|%6J$Dbh`@!IB!6=+aYk?fNr;O^bsKPQvu!X zep~N^EuWWBv~+6q0ocXCKLh8TVBow>qfWEb9(?@=rruFgEVbtvnAnS2a3VJZrWBZO zKP$xxw0?~r$9n(G2H-d=r>&0`tudACIXa?e2o# z1m?Rof%)p|aU)7-coUefDqe(Vms|q#t+$Ia32|0JwY#HT%(GrnqBYpXqrtG6z!8%3F>CxJF7catcs`b>7p6{581Pewtx#o?sVuHC*+Zg+l#&7=c@Zl>Kl3={e^IW@?2NTs{=X?V zSP9G*-710kTGrrFK7sirFy92`i|rXJH$n|6S=O+hV&BC|V7>{=_c-*4$JLVnFRs@U zs63~vtt@MUz60Qv1m>#}n6I_dP+;>Cn6H5+3PzDD_JoEP(?sRz_%SF}uFMmdZvyij z0$P$j_+;k1Tv#OH*A)_aO`5uk;Wqtzl{g1(X&)f?L+JWqZ-BGRTnl%8xekKhs zzqeLZ2GzT2&PaZ8tp;(%7Z~qSNUCJi6EC{gP5qj+QG*rGJ(g=l!k5v5T-hfQmzmncp8 zHKcTUi_)ww0WGrQs*7RWqBp}*$*EA6L7Ao>!akIv72h_t>izHr(eXu@rH`tB(pTMx zm^SUAU7XF-zEIkAZ_EtN<_hont-1PDW?EF=gSUCQ`Y0#^)y+^k^qF{j@6@Q3Fk7g% z$U+&d*gcl$YARccvQ*bn86(OHJ%&o1D4lvcD%+^hNV7_h*#XM7QnuCl5f*fux&!$< zWMvnlH#%2Ul+cBW&Qe}vW^Y!~GKyAaBHb+K<+_<;r$N4vSL@@o=}`zvyT68(dkjA; zwscp9PJ$w#4}_rw%TFVx{7%e#7sc@W+r0V)Bi{lS&aNV--L+6sM7;NHMBVo~pV{}_ z4a^6Ao>&}&@%EE+j?iA{Kmum8%I_Lqv%PoIjQ+;{E6+0ir`H+PxM4U3X~9xa<&EXq)Q z4#r3B3Q1F|e~Q^Hca6>F9i>MiW$s%0ISjfwJ%_LJuM>vRMx8}a?s`$0^!w;CxuxQu zSwF=z%S1U!C&1p$1lY?S^}n0HchGRK0e%XwmvR3u^Y@aUxXvz4=3MlN%qw3V*gFzF zo9yDdoYE>&)SB&LiL+2C#R;y(E^Y-#Xs%kcDR!|D_r2U;(WcqO0cmJ8qRoI8-1l>} zqP5z^>u~qYjZP5-ILj{H#mQ_;>UC&scCn6L>Vz}3-7bC`3y$2^M4N9H?_loRh}K~j z-yrkuwxV5R7eB^&C^uHLg?8~pPPgMkTWlAHuwJ$kZHZkRi;QyHr~ZyVOE-zX_iMQJ zRd#U!uW0AguFy8v15Rl@4QRmBD??BfDa&4f8$@>GS`;_!K8N4_9SG>iO-gb9$sn%| zOU3Roho$Btp<{rEho=ZcboDQIMMtC#LJqzb@ZwQY=%PN0WjzUISF?hjG{Mg3F*lvhM4eL-OFYq(v5X@i~gUAgu~eHbg^{nQjxbd%1b zfVmG-Yf<0L`fc=t+&@#RaD^>;I?9pzB-M_qo~B2$Un}Q+#I))&SR6+Xdu{qXwtFvU zb6C&T?YQh*Z)Y%+c70D8N*@8BcIY2caYb3EpJeN%MOmU3d;>~_C`;U^q zg|+Bq9K;7W|5eMDUB;M$1hm|$XHSN5h`@x~v`@214qdnF43)ziX9VVq;<@ZJhdY%6 z(QQ-3tvLT4>3oO%HdS2C`~1<)DbV_*iuclE~s$*s(8P?MREaw z^7qCH^yT<_-vZ{lNB-VNQMq+`KCAO8hu>(|>kEL@$XzW;gT9y(&~?sgP~|l0D=>U> z*GpYC=}}Cx+<6Ljk!HP`t@CdH_Wpux-6OE~dBjA(UMiak?2XmkzX90G{#UZ>6VayG zZTaA1^E=AySVEfZy?MJEcQbmF{dLU9*?JL&rXh|O+g&lyXLk_sYxFQd3_2OQlZf}C z%V&2H@nZDm?5?ZmcP9FGc25y;6t$JzS3Jx@17!CT@f39V?EaE$Ig4|ki05F^%^oBM zV_C8zM7*6@94X?H^l-G;Udb$um(U>$J%QV8HjkOho+P0octJBne4C*sOEMB{WoI$8 zVltAs*)yL*u``^Yv$HurTbXwJWY6OC>{#{``aXLlloSoOaI=eh!P}Pn93p!Kcb2z& z;b@3UC|VUI^uEUI-1#ucOk_3A<88~zaGKA~9}UsXaF)-WOWDtGlFyz;xtQS`pFN*) zDZ?o~+d;WD!x=uifO1`i(|Gm*7Qg;00DJ8bJ7GKIsy*Pc;!^mU=2sU{kjybCt3QOI zmAMWV?hmD0%v^#>^J^&AWp2e4`lEd`T4UxEtVaB;YZ2a**(nQo%+`>bGxwqK{5smS z@V1AGK(K7T*qFt5hFIEVti25!RexHno{w;u`Y1PQg{xWu9t)ygMJy>kUq8 z56VrMQ*b@`J?V2==6vS07v)xza4h0;R9=M;l)mC;(2Mzfhagqvmo(p>kN;L?&Y_SG zpzLHO@v07F@!iaE7%KTD%D!dagFpF$=+3?cKlww(!%f9B)I>2qX*ykg#L^u09sF9E zLnt>JH*$rCbD7~=m5lBJ>19$JQHo!C0xmW`brHnem8ivK5TxjyHE3!6#D1u>%-qQ^ zIZ0}_bovQaeul~7<|zn1ncwC3rPj$-{*<4fCX1PQblA#ULVM-|^n?7VH1Ei4$2OY9 z3tpJ1#|X`ToATn!di>^3KN!DDtp4|6P?T~56_cCQTBRb*ou%2VxhhdyJ#Gk;L9#XI z>)~8^Rm*N*5m&_`+Y?TJ(>7krpkn^a#k>j!W}gn}y&fj0DgFsxi7}O(I|ZrQPk=b6 zl%L0SpW!Jz_kI*A;4eLo!LI3eG8}!=@nkr%NNjxj_S4{LL8tL_(YBi-^`WeiOGqGN z8OGB~W1be8ZYy1Q5#^#~zsbw`?nm@do4eF%f6*kShwbHpd3ILe?Yk*)51P_ zBYih#dZ9%5n<%$rj${5SC{N2w#Bcs)%B_|?|0F24Tw?OSeIE0dI=LfOC!MkUSH|+c zGnW5dvHX7&%m2r*{O^wC|C1p9^i1TxDi=U{Qv<^Kyf0Rt_nZ7X8({i?$^UW6t7G{; zX!1V^?VSI)$$uW}e~rn1IeK;eA(Q`;tc(8&@*mR%<>5=1zn_0>4D%mAA5WP48`x!^ z2f1%uL*}pvl zPG7&<?kR{vPCM zAiX1y7a_f`MA!LGlc$07QVt=#^cO;UDTk0=%4JCJb#OGeF`rU9V3Db%g?lM+DG#Cf z-ir3F7C3MpQA(P(z`UzC66^Kx_|2!~^#|Ne1S#_58mwu82K-0|?*S#j~fFSMj9Nk+;Uap>vHAa3*vGVo4sO|hf zC0|csTH^|)`p$17tWwQ|o58jDL5j;q*@!TCr*aEuOfV*<{sXn_fx#t)DSF}-(T^j zQS%_>0~9Cxn&&7Vs5tr75JQ)5Qk)2Dys3~6Qk*<$UgcOkL~-EPY%?A5M8yGF^CQ|! zQXD8Xq?gPeDqVc&$;Y;0C89W?)f~yW=y1get7a%W(2>&lYPcnoKT3TYZC7(YJJHeV zVam%{o@3PRxY(K*Y@!xv)|we?i({pgYEqm~kCW!8`4jVdotWm#?^5#a0S(Dk38!ej> zn3IoTd1i3kR(&c(x(`dRSd>;hh_!H~ z+8!}&`UYOl5=GbT+NXo7)uD*#&?A`US~Ua8Ld~(4Tc+khS)%Wv>*Z=0l%<*@G539m zS)n-;b2o_6sT*i^lX?(lt275=?q>BWl+~IuQLa<@H5?h7a&kXW#8R!*oR@NI)I2Eb zG$$ZHdLM=oL3%%e5-%Z|r^#H#egaxi7589VRZojJd zXZH4Ly8&8*DxTFF+A#YVXpO4a7hNki%03%flPY$w+Q-<}LTgsVJg;r6{cC6~s(2o? z-R;e5(O9Z@619o;;m}%D@dcKw#pV^Ysp7-DqN$>_N08o&VA-LHe@G#&&At)ZLRGv4 zGg5B0&BL{pD65j4w2HG_2!LgGu4Hem;tUmrp2Sf0R1?Z+t%^UGrp{p$yImM?4FlMB z%eF8h*>olQQ|NLHJF#aaSv#-bExst3BOG?Q35gKs}7;K?LaqZU)dkV`pXnDw|SxQ9tNAl_V z0~hs@X{Mcm#nt;@R};;&{rXA_g2 zLk*$#AfR>)M9w7=gTSY0%IU)#-ZYoOf@IvT;Gh=rT<>B)g9x9Pg%FY?HyN7bRc-J^4Ou}i^ zBnf6G!h*#a-|UoQs;Hv08LU#UMG2*U&cU5O*KQZEj504;&autj{5`z2%oYAMS8wM+ znJ0>`XJ??y7X=G_ddQt?KMikbS4mUTS=wb;a_kYiLY4iwURI8~x_oCG=xJ9YMeYQI z@P8D_ZRqN6a2Yfwbv7b;pNyaWA*A<4C}z!ej=ZZ4)@*ZAjBt@Pt*g)NgXAxy_!bry zi;%P{tH2kT;{azZLS*}p3r)$m78W%n+MS2RPueAWPTJi=s*K|#?LNdbk3sA|!m@_% z1YwSCReywQ%FS}B5!Rn_DfcaBG8BC?tMGKE4YH-V)0t}%#uQh#Gszham)X8qaDK-* z8)ikTlGbt?t75D)9&)BXJiW^A{b;`4^C9+s87<-F&UJ2qqPe%3TOjZ6aP@y+BVFKJ zikNC1KAF47;c1jD0o5d&9S7jXwGKlPxuj z!!p9!(n4Bc3oFG8tn={WSV#N~SEw%R#RlKoU~P|>1jqQdKXT*0<>w|?Thx?1RU}wj zuILl2t!3?l(IMkWS$!=GyFS8avaN%kG=Gi3gJ5mNN#RAN7k zDLJ!YImH!7oB0dhlQ@pEIi?ZEbrxWI#c_Kbizvr;=0me@g{&{f~P5~0%ppQq-%H1W( z3VlQt%8x|3O)o^Z$^BT6$O+aq&vo1XZmjJHtl3t43f7i!t5oq>?v(sly3A@-+!7CZ zxefLjjQmGc@#|c+y(ZdPRUE)Qj@NB2ZP%${Kg?daH$+>nifu@cdsDOx@WQyiiuSg` zJ~gzrMEg(`Pvd&*ZP6^dcq(Sx+&gBWZ5M@D?_HZuG`?MYy8?0ViDl6)9>5jt`=XWX z;^R#B4?)n@+C{#b&wXTY`gZXM%!#>=?K)gv-M<-YyB&NsMOa&E&HqcWwmY)-L|EJX zpp~(>@L#{YkMo&IKtWUfHnWjnq3hUL}J`U6LjI~{heUhHBwrO~au(os^VQoDq z5!SYLAf|->G}bm&(VL95<(2h}wLKQY;VWWoV+HzhSlf@V>DeRJmOCF2*7kBJ5!RMU zgtdJF?|J_xu(s>i);(fv|A?3fYfEM6CX(pJ>h9lwwPpV+C0N^yv9|U**fYzvaT6?r z-E!yq^I*64!X`yS!ft&`BA)}h(egZ z)1}?lxEr`J>~;ula{=s@VhFpX9KvoX_XNA$7U3c6c0A-BV7D}pjSj+Y3FqtryWIz| zA?%j7+b;mSrFjUurTlrYTRy3Wuv^NX1-m60SYWsBKnO}7!fpw1{j#uIx(i^pd%#Ty zyZshj8rbc*5PO2%a>W5KDWkisVYdU2FRuR{LRw9I z-WRLS`%V6x4Zu)6VDf*Q^6FUr51RbHB<%Kk%pb5@!ej&3t;yfOZaGawu-gH!A?%hA zSY!K4%=TA-t%2R{Nn5~f30)0fx5oBM!ft2MA7HnHrJ8zrCCD3l%3Wc%4DShc%X$i7 zx0F8@cKe{o^GzaG1K6#}b7R=8$#Y}aZ7k3COrDJ8-V8?qyWJD(%@B4gE<@NY?IYMNl}&-&enhu~-F}O1KOc5`5X?f@ zEt3fBmP_EzhuzXDg5ApV7VaN>)fd8UFQz}jZn={Dg0NdAiD0*lvJwqow^RgeV_>&5 z3}@%9A&0Qr-!XH-ZZAaO7lqv(fiwcU{b7{2JM8vKnhzywEyFCexJ`;9ZjrUR!?3T}WpAWm`{=_E0ZePdFMbEHX&NUJ2_8pk@47=sX>k)SQ zYnC~L-G0I{le#O{4R*_i?l|mrXlWB*xAYdlZoh{V|2Ehyv;S1sZ3{MedxYJ#A|is_ zo(Cm@-BO8Qx67bJu-iMKM6laOp+vA-DiQ3KW)bZ6c_|v|L%w=1AE zN3h%V&{`tc?O^T}MzGr{&{`wdEw7<1g56SUk6^b~!m=ZR-L8bTFoNAa2aT{>cG4flZm>Xv0Q? zRklrdUM{N|J}No5OqK#K>^aNnwB*R^ z(XyPINL_OaVYiFvg5%Y=DCbj_x+X+1f5S8!)}Nkclk(2MMI~p1?taQS#<7)$z*4#s z$5r-EuCG7zDmZQ%)zwH0X2hgr=NY zq~pM}4`k_xyF}(9?MYR7_YZKVhv6I8w@bUk;HKSyOvGWuiOA#;9|#9AnwfHDGSLFN z4Lr4msX0}}qJv^y8b)*OiaD1Yq-o`+YcruxKMd1xmW!nm>vB&lrz^uU?1 zyNB}d`3w)WNGKJ<8MC{Ga=Liem>wqKv}%$Bv(vR<3}1zmW2&g4wER{ITa-{D*liV- zQ6cQsY}0>1*zJq(7Q$}nEP&mzNALx&?9TKNo}XkwR|(+_F2L|s`nfiIQ!vxQ#Hn{*CbqxvtWCK3>yze&J{?Osyz#0FQ?au z-<)OjrtBP=LGbVfh_>5nDip^~BV-iH1Aekbi06v}T`0#fIT_|n%Qc8I|* zX?uZ$4&N8zg%bKYikG@*F_Z0&DoI@|;&UitYB9w=uA2sQ$Jw2!mrLZY=<_BKi62U> zqL_BKhZ!#PJk|tdhX6`P0F({^l)Z|Rn9GH@;$8zzphzrbFTqke#8UPWETuy%WpBY! zI*rJ*&pMVf1;8^aKyZ=-NV=Cx@d=Q0uWW!t1wY*Par1;>rgm$TsN!D;wlZ%aJe}DJ z!Pq7=C`!%p{T2VSbkYZf{|BQPl1sZg<4@`%{8YS-wq959{<$c9W($MV7wTuF_Q0P4se$#D4AE@w0!xZQaamTs8 zoC47{xMSPkj%@=tc8DYPhJS!mxD(;G|6ByP{z0kD;eN9dr&#{Msl8DLa5VrS-iTrN z?aj!vI~u>67xCk!`~~(r(DoQ_(oW1^cZDvsPxX$n|vm21Koj|4w^l3_WH|2Im%m$p5QMRrNvu} zn)Sag$`sE+-}i42Wt#T{OZ@{;W_W+WRrxoH((2tph|=y=GtI4{%=bQGL2nbK!+VKUb-O4Rc?~U4ekjU9Z+~9g9ilAus%h3~KMAKx zyytyaq)R(y4pSqVU{XZvgRKY%~i!5W={X-qWP+LDz!Cs2Q0CTu{%4) zL*ithDz0R2`Y)-Kk}3{je|%W9T2=fzJo}GGLF!a-4C5XZ4VxNy_Nd3ivOyJ>(DHHd z*{F*9vZ9`_FGGI&0Tat|J}H(>s`wsj^cPa`*y5;U13e|$QL1<}ui=-HLyIcjNz1ho zH%%4EI^{nt+6-)QPXtPzZlWp^R`#O}Y zT@@c;XIpRo2wI0KzBLxw%k~@S9}88HPdok}hLMBBqIRSkzdhO^hNOL3-cH=Mox*F+0Ad;M)h>oA5z0 z@uCMfV(%i(xfi5(8+AQ0miQNnf8SikMN+3lZ^CHAT#~v51|^RKE&ipcJE7Eig zzCNWe!@3@Mdiy{8(Wydp};8_4VUqvTxcZOggxPtpW+=5k+^ z%dPiDaK3#zbrT-v8a#6L`tQoMH+tmk_1{koW*vIu?DaoPU4mha zN9=!++8OiHG>@FUzH&Mc)9RhU;y4ZmN1I1VNWYi!Ybdk5cGQF4+gT5#-6NT!-^bxf zp~EAaqwk8c&?B9rpB80_M?OctLX@Q*2_5~6C@VZNI^wkl9dvr>Lh(g;(j)t%pLf_x)_N0J2mPef>pY8>-CrE6_hwPqOq30lvl75qytjk&EevxG}E10}!_o9Z9unBFF1V>>B_?=5Gas&^WY zq@Nj2;~kEtqL)4xF%uk)=92daZ>>9tQtP#{?>0CG!>rC*$A;O};di$6p2arbQ;OT* zUBfhcIs6*8(L0H0zAkB+JmQG`eI!k@N6ubZ zKm0=+lE=1r90By#q8V@jyiw7V644QH=E#o$zz~g=G=}a za={V%i=|7{dVgRWTo0fiMOd@9n%(&a4%e+M-V;=A6lIz>iDT#{QCht-Sz{|iX*1K=Ee@x%cF$#9 z-R@k85_EWvpdS2Ahxh1(rs7sQ-$%?6kJO_6ouVwYoE!$Y_q8`sQEB&G{Pxcxpw_=f z2Arw8`{H#M;D}A#-5=B)FTj65lK9^C?9Hnk&7S0SqGbMq&gN2)B-r$SF8!}$IVYkV z-nJb64mo?hvAlAJoW0(-6L2XGZya8|h~(_`#)~aEd%Yb*BxkQTK@2(>x|4|H?DcjL zk(|BWuHQj`GZXWwx2K5Y?Dh5)59I9i_7jnuz25$kjGVpRfg+N#*E>iI#oh-@7+3U?>r~waqXD&vh zfQP-=oSzM6uXh%wXUB5L+3THs3T#p|+`{!1ufbmd4|`W|(iF~KZwbYKv)7xu8~Tud zhrM~cZ5hs9Z$2k!!`bVdOWAPtdgoC#oW0)plnrOE*Fo8E_Ie8_8_r(u0v6wJ_Iejm z-f`d!$fdz@2p;yXV*yhv0yZ9yD($jr(yn=Uz6o{g5Ik&HKMiMZ-)fS533#~g5Q+vo z+;=Et10L>MBYa@O+1q#YP4H_td;4xp4q5{q?mOlU$Ob&zw~jU~mc9mmXd>GV1P@P# zl6D#EY=dc_F!mD!81QgmJKkdjJY3kG z0S^~WlBDG9EzB@kkZGcDGH>6)*;_c}TPUId4;NZ_OEBQ!!l^VjoV|q@XKx|K*;_ch z0T&Q*_WA=Albh9ArQ)8D<&m@3uM)-e$l2=;l8rjwBWJH)=ImvW9dh;-+ITU8iiI-| zhBpBZ7tWHa$S5Q!oXw_kGxxKm<{S+Z)D-`OmBdsIIeQE3H4q1t3iG(`6L@XmT;943 zgtBlRgAI7NAj8oYX54}dM{@SE@g0JP3k$w!JYDn-JrMv|xa2+YL||g!(wL`(EMDMg z5#^%gyvfV@E?*`Lsx4f;YcVXrl}u;Am4zk72SJpD?-?KD>@8d+K1|83rd+a|^JXIA znvdzPt#JK=^w+=KVTey+F4QFrRCd!7h zx3Ge;;p{EkOu5x^$k|)CWk2ML{BPeC_%c%`cf{(XGnW6#SpIj$^1myV|Bqt%|2UTa z-Ld?C668&_R_{EdP zcI^NxVT|oFG234Swge9sp8b%vwT0iDNL%S&&l_7l1{HqGG6cQ$13x%@wA0^%JjvNx_+SI`Y%cs`8S|9u{HMuN>hNRA20UE&g#HY8xbQE^ z!Fc#@$_)lQT(Hzpa5T8FkWwE)@T7%%DRC(ep@rUx_O3_H-a;QyN*+0T3$9|V)_cce zco)+0G6HVN*;}Ykx6`d($O>Rr7Mlf6=0q&fa0@GR;p{Esm4hX&fQJkHB+1}XVROZG zuSq)~NK4M%!WNR3>yfj!u%%e}9yxmp1C=~vVOrxIMZm*_O4R^2gKGwGi^)Vqv7(xe}T0&-~2G9&-;VY#m$-_W}xI6z}f=XK$fSWszpETi8Y&8zmlV zN^UrN3**#2G$|IgSJy!h@Ni+gk||0!dkZ^AE4gyRDol{f0?yvTj_PD4E){lG8zTP= zVjmQ4*Pw9Z>@DmjF}^3GZ+D3aIC~3ws9WG*$kM`IsxO{(1Uy`5R9m1o3V68ibv2nX znKBFes@o}(8?&&V;>||D!-f47ZyEv~E*zjZ;R|@UaG>JkE8yWmlj1}u;NikSij#+c zhYN=&4txO*7bYqWNC6KQCMgaS0S^}rl`bye;XQj3;s78OoXe3o1)Phy1`Vzok^#0n>i(&-TrTll8p zGxREtoV|rp)D(=+)v^OtXjNP+KkBXLI6YOm_gasfy@k^h7t`eItwP>~!MKg~JB>@4 z0wk-SEQlPNkE**o%aRIbufL@{)OqCW^($q+1HAK)5k{!rz;M`4 zafh*n?T!1S8ukDx!yfiWTvW=c{w3~o{uo7X20ZMKRr^)L_2$feoV-=W-ojWY+lk_O zQ9ii8fsOO9N6Dcy@E^w z{$76n|3i)f>bbxl*Yb?m&^h*Iy#8+dZESu2v<) zba>?N^{-XiL0RZ=9Qw=Deo&TpOz>U z^2p!o->f>JtoAr7`JL*wP#*Qj-|PQG4MFEz>v4|q*C;{>*Lmdc^&eLYp{)1FGVVX8 z9)hyL<97}I@0A_~AhG97h4QAF0L1`_{SOt1D=h;g_CFTQH9%tDwdWu%022Ed`*LVS z10?pdqLmDg*zarKg1A}(B=-B;tD)5yAhAC{n0M<9kl3%bD{66zH$Y;4m^~a?qX828 zqwGd#O$JEpkFigH)@*>p{#g5ZXe|av?C);B3~ibL68jVFA;TjevEL$`z-`xV~ z-2jRG8TO&D>@YxLzs+uiw$K2H{n_^A& zp`7BX_=5!dGK&2+44BFQ_T92A%m~%3WLFJcUJSXm0WSEOq>4YVYQ_*wyVo&_QzH9p z6>W?w8D44kr(raEaXA_Z*M%|c#bHK&3j^7KjidN;ZXbkJvOAZf;Aty{vR|7}c_d7` zJ0hT#GCR46`8#IHDlQF?=wK#d$2L)1mQ`^H5XR4BJo|bqo=L03GH|kpMjQjOiZM}K z2bza-F%K;7re!61evg(CQT~Y%N@2nhEky2VcRd{mPM6nairjOS!;xDhN1n-2F0O1@ z&P}AAvm`pVlH=95D5owGmr-Vip)iFFjbh2(v15g4Eg0y+T9;YKy=H?;Y4Kehz|rGq2iM>lZoc; zdsX0R45OKvQ&lWFDCPlSH0Q3EbIC!PR(`rR6AE>5n2xhtES*@F`&T(#xv40@!w*6a zoEf`&kdf6b=Z!r4IrP98v%80K&hf4>9F|fWoK{VeV0I!@S7P{>(3L#_sHMt&le@&@Ac2MuZDxP`v7L5v`c4c zmu1oH5qu*pdv(1m{U92T?~emL?LLka`fCW`|0tAO(gGy*=cF1D(R+LR7$C9Vo@#|+ z)^F#?OPgT*Hdi7DB&M|hiTxK+d?SmCMMw-t><@4Vv6Zbvve-(-1QNeeqTL?Yki+{w zNrOEI$Q&o2c4r#m9e)pD_}+*K`Fs6Y&g%#>Kw|$}&ghXSBKdp$)1BQQTORp){Wf{Y z;(F~VC}%kA%D!2Ae#dEq8GyvJmfKhrW2NzsGySDqW|4Mhql~=|K!5=f`{z1@*?QdP z^cTpxJX}5bd;JTX!x1AuV*etCZ?^C>3?IEOLU7t;vb5Wd-`>~ar{bM`aC`2*^@*d< zX1g5;|8+N_{QB zIf`;~W}Uvd52F`hS@vp}?H<8&d3F`k zM{e^6mN!!FkD2bD3XOwvoc_w9fN99hVoaQX= zyWCUx{)EO~x7T!1&OIea-HyZM3_b*>m0ivy<(OJ>EUt+RdLLbmvk> zS(dMo-FZxxXZe`IZ6i-*_93?OY_1PHhFeTQ=UfY!Lmyz<-f%gUx15bHd?xVnfsb1G z*IIa#&&>-5U*US4Uz|5=Gm2273IDv#PtU784BhL#<#I84ohug9(v!n|TO1m_&Q%NQ zPH@~UF75X^*DR=;;kfU)Y^&GV(Oydz0QZBW?xF?t{3+=#p%f17E$C=`>Smtw6UY5; z@wxIkmo2C})p7snHlYLp77YL3JZp1+iu}~gnB}WAU%jAirsI}*O(^g>7cRiw zKzC;@dIycX+t-vC6Z%+iSp2zXLGab+Qb*JD5eG_Ar%o~vl z!TX^5MVoDkZ8YUeqkB3Yn z#gxkw%xNEW!kNnE82&R%Y*h^yMULq50m-VP24Iml5SKr49m!aXIUG0HQ}cW#kF7HaiLq2 z4rjYF{0e%j<8ySns~iutd$=!)+~$#!=GbEF&+<7D`E_%LJMP{t&mLaq{q1#gM|6>E z+nteLBz4YB>MUj*+1n;3qX4eqb5FC9&q}x~$CNG(G$!C)nhCgvW&%z@+0@uh8JNM2 z_c+p-fKR#_avYv5iktJbr&!sYiEOp<=mxAM>EJE$_zOSuKTLLHTLJsjFs^&l=V_R` z*qgkfyqA!zwS1TAzMqiOrN3uDX2xqx`rF#ZJh82p<56eBleFq#srTcPtySFl`B5v6 z8wIPxjEBW;TvU>2@Q7H6t3dlO(fk{cz-duCll$7^!jFkyyKP-kyt=*iGb(&CslLYU z*R!D~<29uV_OoWN{4QZx+g=AG&<#niOsajH;-62*=FwC3ISg2kYDUtdbyH6{9Y2en z6t~nm)b>(Bw%R_RHtB;h$72f{a{Ghnbg_8D3APWG+kfbTS1~{Bh4*x2FFK2orE!L` zOQR#X2+dVZ=rynN>jidcotgC6wgq-^v?cT!SvqGW+{@Z)-(!;&D7#NAZ?6q#^yLY? zqTTL+S0=UBw%5wx=*FacWqaL8Kbh*dH%mE}o}8m@mDlQ=D00NQBO#kdev!1WibIUg zC8N_l=p5nU*5Hh+IMH@M%5_hH>)ax)hJ=d`Gx>R`z;#|?9c7)c1J^$bTMs0o_L~*?=xhdaHH# zgoG!bNU|1P)+NOmxgudnJ7H5n+0r7D{*rL@PXv8i;9AxtY-D_rHEB8)IX^RDTOD`2 zpDVlLwTv8*u%tb(Ut`)&SIOgXJARXQ;F?w7S|Lx=E!UicD>drM0@u~@blq|--$B-| z3tZO}HSqo&xZW&qU0cNUV#0N}ZJ)ysM7u?-Ot@CH4}UjdN_*6Hddh$)yPlQ|nW2~+_i^e3AY>O$^+5*>IU0lNlC0yI< zySuCDPD3v)>tkh^?tQ#9|B_^!)ynh7rQ6K0$u_edhbLY{e=M&lyv}vW;p(_#N zyEV6_+sf?P8@O?vppp~fY~9hdoP*?J=OaLA(GKk9xHCC~SBu_?Plx=3 z`NV`-t-O$poSPSps_~;%ZY~J#(RC@@j3PHqgfDC#CikE_De~eTRqi==?h>8}aOiM`&g8_-2qya; zi5lGf$w#dmxCh!Gx2_p^1?zTBw^B1Al`uw)Z4|JLXQ{LK`}_DjRXDXQNByxX;{oh6qleNH;F!ynLO9 zzSobm7F;KGu%pbLsRggo0*u@EpJ2${x5y6r$Nv}2f18gV(718f-?yBH%9v8)S00T2 z33ZIq$QWyP1Fd_a#cJt|O8lsm2SCg2Xvqei=pkpUoYXe3k?f;A{u>iT4Z9Y+_a}9= z)MVXrSogT&+7brTcJ@3GhIx>h;ixz zI;@%ZCBrdw>Hk5DLQkou(3SrOF$(XH{|{mmX2SnB5TnW`VB^U)3q*2x1=EvdOD~_z z^c2be-=IoO#%>Ypi?5v%R4HB!0Ktdv3W)~SlRZ9H?0L#mM^Evv@#5n$8oYGyPm$l9 zg_+<=d{^3NaJ|_5AjF+GgHZHkZh0ORB1VIQSctT5QJ#P5zTN|>BSwSk%LUJ$VY$P8N_lS`bUfg*FTp!H4&r1brKC8F&bQ7=Z*pQ z|KCxioGz_olrF@_cOum18uxenY5pRgTf%`b{?zBVs`1 zp23ktZ+5FeEmAo~gX_24DOerLRF2W$`fX{^3YB9txPC`0TcvU*oCIo%+cAjI;JGE_ zd{@fWs2rog^?OpbR^_%smC9|0DwW#~RVtS|9`#aGsoZv`Qn@zvZi*_E+YVJKhoUZ2 zsoZv`Qn~F=rE=S$O69h3G*eWm+`;U#6jds>9ja80(IW*^sa%38burpr@8(YA>`9}+ zbEmQGX*76_(cn6b2G21XT&L0CIYxu)Qu%?PNp6nO;JVUV0-4Qj?i0?JK0>v)xjf7A z9yhpFH@6!$Slw5sscvo(N3)+$)7@My18RU!Ga-c|RwL9LH+Mbf(lC#+ZmyfVpBrGE zSB`OSb942SQt!QlrgXTudF+?rLM?Q2_fq=^p%%HhzjMBf6l#f^`sEHU2 zu9Il+h|%D>)B6jo{eD!b!@(^kWrCxTteAt2-=<&lDj?EY`9`soJ3vdFhS3fpz6R27 zc}IgNvj_!mOD|N)PkHNigvdv|*_bWfZ=j(j;`==Pu1uc#h!ODmJ&$c}j2IHGw|V>b z#B1Y-A>sNH>3~Vm5ft#5w+Ox69QELA|H2!N1{R>Da)UW23<=lYcnlIMKucAlqyR0& z719R8D7Ghn*kZ^5$F3R;0L&9~L5YI-unbbXePL++( zVeEr)pH^>*7!s~`76F?hhJ@=1A+|a$L&8gIx$9(tf%vYpA>n$s-vN8iRIxTAM~ma-i^7XzVB@ww2-qH!cn_1UuThn9K>mz@H%6gAhw zZ2}uYX?;)Vlzku*^h2^c>55ZOv|9gEe%{R*w6xfu9iXMGL5wTWoj$kLvS??v{|f(Q z^hTxpI=H^lZ^mQqyxa^dmnl&bF(h1He8p=91`Ojksv_uRE*SGp_fM|^v60UC(VtT}oaDBTFb0UU>>(xTE$sNFS#Y-R9xd*>9iXLb-!UXy zKQ2|uqA{E;>-=*$lOl$M>nHq6q$3#;uAh|omv>x-gqM!w@^={$UfRHxy9^009rYqC zaTyX`+9*kegqMyLUWSC1j*}!q!b`^s14F_~_mCt*!b|s(BtybWC#fpOubM@f=#;iX4Q@>xnaR(Kf~ zUV4(`GA_LI&eETA=j{FJu}H39GKmW>z2H8|JdAyLA+KFFF1&Q% zFeGhUc z?3#&Sw4vlZ`ksZ=BBA6xc4g9rlK1G(v<)TiF@R}{L)Bwg1IF2glJ}@xjC>nP-lJ|Q z(l(U5M?HC39X$yD$RaF~OBim&tdEBrH88(zW9?fgBgp$W&xIS$tdECf0 z*--L$EYmiWJRV1O8%l1|Hk3Rr3MG#xT!CJ(q2%%2ytlWZi>zI8^bW z*C1&_$>YQSK#|0uijQ~|-!_yyZn0t*N**7{<4GAp@bIsiQ?y#TC=;-1CRmDZ!J&$$ z{ST7zL$WRwyO?gA{HpkrGeJryc|1d^_C+XpJkzS6H%UB;m;JK7b53;P*_CL&4JD7~ z@KRz!$>Y<>ZbQlAqEPav+Z~()(Np}Fb`om|4prQ-o`Ul6g*-1xuyuUVV=&kTn#LD1 z+Xm&vvVv^@cq}WJq2wHV_ntGLXK@d(Lei4ul*Dk@_;T^Lk}%nLX^|xQoM770y(;cx zy3%n!JQ>6lM^i>k{Ie~T!BE%uD%P{1w($xpgCVu?)mFwYuv^C0hzu)_-iS`#aT!V; zue^cs+Tt6gRzgM-SJ;h3t-Hy}^RactH**QtQ1bW|rfukKd@IvU5=tK5M%gx$JieW2 z8%iFpX4;05$9FJ|w=xVRkMI1*>c3|T^-G`JThu3OiuC`oNdJ9B`tL8&UxY&y|Efs; zgGKruO7t_7JYG8+deQzzrs5fZ?axPx`tvcXe@zouAGi89Fukrw{}Wa}LzLquDZ3@h z5aswOtDm9d@zYj6L&@XcCi)pl9{=tm>Mx6bzl{2Gl<^0vzX@A!{4BSu1cxeqZZ>$p zyYV*#-WQ8_UrKlxN*=#_EqQC=KShY*wDarFmRIJ~UuZ)zYhPvBhLXpxaqMj4Jn<+a9dbx@wnyLS@ZoHJWk&xVr6?=s(plE?2+h7Bc;-)BGBQ1W;y(>9bm z{(w9-lsx_`)4)7oD0%$1p3nuIAAVYB!$(%9%#&@LCpMHk{@Ci2dH+8}IzK7W`Ki?@ z9bm{)X~wD0%!X)5&`Hj_D?+ zd^P*cQQtveze%yDu7yOwp^CdH5h*vXad$<2i$fKc2w`!k;y|%i8>5r(9cL8Hgjj}> z$DwM5w0>oAsVZPe#YV{n7mdR8KTbo*%Qwsf)kED5{_;{B;o@?s(k~zPR6H-s0XNPi zbs0(??;^T_h@s?hFX6H{RB>-5H)q(^ctt6{Zyp%>sCQ^fO`a)aX%q~ zXcrvk;$7v%L0QC5^0>cLu{c!m0Chd=$wN5XQAMJf_X^cKuT(SaJ>8ubB3)iP8v+KY zkx2KejEAVVkPsZIcvzxbrh0AC7(PRY>lCl=WlnkP*`Vsx8deVC5z6nAR!(uK%3HZV zjZz<4`C}CEje4GOIxco&m26Qxr^5Fk9w(y|$OS7NFUlbQfH|nVyDCE+^z@$UvV#0# z9IALiVjM%s;~$8mvgmFcJmbBkq|zym=YyK44uXJPSH=6PUsb^i{`50{;>45GqqsCl zD0%!t)g3caLdoL;R5R0$@DhEX;>AWn$>W0*FB%d`9v`f@;Y%oae2C)aE1~3Zv*Jc5 zq2%#o#mz%P$>T#67rum&$A>8{NC_p64_90$5=tKbNT#@ilE*EI8=8cY$44q|SQ1Je zA1#wlLdoM})Sp=QF;1dm)j;&~0EV!}$EjPGX3%QfD#I$FB_Dq2#)k+zkHjaj5t(<3GTmnlKoPDtZA5^{zhe zglZzjQ0V?XH=Y6x)qY?r;82mR+1~mNlbgxyaHz^pN3kBDhU8&0(`9;re<+9)hl)Mr zNQdh4<#xRohw5xF{@YOUa)y%YIz?#}jPS0}4eBF`?uq?ZkCHd-PQ*}hJz9t$Vko&D zqwdEjmPL37ga=CMF%abuL&^16^?MMN_Ql{hMfE%2P*q-DiV2|fUh2VJp^4$+x=Hb+ zpc65CT<@=bhk^nQ)oUOMI8-DGI8^@tk&hTYu1{1!fA;nB=wyA0ssd5Kp(0Vhp&}ce zL;7G_AYtkS94eL+aH#eNQNW=(1w;Xd>Ov3&94Zn894bcm7I3H-TwK7RdI&@Thw3>H z1stk(KooGO+yPt}T#5Q-#gYOJ6^S(w!^idQsvp=2I8^(ADBw^r$hLq(^)QG64%HSA z1stk@yCHl$V)(dzMI8-dYsBzz{ieDZL;;8DMG#-)cBaxV)aM`^m3#eoP+tobsN9WH zK?QEbK#Y*uh1;agx*EcV2f;10~RWlFG%HT6(DaCd!&sZV@M6oy$?vMR;o?^n5woLqU|%h{z(Qs1xguN1-cYDIME=}K*1 z5gj`W>{VRW|Gv(Dr2BZv;f}DQoGy*U<8=o(Wgc>s?O27kPB3i~MRM=3tB8*`?C73{ zVw8W)a_%O!K9?GDEhH3@+)JbcnIn*{;&x(LlR38^G6b@UTZ@PW>#@uv0|V17yQCO_ zZYRn|xQ>C*;2&nQe4oxrTviNGx0Q+)!tE@kOzup!m_RJmi6n_wXKij(Ma7Ak@3Jl!MiAYu1Z0(Zt8CCJL!LG!;zSddEK zj=7_RF3rVLV_jN>+p4XS>`tGgC46tvRZ>k7*3QHPz#~c!6&hPZUU#^2LD*;d=O^At z3?J7Qi04KSF??KKC`4Jr@NvCR2pse&L0{yKfPhRe7&{Tdk|j-BG-m`~3Cr`j1c!<* zoD&-RENbZW$l+fhmrt(Ce~d5P?!AeE?$6_^;#g#q=?v^7a*^K>AXJEe;P!JL-l8*{{tMVH^ElvRFPXQW7V9=GI+3s@&Gs| z-_?0^$h$~ZyoHen^hJJl2(?Xx8H;_cezcz9{w zb2zWzF>Gm?*)7p?R6$Rlq0n=DH!|=hntFah zvyPJ&0i9Ea@a|riFF?($?N? z+ez)7N^N0dOZp`CmW~7!^bo3L$XHSttMM?~me6XP;>+Ug-r4?>i(3;?m@}j_!k7f#&?2ImSNf>Mwzz@j`)s8j55EHQ^+GQnZL_f zOcI#P?{yiI1Sactyoe^)Qup_Ni`;Hsvxs0z-M7BVB*B)t|Mfj2w+CDDCZW?xHqppT zJvNmD%q+oR@+_vAG{It;+4hxOiS`7+JX9!|fv?abSW{+@_~Zt_p2K?|d!@hAqS&^!o*Q1~KFtG?rh6`kxk&r^N_>Yu zb-&T5sp*~@UhYN^P^Rgg8!i>1T+=-_yuzh|O3e#kc%@6*^ZL)|+ivy6Rat`-em_((tM*B-XKJ?CRkIrN(8j%=UL}QA&$`m zYYJ}?qE!>DDZE*TsoFv3g|`SXUH^er-zvmR{Z}m4@HQdl=sQW=F2r1Y1of;IqD@bR z9pN29oU1>j(mRFd(0y6wE+H0bf;EMA3$aKOtSP)lh$XtI6~r%uSf&Zq6y7Vua!s(N zaE;p&8dhk6HHE))--n5-G{Ks}`-JTlO|Yi$ej!%tqe?+MAjI8z8Qb$K>76y2U`^qJ zLafyUYYHC{Vx1;fQ@B=$^_pN!;je|*sJC!@9u{Jg=3CM55g|6~tJnv>5j|Tq!J5KH zrDU5vi*+88k}vdA?1RUJ_}b7tH(ciiycR1%_uTLap#o*-o*OMWzGIY-k|0vWK)3^JvZF!?geU*GIY-k-*V?8bD1)9&kf&}7OhZ*?z!PRV%aKXPB;nF7Po#7-E$4y zbHjI~Y>hH>&kf&`vbD<4JvV$`%GN1E_uO!+dp|mBy)tyq4L=ZSqcU{Q4gcnTg%RF_ zKI5qTU8v2sDLK(W}hTDX4TtoNV@MG!uz?EOW3_o$X zFPFK7?z!Qo!ddAWy61+U36*yZ-E+f#%5G8P8oK9(U)sIHHFVDnzjjBX?e(rXk+ysz zWsR<(dv2&a?lQZ(hVHqcCsdPb=$;#v2sP0)bd(EAJ=t|okJ>A}-66BtHFVDn`v}$I znmo($9yhpF*U&vT>?_n%*U&vT>?hQ8*U&vT93a$8Na2Xp2sOtw*K;ln^SIpSx`yt# zVV&0imNwVWJvXfPxYKsHhVHrHaG@5uhVHrH2%#3ahVHrHNTHUvhVHpxgHX#{L-*Wp zlu*lEvn%^$v`{NtL-*WpjK_Jl$~AP)4I718?Hao0hT}ZWi8ZeI+Xt`bxaWq4d%p)^uQEq?MOT?4yt%08 zYkCrfM|yX`-azxP9UkSK01aiD-iP5aVsxb@SW|ec^j~jHu%_??j~DQ~-A7N6v8&Ny z@N9271k`J~6Nhue-d0Vprf{xYB-=FIbHj6`PKV>sJvTG-WikCPG+o?t!;8IBA;6lx zsMz#HiRnBBhL?K0j0T$Sx#49Zzs$B{iS%iurh9I9xi=7Fp4W8Gg>TLtAZj$-bHimK ztzOeTH|+E-h3Sp@o1q|wkz6r!TLcpnm?bsrkYcxG`!*^u}>a{fY zJ!x*Ere|)r&HEBdtVz=|H~d7}K1tIvH~h?_Ls+w>XKwg~_ZIrQMH8$k{L1@1TG*;j z<+bA*uM@4Fu7`16EB^(Q%+Y7j9N*{SXw&q}4ZHcRu=-rx!S;0bXMpI?^vn%Qe6HC= znx45~AjC3F&)hI0#0pK%+%Obkm8NHIm=$8Rre|'&}gGdC<1Vy&iUZm5M=r|Fp+ z8lUTNy{2bwSSG}?n(k#`51+GSqo!wWST0s?(hi%wvk2I%>6sf=2(i`i=$V_Toq(7cG>L{#-QMx7|O=x3f*w#%QslOw%(rZ1lM&mD}|+*5`Vv z)C6k^$NTrAB(I<0Ca{MPHJV^eVUy3FIjq+NYYHd$1fg!!4##{SF}Fz*tSQ{r&kW{q zj9^XS52a4CCRkIrztm~b*Rk;jNu5@GBNy?({wp;cS$gJ%lcjBQG#}Q7hx!K6+H@J& z4j0iKnm;!f9^vndl11hscG!`AE$$Kn&(JeBJlcPX^VT!;%ngtA*Mchd3_WwhR%ueD zXZ}I^ju$KP*pcX&o2k1Cy&44U^{ja2hEsj6MBCBRiaUB*aYs*2I(lv%GEecj(8}~U z5~oVbDm7nhg)@C#A@jPOvw4nxGL}P)=IhDuG@qL59gm*5nffu%nW5q!_yNAVk|ec0)9S1r@@%nh&bKSFO*YJxR|2*AThJ}>tH%VkQ` zXnN*`SNVL(QjdpNAg=MR1<|Mp))ZbVM3W|1Q+U1q$6g$3dgg{VNMAPNtqF*m{1UXJ zMX!V9;jKQ;t*x4#x#4X>OxN_x4R04>j;3dBxLSxdyPe(X-;H_Lq3M|$-s8W)vDVLU zw^-xPLdi1QaliEcijozYU`^qDLacH;jYXCj-UgvTumIm#@yrb$kp*Y_?$P4Dd$hRk z9!vU;p1I-UQl(6f;cQvwU&WcE*Kqzl;ol@3NzdHyNtu6n$D?O%W+a!tw-@SX8rX7= zp1GM({{u@rdgf*tB}vcR%vj;2XKrSkB6x3^OOo`=%}n?hec;hE zH?xl<>6Du}KqSy9H*=sQ>6Du}NUGgLa}JRtopLjig@I1FnWH4hJvei;B6Dw9A-QzQ&CHY}opLj?q#9p@XXY}u$BC#GWX`FE(wG}`=3MU2 zPTYa7%z50NeaE9yZf3!kATng!Dab5882`(;Yi54VO|zU%xtSGAIz953eUma5JV%*y z%FSHJ%a#*!o6judE+53)clgX=rt4#F zX9Ge#3NSd6QWsvfSTFvLbT}1X-S|~!NOKe5b$iMZUZr0 zKTW$LMT6()18G>95OXy_z_YRXIZE0zLBO*WssTiY?!FU*b}z;JUfbCECY!z! z^|BKr9R%w@WVrQL5D&cc5~{Bt9(d^@NfHmdbg?8aIvAV#F0`pqp=bBNUvDQf0{<#r zW>GKZRNjwrKI<0)l~dus6m!Et$EWAgRr&va!> z5Y`?8n68iSLNj{|I}*|-#j~NWN9|bTH^)5F_Ndzn>6Z9WSl6STJgrV8v9HJ?ZF6D4 zqcAuKSn63%@n)3v7>~|wi%0XU7#{_j;xU{~PR!pmiyNgiG0&~>SfsK zrsu%IeJGp6KafbQ@9{7MSH=f4uoj;J#0Skn4t$e;gs+2{_T$6RE%6~VKZsAjmLE4W zjh{Jtgq)Kp&LikpeCYn{%;}uk@!{uCr1ccP3`vwSqs5Am79PptGN80rT*ZB}iiNP0 zRfAwJe0$?Dgz>bSkgVK@vipz_(+N5jpE7`*cEO4GnjuvQIu_5gDy*kCkD&rO7SCRf zo~(>7q`)~m+H}OcG{&cseNjA`V>FiyUKTf^lj3OeSo~!#W_DmFo?KSS z?8KAH3QgkRd)8C@2`g#IL|ic9AF)d=KMiI$v3Q{_Et0g1<|UFknXYs^>nWZ^-D-G> z(*e+0a24w%GFDg_)>GWdu%6-~!&-JN(|O0Up5lj49z4a%;VfudcVkiOZnE;Mr#P2D zSzLlmEWU;58oM-ZWx6SDSqSH|M2`a1J5Ppqf-e9D8Tcs*_dY(Kq|=;n&p!g_(#^DgttVwrdE zQAVZRPTyxg!E2V==~kv2W9gd@$kQB4-~5&77RR%m;`C03&JS;)PFW)#S)DRZwsD>W z)|QX0PU|UNr1O&^ou68rGESdaoz_!4(P=%!$3s^&JjLk@Yh{0Bby`nxrh{1K!#9*y z7R!A2mg!_Ye8+T?1s#K@_`ML+kDlTO;ATn72zOH=QXZzjQ=I&PmVPM_BCiQL22XMJ zYNI|0-|!TtnGl z&DPB&brVl<>I!t?DNe33eE=>z@D!Ja1=!YjMG??3c#2<1dGr+LZMc=!H<6ckiqo(_ zC!XTtL6qslQ=C;QHScQSDSj}BJcN_I4~Z(?yH@e^T}8%pcP3bsfR4daT-?;@Dc*@p zeGq4(JzUyrNL(u&XP;@oQ=HfL1au6Z;;pD7p5hM_DmPfmoxX{u_;qBdjK`>tk%$sc zaoM7x#8X`6zFe^2DbAitK*!)IzK)gYDLx+V%htFi8P&wN35juur#K~*>BLiBImJ^?ac*d-r#LsP)Ki?3FZC3^ zgz3anT)f5;Pw~Uh*wj;;!Jakqz^%UpR z4teqcPjU7lejEs2@D%4WkyY|UA)cwowOXDiz*C&W8ck0Lc#88dyjCZk;=jasXPrFB zg{L@=%IQ*WQN4fOv3wn>_xW8n~CYqpPKhRMSX?8yWj3un^g zzVr?c2dGzRvv`V!3w$q+4rNb;9rD!7v5#oZmnTNzDb9`w2dlwg{I{Os0y-AfDN4(V zr+C<)ZlLI%R6k1YV)2tC4IoAf5omgfhhx<9T-lnQ;$frW?R>eWr+7G4Z2?hf-%*bf z^>_$}?JFEFW7Xd&wVvYPUTPZ7mYSa8VUyx5o1^I|9`3K^p#XhN;t;h2M49$U9417i zz5oj#JW}0`lDwv;czB{(3!+BTQ#?FHJrAN@6KE_vLx@IAps}z`*zjB&+iSQ$y$QBS z8q2~77fMO9o_ZpP#p+9xwCD?_f>?^5;Nk82Yb@zhBSB2p1R4vM3o%Dmvlp&XlTgy8 zZ((~@i0BSoMgiBVlTorr4`!X~)OjG5X|BESMs+2K6`DX};Z5p35UVsj#lxGWWVN0- z1H>&ttkF$myIpY4*6MdD?GE)1)LEyw6NPJ3-vL}1+;YN))TtmgYDTbxPpRb~HfaKl zg&WkLKy23Z6c1lfSkH=qVl!bmxGYqzpa9!=dg{P|eEFQ#`D5e*vlm@0r=02KPNst;*0- zJlxx@sli|=GlSG&?x~>WC__*2u+?RY+VF;$Et)1&hcet+!>^hvzeK=dIxI?A6=Q5Ww)#h?rtKt_bT)`sF+ce`I zW^nFy@q+C!=5ng0B40+jhU=TJ_^RYRUl4HF2En^5;x@=RTSXo#N|sj;ILMV^&f>0O zRIE-*IEzz7W7AAdU@NHj-u7VR_ThByDuSe0%;mhcxjbDU_d;aUFwIGBOU_4sR`I%v z;;UKd#X=D;%~ibYr{!x|&be-@N;#`m6;cqgiA-Dra&oanJp0)ja$!%@eM8Qv|Bh1% zy1oh(#hBEh@z9P(HjvSWi_5AMlfPf(9CC8yeJ^L1Hc5TI%4;Zs>(z?r($kgNz9I~K zoprdZ|9zeRNOup2=))ahM>$;@i^uCoaLPpED%-INZ=GP;B8ueRVOJ3!Z`jf0RS)HN zvz)t$t-fLZFYj$mGssi+RSbN+iuoYjdkADo)I#Te1k}-c=-A zG|14(*Y?8%(;i6cahEHqC)`~#v8!I!P%#Awe@`WFXWUVOtgIb0|BHlLR218}W9}%S zOLOtmn3GoFwrZ;+yVK2S3Exn5l~j?0wY@O`@Q4ybRy@VS4!1v!QT83v`H7<(J;lQd ztSgJAr+9dw5M`R4;^9Ie5}>j0BKK`b3xfTz69oZ<1p#fT0@hS)Up7kwWR zItUKuA*T&F{43=0b&3Fuh3$gs(`^AtvwV777It_Kfv{(`^Tp>NIkR0L1p!o(TY$#G zS3P_JU-+>Qxw;LV|1Q;SK;sz1Rier1NlvoAmBO)g8yQj zUn992iU$1vi4K3URFHC!!!N4AoLv}G|l%HBaNSH;(@A7UJwTGb_a2m&xKHC z51eQFOTh-9F>=dgtcs;Fc+gCFK|mEj@B)Uf+s}~9il=yZk^cw?&1ak8VtEgZR?<^E zywqQX5&;?um-s)x#WDzJdbgMG6$Gpn1kW&I3%&-wj$T)Nfx`4M);m5!UONyO{dn=q zc>UANc?ThL*M~3`8LuYE>~SnIE7gFbhu~gsM$XEgLBcTc@$TToonGe?DdS>V!QX?f{Vi*r@#ZDA=I}zzx>L#5*zZDH z@TmOquaunV}_ z@tKQ~;iow22QJ!ZG|LM@_U1Xc{6NvJX21j`BnPc_4)EOI>i79zNqZ z$l2e@!7rjaBeEA;U3;aHP=4Gj(X$-om4neitD%1+CHS3d+PgaqKc~oHWJ*1g4?vn9 zwQ|()D57629JztYlcrl8=kzQDIKRL&OWd1pKG?c9-7ae?uO!Le_H@@WSrTwhN<5|| z?YrRf-rPn(^l0|2N3+5c39p|!4%{U{cYJ3&=Jc1Gko$YL{>%=aVsgY0s2+a8IQ!Um=NK*$BihI&q=S3IIk?b+SrC48W2-Zb!N-`kd)KS0Y^*5d*NK=^UhcN8g|~N+w*4bUup7vo|g3 z9}xqvWFN|`iH;`E{`VnWA039lDLMErNH<2r04!;K7wM*m7=R^5x1j}-qPxj+4AU*q zflMFE^z?`rfF-BW? zZko{|VgLqt^pF!r>zUt|Rd;eqIef3AlD~7xhyhsE{uXis128Kuw=9U9~w=>@`T^aG^cII2A^ATTeXTD>)Cg#iSjH5`@$3Mfu z&L|-oW4_$ZU^bzoDdx-Vj4#Bbm@l_8-Gpe4KY#_9?n1Q0m(!9!-2n?*W4_$Zgz8=p z(__Be&P0j^&x!eRJ5wga+?X%7GqGYnwZ(k7ok?D9$9%b+NnUQpe7T*eRKJ3>3uC_A z&h%El1+ggR%k9hn^(X`^i}`XpGfZ*qtcdw?J5wvfs+cdgGj&3&j`?ysQ!m7tm@l_8 zBh;>F>Drhtw=*NfwskRIZf8cRKS0mZj(;PjYgqCg2aE5yGuLG0J$Ggm+Z*vccjiVW zorv$bGdHp2LB#jmncLX#GAy??+(H!)QdUcLME%o6~4q|HFHaX`S|WW6JI-rm-UB)VVojiFaXI~CVhrs=w2tG z-u6)yN%%y_$8hG1%ON2|$}w}PqGB^tsH3IhV|p~~=QwEIFh=0@SX(=V#5Jk5McJa$|eXk!J`^wY+fl{FKs;QIzZf$*qzIUU#sw zz1Cy!51-t$sSYqiXdNzaxsy&my^B0-7XrqpnKEl|ZO;)eQO#17Vq7b_NqU!KLZLFo zJ2}DCNLMsd^L`@tx}VvWo+%2Ez4BXd^c*%pIBZK>Y)ki(rqB?=uBn3)&C{KVEeCHrrA0`91%?BrXsU|xqATHz8C++Jq%~7xO-5O3IRfjLNN?%B9?<2F|Wm|hH7KDsHn5FRsfgI<@aI*i*fNb#JxY z)7b!aowSWArES^?==0obF3mcRt;!~?n#d;MqgMV747OG0CF4TP?MY`ky&hxTGgx=a zWwu8KN=?@NyioTHTX(wC>v5Vji~JiBe!Lcu4{$co46AEaf&XO6pF;lkF17Nv6!_^C zYx$=nwpI7q9qfmb-R*4cw=XOIh*VWR(ROK4yZROya#Yek)t8g=Xj^Y8y5uvK4j`Q# zM;i(QeF`=}t9Ag@5`fm~9Y}t!^OgmDum=qy8{ucGH&FIqjw1HB1ywy4+jiWK41W5| zaa1k64lySdRPCOWHm9WouT&#wr`NfDK_A&iMq6R)7F2CX*srj%oa&Iu#@{9E8y7IQ zB;fg^B;fhSsThsD-Z}YOTy<G^y(Pn#WXPfSQzlD-U<3YTfdDW~g?~e%Hu<0Z z1WnKK^yPm}HBOeNFaN7QA{k_P`trYKx-84nm;VjZm06y?{BN1gXL3}KbsM-_Bc8teP~8n; zdc@Ng-d)k)IT24^ewh$+Bc8teSUrG}wuq-Mze3Ff(Gl_V1(#sFdT=g?c>3}y6#B}Fc*qJLLp1%BAAy!2^eff1ltd4m4g1a)=)#9yu``8ndfJI2{_ZHx2`DOozto zZNfl@#_TVIfeww?d&%H2<~Dl&I!Nf=3+qN=ZlkUDgO-@v=&z3BcgIN) zqiW3!LNrH=sx_-bKuh#Iw3!=)I3{9Lt+`2v)`(HH=4K(LMvSU8w+JykVpOfURfw4p zqiW4g}h*7oXmx2moL2t}`!gfo)~pp`eRLeV>eoVSj2KmG z9u{I##Hd>Hh!C42M%9|%h@PzxqiW5gQnD>#RIPbTO1_8~Rcjs>;%foDG3x}t#j&6_ z<_Vz!3wmRo6spXE-k7Icf_&f?v=~)uo)#eq=#BZU^h(}>-k9GBRbxSK%rjyLeou>0 zwPw9g_&qH~)tcW6XOj}p8?!-VPO_jk<_`iUlYrisXN42LuJtK<^f~Ex{JIvSYR&UP zC7?Iv1<}xIL2t}PDVuIVZ_FQsNQonI~YCDVL@-qX158{A`5zB-g0@%yv%~$n75@xE0loVn0LgoRTlKdY;jK+#Hd;U zy)o}f*%}LaW8RapwHEZoyf087-$+>_z-u^~%HuAx zyDOkK#uKW^70??~BGg1zKyOT`C%cXXy)l*EnUL9RL2pbSp;|2Hjmdl5;94!{jp-{? z0(xWm2{qk<-k1SGC7?H^MyNR!^u`SHI42U&8&fC0Z{KD?Z%n<%owmb*-k9M+C7?HE zgiwnt=#3dER04Wq8iZPAL2t|`p%Ty=Gg_z>7WBr9@i@;`SHQUR!-C$Jqr7XO!GhkHW5npnh*7oXSn0ps5u<9&2_DZgdApCEB4bw* zF{;+g_HKj#3wmSbh`ko{#>|zAWSfBAm~*90hmES$L;p)mf0d?7RIRz#n}H{S*7QZi zrY}lNXPBwE)Z=B;g5H?RM7{;RF-xRRE$EH8+^fMpoEOj=v((!Ygay4Z%S2kefZmu+ z?(S!fm@*+O-i_(ubCy`V8&fV;ZxXy4v$F`WcsHg(h$O03*Zu$lmkB1} zJHfj#!~Gj^Sh0gS(l1&lBmF5DC12o%%qZW^Hb&K&(PD?iyD^PE_auvVW5)ViPZsaS zjQ6>k^U*WhsP_<}CSp{rY4YCyo5i~^6a3NWemJo4@UV}V+Y~XX*6i!^6mIcu%nzlG z#k(>4OC5`MV-Au!tr4SY&B4CGM7DT0X0o)+;@y}-{U1P&#k(B6{s%w(3VX~Pe-*Ac7VpNK z=2LSLRjcb8p;HuRg1wRKPTJz#n4kJT!RBTST3T$-(qe;_B?i%@*>w8cRW06)xx(KD z?H2FGT4Uxyj!ROTgmYm|K0GTU!P1#@r@^#k(=L3t{nY%xWPl-i^7_-++18A$T|D9=`|7 zv3NITjeh`2mdTI%nqT^TP-5|J%zZ+vvQf2q_*v+vOwf*Ri+5ulkp*Y_?$P4Dd$hRk z9!vU;QMKlAsbcYN%sPJsXHv9=bM^`UX6ZMb)zI1RjbDeFQaPpI7u?9R*x43M%C&)B*~~+y_Y1pLF);JVv$Kyt=>nH4utCi zL;|B~^?{P)^DTXlRAW@FK17m?s@0Q)p#dvfA01$y}*_%Bd5`sds<1?onxVA2UCs#ag{JY_PfR$s_oak7l6)eCu{vQRhrBBm|W zjlNheU|GR5yM*a{Rv^t5F>Rr4^kSwh)Q!HB=3A&6eHqic_o;`%CL2|&ucv`NO|YQj znSfoB3GB`DNc3?Mjd&77rnogHl*39JjmTt*Tf=mUTf=mUTf?7$NpWjVM}CT1(}r}4 zTSJ~!3@!eVMIKBLx8`%PjHO95;$oDhxHY`SCb%_RXDMz?1CoIS=@`p&id#eW%IqY} zv3MNQDQ*oPVAN!7G~zEX0x50{$0xw??X3+#0Kbej)KJ zUcM9D8in?!xHY^aq_{O?PjPG5;APoHtk8HK)627)@g2`U1K%lbjp?me-JaI^C_XiE zB1R*cDj|Z1(TJv6o+Xw=j7Btl<LoJFI>oJF zx)6=H$?Cu7IqJ93h(&#}rbz!Ui}c@Dr2qaR{SOrB|5cIx2aEJSl<2q7h%L}d+?s=M z8=v6T6!qt0R{xqN^x@-H{|2Vl73qJ%>bKE|R=bKE|R(}&_cKj^2sX{bj3wXf0@zw(Gi$%OICA>BoaUppTjrbXPC6eyXme)oj(uNeb zhUpZyhGU=N)-WIQ#6}~op*%z*o`U03f?Jd5#^Eg9!g?ug4f9jn8p=poIaPl{W^bRinifiCF$@OA2xHhg4t+Gs@1lN7hc>a@{_MLItz()p>?X`>OXP8*Gw z=(N#@bEy;2h{JlN?flB>6#ZW_o#NI|UW!}8bc$QUbW;JhW-A0rG~z-?OmS;OWQtou z{sL|diF}kqBeGWuxHU8rVv}gZv5+Rwh!0R~5{)PuT#8%69X4=M+?r)zOL1#h1u=3x z70`v7965BAd%wM*p85?UMnw} z?6UwwBl7y*g= z7jDfzS((v@b5YqE*QCgAFL1!Eu|1tcBeJA`Tf>qr+?vr4Akm1|<2E>rM!XFdlr$Rg zBc_vR#IcyAX*41)Hfb~>FB&Os4LAHW8j+iC8jZ+}FpWm!=8@voaN(!Xh+L3qG$I#D zid(}eo<<{bLrbF(xnZTzh@5;bk%?)8u6!0GX@H{H5}G78j+)vMk8`K z(r85XZUMKZ14N2j^B_8_fLp`6#1yxNy;#7l;k{%5w}xB=+!_)E+#23P7jSEMmr%g1 z;bFOeTf^yHz^&n7nz%K0LSKSg!y`?CTf-$P(THZRR%o)gHKv!`)Cq2l=_AiOFk5hF zHC00R5u*`JwLFxtxHTp(&jZRLMkAWO_TfOpXhhS`A3T^l$_r3scJ+B%V{vOtf1i7e z#jP;|{d%xj+!`}P*eq_1874P{Ni?FFNs|S)#tcy9dDtw`h-QJmI|%s=bkiX>{T8>z zoG*9U5{<}?F@x2KVEnhyh=N;V>J+6}+#1uMHc>RA5zQ!h@ojNy%xEDjZjBkEUf{|W z+#1uU{sO|{)|j#CT@V(x#*7p7czA(ZEHhrwr2bBVTVwW8H{fh3xHYCpDLHyF8qw^p z?nQyctucqF--58XHRdoOEN+cCQf)>_UT|y7iRxnz7PrQnqDuO+uNjSq-`yl(acfMQ zu;FU@+~ z+#0h&L|fb%bFEs15{p}7u2TE!i5QJ& zZdcg>Tp91;7SY_H>OriFxD%N*>L?Hvx5hlAZU$j-Ys^#XIS>}N#%xe!n5q`H#=N2? zg0Q$X=1sK(gvG5fAF4+{Slk-(g?bZ&V{vQD*Fpsrx5fnScPLA6YfRSNc_7B$;?|f_ zq4E~D#>DPml+{?=8nd(81ghTR)|i}oIH*R8TVwjVmx5}txHV>=dk3gV7PrO>b=QMx zwzxH>&V3(Li^Z)m4Q_P}#>(Q>n7!TOK~1-~HRdq)W>9l1ZjEVm*`hX!TVtjP)nRdK z%uJW@FN-X0jcIeYfm)_=j7Bu)x;qcTkO8-bleC&!mRvTm>u@?(akf@-he~sQ#azx* zo6BvjnqR2!2NrSOrWx-sgVVK(7i|AvE~jcLvJ~kWE+)Pjsh0O6Kmo~9BtdU#xD9g7 zR+GnylI4{NB)pKtoW)(msMvxGsmNKJDmp#Q_OmzS!k%!BCg*kkj#CP{z6uq^nAD=ZL}e=ladBCdV)FN^yn~!vdEd*~rA<=b zuks~|;Ci(py7Y9Vwy%gjsQ`Nwm-WA|^B?KnpK`b(>?o&8WAS)h0Zy4tTxB~};jI%) zdx9dlci2_L#~XHZdDTPtyDaB!V(W9MA-5YcYW|2M_Yx^VW-p|xxSd$mWX{#6tlU~e zG+6g#CK(nK*d?`)q|ELuJBgwp_?HR(EZ;}764wnkBCDf#AuMAtWpZb-#eCvkok+Sj zt<9~fs5mk6`LvjOSCMehAVVu(+Yb{=`!KD?U9PB}aCgnbu6kWV#S|nAKt*X5cg7th z$jaJ5^S?+qIhDX2b4LkXnv18#9cdMAtF}tAJ2B2%%;B4zu99k!u=a3F06d}ulf|tu z9qzFxvCrnuPaKRGjc6{gE&zgCV=ffJ;?|gjLL|5~<|4Nj1Z08(uoD5%NYb=Lb4Ktj zw3!Iaz^&mu5#JdnbSC%_YUp1ghku1!K86bZ%Zs`A!d>!1IS8GVcuWQu8`rn_qijQVGiBQ+LN?u%GSH7_W2ld>o2; zGG}*jGnsLhRq+-c4IObBD?O*!sbAk_1nh_;XcOUELrL)}5!)oW>ZpFV1jr>} z5*_hFk?3`9oL?6o4F3J)LB7|C5vp&e$^p{Kr+G%j=jVlK71X6F2c#-zTa~+|DrXN} zwI3u5Oj@~iwrzDyLa#wNTRkXg_3GJmcX4b6rzXy>TSg0prSyC|cC}&=*2ZiZ?MfJu z@#Z9>ZKoCUWWahkJ`JtEkMp;eR6IOs%4}&6vRBRlhaIq9I}BLw!hqGiaR^xZ6ijjI z$5CXdi2N_U`NXF~zA5slg2>VxMCvY)BiY)xNaS*6xFWJM5jn9Sa;JJ&*-E9>5gl`R z=nRrxGFw}RUQZi+89@XVIU^t7H2voR5OL7Ih&vAA8eV4ofBq2+kk;@a*}vo!4GXK` zS_=D$4@5-P6p|lP)|WTh)*f0g?K;Zp&)OfSvSd&DnA0D!oRJMI+{)T#C$&2YwZCF* z2@u+v)|Tk8t%)V082Gi7zBn*MhCDl*L*BgIkTXw)9DdxCIPx2+e48qdOjPzQsB8kW zRrz+J(ivSs{nCKsnH(<)Ey>5QPX5_11pCP5cncu+oZ|c`P zpmOhQ;IE6Thj||5*4eO#gZwb<;!Z2=`6pB$rYUL9VA-Lbv>dO^A6&)?PxTwZ3fS&t z-((GGZEwe?fup}5)9T5I_3e<(k6L-*2v~n5tzS*+Im}}G9m&vG+wY+5K;5#Y-$~Q4 znOe*5qUDHLYS@=5?xI=gI9v(g8PC~n9GEAT?dtecKKiQ3sCMmw>utZjgzEgLmAj6F zWyetFwY03OU$5Q1UsuvLuM_<$yU9w<3ihk*rt1scG>whCA?+sV*O5?>@irfCuTZw< zm?!q_;`lUS^kkZF?xK~}zRwHe-W#V^Yu~xnzO~7?pJ(mE$Zlps&O1ZwyE_^81$Nw5 z&2L!3hA*(@u1KaqyPXEhl4;Oxd(*LPIp4PB>G^{{;bOah7O-0eOVch)n>J?ZN%I`F zklPsd?ZGdQS-cRqZA-&Ppe8QOX~S`sjR(*&aabbFuXg-?NRNJtR-W3DG`}8g;YY1( zM`43p_D^N=3lnF?b`xhN8;^>h3I~a#rIR`d;MRN|bJ4loC$-xkSQ$f-vw#SdQ{Xf(8 z4LPK5V#lYtk-ZOvkbTK>P-4#tr1?=R*U+B1c`{fFS{i;_25LW!3l`59oZ==~Yi5f6 zb*O;rR5~Uy8q5=oJsqC{$DYN}*pt=Lu&pDg4j;ABYTGl_wwam2ien75HCb)b$DDEI ztO7ODaem)O|CbJ>(#Wve53`YB-RKjE&pqYx&x!HN0j>=R+XFcsF3z}oN=TS*Bfg3w z!%Biv$VB@Pihgl7q)(8vgoycm$#q6b7#rr=*s!0l+{T8vi^rhCz=_ClyTZiWA7zm{ z=uGn25H;6Ei_spJKkndN%tDtx*x)UBkVzXjCP@YadY8+Xo{u(q%a|JkneXtgB%pP! z4IyJbeweS@P)x7v@E<{236r?XprYN8EM>wt?o`O}0|FPg(~#^|^1q0C(GuCkHsfU?0 zpWwc_kpme%$B!ahyQceprZ0oe`9v;B22CF zU7msHWh!m??k~~c7Pu|ngYjo_M^N6AvX}dq@?NYhOXDAX)!}WQl(&7wn;MT#j0@!x z+HggzRZmJ8AE?GZMURyq#9Fesh_y`q46@B#gO-#}p&YTsBaWbxv5bF)G%xoN-TXpPV#5Q@$TZ!GD(y4rU+wHLQ5HwCx(E&SN|L)eP-4Mw#Ka zD>8FXgUpvaCRKR@iP&;~pv?}<&E)<;xskG$`zQNgfJQ1G%4nfnm3y8xr?X);?nz4y@HqKV4{Q;PB+*0(e_2H{l{P%Gr(-_IgD^+Z*F=Rg7?v)%04Xa%z~Kfzb{1WNdktwfGL9xM@rT1 zIPmKWQc3sHc;$w9xaJ7)wgislIGV7Vj9M=o-LL^iFC2(tmvA_aW#O(kmWTBmu5c|o zGkg+VR~f#5V=mkg=~dxFkgLNUkZZylVdIAvL$={-DBCqGASDRjg-sap%P`%-t59!k z_!4rvXS#nu)7()b5LWIKB;}5I8eNsiJ%&c-W{r^!_?DH;W*1jxx<3LRHaF)07TA>; zC$O*a8HO^H&N#Uf-$qW)84G4+a`P`^M$eNepF-K`dG!1RncS(Ay`D$UotMcipj_7T zq}h-cJ`6eA^Q4)OPor#er%pi)Kjnx;xmy_0>6Cl*{K?7l@!}w>D&+P@6LM#M0(o6t zLOqM4z0PE+*x5{%K`75uv6ZGg(Xok7V}sABLP~j-4eFIKxg~8-a~@im``IK6AP7ci zwT$H+$Zci}7tmy5rfMSog-KySe4Rfs%WRK3DSmfn5w^ zkXyy%Y_5{&mv9Q&TodI>3GHjlUrIl#e}o0oX!2*Vz-0__z2P|v77o4VE~i*^3Y@+H zK|%r9#Ruqt&DEbDRsjpbpsQ0b=xY54jliI*^&>O_gRa((&s} zMTJ3EU(e<$47xgjL1SQ%R-WZhRvigThAm^tRF0;k{P2+ zo65JrMfDyc7l?hX`5Egh5c^!SR77H*YnF*f?6WTyEVBRhM`zcA$rt|>h`M;8c>?snaM2&v%E;ASx(Ji42}qYH#bce|4Y(Xm)K-69!Zhv@9u z=v*W_Q_);>jpf5^fG3a zXP?D!2jw4*mI-t;CjW4Bp;KK%Sn(_b1O+!w885yR$LM0G25F6d==EQ%;Opb0AWnO$6m0LuaA9Fj6){C+*CQ>@ORg^PgBBi6-L|Giy zv&=60`Jj_woXftX0?=zdW)#zaa- z4~X(iOr&)5Yf(1EL`p}$5oL2sq;&M4C|hD8rK5+Wo~<#F($T|`vn?i4I(kHMzKn^K zjvf`|>mvDwqm4j_V(3hf{KL^>qGe5y{KL`XqFGZU|8Vq#(*VoJ6p56Mo)jm&Op%v{ z(QlF7o2_^F7R1N4$;hnph#hoj#~4eh2#{^4komFW zOoX=76v;mvz3nVTft9A%z(M$nv}lbfl7BdQM3B;WMU4{^96vqHQuo@()KJIJm$7Qo2Z_bo8NU zTTF2wd-o&Jw!#bZJ{E18DIzNq{X?`bP4Q&5Xq#vmr%0r9^ojI*)+zFZ*634*CsgYc z$v+%@CYF&?Jb-=nxo8Ea_#DfXkkUmWE20uox=7ea^tH1HZEtakGZ;$=DP1H|Iw~Qh zi{u}UN=WG<`G=ztQo2~r5v!I*72}-ZiL5Cw1Q!FsA;GTl0+nwSL z*kGf2(PlcuaU9LQqRn!OJ-F2Ri#7*dIAV>W&2x(6AC8(`F8BFP@gBBeu)8tQzZXzG(xo1PO%^R zWu$0poFe&$qn%vNvvp2!GrhEmw%#d{e>fWDa!zb;iUb}NJvnINHM<-Gr`iGtM$>Ky^(6up^XbTX1X;{^4kf%lGv3EOUsPI?E(T>6rY((KPqp zc;7S|zsOh)b$@{xY)mw0bhrc^#Y9R+)207<#ph3ga-@skaF&sMbheCLV=MvBb?<_M zmRRDQC-Jt&u;6&dxYK^%i>t=a&e5yI49!2y5{o{O?mbr92@2#VO#X3`y-Um0N+Xnm>{L~48Fy^ z8Uvk;^HbpeZTDwTY|Nj`qQ6K7MDa^)P~H)x5R-p6dRJ<0jLAP7{Z)pbMVtGcG`BS# z!2NccyBEf&EhhhP^r^IcTulDq=yUfGOr(i1`G=z~-2phaPmRex9DU^mLwFH?EP5^a z#{D1U%!SFugwaJgQP#(=b4gZ-vLRl=GS#9y5bwanQzOd8n8cNl z?{Pgo6O*|zvZA~YlSwn`>T#BAiYK!Vx=GZVW8C>T4w-Q41|5ij zE6+~FaR=oej)r(dA?aWa^HK{XK}u`)kMMN1$=VnxaoG5J&Z$;;#M4dJ)6O2(QxsPm zh~qB!m=vcTkjFhcw^E1t#O89zPE(i785BQjrDlJJuW8y zaI}wkcVY?`;AAEvEa+=1~N=DmtRw{G!z&b#T}v(UP^Me+|v?b4*k zEq=lHj*ut{*pX&oul3v>xph37hleE(zVi;6XSs_ zbG^q~nW^zcj?PUUuUp$=@()Khi!v)F|8R7RDDz_S4@c`oS*Y9DZ63F?#WDGZquafD zgtIgz|8SHbrM2Vk@|uyeCMLaPbhjw$GENN^c-@d?=&17S3LG1Re>i$j7M%9o!|A?D zkkZ8L?Wf@b`gUOOdTyEkAE>05(80pyc8p#p>94?y9@tt-RWen5~Ot9 z8Qh;0DP4Caw`VWo%%tzLaALfpAu3Y3ZV6>YO4prFITECF-Ong1Qo3#_WkpKYEu*YR>ADLTz9OaT zE~LEcj%}#0E#uUq`nqcvpvw@-<;(izS$0i%R&Sp7!jg5!+uGyG6X3GD@V54>KPitW ziIncyk0O30LBo4CP*$XL&;FDZDc!UAV2rXNrF#xK2kDBG?m2iVWJOB%Y@tm%eiguf zG?8Z%4&o?-Ql4e5L*CZ>@Sh{0yYRN=NAesiNa_4eTxW`u&bPAlij>apOj(iA`B5}i zq_oP4l+L%^2OC97=l6I7BcMp>{9e30SEO`)3}r=1=l7;hMM~$#Ql5thmm{nyDs3=K zqVN72=uv+BnMe|(bbkNwNKvG8{s78~l+I6J_==RyPo#_o=?~(+{3N<_$lIDf=u(K? zg|{_7+-Wtz|Jm6>HKk0wC93jGWj`L#jP_@@_3%UZO?f#GWoe((SAir z=jZX1ph)TbNiHJw7Dn&}?7ySz+ z=qdhJ>LiwO$lID<{20W_LjGJ{_X(Cbzrd!~C{tay~eN^jzj`GG-{f}w=MBwHh*ZPUT%|D^_6Dgg4QtKyDI{(|Gej=swPiA(^#rP*$XL{!Na(BBk?xVmju@(4$fKt&Q~8o`3r^`jdJ0PEt4L z%)2b7Na_4vnXX9b{Co7FNa_6h>?cJ^=eJT;q;&pov{9sV{_m8hW*qXi=0C8g3w3_< z5$lvT{6p)Md9sc3M3K_@Pqa>%_y3!!^V3wFpJ|;kPM>R?GEVLgM+|HXN%b87xy zqx+WH`IXiw^?yxSk<$5Z=ueT-`EMyF>)|`fZJB=S*>4&1B^(YImv_x-c#LI)yBKjP zH=+45L;Gw@1bMz(ltN6TbUtg?tF7@-=#zYf{G96@tbL@_y~^8N9ZZ_c>#?02x}Mx~b5{I$Glsip7I?tCWXeuKC>?`Q@>9uVc5%>O`$ z$=jOOpRDv8k{^^bhR*`>gALE`Hq)>Dr_fr=tt_0)4>jL(6dtaT!-V_O2t%wG{O@E& zHQ=`f^0wx8HnK(aCvR(hl#EhVPFVR}q%!y)KM#d>H5Dj>p5D!z2SI}a1QRV!g4-hr zj!5bJo|0o@erGqom*hm5e!&80W6W4MXj+#aYwqZew^>O@m>*~E!>OscC;MR^^Bra4 z6Z7NEKA5G=56*Z3n^T$drX5?W}9#lNI z`due?V);2@wO;PT^2dv^Atw7#{shB&=m%o*w&v#=EN%Rz$tPg>d4?Cu&%|3YP)?HR zy(uPdYyM=zi|JP}qkn@y3VosUI+>R=1^mnOk_#foL3q*b^AKEv@U}*Ixv7iE+Zx64 zyaTfZmvm8YQM{N)>8Ou9l*sBmc|o2B*qBJ^s9qlq#6(I*eZ5gbP+$BzR2cR1cw5sL zH(>@w4IVe17JWid^!R4)xR|`HQInWW)LYnQxhce+Oy1T=kkb8#j*j}9h9Y7Xq;zza zw;vSgsc5l0ZOG`Y?b&jtoy`o=_i>^D=42R#zjhE$_#>PrP53A}!wKI-quflt-{DLb z4L0=Fa24)}8l&N6D_z&I`VqqYkkLku6eSyzw>8?y{Fy5|CU0xhYW@zTTl_6^b~Xh3 zNBU%NlvIz07jPZzB4gE%*+F?*qutG&15gurTcb8}2qMhHL`p~dnn#g=zNRw4`~ixM zJt~t$iDL4$M$^nT

7^wnj6IX<}cKw>6q=>Y%j5L`p{|i_#jCw>4TQW_X^6)e)U# z8eujr#@xz8=Sj}QcqZ#vX7)nP)c71M>S%>I5=wjgCUaJqGoZ|h%NfCHQRc6kJo2Q_xjJfur>&%-_*2Ls(jjlID*{qAX5~CX= zXMN127~Lq!hL}j{=oXV7$d$px7~N{xplpn}6Ga=$u~43gx#dLnn|q*ain%XEPnbVJ z*&K5Nik>qCoP)Q-+@+$|%w#BAV{S*$pUqk*+hXoV(MRTaC|?$9>H14Ua;A(alD9Sb zTC}VwUPtFy#~*|dGL76Oqny(pT4ai2m{%=Y!4&sp?+4B(s8or%y|Oi>=% z^>B`Y)@q9HbGFwzS3+wuMe?>rMd#Ph#+f2{Tcd%_W@r;lk-V+ZVCM^HQ}O#8n={-Q zJQ!nTisWsL_Hs^yHp>)`qc+*O2iiPSe1nm-J8aQH{IbRt9WB~oQ{>hf&2ip?#B!9zCwLPn%?bcWL(+8UGT#z|VsT~1D$*mXFayK%PGa)&CV{+6knshY}dt(FHA zc!OD-x21%CGJ$iq(-vmsNa)6?T5`z`{SD@Moj;A#%I_oPSuWf1>>y@w8|0j=rH#6h zrcdrnn$0KfwTY)kOQpG0 zrLvPS-zsHu?@BqB8f0kYu>CM0v~Nn~xXY!=iFM~p>@0`=OooaQJd8j=X%=_J?|YDy z^}U+^!^47-2kw~P_t05$dMW?9RD|2A7D;xe4@)`xWv4TzmMWq>3KIa2D4{gecsTP% zi=E@4=(G8=lNXGLl#b4E_y|24leaZGR}>qcfu!g>QE;J85782*2@dck8+M}dES)I> zR~2Uje}$Ikb6xNPFv{{b<3tA;6G~J)h7|tmNabVbem~}A&?0v;GRmIDp+R_Cqs49p z*L-@-cDDRblU%c%BNVB(%U@y9SQIH2q;x;>wnja?0Z5U@hrG~~iW_0E zNH+g1SXbrQ{iMtZ(q+AAjgwzyHiVYZ5P+2K$Cs$1`Q9l=YY@iP=oIgMDDkcAyanD1 zkU{p$OR0tOlS(#5^qJ^1k4wPnrRGn)S6~LrIj!XcR?A!&Hbg;x4rI?gXP$yb0?CHmLH&92N6}X<7`EtC=$qsY!d>;{ zsly&;{u@a++fE&JD)nl4*T-G8c)`x=N#}w;{v^!5<#5z0DC2)8g+4nqGwP3zsMX$> z`TT1YI9n{QA-b!UF2KUV?RMrd6qXs&HRG}~WVJCgS#96p{eCXL_e)m0XLfm<&08e> zdF;Jf$1V+^(Gv`Plz^K@L7fJU4;>g}BDTiz4mDKiD zKvGx84KsjoJB_S|lbg-u$taHcGkG!bcfK5@6XpZvnA9>eX(1z#7KRy@;f>gh{W)2z zX5OtH$~qb_c_b!;M0;>4TCI11IV@>ys(md<``Dl#ryEpKk_MGjY0wd+293IrFE;&0 z1Lkx#VAnIIAXaPsxj_w2NQN9YIy>R=c{5jvKDz^QbJ1=mBMfWyg)NYqi$?P~A9S9k zNtf4p$_(P5SrTC3)}v+vg5S+>yJhE&VNLs*{gNKpeIrV>f^xA}(yVdAKI8f-ChC5} zUZFZLQ6~dK6D{nl+K;tdqqWQ&@-Ew)Xi1&xN_CcJpU7o0d!NLb&u3x@JNRlxi^g0w znVIYf4(bru9hR0lMko%KX`hW7@-7!lqO}dVkQFD|)FD^)>D1bX{1^_*1q_iBDU z5$WlNx$8g_eJwJ}v%h3z3X2rELXaBX=dD=Kl|*WI{~QYwKzuOWysvJCn5|U8I?A?E z3F|0Fl}cDgxlpNub(9-(L~3~0d7!l9h}7_mD6P3?Fy4~VJZ7UZHAkd|mo@VcVSA294G`9y3T0M~NDUCyjfL5~9FZEH6=i-dA*@@5oQ1iB zuR^-6g2!$xPMiGGtv@QNV(Uymf43 z<&W4&*HO$=PNtjd+1za95wjrQ%+^|rHP zIC%z{tb_l$zIp&I+wx4Qz8U$=5F|i@*H92j`L!{vh>a*;M+aSrjVQmanW963o5g_G zi1ItcfY^xgJ82-iblLn_5Vz-tOPJuwvsc1-8DFRf%d^AjvM(lO#mRG7C!|cpe7bb` z*tK$wh`UU~B|_8vFmU&#cnFJiYrr}#_ju;d9r{n?)n1IeOzj;=HW~La{Fgh%VDKtl zLwZ|o?g@}9TbX>~i!jerI+Rah4cSWmI+#0|er+XRBgoBXo1;p;nUp()a-lL$`%@`5 zR`UJE+ycril^MpgFofKiahs^jIt_JoKMVG)h$VLx?J6H)@x{bxWa=7dbvBb3Y~3|f z&!L*7`ZO&splYc~Jj>}nqADS+pjx0hn8qs!?P#RBsvp!W%3bm}a$BHc z2C=8`z5wE@rZ|9JIXQ8C)Z>2WG;yh{DxTMR-A2c!ncuvqj&TN+KeKit9sda}KrY*hqVj{FIlWX* zrnk@R38Me|1$D5o!@&^DlAgb!d$oXro-4J0M%JeOCMEtR_9-Mm%ujs8QvI}~dYo7a zdAe(sgtgCUg+K1-iY2UYA!|?zoNara&jN!I5AF55XuJ$&xad9x|M9Py3zuoK&Ojtg zH@#n>n_4ONjT!;55*t+{kzkQbsXg?Xj?-JkIE2iX3JJCrxu|3oGVfy|Jeb0VIBj48Hn{Tf7 zliBi{?R@_x@!cRj{-lm~d&38Gm1wgv{oi3LWM#emgnC}8|DjK6a4uKR3%2vTu;lsg zEPT3po+Sg(8%1#SzTH4DO$GvV)^xeUSQa~SELt!&sq?Ci)?CRtCE_F1?>OzLBel-9 zezO_>kyl|Ikhi?yV5&29@=a}co7ypI+TL&x>zSq6tW5DVW=^e1s%-73@>NzTb8M5;@!y=4A!{(;S(tm=){s;=ErdAWiBo6xcJ$4m|py>-K3p*ChlhV z_w>#G{Ywsdm6LqX;Rip{Fa{nzf?L1eyMa2{8H&4_@&Z8@JpqG;Fn4V!S4--u!G?DRwx|=zYMs8 z;Fn4V!SBsbItYHpL+K#+#T@D+_`MU}ItYFrh0;Ordl$?)2!7d_9R$BrItYHLbP)Vf z=^*&!$-RT%m$7Xu5&ZrZ_526HFB_Q<{8B6t{IaH{x zn99+V)IXF`zen)P^b*0Z$jS8*<%;YZaN>RoF(LS6^$EeRh_;mB?P}rDdG8vYAx?XwU%GOi7lvBpwuBIi0_K*1t^qVghRL5SNb5L8nXr2!VO%} z*SP^16u{>bUHb>5Bz(V8 zfSW+>IxdZb?^g_X(U!YI43zJe1_ENOirOji&F`w7ygnBYYgL|Gq4RiuoWy31rbs+% z&HKlrwz7k;i3pyxX6sOj>ruAm@1r69cRZ`VqTi7=3je*D9Ze6ZxltDf9*}8DD$tqSrlddwYP|(%)fTM zD9Ze6ZxuzEf9-9eEEeWp`wLN&`PcqZl%>M_Yi}1tnSbpaqA2sPy;Brr{iECZ3)jR%)jBi|JqkX!?(+cXSJ`1mN5U?*G0pZ%!y~UZ;6&L|Jpx0ZHR2KGXL5w4nJGF zRGEM6+s<;#4}900cvkxtY0(;G{YsT|JqS5=fnnO{tWQJH`36n9I_Nob(T5AJr4zy`PWW!Gu?2GuOgn+9_sc+4a)p$50{{o`PWXD z{!`{(d!)+?oq{m`+62!k%)fT7+W-g3{A=e)yvqD*=gUb_nSbqecvgSl2NL=x3|;W7 z_I&qjJlxY0Dt9bGSLR>4+U4R%@T`9GMTn*%dkK!p{A=HI_s3470lt+E@U0|3IfHL; z$!Do-KlW{*0k%TTV-q~9kS^H-&ss%1t9@5$R_0&(R~Z6j{O_A7S@TByvw_8WH#a+LYk8t+2nDD$uNJT8uf z!u)Hyc;qWq=3iUpodZRge{F(itsD&kpff@iHFo)w%W zye3!XUz^}rtB7Z{37%D$f35FvJu36BwW28VukGq_mMHVD?Iuwx^RG?ttX1=Q;@A$) z>JOTVfde-mjtTRx9pc@Ocyus_d8vgm%+rM;vu%W@vyHze*pU*4GXL6E??5y}nSbrh z9@mpH|Jq$Vu4ZNawWCE*=3m?9eTJ4Q^RL~*`?XBH4EMVP&nnEncC5#1Y-Rqn`$!pO z{ubWXk+&r-*B1{2*lqpr-q_G0fmOiK-DMLM7r>3~)y0r91HyUOFPs?5Lk5|2;)mHF3R>P^5c zk}&_;)iNcN`PW|It!Uy{6VGa|^d>=Rts$O?2+MfNfrmHF2`C<{*e?%{ObJ)G{lN0PoHp4C1oMU?s1 zZuIWpOcLf_`#DZrH>Pguvc-EjF zMa8oQ4U`qn8uX{Ec-El#NN%OMxmd-)pruGxJZms`Ib_AN1}(H{&(s`(|7aqQD9HR< z1*Ia(T*0%3!>>hxGXI7nd5#r4Yq%5Fnc`W)6rMFq;aS5`G*>*U%8F+V+g78f;#tEz z$hNL{)^IQ0o-3X;979?0tYHez8jj^?kok8t!m6P%7KTam%KRISCuOhTS;PIg=oQZz z9za>~tlnnKH@R0w3sCd?Js=DD1KjAc9 zW`NI@*?l#CS3GMtpAA+#Yj`SUW&RBp90<9@{A+s| z7T2q_*zlQgiVO@>c-An%vsPp| z_=0B*msyy?(?yrk)4`b1;qoOoDpoICk@A$nvxaFrYk0|_^wAhz_6~gzR~TNwa*2;M z>VvqxFokCgQ+U=ejb{zlUPFHi!)s?m@X>}P8eW%b-Sz5^c-HU+E&;`}hBs1H{9bqy zWyQ0GH**Cjo;6J2S;G{bHB94K!`nXA`fq=S^-G`Jnd*}bsrv6q)qi)Y{(DmOr|_)d zuNaHsX~PtrHB94K!v{`4y=eb~GkTQz^Wju~KBDz+XhZuS)%u^KyfIb(V_H9Pl3@zZ z8m929VG7S0rtz%dQy;T_8$P>&^%LzFKCkt+Ve1WF;Fgu(S;H4kfDLRnJ=kIUO3L>4 zi7oN0;j35DwlVx8KdvBH(C~G&<dDV{a_E7KLv8otLdP&{k+KKn`Wtl?J5if0W|c-AnDXAM8-j=E6iN1t}I z;U8M3%#&@LCyHkcKhZj6-ly=a;isuOKhrv8oIYn<6LZ9~hG{%&_ysQy3sb{??UgUJ z^DC{Bc-HW1%8F+Vzo9?HvxeVNPS(SBl-n}3#IuGO^DP|q9T&RhN_Z6J->{1jmvR#t zmKoYB^KV!#iZcI(S;Jmc=HIY{XRST@7`Ux86X30{4Xe#_bj$bLLSOboe3TX^^U%tk zn9bA@&l+|$H^Z!!c-F9+6zN+C>kO}ZwQP^1Eb**if@iHFo;A#il`{W^y?~n0ot9^m zTH;y5*!+dDG=_Z)Z(r13ed3Ro?qOe1vcmiu_A}h>mH9VpkRrI>qkI9|I5+Cv zRQm9mzYnik`p|c2cRm+#E%B`3j%Fz2zERj@`0lij>xRuq>HCjfMLeo@|8qH{9Rwq#?||;Q@vlzA*oW6AU-s z{sfSP6Ad>)Vg3y#8EziJ{2Lx*xbTJfH=Jy^AcgrioMO08g!wl-Sf;oz|AtcyH#A}X z4W}7ySi<}p9ww7dn192=&1)=6JZm`J?0|mmPfT6-6LSM)qUgeQ8CGHb4Udpf66W9V zNEr@c{tahH?`CR=XANhX%h6M{#IT0Pm>1Al%KRJ7GQ3L^=HKvG>BWrv+!G#WcrU5U zzu_FQQs&?Acu|!3H$1`c9$J}y!?~sm>p+=*!+C}m%g_^ z-H1TS7=Y0Kb~~BZHN3mXbd#&1!r`?$T#ek^%jk^SBE?LOuW8mc-VV82j$g#7-SJ__ zy)rfY&5X%%t>&rDEYXc#%e`3Ach5MJ_d?+T^N=FoS-bl?5S3=w<~=@l5t?B_G~pRj z6W5Qnw{LyoXYnVcXzwms6Ty zTlDUMnbHi~CNWc*VcRTspb2=^&N&m&)Cyo0Sa*b}G{g2R?@`7~n6X_fk2aLD&Ymr| z^+Gc&o6G?79t{60&2TNjvv#ndH>DZ2!_8s!a82;69bsO|;IE2b@vW3X0MU+fhhV2cKqcp?zMo~5h&9J@2EQguW z4BK1HJy4Wp*lsX?f}%9T_I|U&4tzw(P0&7JCPGn~Vf&o96pGRe+tH~;2_>U6!}e>@vPv^-v(7olOK65|&RGL3Qkr2~Em}cohHc>7 zj=V;t8Md{~6VO_eX4v*{HbZMwnqgb-R5#)pLTQF=(HRJBoYD;2fzEhn6P0Gz4t9=( zHdSec?QrLMXzfZfZ1-~Bg*HoRhV5i$haGXNsx-s4-C>IsD$TGxTC~MVGi>KL(_pz& zX@>1W=VWLrm1fwU;jDoM@N92R(mveLzlvB^pPK9DzaR*71`^U#XXmEwhwL8l`OA> z?3dY`#huwG_y!WBAZKx@qR&f-oWSZReIs3o)ZU!Vomud-BU3rAHT7x4fYjZQ&`6n+ zTyxf7qv*ryP-IVGAx><~dKQU&c#ToYpU-^G^;ABK_7Tg($!Z#L4ajAZX7NH(@BYO+ zvHUeH3z+#oXek+;hmL|0OevyPiTfQo;^Idkez?km>4NK3 zU3AvdDQ#a69s6^Z;j;ekW&Tffzeqpa5x(!Iv&Qsz)xuJy6Ia>yi|{@Tp^ZUSZ|)ts ziuf!=N0(DD~i$#+w(+;GPN}r4!gv;1`aB+M_?za$kJIwma)`u zM(`(Zxu68iFfR-F19hTTWapqn&2XgfUq>pRdDjv=YZtlWkx@1Z2c;Rdi`|o<=r!Bf z@~cpC&32AtkYvQ@Nx72=x^b{q`>;MrONX6<}$E7Fu^*q-7IXhLuV&)Nmv?vRyc*e;ac zZ8hIf3(QqlR%P)HxXl#b#}Qw*M|@6kAR=~)XTs1c?uKKR;u@rv7Y~F@d2vtV zRuq>Zy{z~Gn+ zhQBjYdR%xABFW8T@^S{1$?Z&eC1pH_$uYP+-Jd|~PPyIe<-QH2>Kt74o4s95-%Qo7 zQN--y@@~mi-M}K_<&B-js&5X3vcF5mEp>PlZzjka3$0b((Q2Y7ZNhM>GRg*&{IdL%up^kk!P+mh6Q7cg~lm-h|k0RDzz^7GHEeSZ)z zM)M1j%O9gbI(b&}d<+V#Icq>~d{*-onodddO=mS-$<8>W3vYkjRol)Q1kB{2U3k89 zS8YA3Y5%>D`;#v84DgBWB>bd<>?C1k@CO)3Gp0)}iRDd{pIQ4$l(Q{Arp-$fW?trxLy%c zv%o1vcHuAslrre}qd>9P+J!HB0Wu;XJR~7(+Aaj9NeJCCE-M*2jQtRc*So(%P5669 zj%>|=Eu}ExQW(vAM2-SlZxTjHO~U9?3ZqHj!G$E|_F;kzAKYa{(%w`@Z74-K@H-CN zU%fBB(O@3z80NJd!Ti-r`eYCoy#FD;qXVYf^d_6~wY;=~F31eOnS=Bv?|%|caYwTT z?#F(=)SLX3)^R>>s_|#mUOS}J;7g?rch#CjO$RZe-^lOq?y6rdlBn;5VTHRmiMr{W z987*Ekq}QjVo~DxDs`MW6Xt4kbz=0uqNdt*6uQeBgs#MZ4m#;5fOzjts#(8iHsC=s0L^Oh zxM7hKchLOfft@bt4=iX}L-T?1Y6xk?n}k@lS%`VbEZg+E3CQ0|29citXc|uY{p8`1 zaDdBcnl!$0ng*&2cWczc`9AYH0jzN8JBgme#X7`GueA*CQ(e*-bMHB0qY?%jt5ZxGnXyk zBpv!H+jp0|RCvSxYTS?Ex`Tga?OQ0J19F$k>v{r!nwK6ZCC-F}yXxLW+C%q<2TUXF z(0h{#rVctTV}9lGo&hUl5QgGDc`*)EH%(}OpZjI+1@Kzh__4IHWxF;qP1@Ki<1(Is z`>>~%yH|ap(Pldq=%nGL_AQra*{|&7m2%$T3Ox+hiyf+NQb(Xrg=@E~l4(+9KI78q z&SN+S=ZKpZ|Dn~A7?Xcy?XO`W^_4EZx_dyhc-zzd8_ifCT*FBn)qm%l`_?=P-`+TZ0(4F|U(dlRl- z2Hr!5-#X`gs1AdUX?Y*KG#jqjZyi4Cz_e^?-w$fWx; z;h|~#0VtAl`PveK$c%jRBdw@(I#GitF_?;o9%n>9GjIGs4R1yG`~#!!NJKQDQgWyC z;RIX1Xb^^QnLLz~>Bgt2=0bVM&FMHuK9+HjJlb|vwGEQ_eX*e2O{K1{ z$nvKNSwGB?fyO5_MnN7}!~Q(g3{C?3rN)K8u10RNRDG&c&AElR78*Sd>0G*9D%w!0 zNUC6(R8gC8>13eg^qp-UdR5zgaz_;(u?lJXYlO`}ab!t#R$=mR zDhy2Onlzkm|Oud5XmNb)#hc_vi7*}0hW@BXs!f?+c6k*uI)r+QLR)=8+ z@GdyxaME)&k4B2@!6mNf|DO^n$Si&b@Qo<5aE@25N zm#~DCOISk69aust9aust9aust9aust9aust9aust9azFOh_C}ocny^Q@34e7!dnNH z@LniCj3s0bc3=sqbYKaobYKao{2#D{zd=1uW}NG=!n&0I0cEQ7GYpjl%wK$lf$dFT zg%nG$Lbm+-u)<@IR*|KT8jdMjAR7%++o4G6bEVYp!3vpPf)$E<8(iQs43sOfo1nM^ zR|u@I>}RZAV1;E%MI@}SY?+9J6_)orlTrK&R>TtmRyY9v39PU@&y*UD=@bYOV1nq& zUb{D~_!)-mIywl5=*xB@`m#5R0TF%KPDEe!&egCLEMkRV5e3{=8MQN&;J(UEa9^c@ z`-n`;9U;XC?yGwL3RGP75)K0HtJ-=yMS}aP{{8^O?}7W2>{|k~@{>9FtIO{7I&|mJng=Q!i&|e{nf&u+Y99E!UKtBxz z^wVHK|8gm#U_d_&2K29X-tNW)PI5~>4F>enU_gJJI8ZR4f1M}_2K28NMZtjn4WcL* z(7#a>1q1pwiK1XY|7KAX4Cvn?ih=?C^`a;k(7#m_1q1rGiK1XY{}-Yt7|{QvC<+Gj zZx=YScQxpXQ`Wu|Tp+^-A=-=hsioRAbpntcRDHzbdM-&AE`uB>WU_d_& z2K3WlKtBxz^wVHKKMe--(_lb94F>cdl6n*j=%>Me{v(p3U_k#-QN9L$BSvnc^BkIx zF%iiv{l`Shnuz3<{^O!q6P-lu3Fi;6j7&r@p#P*e>1864Tl&A1UMZNU4`!(UlxU46 z`X@a5B`{z#n0X~IVAK_-OaEE1Y%|dsT0SQ}$C-%amj3h37Sy+&i3kStUl7ZQCL$Qn ze^EMqDx&7>dr7pzO+<1_zXS%12nO^wN!~0Ik=)XMS+qGOBDtmiifHppL@=QLnrQP) zL~=|2bt6KRX{Fvc)EP8W$P<7RO*0TxuecTl#N1J7Zm~G!emo z{$Hd;YfMCPOTPpLj0gtw-*pDy8OeGRk=)WRfdM0e0sZ$R?*S9N%DfU7Fe167ztwpH zo%M`~NN(x>O|(rWBDtmify2#uGy069@}X#3Otg@_`;ll{O_XQe$D(aB5wbG=KScY| z;M3L6wuzQ;B9dGBpGePVorv#j`JXyG!C5ET#?kytEF&j6fPMD4Xay%CxustM14fGu zhE@UtMu(z%{I8uBw7tcNW-yi#7%)1KZ7+cVBa&PCB`{z_a!bDi28`-CVkIzOL@=Nq zxg+6oq67X-Xt8Khov6UP5*RQdxustM14bmb^h;pCs7D2~5*RQdxustM14h?yE;YMc z?(?1K9=2hy`%h>KorvU?ev8YUcCizY+|nN++IddIPlWhGMO*4bB)9a3iFT0_ea#jP z7j2~zk=)WBA=+vu>c@T=DcTw*8qS{B$>ls-=R}+7rB$@`PBfbHbCml8MrDH&kt@<4 z?e2=6c)*EB7U}Qi?gwqF(}U!e{vPhf{a~7a0c)DxK)4mzw{fgpid)j9{uK8@p8p6r z_YZMXXPGn@(4Xf10`V#s&_C2Y95pBy&_7&)RxqHS1_Sy>y3eBKf`9@2G#JpI>mCUQ z3I_D&NxTXM^ykY-Qo(@!c3{AoftMrBitJh(YXuDGpYIMtmul!s)1jxqfc^z8PooM3 z^e+_u3I_Bql0H>1pugPx8)krl0sR&3cTf}z=%>Me{wnu8gsxyff3?fSk$?efns-7p z71_}^*3LuvHvdicOUxq;@U3)!X)vI_#pPv{f&u-v-Bu_H2K3WlKtBxz^xu`56%6SA zRfa&pfc|^ZTm=LA+g!dU-X>r`|5ItZf&u-{-MN_53I_DQblnIq|H{P?zQ81wId0ZR{2K2jleG#>S0sS(s8H$1d{WKWRPlEydG#JoNg8}_C z7|>6H0sS->&`*N_ec$7HR4|}#MNu%I-__$RQ81w2O`=vXpq~Z<`rCm4YX-U4a4NDD zIMyk-r9Z?w5_3WabC{P}C}}XDKf=@5Mlhg1QsPiBpq~Z<`a64EPYMR~ck#HI6%6Q) z7Dd5;ew%kK%oGgh@8NwRQ!m5)E)53s$9nDn-c=ok747dMWfTnP?<-{#4Ctr9fc^pA zCOi~VFrYt4+NNMY{~)gd-V_YzPZ8G&2J{c{eB>;RmT-5R<~8=hv~?qrTl$B2OE_=c zh+sf}y7yCP-P~wAcdK@3QshQoFuv`qQ{yOFknr~zo5t#P?06M zSQ&Nsp>w@|u{Rh~T?1N?4k!%<^j9VU@r`1CmB(FG!GQiH-d*So1q1q*djH1MD9C+4 z8Vu-P;r$++t6)I?O7Ai#3I_DA5=Fs){x#n7d5-nvJSU~Wfd2K~>sSH`2J~<8c-^XC zK>ub@6b$I!B8q|m{q>?K7|_4X<94QCK>v1cGsn7$@%LNQrGvZ!EB4EIpRuKsXtl3#?2?negB_hFqHM@ub z!GJZRMI;!oW_J+@2CUiR3Hm(|^Sfqm5eWvY8803P2CUgnM1lcp_LpJ=1J+Cskzl}@ zNn$`SV9lW-5)4>#n1}=e)=U>$f&puel2n2LYmSjSR)PU*j+0b^0c+-nNHAc{@luSh z>(xkSHXb6NSfUhb*5mzAO!{tQeePf6wMV3sIr0qgA^Dr*kdmGM!|r= zUc5b5Fkmo-vVsAFy*V06ZW(ld0e45ffB`>&kVLOwz+n6!obUw<80^o7GYSR_QeePf z0>f7@U@(z#0tO5w(Vc(+gM-Gvje-G#DW}jS$t{CJmf)yhz+kGnAs8^2#>)(wiJ9G- z^R2gZQAL(TE3!M|C}6Cj|or^LR>7Fko;J%@qt7q`-hd3Je$|V8Ggk5M;scWmsIW6@F~^ z3`xO&es56}4CwbUbgW=NzY`3|kOd4FEM#N)M8WCTvK2i41ZPSs1PmCYz<|M`+hBs8 z;(w)1VkrRw28&OE*ryPjE2zRYoQi@akDzXaLEGjTJCpa9yf(*Q-B*0fQU31QZMy+(=o$Pr*%;+l1UQNPz)^ z6c{i_fdPXw7%;f)=WNfy;P&OLpCGT`&QzalNY#H=s{XrE_1}}KKLrL1QeeO!1qKY# zV8Gyk9;g@fKgbVSYkxkR>d!~C{ta!2>rt)$Im#PT^*^Td6J!>oz<|LM+$P#{B)1Hn z)GdSLmcef+&&xO@w+x>8IqSE z?e7y?f&qh9_oi)Q@W=aTOCVeDy4vz(lOP2K3{qgg;7yLbf&qgx7%+H?RL*_cgSQ6& z2c-Sa*stYE+(1qKY#V8Gym$F$Cmu3??h zhJR?CGEcT~o+ubF_(bcJd7lCU2A`(t{7mbVaY}&!gESa0_+kX=>N7R?*T)_0{7UPT z`oE^EV8GxT`cp7q@Ga$JJ$y&GEmKc0V30Al!cpIGfot~0MW%oOgDyr~3K%dbGqhJQ zU{EfKf&qi9VXrC}Ferfm>yJJLZYzakvab!Q%_(%t2TOr3d!m8?gAy39o?yVBtC<9| zdV&FiZc?OgA*eIF?$xqAlCrPkX-kj>0|t4qQZQi9%g9X@&L2FZ)DsLC#O7kS>Dw6e zF}!_IfAxt!Ub+W;MNu$dkOl(=4N^qGfI)vV4&@7Q&W$>z(wo=(y?NEro4!lC^B~Ce zgXY5Zj^k@X1`Gxpp5JYzo?yVB#T<$E9u#+JNba#?l20I(sqPmmZG8iSJq+r0H1O}`p7%KWG~5UU3>Zu@+&lyf7#w7{ z@C6JQOg3DQ0tO7G7%mh60|p1n6c;dHFx7BF6EI*f&2YmKFko<)Og;ev28WxoF?Iq5 z45pixDH9AB{KOo9#tIlPXqRCXFkogCk`)1PmC=klxMI6AT#4G<%?{>j?%7 zjxh_+Spp2)9LzGjOB66*aIEyAf&qi$4DTh^$!nCs9I;X`U~s%B3I+^LFuaFWFkmp( z+=c5M1p@~23@?@y3>c)rfWgUz7t;g-9*4RG2N<5rOB%re>emqjpXcvhj~}CIh1}B5 z%T1l&^ZZzzcVM>QlFsifisJM9KJrjP@p*nho(CvC&#%{q1A@=<`+6VX5r^XQ{C*y9 zYZRa7H+bB56rbl8y-#4K_&mQ!%oLyJH_J_7g3t2>pI1+Op5Nd6fiVkK&p*ri28z7v z?Jt(64f?LPf41Cdci{8<0j8nw|ANn}CqB;~Z0N04$SwWh=I1CO_&k4vc>-I5;`98G zq9{Jk-^r}x%C2g~zT~%>tDq=8&)?bH3`OyI{wS$l@p*m;pI1jhpmoOI-L&_EbK>*- zHp8bJiqG@+HS>_6_&k4tIUkDR^Zd!8C_c}hX0Ahy;`96&=58p8&+})SXP_uP&p%ld z#pn48#SG6AF@^lI%+AM&g_&onQb1@Xf=lR#0UqVrQo_~YnC_c}> zQ541J`L~!CV5ayy|5oz}6vgNH8w`JMQGA|%znKX|@p=9eg6UIyp8uSA899p2^ItPv zb|~TV{6Cxhp(sAj|HzyH<;$p+&HmD?gOV{3$u0e_Ma!D#x|z_j&PL=}e1h|o6Ec3z zc@0`*qA|>?7Oh|+l3V(L^8xZ2O+<1_zt%BDjFO4YU=2N-?$BCIL~={N-WduR#Mo zFXkle&0S7To7iQHR?*1S4H;UrEJdP&TJIyfdnbYSzM}U zSSgVcSRJMBZHYyUIh{MR;OQ8qa$aldLx=&XXCk4IGAFs_?1j6ErFh>rau%Wzr$_cH&d zx^r+5b4U2TpUxW7<8=@$Wjb+{eZL5AoeR<+;>Q z>M0~NJ^_(?iR2*hO`38$QPX73eNr;z)*`N9S`Jwz;_e;hBJE98X7^3F*nr`On4T3` zKDDgK_F^F}D{e$uM|vSlVm2$~&ZOCV;@&&)G_O>eTU9DM33Ej$n|oKvxzr#-D~Iie z2|?XaD#u+eRZgrsXJTi$&Y_|N5AT&caA*9!2U%I)tNA}XG@_t%40p`$d+4k=Jv9hY zlp@?#wMeo%U0urIFFTz%y{RJF?zl?9BT6WOt}FP9o!+>N(r5E$CodQgpXZ;WuK)-> z&p%fb#pn6wi4tY%iO=(wIB&umzJVq~O=lHZ#!|x>!C#@}`5f?hyeHys#))2$twV{L zlaRuH9jSZ_T~BdQxQZey)Ed|=G!UK(p>^D+ojC2{-3BJ(IGS5OR;CGJ_ zO0S+MJ%7G85NU$gEBL2)CqNODp1;657qX)C{DtzvilX%V(>yK&Md|rJ^_Iab%EYvm z(^zlj%HW}9`orC;9G}JTm92nSD-@Uh67N?~1f}OMli%;qdZP6F3%o0lQ%{thf04(h zi4|FfUiKmm6kv1vLJ*PE9syRcwp zt{joH_SPo6>MMAp^>W|Bw|Rv}@izH<5Z{g-^i?}7o6J+?S~!RPFx}NGkc2HrxPl3z#l5#`0}_M~Xb-u<_Ev3#hoepa zl%kaI3=-xX1r*n%Ns)U|WHNoMmMea5)#mR`Z~0YLgZmDF@o#X%zBwYX*!11$91^~j zEAwnAf>D^scbOsU+F^Ms_w?Ca)JZ44WM6D3EjJ~}ITYvLP_%G^HV}>nNCWTcXx{*7 z-`(`*t$Gsq@3+JMJ*uO1LxI(Jkb~~6LemDk&fFI@7u_}BeCnH3e+Uh0DASnsL<#;4 zJ!Zu82DYQjT$Xt=d3X9^OiliowS2ZW;G=ekC#h3Z+A`KtrzMC7TXg34 zT6EU;TC_-uzknFG(cR+DFb|}KY|+wGK*I{``w6r!z01v9{+YD{1UWW|nV4&=_+VCy zm*)q3-VTF9H0N-vFV2|E)as5G#An0pn^`_x*64fcf0=&w{MQ%6OH4A{ZAt_*$|92x za(LUnL2k+x!Kt$!n&$RDiiMmmQ?Ri)mt*U48|fw?q?t%jIr>IZR3@53C@K>TMZ71@ zmg{@JrT4@)(dHM}N4(CLUA-&B-x}1?rRPO3=y>nd$4F*994d3d>sR(UIrgf^>sR(q z#*?WcuV2|$&q2&q@z=7luPNIq^7@s1LpiDlnYhl^(*_1a$_}l{mMYi3Z|xOaM2U?oQ4n0vuo^Z&M8GX9iUZ>Y z$_Oed;D*a6>ZmwwxI4JvGBY@%4DPt&s58vC{qK8DRi#0kZ@&NepFhu&s&no=_uO;O zUFuf#skgv1IQ*_(Zrr57a~*!yFE=5~e23rl%WY}?7CDO?e%CMe5OWroB@R)Ua@&|^ zf?4M9yMDO^<{Qvw^@O`sivHDj0u3xhiLh>i%W-EncKzXzs zZEE46z*PL>UC|R+mf!V@wr5HUj)dhP#6S}v=P)JjhO;QefbzTzRM3h6<#`)9#9Q&Z zetEZu00YYN?h*mM&z*O-Oox#u+q~c$$XXgjzLsPJt!v(X6G@FC7g>!W|2tB1zeX`X ziu^T_8b$s#$OEFt{ZDFM0QWi`gGB+hf}=)-yLI*nU>FVJ?iUsAbe)d$TxR0Eavc4r zaCfEk3`V506BW4^TlZm8U^op(0W%zQ#JLhj_fm_`&Z5p6XuW%xWkW&2`N9QrxiI<8 zJSw=tVg&`x9(0R)r8OEwi=8*oJ?Sc zZxW`#d4X2nEX*wD6O0%47GdT(x0AV5nEB2)*0WidMNS=@?cOHLdCoVi^mbvEIGtGL z4q+~I{!K&g6lR69i>~^uFqb$r^u(SxX;0BK7QX?toFQ9~JJL%`%Tk&OYZU`rvV4G%DQPCNB{f z8Wrw7p|2EZRJi-3a0!hHcb}3NaXg=5RJi-JDDk7h-Dkur#TpgvJ}VraR{etma=)l> zcfY7`_YWdjqfz1RcF~EaRg4OEUyvwtKPudPQ6%x~ic#V2OX7GuyJA$h`?7F;RJgle zRJglC^6(^!QQ_{Z!ue6*?rXx~xfY|s-9HKEM}@m@3Wuj$j0$&m3Fk+JyML2-bv*N8 zRJgm_VstQ`c`+*7eaEW6_`owSMuofYN{iNMRJgleRJi-T)zJ&1!rlF%!rc!gZ>vUy zyZc3jyL&9IgwJbKxcjkiJ2WcX{nX-$yHlgW-Oq&Etx@6b=fdsLsBrfS;r41&xcjAW z`!p)t-7B1-QQ_`a;(0$R-2Gaj&=VRJ?tUYZ1sWCZek)wDMup?uprNocWl2=H`)^%4 zEQtztzqd-!_DYQkcYlz)DodimUDIZ{L6$^?yS8vOmPCcS&4e3jNmRJIUsSkTV0VMg z(Ha%*b`Wm7Muoe@_Gsv=wcf zM}<4(d<>Nr?TMpCg}ZOrpJVk>19xQ`xXU+CuHd_EKHrTx?Z-mpJ2qqX5)OYOcKxVu z=j9qOepI-_PsF(IOU)^#7cV3qNEcK((%cWFxm8YAUcvX;A7jC+arlWC_iJf;tuu}a zzLgO1(N2=f>ppu2{5{^;3*Wlm*;~=VdS?c&9Y5Gh(CP-KoYxyO@)&aFI%m_INQ9GP zk@F4vJtxA)Jm)z}(BW>bgorP39?k>fM}<55M2zc4g**I2jO#~*JN!h9>qmt<{6viF zM}<55M2zc4g*(ex#*Yej4&^1uj|z8oVGr)Mh;TkW?@T)hOhT9!9f@yDMmS1#IAiF8 ze6f0`W3btNRJb#r%zjbfP6eZk0YQbM9~JKQh>U|h+L^r~SresKL??>4zfVL*o6L>A zVn@Q+#4%MB84d5`J2Id8M>wAf9KK2I4vcI^PO_bki!>DlgXel$=d7Wi4Qf9n!9UJdQg*zKLiAP4BNwH@) zGN(@3HrL?~Ozv@!AE9lL!`lRRtf*e%#K??`M7rYACsE<<36Tzk7`7S}?w%xZ;C@uN zJ0Ze&20tp?t(PYGQQ_|XQQ=N^KFtQi9F7_l?oNqtCaR;SWjlIWwxb(-N6#;YVbdd= zXbEQknHkcu0;jS!m{}2CA&Z^G9L;kh$74FA90nq~XULN@KPud*`~r2#5|9^VKzJ^B zjS6=!jqu{G23?+Q(B;_%t?~_GXoO_E1;m(OdGAi6TNk~S8I}=2fQQ^*1No7>H zbDG?N^7j>Irlc||+?gdLqr#opQjEbH&U~iECZkx?IrmR6TfX7toX7Rqh%dpxIiC^Y zepI;A$RHD&g6*QtnjC18sBq^hE}9Y*?yMte#ELQcYMo^lLL@$hZoGh(EhEll-qEOV z`4E`1yd231`3{()QQ`6#Fh`@pVi|KKDm>Yn;n%T&==(*Ua*9_9Ty_&W8A};G1L^ z72X^9Etv5k2;chH@4*)&hj&4#IPad4BZeZyi1RJaCf0y{DM*f;L6wXOPmVhWNBylNIbPLpF;1SqZ3beUnO(&3RwORU zi?V25RDzWUqOr+o{OP6zuap~Ef)B446`q`)52p!!U2>)rWmI@_mR7;2@Z@Y>z9lL= zIfv2v1@Q~0a4s(iOXRCl$$1oC5$_A_$@y&XsyIu#-s$!9`_!%y71MZ!d#K3%|cl;?X1$KzVs$)q-ZB8DbQRCsa`8`H5MdF~pvg1_S= z&!;U$+$7z|u8PJVqo)=#1RS2?DR2@?NmO`p2}AEX7AG&@zAs+G-dg^QC}K=@@**Zj z7UCZ=h!@B4UWUObD0KHbWOY-$D~Gu$8%s&QlrA-lUTn-g~!LB z=45tMc=C1zDx&`1UcmarCwFK0WJ^~4_hi+7Z&v;HW!0}y;adOwY-hdJuTkMze|A)O zaw~%)QUAj>0vFYvk7oJvF|B_~4eWkg>)%d#TUPx~X#I>fPHI$moSz;^YE*cfQQ=9A z3Xd}?Joyam@}t6&&oUshVrIvAZBSC9!gbXCmG&g$D;3GN*!KnTJGm5QM};SMF|e~^ zee#`-*gmPB-t+6`jCh~rqFT=fOi#pR+-X#}E~g*SPsKWB_K>bp-)L00`X)OnJozbu zQc>sUSF%oN!kU8?@34FG9G@QzC>Kc!;hr>`S26z8jT818WKUs5@AlyMUIc@T6zH#>xwl-OMFObVjf~{f$VkAxS?f zT%H9ayPLee`%&S^N^=y-bdDx_%124GaBsC-qr#JY%u6UzknAVlDv_x0WPekZD2WPB z4v=1o$^|RAUsQN@@VsB(u@jE4mZCf{qPjf$C$j>NK|-ogvpDBM1?0u znq2TDDm*#L;<^uGcM1?0On9q`CRCw}K^9cA{qQaB) z(ybB|o}8$kjmbiNFj}xtaf^hBI6JXAxEDylJ2>TZ!`yRAB3yVX^$A5;Mo(=2gfkcD9}h zX0pkM+LZG$uIcV{^HDIB&RA>!+%tu#a(+c-k%-}WB8HILXub@wS_f0Xa4(dc(aw~~ zU{;zRBWJv`43pZu+>Dm+Nd%+9-PQ7`nFgmBEm$MWT&E+wu-5Dcu|*EQ+TyMg)k~ZN z6~g1+&{3 zNaj!GzrpNr_{A#sZ{`pT;=N9bX<$A#&j6!Q;qE^3H((5n3U|L3E~-)CZq(w?_oKqy znDqj<0*wlH9pQ>KD%@>p?M7Zoqr%m7a&p9*jfupsE zD^!p=lc^l3n#yIZhzAr{$}EoCAYnZdICh(4A@*CQa;OF>p8}oY{Clqr(%YKl5EAu(lv+}vCED}jlsN*>baSq7N zMYFi~(;IRT_a#50Ks`-0X7^VuBxN{pmK|7xw@xr^ zAysni&{@RC8``@=wL7n6K35Yht|43loQ-ji6 zsoW4;o7YLJZ&ciOyy-*lGD%@Rey$Wr4QB%5_%JQPLr3FU> ze}$Ikb2-3zix`IdX3XbxIgnx;d5hB^!GB*0KT9K3qQc$9b|W%!XXBtz;qDUq7BISJ zTPi=)_iA|kct@q|m6oaaOuA%>`MO3P)eh`G{vsG0h3_bNx?P~~0-QlrA%<&oRLIDEG0 zu9V;J(0WFNyT6VwcwC~w-Af|;H7hSl({rE1Auq~edC>=$Kpdj3YbIi6@(34=1LIlE z^)1ps|CXriM|e@@#|qNl;<9Kyy&dudgHE>`3HBM@CkR$Fzf92)rSk0h`MHn*a{3j1 znCt*y4P$aQghelyi0I)zj6)J4ZjFl14v_g(DD$eyj5jKx4EDp{TXHmvS1BWK(Yt94 z0H4#Rur2R!lo1*n(9rL4%BAmT@@H%!@v4m;&$_DV_ekMiS|Y!H)N(c5CG6YhYPIlB zTKLYz7z%$z2{uuNPqAUsNyaV+M2!0oB^xy_hW2<3_J<4-J~5$n%^bp-4CC$l{UBlc zzeAC>k3}Jb?1u5cky6?c!~?Iyty`pQI8r1uIFh;)WDspkTEc`Qo@mjCV~G}yq@}ft z7LHtU6Xh5!9J!PvqJ>|?NdW)x=^&8No#c1n5Cji1RY9h6zU8l90y3TR57ubJ7zmv6 z9m^=lbk6sr6=XW+2hs{Mo%17U1)0wIiL`=D=OFSNjDk$(n8GN?bdDvAf=uT`gi(;` zoE%{kWI88T7zLTmiJE*$p&-*a&CPqkD9Ch9+@!&C;|v7ONeDAP&OqRtmgWPQ2L~Tsnl||G(n9h_-Q1NzD!eoeLx7iIjKn|SrH^1)Mguo% z&lH!#;dBsUWe6{Q3Z)cYnhJb)X%69*j0TS0A_598Edug&r@LjiGsHcw-7?7f@X}LB zUX1C~yzN4g8Y6r)NsSS{gQUg?i-spl;o~VXjWk?%3(U#=0S7-^_*Ie`F8mhA1Hy&> zH=xtAB52LYIM|bL2#}{2fzFFI;us=NuLE;1l9h19^H4=n#4OYpe|+^0Wg5A@Vet5P6yv{1?d6EE6J6vtQD2#ux*6n!geK7s%68 z5F$^L36ZDCgvirmLgZ;OA@Vet5P6zRh&)XuM4l!SB2SYEk*CRo$kSv(`md0uDOnRDPg7@Yh&-K-`kIiZ zDTyZ`j4=lCG#!s8AwKdnIX}kuXUNmcYY362$u%KQlfzRIA9USltydYwL;`vGZ`fXi$kV5wh7ft0Mjsq`nj4jaBTr9(f)II{ z_J+vQ>!9u6$kW@!^c^%kK%TCT2N%c{*`}}XO=r|6kf(VW4Uwm*KSZ9UPebJC4%jmm z#~EV`N32X5AO&mky=`^(I6?|8=fxCPI zuS9VmPcMTRA@VdonwpRRi~oo`&6-2xX?8(~Jk92Y$kVAdm`wrlG}|5`Pu~b8M4oPe zwuQ*k&q#kT#u&)ck0U2Uo_+y2A@Z~=m=eMUm(b483Pt%TrBTsWa zg~-#K%^~tMnGkuJ`{NLK`d>2i3~v1oK%UO&#-8<&r&%UMo@SYYBTv7EM<*fjG}{&; zPsgAwM4qPV5P3QYCPbb-89%NzAx}TVaT_8}-vzD-d74f7CFJRis5visD~=)ZG-skZ zdRn%lr)4|3!FTlhVt8YEgcB`9o@UEJJ6w8}S#feJvL=BgSZPk(^gL*(fSJS;jW^7J3!+z@$s zEtn8_noLccF~&fi{s}+o9UOT&iqSSc&KP4LPxC5XA7_j)kf+In$kSv(bpm?efMa#?;i7g z_Xvyu@-&Nt$kUAP4UwnUi6edFX^y{QjWKq6ai;mm(`=HDJiP~+eB@~%8Ds4B7gkf-_S zWFL8&>vMoS&E+{np56<_rXZ20hvMubPjk`qk*7%-%_YXzUG@}pGRD}wfS0ZR*T~Z} zKR}))J*co071jjE(=^bg2|3O+sv^7OcTSjiaUWEYYF@-*oHd75;9 zJe`5A0D1Z}qzA~;vq1;Q)0C+PzzR+jkp~})G5%C6W3G=p-H6-(d79T)A91RH?|*4}lDjr&UcYW>L!%xXnQ1 zEVGL^-inwBkFqF!J;2dNp56h{eHgvI8-$py$kWGRW%rS%rKloLYZbRmK^3!kiSv=C z|ABrAkf(V`2#}{K9w1N4iWg&yamxjy*Ti?2RJF+`qzovl#hX%;aKf;_zoBJdPXfs75!0`$kR_!hgh(d z;LVOtY3U`ca~4KWYvF9R{i&8)qh`B{r`L9=@F=x$kRg*2InJBXZiCnt$#}m z+W)xLzn%29toonO`UTPWe~&!<66*)@^y#dh5vVO+(E4kz^tODF%ak8u-14OnkRkH) zHKFWlS+cMDvWziq`Nr9l1@iPKlx2Ku%Qsb4#?&t&PqXh2iadQY^#OVMly-ri-t+6` zn0cS&0_16?9}Ib#ehQGMN&ky&=d7~>dY!4E*5o{qYRJlz>vLLCp^X`NF4_oM^lY3d7*r%4CM)1+%c`q3 zhQ;t?QEbK(cc)0~h2@-!z(fIQ719w1M1K?{(lxnKpz z(;R#O^7Q?rA6o#MCzv^KRVm{ffjqs8G$R{;YV+Zzxs~zZr#Vk8=TzL1b^be2o9{RUlRm%1F2(}%eHzK7GMZz59tHYPDvZWP z_XS->Iv#r!JJ0+S=|pS=%I15d^JAxDCCTqfx*&ED7P|ayqzhwjpA0%px;QrVB+%ug zOJaYh23$GDOe* z8e$=OHpN2pY_1+5dNx}Zpl82S44VV=>~FyY=-KRTABk~kgii~y(X$IX{ZDbyK+mSO z5IuV}N(AWHY+8t(O(sOoewwROfS&ydm=HbtFJMCSY*zn^=-J~-pxj5#=93yDzHu`A zmN6TU5u#@|f(g;H$%N?HSAz-Bvu_6zqGxXd6QXC63DL7DhG(J?)YE9b3MNF)W=@En z{TY}LJv&y)rzt*qb}5(;J)2C3o;?6eh@MT=A$m4LmP7RHIbcHc?B!rW^z56!gy`AK z3DL93Y>C&}H%a(6gt33DL7xfeF#GUk4MSXA@0! zPn>bmK+hfpCPdF>&|iq2y$OsFqGywfhUnQ(f@?z0-U+TCM9(Hy9HM7`4lWgFi@3@Lsi!lQ zBUMv5S&L-G=0z`L7KdGsa03%KT$^N}cMDTFo&uH6f=(Ta{*b_7`HctoE0}3Odt(+? zJ&sw0#};E`dLf1`$mYOp%0|H?5~LtUaZpjWAdv%DZOXnsuR&@D4(FyUXp(?n4p>c< zO9H+kg@hDo4sy*|h=n4EI=&dG9XPNxi`$DLZe4=>+nLX?o|RutA(147nzvJkb3k@q zn#KLAK2#8KU-C;z9{)>{lF@Vs3;rxl+NMzSWE=oLj$;Q-Gp$^VKX~P{D9Jf^P|2ox zg*tfUS5XCLuBvFNr-|CXAPjtyWjMiqxy*l6w*wU&Bp{gGS#+=(vwLnV#ARS{h8cYA;pUlR~5-Y;x3AEVNp?k^nD$O za*+|$5X}QELvnB^F4QoxGS1(`)(L{eIORoOmSYhMae{G4(mJxI#Bt1KrCg&lo4+3v z`I_bhrMYNjW&38X4zjt5Whs{$#L;rtznfs%mY^KhyR32|-82%L%KdC`>@gXoFjyBPi z^_PaFez=s6qg{YiBpTpolL>LO$u!|;?}9dbHxp};LNxa)gVJ0?FYdG zINF^3Xr+&%y&gFMjy8Xr%Zt+V+*fb_xVRjjV#015TGH#S%XnoJNbN&9Tl@i2Ahi!E z5n3R%+mz570;%1mCtcd|Yuu8y=_ll8BnJvPr#m;BCqTgZXd+v{tI17g& zKL6JPe+?)K4@Pr7=15un9>Xct3Hvdtzced$M?cVmdSd~L#zsvAeK_evtixo`HM|ok zh!Nt%8uB8lEjC=PJugFxba0VjQ)Ab6sPRZ9=h++lXl|E7cV8e0p_8e8^BxhZ{{V|W z{s7zu+sl1*meKVg3f&~kP>b}*lyCKaFYC%}*u21ukhqXC}OAJxU#gxrb6++OB?whH4W6q0E z`B9xV4VMM^GuNLGs^1u>FK<6q3UHr-Sa{X9(bqTL=(d)hGcYWA;}+qDg%{2SS0U zFBz`Z+vr#Rnd>j;5m;Z~_vN0(a#7gU@5|kd<$N|^we$OOXJa{k-mu#Hvt~zQ`Mu~7 ztI*GXzES(SgWtE?8nv&Be12O&Q{CS2|>DRxvv0@Y**fVD{r0ms87WbID$gp~e0(=WfGR(G!{UnX*q!}_ z$C{vh&Kji8+Zi@};#R>uR49p-VXp(-{ak8#Kf-CzsrEouzKqpCr^WjbofdGVbo~br zofdGVxaz}*P7An_PvEVOB3u;g)o`V_dXJwUxbowOPLsfupZGjn$*1tvrxBed(Q-bB zw?6aPL^+@1Tc7)^x^fq+%(D~wx$=9z{=k(#MfP(g-a~*hnp~NCfGeBr?@HDnUTcq6 zK|puUrPuBgul;kVdaY|X0C)BZymp`OHMCj=;O~5|q1EEW`+Xj*7B4;EdufaB)dzik zb7T4T8q~Pe_tJ*O@-5^a^7(cCfc(ABuWFP5^QgGVUcIxiViL#GW4;r1HBep~1i z9Y9a|{D#F@Lv-(AU7U<=SFz0Pk$e0G9|aHc&s^WDH?9E{>5RiAae1~6!q#8n7v>>MGLYOEoxG96)l<)**;j?|3qlf zXaNk?v=$eBxYgJHZ_gCq%UZVbVU;X${{k^{WUi+)>m94%{px)^I8kf&} zoz91;sS87oOu`OQ7r}g)&fJ5^n%7!pC--3Au&IXxlXU%;ZNyhLS8_H&mYl!aT)5eYVN-Gb+SYY_tKW!k?50Lg|3BnaILriX z#6Nvy7~0$wGxA2#|;*w^T9AjJxM_1?yEaqC8($E8g6%A4d(B6~G1 zWwKY^5Clai>&cQ zp0Rs~$Y`V2r~zur`M$`5zQ~KdNUhQ9RbOPOFY*^(#^^O}plS(3e)L7&5)p2i zjoxqjGE00lZ1MYk{+7iU0#U{j;iGJF);15_-C#^Wnj?t z6aB8o=33^^B>Qzp$mUuG^JIT0U}(r_p5hM$3=PJqSX1qZhw5d&oOpQFG@r-Nkj?vP z!eet@UZ0Qb1{*^X9ThDnGM+WvXA|WF$FpYmY(e=LO3n1y;);tWgPrXUo2`p=-#*7~ zi3VYwRXjfx=Xv&fqPjL~_9y&-!;!VzA7>bK*v$tV{MYRTx~bUyqQ`-5T0SUnQ}F?A z>SUjWc_eOauw@21rLZu0hbQ`i07ls>>s6~145 zH}&+rrf$-^rM->1A)%X&+Rse^M>pL>FMnV?RH1I_1LyP4T>rvhftx;8AI&!#Hcuw7qUyp+hL<%h}OzwvntHkbL|TESrZhS~e9 z_aM&qz+h}!+MM=B&Wc7YotDzL1T}JlSYdJd$$Ot36K5G#cZ*Srau~T&Lx22JnnjRLnM)vA8jTN$)?rCu|jYYPxVn8uW>}B!cti5_0Hv4!C)7zqb_Uh*w zrI()plV@-9d%1!Luhu||zje#~z;Flrr51x{`Rt;{yxE4e%CB%;V~=U`Va^pkzaAA# zJKeB;V;zazGjE!Dom8sMSp*CD*QN%SHmI27cjzkIvGR^~vhS#Mi;Z69p<3oaDS?*{ zS!N0vI^D91)r^Xh==)iLcTwmgzYu~Eae_+k>XydbHfHVQ(X^K8#P5-3WaqyAjv0?+4v&3(YCA?&_@iPxSSh>&FZZ zhC-33;_%m9ouv=MM(b=S_Q!jz&W29@Y^c$lNN3+F41e9>`8;-vat$ewY0Zs7x1%|a z6V{lYS+Xm%B(c9GNx$%DUA$U`mdM)GYJW@e53nTQ14|BRvZUiwSn{L!Xeaf_+R!Jp zL*Ns+&->8~o>j*Au<=Ke>nJaM@_Y{+%)z*Nybh6OzS;hsF)Eg@&E0e8{cR>Uv=0<& zZO!zA$@s^xwryc;hiC!6HtmpY60^mvNsmc}^`vlIGQ^apOf^Lwraf(PzTs9x=FbPv zk7vF9{L%5jG#MWShRyoBFJy&F#lrG-YT8Om9b&Fue}vxREcNvsqt03Cn_E*}(@9G6 z39;CRrQ-rq?_BG9%$tfQtrv=Fx@zh?%%=4%heUeLTuiC61S1aC zR4l_z(^}|TGt20+aw=;%rnyxcg?_=7g zDPp}o6`LD)z3L1~T)PwUJ$&Uyt6fmO63Y9_c)K=G&d+38*HN5}9Z6V=n$*DG@+muTcRwug*V2{%rlfsXQ-3~jFt61I4h@E};CQ~$@nK6Mob;LndfQow zaPmy>5WPUl7C!Nd2q!kSA%GBxo)4cztY+PDtLT3X(H@5!YgRQ9N=_G{Y{V0`-@2cf zhhEG~{TQN6+FFS=M9M~!G7!ih%R@#xYP$Z#Xk4L?OgqqK#+A#GtQO_5X+gH}>o8Ljv=$bA26 zkWA0_zXmDv?a+#^L6XL2mZ8+{3exI|R>nrs6Luf)t@(Ax*so|#YknOvwn9ka!NgVy zNj#W%JF&461C@(!<5UXT*g6V~^GSW%X#j&p_ zlg}j}6{});Yqq-5u&=`@!C5sV$9JG z-2#W^J`GvG!gjht@GZOw8wx0KT@C33oTsGK%WmeXy^f63>NMWmgyeWzMzLC?vhT+z&u+_iwmZk)7n?%wCxkh1_+s~EL3s=bL#oOfc z(sOcp`2#tdq^FxTt*kBA97p=%;Rw=F^LLqhQbobEp3e@^?Z^`2Uqv=G+<}aHn0W_{ER)LW-^Ge!@~dnw zgvB&x(ra^(^Eae^66BQJ(^PQk@6j&vM`Y!p6>6r7TRLCw^hTIzc0g7t%zluiQzK`< zrUm9q!*~?DS%d81p@>wVBIld}%S2={vQH01L`&Jf=0VHSmaJqMvMvp>J8}s&%4QEl z_Jw!ABj!eA-5F$;P@&;XVd+(G!}CwkhNqEDY!h`%SMJFUx%%s~4C58%?h11ZJB{`h z9&+@*kc4x8vfYlE3GpL8fIHa!5~ks|Zj6gIpXOrGOFxe8wA&)9c>`3M1xKy{UCvM6 z6b##r3amdvK|w7oIrKJ?nU(PT00^Co|4I1o_($X!hmJt;f>B4DshMXZV{w>Saq5`4 z(wOe2p1MF=g<88Hzxl6F0JS!EezDdx{8W%x2s^i+z-##5NyUH7sPO7~!osSFavHElLr$Il;=`ijX3k$37l2gq(ISqM4@{V{;PD6R^Ei62e z*WJRxVY5V}cA=b(UM8o^rE)qZUrr-B%4uX*IgRQgr@DvabnJ~hb?DRnD4zP(4&$lc zB%G|RrD)@xH`&IbNxTIsESk&>X<^aSGbvUy?P58dwn0t}56Efyi*lOrj+|!xQ%*;R5n<5)S(TPUabSIOzD2jsNi&vIJyxtz|nk7l{X7IM0vlbkN(=Bcn~`Di)) zYLc8*oGqt|ub0!Mx5?@9$8hRBssSC^yxpc27=g8<HI4TK(~6%q|ujgY8Di zKJ=0tZ~@z=7cSGk09kSYYWEP9z0O?>9DdkN4eAH%2m(I{ z`OALbBsZF$hgL#E93AXy;0>GaNg;n(18;kc=6T}De!Oq0zWJ zc+3ci(!;NXjFTumV)PumgA~dS6}2jVBx&5B*FmE6s25az#HZ*tj$qY4l4ID{KZ-Qu zKZ8W+=^E|Ea#SynYk4_{M4MfSDKuy^25f1mJqF71q9@`g2A&S0Bs?JJ zY$QaPaCr5c5!7tm3ni;4#seg-!l`EP9T*O!V=bO2z2vAXkztO^N`rX=EjOQCr!qcltWMtwGcOs;2bZlv*~`` zzm!nB9E|E`vDGMDXz@;%_cXq0gGL-@)Bn81DPhfWFsf^CO)6b0&+K^HBL$=`qk12% zw51xTu#s7EV5>AA2v10jL~_2vGGLRgE;|Dyt`TLtYdWyR(3{Uh{y>|zQnCd-uw_Ty zMd!}4c$1|KI_i7&@-uP=KJ+`%&zZdGDq#;BLmFuPS#R)r5YKX>Grm~_Eq1aET`7XNRCU)`l zQkF}%O3_J1^-t4~HO}Vf=5n63J`ZWeAvBi*oGZLmIHU)A?|CM2y+6>(A<#NjFLsPafq>4F>T;$H(Kc}#)Om3vhy2*`nS%uvDmi3Z*?Xun+Mn_DX zbf!^yq_ppMEX^@!6qfb99!z0bKe_cTtCCyqvi@@GT{b{&y~_s4J#g9Ka_e1IBe&jV zN64*r*-*LlE;~|gy~~Elt#?_i+;f*@9V7SLWh3OCyKJP~bC->ld+xG2 z-gDz;4mivk-iBRLhvTqiNQioM_DnFf)2A5TvGRNzqWnD~)%9GY=Q0!DI>GVi0;EQ5 zZ>9C;4tQ?0)5bpkVyjaxv{OKP!8`_9L3_PRt!GOh9)APw^e(e_QqW%Sa$yv-*So@E z1q#~hU1^Pjg5o#_h_^DV0Hv%;m!%s-&ndrl0gG&9|q_q=dbW+oX0_Xm-zF*EBZxm|SD znwjC)_IWQ@AECZu%uGJ*d{HDvn;C-kdM}CN$HQulzL$kN+00B}8(xtb>dnl(l-wbC z4Q7U)w)I{WZkCyOmfUN?%{4Ow?e+d7+954|Q7gkY zM!c^r?h6uDW-oj58<8xqG9&4;Z-pziGTT}1U$R=HtPDRS?EPEU4l8pa4D`OYHlgj6 zR%SA7`9boktjrl~yD4k=AS;t(+il@$tjq+C!Dhk@wKAR9V~#}f)S?_~FR(X5=V&YQ zHOET_;l^8;V&)ag&y4j}=1?r9UMJzESeaV(W@q6VtV~-@wNl|`K?{2R8mW&&6ReEm03W)^bqbsD|0t%?+e^4htjzap zQE%Z^S(&%!vp&MDu`*rgm%hTSvogKuiGDW6*#;}KlUk~T+iYbHM+q<}=;9Czk4qJf+tnkL#R}=+T znQ?a3Rc4&6R~dr#dMDWT9?GlCOSI)g`(@OipuOJ7Vzh$xdK1Kdh4G8(AU4V7J%obx zdef!vQgJbOj{OD{C}^)YSL{{LUT?l!Bo(yRJ5S0iF|1S2i>dT=uoIv2!Ex9GB#ibh zvU_z5Oka_0`U>B4!l-(`ws{#<&|dFi(XXJr-X-Ew1?}}Nv-ftUwFK?;F1K^Lpvw3c z?6Os&O+kCT)%HJNx`Ot4Yh=@0YglK%#i{bcVGTY*gX6HdNZ9MWW&czh7`Q9jz+Jw9 zS3=C&ZI4AqD`>Cxj$I8#L3_P-#Q_C^m*l-COmV!B!|#2mSwVZf52OnewAcGknya9_ z-d?*|SN<-%l!NqZ-GIc$QNg$N0<2pK+UxDJ-!0?s!h1QkzO(xr#@~er+6zP#er-rW zdp$EU5IG9k>qR1*9E$|B*UO1`uv$TTz1&D|Fbdl1HH&a_x zBOE0P+Uw3DrfjcAWI6_jZpV5> zvL;Heh)xt4ZG9p-+6da~^%Xl5wAZVWXNCE3nNR&AoKFhc>kW)>HY;eacbG5=+UwOs zZh@GB_IiUO)8YQAxWV-<8?@IuD#CjY1?}~YmNE+3>t&>jg7$hNq>1(Mji}8V85x3s ztf0MKowQ9sd%fc#XQ3Vi?e)frY6b1}#zoFW&Wg-(I_!kV!tNNhcIHspb5i6Ij$1pk ziP!lFk)`1B?F=7?d-c+!0z30h&c}&jMKM;S2COWp?(^YQyrPWbu+xxGbJ^24ShYqNK0q%VfL8gzNKL6>J6w8}SVdJbw@9pS2~puOG|5k8ev z&|dG#$e;VdAh{1%BSRt;f0xs9ZKT)X?CT3HFxNy52cw|9-nGIgXs>sDbpm?efMa# z?;i7gN6=pHaVespz23G+Jx5Y}3&-pek(uI1g7$h(%J?fbtm)WAqlD$xqnVV9iU-lvINDre+DrTieuZDMnbW)O@BYXm9G=J@A%*_NLC``mCV6 zsq?u!<2l(B>TY}rj7>pBQMat6HTq@f^&=f6CiUH7tj`eod9wjNd@gqUBJth zg7&5^q1gNd%Km8R?yyVrKAQah@q?Z@P-Dj|$>xxBI z3f$`z38TQhUPp;yQ{Y~&lRQ@>a4$_3;NJ8iHpnSRpBJBF7L(-+=xCW7Ix2Na0g^m2aSUg15{7cp4@eA6;D z6FLZGYSJ_g1Of0(uiUQMF8vfv9!qU}4LW_GQ$4OxJ`>HD*6 zcp%G$2Ynmz=!dOUs26^Cq#SquebRw%AI=0 z#|v0&`YE+x6GnXcX|;hc0O@CZ8@i*`^s|r9hD3V%`qK?#Ds5;>Juj#YH5|?_a#_QV zDAz+G{Zb8NAph!!>4x!}Q2w}u}FW5<3Ry_({D2!;0l+hGD$P zdZjI2YP~Xk_Hz6v&~N%Htyktn7SM0{>#Tae(R!utzSVk(FOdF+U+K%Jz`yB2Q*P9-9P+9(=w9eZcQD_e&Q!YCOwTb4-Ug{noqV0V1xR-m zM!|p6T})mw5^=UOU1G9`g8!yd=2|etsDKOo2r?zScPQcRr-XvR>V7`xK^1eLz%x^z zM;4^h<|+7*aTgfD*iCChwO)(T*#N-l?k4vN31d(_3ZyH|+o&L#?q!~W1uUmBP|#aj z05K$|`a}DDth}9AmC*9warLC5TIq3n?dr`RnrU!~AQO|G`NDnfTCvSVsYtF+3s;=D}2pw%cPMQ#w>EWh>kzDsMFa5`uyl~YGoCJD=$%{`NkuB3BOl=#}ZwCKu7V798JoCKsE!4>@m-Gbdtv*7ckLdW^}5TlYK4j5Rr#>i)&D z$D5o2qlp`n9&d6XtUHP8*9j&Uxw;bE7Nk#-fmz4LP3e=(=UJA3!08EQA$(p(K;ZPL z=5?eA2%N4r+0Avc*e?@J_E?=A2R+GTx72;WdMBIoura6^XU-IJ1DHWaGzWc}`4>2A z80nqq26IAB^uvp%B4dV0FDf8#dZx+yR0RZ1&k`vG1WwNuMgf7-r<=S#S3uzO9CPcT z_(49-S5?w;O>V>$5I8+ghWw8B-hRkA)8s~-fWS1Qb>|q?o-?^sY7NRLmyMQy>3Y={ z!nk3d=HaUAwU--40n_z5$g>oTm?ZA~iiA-xU9Y1&@BqLopT`%=vjzpz^*ZT;2LaRd zI!7kp)y^t5rw^v<&AOMKCV;M2 zYF71v&7>1vW27F8z_EEt3T~eCT^&s#<3bS=5;k+Ivv1%5bpVq1zkOdwZQK( zJq3%S=li&MvW-EnK*H;8Qsb~|;gXcs+ceNj0o3*S$m<-2HoUJe3aIP#GuNUg6;Ri! zGB<%yKwYoD`CBjwsOt?dS-k@4dIP1uN{pi~b1(=@uiAXBFKYT2>!Vj=@+pb}>UtUT zU1TVrt~biu2Sx#Py)nWlpssg<*$O?cfV$pfgrU&iFJnL8O*aRCQ9xbqOkosI*IOiF z3aINfn!_QcfV$p=lB0mS-b!;CauiV4yWCt3Mgeub)#eRg6j0Y&Ba8y-dTY(!Ax8mq zy>+5m0d>7=&DW8mfV$pw=EqUy`ElffvUu6MtADHsLR^`0>Q1V#aMz2{A%KSLF{2zhUqM}Sd4UGHskAs7YJ z^*%8l2cv+x-q+?AVD@ENW1;iDGg}To|CpJ#CxZJ)xTu-gh=tF~vw9*gVGid~>BX#C za0O;&DDxcQip@+0dpWPAH34}kGxIXm39q$vCb&v7a~^AGYpnoRWoAB-5pO*TuExxq zk6G<`)?46e%}mRd;3}-|z>PLDE3iCyJ*;+!U>%R&|Jb&H*0JE~&CEsQj*-GTV%PCf#mwVu`9uxAS9N8l{a7(yg$@Lbgdzi{WtEpV&N_arlkIdo_ z4iege9>HPUBnz>wOy#HzRMvn#Y#dbZSC|s{DJCz<8H~^XW^q;I@RhIMnQhNSPF7L2Nm5MByudPqU_t~-y?MdM|M*dG`-1G4slJDJ57Aq83~7x=6Khf z4AzViZp%<82dN`C#+wRh$dI33!h8<in#$TrxK-49e-Zc9O{e6J zUy_uJrbAdz>Io`CVc2~o6>*+vl@fw=@G9S;Bq!lPC7Ws!>flx8qVxz(T~*OkPZPC& zK^S;6%W#JOa+&|C?(?aKi^G9>nrf8BlSQ@9KvISiC)|NWc=rXFZ>W;Xh)yUzMbX~n zMG*M~$Qr?gMay%NA+-t#hw-NkE-R9Q#E}%`%A%tFn427ka+ML)5IviT6u2i87wSQ> zGP>`?-UEVPV|eC8H-gEFUdBS4R$P;`j_ir>6tk(5iD{0-SFNmU-%Jy! zqMXZEmU5{gSRcN2^%4$5)C%PJ?*O(U_XTvJ#1y)-`1z(w*v4YW?D&wtQxO`w5` z=YblU%4H9Yw}K*EVYP_AI<-K8ID$VSHRY6$MSIW29u6XFMATi29T&bbm*R1blsQ^l zHki`e>0@TM!p2CSi0V#2#0}U>3U~J zI0Y0-*E=WjEyN0p5tNn-SP65b+h7It!6G?wBb)mj$YJkbV;=REM>=8CDper`qCI9Ql(w!xeDoh|-MnQxOW5xVui55X3r zglk0Wr%3js16vb6x3Zs*#Lul9NYa`<4R2gDXCti#a5vQ>PO>#ob$iB7p}>1*;l+o1 z)@Pl(5Ha+*d;{0!i)_`YGw@KX&}2wF-(l0J!RqldkosqfsYW$Yc|eo;KyP&e^S-ed zd&>9RG^CVvs8sdvvyl%79+c$^a3u_}^s^pWgskr*$b~P(X^f`muKsv7vJR6hz9=UJ zd}Dq)4_QMci!aY<7Q;Ko7}eWY*=)(<8+H5Toj^l(iXnW>PHi~qRHp8d)aoZ@f$k-@ z(qB=6^F}X_WYJ)8(VeG3DkV~dH4tFS4-~CF5-#pjB2TY-QiumAcm-al{)o-469p4O z1&q*w9ZmfJQv=|kp`PzP1tNp~MF#c~JxsalpS+C;>Y>c?sBMv>zChE0wy5vl5Sv~IHG z^Nl-oT4Ad|fZgit2YUj{DpuS$wB4JL?l>m5aOi zo})}6b*J>|kfWJDk-hj1v!y%f7Guaa92+w@mibC#lR3taX${D^fH{0iGCRj&L&s4G zU!2U=HhB(m9%h^QE@f7k>Sq@q=LiW~IXx`YYR*u_KVrHK;@=vCy9x zbl8v=**}jnnJ<4fRfH+cW#w#>ao|UVS)|Y|)?7W2wKSTHg66BDT2=&x{EAs`QtBe+ zkjToeE~e@`%{QUzmiu^&NF86)Z7-LcgCH z=1ad<$C&ky$*}sx`(^nZ`z3v}%^Zx2=M4wR$KE54!;5cc=0*A9?eTIPCn?9vF=AS? z;YpisVqyan=W&f3`R-&S(d;VN9!cbUau##=zTo(0aOiX-Olx1|9ED^10k0ti2rP*$ zl#L{oVwyMqJJyu?zP)Vgh#)w@DoBLLgj>d*Tu0?OO#onQwk))4}wVwuhH0k4FGhlPyV@Z#RorCe)cMR#Vu@y`|iS+TYO;~CAo=kdN z>}~2fmGt;n8|s-z`h?gt%1_Vo`B7Jh~dg_@?dV(?J0eH9XIW(GY zCQ9$h_0%|FJezs`Y^3Hz`3~dI)6tIDx7KpX@qqMg7FM43nEo7>IKHl^S=9^9MB+bX zHt_95KXp0W8`BtEzRakpL#~Alu@|Y}oiMSwD_Rx%KkR)8U{%L;_IvlD%LuXRNh~(l zV)p`)5EyR=Ft%|(ki{z!Bv^>2#TEif?+I{QJF&n?|MqW?oTh2xB4Ime7KH8AUBVM2 zZqwF~v}raAoF+{hOD1XBGzh0@o4@|Qb7tl)(p&D+VkGorbKhNN=FXitbM|xQ`@G62 z(or?Ajo$S=ZZ`Kl>h2;sLuV+0a@{>tZ58_;Q%I!5nAEg-R|)mt0(GB4B_->?;=;NU zKr1;l;r%7 zpMTSSPG{);dg^`*^P2OrK?s%NG*z=V(ntM($AZE(<=u^fhWJgsd)JLvNjVcu3geX1 zx8bW>apwqqIR$m9o9o_-n{9NHLOh-B2kzQPJ^wRfm)-nb^J!KV1S$Gceg^?bU>&kx z1#*_~TPY&cc_d+n#wt+$et=s}JAM6zvKpLrQe6re<@pG#>!jyT@;IEQyVN83Rr$ZQ z2yvRrseHazjMG9oHSWgxzGVr~5#y`qz^%nun`z8Cabv?m%*ie7)C7f(O7`hzXf?3m zFY8eBX5yzPqEtTlZ+`Msda{bnDacff&SGll(^R$2zB%ayEQ4ZW^J(14`6Xt@*zzf~ zr*^n_A1N7x+~MNh@2@6o!aH0%K#Mv@;hEcGIc!3^KxWJ~`)ifLe`eI#+vW)KCcX zqMXtxxL-@>*X5kDa9&5}%W}S$kMl?9yf`qOe(pzA7W<4q#VzQSv4`HCZGP(8OYnA0Z68MaR5FB;&+C#X^rsj(;~UR#q1qnR9Idt2bRoR+ zOJNlH=3j83m()AAFTgS>nv6ELn;7xW;4HY~6~NJNPlpE#{tkTb%hiFv@8Rm5ciwq& z`?XlPKf}e65B;nbBG(KITku0Wf#sTTdRJ)sA7O<56sPOAKMvUWXPiB`qY(FIV^BZ* z;U_-yrALqq0_X2Mx#PcZo3@UpxA5PSJ8M91D(R{Gd-CDmLmA_rg0reHeE9p}ME*fK zG|CH9Np@d6rHMv@Z0&d={64G<<45ZHb5DdnzZ=(Y1_=Zy(`7hPfa{*%JNTglli}Owx;IGL z4oa6v(1MO%NY%SA7g0z2ltPXT!#PP zQC$CAUH|fla31E#xTvmQdm{Y%7%D?>Fa{-uuGzF(4pB^wP~hxVgc?>NA?JUwHxeb} z4AedumW93v$e_RQZ#AHmDF*2sC}D26hTc+O5VV@D$DatlNZ0e#^{1W)|5+oh7pUuJ zpQ!m$)!@I$_5zjosH&<6hC0`v6-P;1YjvSERfxtq#0bf3ec=fx{3q4OZXLj|&|mmS z1zxjAz2>DS_&XLG8-4e?t}zR3R7VYJ+rcn7k*$&jWmon~k@5^gs7x zm{B`3N3`=A_wm!7QT%-`Qo z!1B2#E6Eea_y={ZN9dbD8X@#lg6FqX*LE;;E<`7?&47l^-)=z3?*y;IUCJNGLqU5&>bTi)NUlQpVRVl_Y+JyjK0myB4|?&L zV98E7RdW=W2A_T81Nb7I=g+8leorN3Pefs|6VVs(ehu=HzKC$rXBj8a!1GoIe-tDN z1`r86{3y|+PX{Nc7Zut=_b2v?KCJ<27j4z>=^)v%kbjukeO9$w8+iB?ny+VquT!85 z{+xz>WjFMUr=e%u4Sm9Cs04J{cq*8$8hX|4(Kdi{CHMa+wE(tpkDhWj)S??=n5sn; zU_8%Q(>)&RH35^FeB^F=VPo*<4%u^OV}cl-?!s3#sy4UD(Q8s~f0$qKHGV~b=M{91 zUxDmw)X2j>Y{V;e2WP8SJZ*o|jrZy4+^q+vl5@K5W(dSEhp&DBb;Ci5$)O2kR8y_s ztv2xJ84yKd9s4$Xvs`|`JvOxbh28u*8(KJt>pIo^db!TmDT)SYK@!*XYOOph-+hOA zcP(Mj4NRes#Fan(HME~?{!k|*O4puS*z)t)dg_59#- zAd|pqRlAVc!&s`GzF*?$8&$(EX*{kMbM2jKK-Y6;K_z%~m#EdfEf9G0nQF9~%cK9V z56N%)KdNx>*|+g+yO10?SC5%oxVdU7cm;(NY&{&<^9*rR=f4WP@c09=smJVzJPIIa zKvU-*LhDBBmc5Ju&XegFOMWkBx_yJVFB*Y;fZ6X669RbI$9Zo5ky^!i-^XcgzyHVF z$c~D8iERCVu7Hpfd&cv60)wvN+1me=rb`U-(z@3%B7jka_m2 zEG?k+W3TK-?ISyi6OaYfTe;2>xHaX_AnWcgy$<6t7foMB-H@YqJ&zuGe?wt#-5fci z^o*u?{p?R};NIStWlxGR8xj?UlLiS*)8%q!bm_8?E;of%&7liKn#(pRxoifE#uXTO zLC6av1VT2DEKdS@*h)d88HT4EprTv|pKvL3!(axq#uaG!!B7r(c|k8Os5pen)Fj4D z&_KnqBo(tH6`Lrjn9x)#TN1!YL{f5WlEM^ClQ4zWr#L8@u>4g0IuJ5V(Gc4#DcTH8 z(KG?GDO$++CP~rqh@xeP84XcZhv z7Zztku8jJbWbctwKaUJmW6eA%eq6SMDc;GP>eT~Hb6rAyp6;rP%NbskQm!hjR7xSY z;UUj?28EC|Jj~RL9<~+GYNpv*%```=nXXb=C0osOb$>O}Tv9V#IaZ8*iXWFzBeCS3 z94(CuJY^J#q){3DRxzLOl+ht(tQ9U$Sq2-I3-!dYr@EdN5lYqy>I@)d04W1V84r(< z@bGZK6=5V73QEiyW$;l3AGzR$y*wNRQ3i}MCi+2JH8Nln2xQzAhw8TILQWZMl)*+L zjg1^zNph``*3M=8hoE}AWxV+hB^T#28pVv=HDh%DurI=%~^{>!QA0=d_&cuviQ8N5xLJ!$7I<=|HCUKe2 zL$a4=T+$M`r1e8oHry1tLsrS!>Y{`rAjK1s<1jLWmdl{BNs15SAl@A1fn&SmOjbAG z4(dLVYRwH zP!)BvH*Lc$c(02fX5e`oz_Sg&1EHgzLw^PwGT`8%c7ydAil;>yKG4d@Y2!>zbE^S{ z4~#Mi(5{dKXfA}6)RRc_P#F-)5IGmjc*K1IAlI`DsANFJ1rF)(Lyi~k5@a2m;tb-1lot-=IJ+SIswTE<;> zTszTeP#J8Rqzw3Ezz3+Mze>U3>pA`(WMAQ+G}wFxv~-?+N^7h$7+W%falb76w)+QX zAi*AmT23VbqI2~tdNSGZEt#TyOQveyl4;tvWV(`dQAzr*=xqJ?AbA7+CU}f4QuC}N28Y>RbJQHFsaNV?vA9eGZAqUU9yV4H%V9)5=*zmbLGpo1 zGE%d=BQ@J$sIcFGGvL_mz_}n=$eDulkj{0$b)J?6;tsQh7#g`u-sEu?_vD~4=F~O# z5Sx|CTI?XROv3hY95LsE&FZ&P#0rJCKtu>{W!%gu%wc@&aN|&{b-X!^<74B}OsOqz zw2zHqv-b89($ye&{YrH-HMdtN<#zE-Cxc;Q2HAa*d~b zxxCtRh9-x_>c>@ia8`ynK|xF&U!l?!R52BZwHKCX3I4ho9LMQNe0C=>5FZW!?- z`lo)b!Q}hk!Zpblq_{LJgL=j@>gf+T4kk*&6r2H}3<$BRC=Neu8xb;lpJW7lzXEi0C?n()%|QnOQ?-ZM zH0_}_U3;i$hcr}D4rz|HSKi3vVIOK9_Wqg~tpzT0fGd%s;|eE8#FLDoB+mT=KH3@# zGHz$IFfwjutJodn3MG2(9BTRQ9Bh|=iJXal>wEE1n(j~zOYSPn8|Q2lXlA9gHgWKK>}8PE zxJ6c8rH&V#91lIt$C5PZSO$c~GYHvA=L|12eg*UdnjafBe54i2fKLW|TYET)A z3nQ3dVdQ&v2e=|X-bPAs_nb&#xr?)Pf=fV5xaY)lIExq_CMz+-5DzvQH|j_Y2$@90 z<0%m_i5hN%=~@RFP|4`cT;Px_FeNUiILI-x@yEE2-5-Ra%%5+j17%}Ini*EUMI-OH zOVGot41ut-u3GDyCu^Pa6h}`bHOo`&FJooFG^M{*>g<90iMb{GT(7b_q`OXgi-AV1 zf!ZUVwi_GQ8X+~{(2tQ~(AgCw%Mpv!e!Y5E6jfBqLh7>6W{y$QlbfYTIU1>gyqB;B zG30Ym(uV7OY8RFgt(jb@lDqV8c$K@de5LBD-gJ0iqpRJH+ex+@_R2c9Vw7Cy<~9dP zY0z%B`{CM3M)hQk*UPFjUQzYEYiH4JJUL_yAUSlMyQt^u*3S#B2+^HwIpr|o+>sSn z!IQUP9!}JKB;UBxRScJRIqhxYQtM}{KHlv-@w{iFs{!uPJ+2qv#qV*F?(}PMliea_ zpde=z>!&xXF$Ek10%Z-ge)|^^PKkh_BGU`tJ<}mYGey6po&~} zzVnRJvM8wJ*DYjxY^Q%&4gRkj4GJ z(H$SROf{#l{c_bDccjpHGg2i?2(Hb^K`}il#n%DB&&5}*p!Vf(moSk*w}4L^q$43- zEx}a|h|IEC) zy#Ph8*cG19v<_0!>V+HPFI=)>ymW&a7JXa8H3vv2D6{1#P$5$j&2bPZQ6sMC2+@Uk z8bebWF0lNQ4 zYbegqP(0cBdrST1^0c zu0e7SiCfVt$yXxqlW;nT)t6pS%(&VP3kNt@I^bak2&U55EP)+uX;n*^I4;@9K#p4z zH5z4y!9$LRuEl{HTk8=QGTexyAL^Y7)axQ?616Zx5eNuL*|Ifdo8(0&nv^NZrm>5n zY?*BKw8R&>HG-szBr1UslA1_X#?i%+!9#|NqWHuq4jS>e?`I$<whzmUbCRCT3@ZsVi5^PAyE? zg0@P+fKXMh?k}zwH5uqKqh{EumU&>CFEno zUS~IANNv-?GF#a@(6?{7QX*q2NH46Fdg@Y}dex=Z4N=<;wMjQ?Mi72Nj^hqo4O!Da z@TE5?RkdoC{0mtLK@GsaFoC0y_7xiFb91X#6Hcy%F4gz#Ue)(N??P#haCD){h67ij zI8PGj$TbKPzcM!US?UWgCos~`DjnA@zBUvNgtq>=&ICmh0E!Fmx?yIB#uRq%BXy@z z=CJ^2qXd3BRavSq!SvLPgQ1w7?y8H+8D1TS_6k>e)sWjqF;BINX8;`Sqv$f6N;{W! z*2Jr4YpO6uTg9%@w%x1MT16*iwYg->7|CyZR z433=I6j^sPkWAG;GR=l(CUL|y*d)&38@y2Nj$a^1l8DWomw}{7Tb&hYnoXV7thly0 zt7mL;ma-F(PWafw4FV=D##}Ffn&+LkYcwUj))^vdvB2#sHD6{)U*Hr;6Tu9078tFT zl?)|vJA*nCPB7sKHt`^a6rm;>#)zd;JSMdV?>&dz>L+WgprRW1v0Jb%*sqw!i?81^ z?HsN!O+Uo5+HSMI|KH7cwTp#wv3{O!zEz`05A&8_VYqRZZx)S+8vD@%0@>2`2$Q4Z z{?XsysF9W=}LJ9g!1&r)O-puRaw8kd`uA6N>% zK1N#-HA!46_oubR1HC^jUPiot%ZZgj5a!|w?V_|ht%4USdW{}MQp@291%wqiAS&NB zpHS@r;vpBh*YYBpsbGf09r%Ejm)Pi?pX$&DVpq^71V7lk4KAZqud? zgg^sFYWl#??cHnj1|c-NiYCSWe?02}T?zFx#~ z(OOzq$5zrjJ+vAvEM5(Qa3a*uqbF3s)m7dJC9YX9{)E%#4y>nUgcuJOAY9`v*bkPj z)dZu!0jhpQ=DT5df!)?XKv?Jnghi?sYH2NY0I%N*mJEEN24JcCZ60vFF7A`zBnhL> z3&o9O9fH89jbO_q6Cz3uk!f})8mUb+atF@SWI^HFtKIZTZ(5E?gFvRy?|{w9-NaGH z#1UKr!M_Wel@DBVS|n50)T0XXz>OBkR6(smRhN*(^iuTH@@m8src`xY9Fqs%kwCE0 zjqz96;vZCKxf40Y6oGV(CT+D|i#qsrpxgouNq5kBnOZEGOG3Xqoxjap$9^+)FD^oM zV`1O?)970)Hd)~HF^)5?da>}J1q+8fcz~cCC^(T}K$pX@FX#YR+#3b9UqYwWR2w&b7^m;9$F0I5AaN2!ii-JU?j-0k)o7FU z#--w604mY4x7OXDtu=GzPNKF}sZnHx@Ft!oo{`mPkEc>wrTK#TE&F$ifuFHpF?o;r0<=nk9D3{)A~(AhBZj`rRT!6B zw5YgMhN-_-E9~T2eV=9_AU!xe zKq*cFfrmDzlWnBP3k1sTPi8;}1S-6=Vf(5;!_<31ln!NwI-L>-d{CW2OY>wl3?NrmH=5cBq5wTWNin^OH7LRDA z+{0o&>U6H3Bv`M@_q)Hxu7OeVwAJ7xJA2jlp&0dYpZWrI`Iys;Y(x>3#{NvcBrC5O zNbnly2|^4F{twL7LHyyP=(@15sJ?Dj(VbfYMbV~4KCh{&Dmrk>>Yb}sEw8U@h#pv8 z(-1AXxu~w8sy@0qEX%2jf#r79MVdTC0(gTit>2=r2fU@}U=x*>Ju2EAZmz2eHxohX(c_2_#e`jO3G7`RXZ$l(}AhNM>@1FY)?h6-I(I+-VcJB^X7uI3~0ukJ8!l@Yu z;2m{)!x$*t9d;*jQiJ6p_y&b$h}%qem#!5Lu3Y4Nf)Oi1xoDi&xd}+*e;2 zsovYT2R8uJ`rQEas(N{A-(HmB3qU$w=t78=l@H|?p}4x^obB8l-W9FEHR`I7!bLXb zHIQxm!R_=5&za>xalH3q0b@eYI*y}32UBduG0RwyiyzBaOh3X{NI%&|QLfc>7H6tF z7o8xBX33%xWl>=)&$V6@Ma|LeCq&K5y&cWZaW$@C$Qq`sktM1vV_E()?V|l`)V!uZ zbeg-xMbXwKT2RF}NC)%4Nv^)xdVb02X!{|t)!bTb-dZ3^o1dWv<<^_VL_qG38J7R& z3Wnu-!}a0HCJoHv6o{h@Sc1C&&{%mj;mF>7k)2h08)+$G?Gl8C*3&N_d||MwOO!s( zz#|F?lm^1y@(@2hE?%eS;&n@OcOE(HEam57d#N2BX17Hyo*~ zYfu<@3;{95+JlKTX0Ea^vAQEMFPGJr7G=0KR93~&ZVM+vtX~(T&Lg7PP zrqzg`rgSSVG?!K*uesY0d7>QGlH2gx41LCAPhSpn?%%=d;U6)XM&Pe~tOsH;FF&j6 z!kC(e-Lt2%VfP23;pkXmV28LSEd09(mA2M9Ks zPMXujYaN$rq!=j|RhkPhuECKhuEF{{+Ik7g@CAsj03|lA0CTvML zZM=fktPd*C8cAU-PlAbH;_U|ojXL7Z!kPmk<4?No5GASk2^=1Z#Abd9N?U-^aw zwl{%+w5TOT#4*_h%WCd#IUv`R z{W8h~Eh=enMP^+SSW8e`a-)NJg(#qR@G+@L#(s$`O5Z(!Pg8ygsjCf(zTBh^PT)_m zq^@Y9)D>k*UC|`6=14Ks_m|6oQeTl|9#)~{PKdKT_}3Zh?)iymI*i@~Es;z8OC=gm z?+HXq@ijhf;v+F=W|$6Z>0mxCsNX?rvt?#qB=Pz5S)(W~y5p3wB%jSpcwII4I%8>G z)I7KiWsSMI!gN{nq$MSm*HBD!o{jF{=bC#2Q+SSVB+?XYo*8X_1|^i)fJ|Ga_Eq7i68xTCuouGHr0YX zbqIz0EN=V#vhPXTwabb%)CF3wsc@!3PidB?mQ(dawaiFF;^Y)G;@zrX+>mn8*XCNU ziXxsNU(?>%7whcn5p`VSO=UoRQwzwh8_AL5s=R1>orC`_uq1Tc2mVV#5^~H-!{^W9 zHDVF3cXz{Mq?_MZS6{zrPi2i#(raYlXepIjh;t)>+R|%q0$s2zS#g|3@YrLAO541} zwRLATrc1-N`H4dcnJo>%y3`YGk&E3AC`sLl9}Lp&8$3s%r0l17$_FBlav5RfR49WQ zonVm>&M=nHA~iQl7Onv2)GKQRFn^Jye2CU(>lxE*H*| zh4W?MS+ekK&;k^mLDJ+LE_@Xio+}H_lZCI9g$syqbcp7fL!!0j5ZG)pwC&lVFN&`V z$rEwUk6Yj0`}Dp;?=iEDTZ6{U`Nv|XjO%BV)%NuD_4Hke^@(D0&o*-=e0K24V5#!h zAr}rK&^f$o{oOH9yVkm!jnV7JS=)XWyS=tCCc2f;Yo-3^5w+MC%f&ttYhA52S_Y}s zkkW$qT9~4OAz4a_ey~%zm?Wk2Y5R1D>QvvhEZ0)Lb1A>AIM?z!3@EBvB{X0d%jhQ+ z(PV=gNgHl+nxg|s5wlSpe7a*HKGQJ_e-Lf8mLe>U?bCeKR9628DA6*xHnw6 z+l>S4l?)`HS28|Q6J(sC#N~D?10mPy)B zng)p$l2=cnqyYJQfkEC6vgi=)gIXwrmGF`YmXK@^tuAvL4^I|hKuZ)t%V69*v*u8z zQ5=%%sD>m#+yAwYsp-o;sOjimN%w}UBI8G0N*dDaY34E$5>PiSJLU$(C$;)i#Z za49uw>7e}7YCNnEMSA=!CcgxYS|zsA_fVuusQs*G|7~^{*E_y*Nf8_I(?(&a!&n}o zIlVleBjVKrI7z<{?U$Imc(GfctYprEK7eZ{~2dlh&%@DMCQFsGx;%px@A62zd`Gy^^FBl zRP2o$z`zkvoQ=78!8(c>&7!& zT=O*r7cd7`Utlbf5@V2(1VYkwW7W*M_JL6GHw+bHlEYW7&Eu4~{`J=tEX)m_^6xLz zp=cJ1k{r#N)b?slLPV*gvB#}*Jq&RX5UUqWDu%Ls|`}o4{IBe zp@XJB-JbB*uzF+~;neBmSSD!53Xsf+(T-F`}Rf~tHx1GJlP9(ys1bgMIE)Y z__w}G5rObWD*DA$}XM6PJSbthNH&9kZ3g)5?waTW~NE(c|YYOTs))OySkc< zdqT#NJkdtK3uhX)lF`gCA9IR^PBzE7`?}AIkBGzKBR~Iy^G`gq12^gL^BvI@a)~%R z;yF0kcZyC3Aow3H_42F%pP7DAitrmp|bJd_(G50`Kbc=8-m9A+zTfd0LW;X z3Xpr#EJ=Jz$~Gn2=SbNDa$8Xt$dq3Pf-F_VeN)LH{25 zgy-HbY)gUkgMvCc`nl;n|U6Rqv6gn@m``UwJ(3iCK5=rEJ&*q9;J zaxW^A5+nctGA^fF1;1b;i17d890ix3KIB~cxo^eC8u0FDX4n8O z!Ji55?FG5LEorfjeWJah8TmOyE&P{u6Hv}&XxeQ3AO20rSpxf>DmV9u<7V!0u~u;Y z7+0yUM_MUlv&liDJzZyH;8Js6v(;fh4AAEVV)L3IuBVR?_vIk3~S)v-5|OhR_iWG1DBSJX03 zO4tbpyrQT08^NGa2~O|O8T_@kANx2Xd=A|tn?lfvKKKa#9@Ui3KH)+~$P>K zN0ReZQC1Da?-(mV@KNt2Ed{-2ZK|I2uW?3nR*1I-S+6(csP}ta1-~x+YT5-d@$}j+ z;wg$%w5LBy6+Cu&VnXImo`8_>`@!SKlwUL^q(#)s7o|1gL#IXFSy0F zDK0b2b3gnSmzgz^1tLMFQ@QKcP{+A~$;YIcPE<3qrTS35gT5o_7o*0HQ6^}CML%~Q zT&i?%l0qkIR!K)U!HUw+SV~hhK@eO`Xzvg$a2OEB&Ygq*WT}W5kIei!9w_5@9L?X# zndYR6ZGGYnC`>d^pVVkR`x zSG6lM{}HQIp}&hH&Mj#FU@!C*$eze7jTYMA09e0&hcp!Jj` ze$AY|O}vE(xI`APTHH3w`^Yncb;=)GxgKC79dJ_0daM1{BIUv;G`MR$%3vtZn~ZR2)Xu321})?nz6WH}08ZMheYo1yNBkA6~_6&5y+B zq0U0_2fQx6G#1x|G^fiqaN2`+i_r0;&I!#IYt_ zCtxAuI+5H^3eNd7gQ+jZM77EmeZ^$c!cLrn^ecWtN~y~y*vxILl$z#k)};kLdxkVM zr{Pc_O8ZWMyOEV-AAO-6;x{_=&NE=KqANHh=HccxX?J{Jy_bDiaOQxp#H|6Tk zjFf~Dr1==gs@QU=B^HaGAk`Kr3{<*>M5ylpnFxZp8e-Rza_aEieSw>GieJQaQso`$ znAy~_N>t?MCC_njYC83Pv-oUT{G=5l9fy)+sE4V(<@w%2NU-Cv;V0w4kn6&X9yMna z=pE<4kendXI)(9{>-%zF^iT`-ovmr+TSP*RiJCY1%;UX%KLg~9 zjSZExj|nWHDxKYX@4C0Lp(Z@uNP_f78L-b>QlSa^K{wF8kudL*we9m^g)mkGyNblm z_m{2wFA26*4gW@PgnqW0FSc2ttZb!(Ylck;(-WxBM62AKQfPc2SG*T0Z2XTv-KD|y z7rCNjT$1zE5()V!dCWK*%rg$;anp*dC25=1q&U|qp`N&l z%=wTe$o_0WWz`?|ZBqYE5XI-x?idu*j2)AqD;qJwD*N{hv?m;Ee%d1{bxXrdo@ zROHi7QAK90cjPR(%g~+|iYC%ZH0jv{I?FnG+%oSkF#jeXF8&x> zP{aNye%CCJJ>v|~rrQ4%VH8xJ?@g5VguIu{_a@<nwMNKs1XE6M0+( z8&jDVm_&DT=rCDqw$y$|ayHlCrnU*fCh>r@pUMEBps{3z`BzUIH~&3YfMQq$tpAhq z<=!j4J$RLT4y~RsZXXo0F^%^&Xl*KNkHm49aM=3^%0;>-O=LMJ0 zhY7rUiUH`M?}{+6Wl`rXv5i;7M44qfY0~cZQe_SdnIVBP!~>cyKYXUVH(LVg9NAtj z_4jJ|WG;12pv-w|)%`@rc=AFtI1ykIs0r6BVQjfj;dF~xi8{>#o@de}d z*0`vp#n-Y;N!kHy#~5@{D{LIDn}~cW5LT@+}{Mc)h`k`plY(x@)5@U3#6a(4Drp<9!?YS9S!1Ye5S|!yZK%jNDMh9=BrJXm z;d=-dO=hoc-H6IF^9nC)Kj{QV@4|*1WBje{0!o~RKNG1Xr6$2Y5lu}RVaxcehE`5* zkcv7!4>7F|&bTq99wcEuEeIzyhlb6LVQmEgvFUb(6&XYCjRy&-Z%PlT%5_T&yzY%! zw-+$`M&LFaFS}I&h6d2s_t#fOs`obT*>u;tA9_8&Evc#=I{dgHsk_6wqBVHPiznOI z{)$>fZwG^JzZx3xRKk^H2zk|wK=J+2^U)4zfvR8}eY=mj_JO0*RC)xw8!h&m>xSyR z(t@mWD8Ow(dZ;W$u0FvjjN{3(VsQV(UZ9%`agCkd%enj{&_9AhHeOoM2KXx}h2Lo{HNHe-g4iuvf?;D(t--2Si^2HT8rou?f)^_6>mPhb#` zM!=+Ia0Y>igw3Y0F>^Yd<^lv{n`}WCLX$L)c9T-slW7ubZZOjmXDr=vtDGgd_61vi zC-K^!EZ6=Nx%Q{YwLe|1eYW1uU>-7;CO*$u`z#O-VdhCs+;8UD8^s*1HWkowKe^?s zA&da^)*gW|-!ehUP)36oVtX`{idK&Eu(s?O=V5I*(`WY#j@&qVS|$h?3e?40g9H^r z!59R|{jm^R&4z%5C}_lP(>(%1XfqzR90d18pKEg$Y7q>g8S2gGX(rxBjRahbM4O|Y ziYg!(iEqDrfEN#9E?;j(Vl{mRD|B!I$T0QBGsF@JDyK+hJ^hNE(#~n)n$g#gTpz>m zY0nwgg^b%nQk<9aoAitIJ5=m2I{YK`f{wi(!~!Ag)~`o{Q9XEIY4B7lPBSAY9}Qx- z;w-&!D+~{>EU18H1gNcopy3rT6;hE#=aFc7FsqQ*T6-}MeieF}QQYw$)`~}uIQV8f zOAhgSOg5Es%}@x{bAzS7wBYSg9(^4ep3fR=E9~GQb&*;DDeap>kgSL*^pnzXv`s_%q=*zNWYN^oidT zTcX>~aDGcDCWu7FNv>KFBHvHr_X%c@L-z+_Wwe#UMU6(jwb^=F!FPfNR)^Y;mCJ0R zN#4<6?$~TDy(oImw(m!vU`P9o7-zYn%x}nx84<6)6@8jIVi82k7+P-5lD4vm(pHu& zZDrUmTc@;RPd-0x99?3qnf+Re%-X@VxcvkXX9(+fQEs~@YFMKLWJ$bUNLLX)C$~PZ9Y0kdbo9gu|PDha-V{4r_VcsoXGfT1i zO7kbEl12wFF*+ENahBw+D)*Y?y#gHPaod-KJPr@;3paUv6s5OGU0feUJac>$y*`kt zT0>-cRCz_(cM`ZX>UV1|l(?>}x{zM|RSIv_2GkuiuAlXDd%gVuwZO4m&~?(SBqbc% z;e@_QDo-ck_fxr!A2Ze{&lY0E((^DR)wX1_^}fi&`*5Hp=iQiK$<2t|x*}e437lq% zNz0&|W+>6Ow{4bJQhtt3te*bYxZl{J*1ggul30^endt8MMOV)|bQ)*lR@R`T(~xp5 zV4>}GqzNgL8FV-|03+5cc9ged=5;DIk>zfgY2bAoh&Qx>P%>CLjW8?2lcneHfb^u^ zh=peaPK+5AMzJ`=o2c0EhZ^f5;SJI1>Tu)u%H8#eT?%C_34&z5m2O}-qlIK-+D31z zvNPn~7D*jCLD*gVdP=l_)dAj#z&KS=={e>P&9=)fAY}&g>T*lcR4;f=RMZ?oN08Wp zGSJJ)Vs>`vIB&skUFmedppwXC13L`C=s;M#3sh7nZOb!diwfA zd0$^o-=$a#C<93wyeMNDql``;#5bX2zpF0N6mSdw@?yyP2Bk63@4a)2E9}WdeDB=5 z@1UFZY7QK0$;UaU_JU2uGzy|{LPFCaj!PKLb zZP;2@(^we^Zw>Ex->yfXeR%hCW=MhMGT9%#^(h6^3t@jqYXdAPOQ$p|0Hynvx;xyQ z3oFSP{U%7ehNhn*MS)n$3NfYpPKK~iLl%{uPN`_oX>rC_mS+?ys~)lCCTr*>u%2Bq z_Lhb1O4*Kz>}}@slg7=nj*0Tln5d)TZCr=87Ba;qKF+6OGabx>oR6AwD^7Y!6r1%C za~7<7F;0kEimV7ZV1Q`9Xd^)R!%{Irqi%_9tZS%isvTe2@u|nuf?5#l>N1w*Nppvs z#A9P}66>SmZ4U3(b2u;f6%n;3@dKDyBj9JV&e1BCY^ZE(tkd=?t?j~BUC}zT&pNYt zGTkJn(gc-)q>%ar2BnnZ0;tb^qj08I)?La#C75h@*u#7;&fSC9cLlVTx?2Cslnv>qt@~$P$ ziZanE;S5%+ZgB|Jw#aG`r`l9h64l~uF6ak?bYNM_RtLA-l%tyBjE2<4bJ&(oc7+y+ z-<3igP-&J`Uldv^z=Nb{4P2VuAd5ySy%*A#YEQSz^g`~mRGaWIfq&)A#I8#JO6i6z zyN!*7UcdvevuRJ76YD}AijGf2dLu})mF@`IhST&r&BP&12*K;jMMqyzc}-7mHu>#Bi)3yvQA%sglm2Gw(O5{*%iEZoW}oWN@b+duAL#C`+_VvL$) zf!ObE4mXg0cXeHTU4tt#_;`^LB41Vb9cgac)0GlZ%ag=_F=TNdRZ^seqSCK|;5}tY ziEocu9mWm$J;n0B<^|CcQkON0b^f{dw9BB7bh{kIk5(#T-rMCi1R2ERsWh;f*XfAd zj2^12i)`H6$N)Sn7J;TnW3(!=lL8Z(_Jym!{)1&3rZ5G>CTMvBGDM`dFmW+l14>jrJDPv)t=o6(z3H@}M2g%*vn2Q7J;-{XhIee*0 zCG4Q>XM4;^uXi@{V`iQ#vw_V3Tsof|cY~#juD^vbFaj_6kkCh~hAc3Nfdu>px5Yd_nV@)2<>Su7@2z=Yetd2VA>fUgDxUwnCcx=?#VbaA|z?{RH3HS{? z@pFR`0r4UqKBccD(#IKPz{4L7{T(eWKpG}#bWAe#nc`MLBGyyZmWuD7``bT3EP$QLf4Wk=Q6K`*zQKVM?Ipk8^0lnAHw7L(o zMC9_X$u9_h=G8$q`}%VJm%N+{qU4rhi9n`ESs~jPKKyJkH0lu9eM*|Rv$DQ^S7p_s zqZXNs2#~z`Z3!e-i8R6@6xsnYNx>c|DT1V6wMR0}lJaU0C!X()c67$t6~Y9QbV*_@ zQdRM`-zM=z7Ds!%ZYj{V#2Z|Sm@0AZw8UB;WO?FqKCsrPW16&#>!>a@Ccu1M(ah4{ zbt|+r7ox44QyfzPnktFeZ;!RN+dy${@Y+TW)Q#ZP(~c`JPG1K^d?O2rS*ZPuoT5dE!MxBEUdIb)a`+a4Qz&DE57;z zxjyH>JPnIit=Q4o8IxQ>1X%d3Xj_>v6AGMPFl_u}EW!p@0vj;yi&RFU!=k7eCY=mv zcU0O6QqQERlNnA4CoXur`cDcxdR%G^UlI><3BF2#$V-!T>aZ{9bI3(9Xr)w7qGXp% zMb5dfbsR~lBu_TV+(zhM`2}imsk9Etfj)g?N`B8=y?NVy0v zVDoX7X0PUtfgxd(|FS>&d3om?)QCkw;#mmbfOg=b?MO{e z1t<(ZyAGw#_Lq%Il%i?4rjcej)lhu~ae*p)Kz<;9WF*~p61r?TQ0 z6lgaQi2Ds*;bS=~4yUAZEpe@Zzyi3UmC+AoVd}_+hI9j)axXBD__yI^R`?iQ;d*^P z-4%azQ)XRzb>Gda`^wLmeP89Cef48197`LR7NWOnA1$OOdfG}mBl0UeSithDu=T$~WlFc&{9RsAXZP3qLn7;JIv zqUWp%BmiSlE50PiI%oVFe-z?jR?lyS#o%g?$udwAf3YT`&Rna!p*%}OL*125BY%Ik zS{3OyIi>4olHY-3gS3jE$tNh9FTTjB>Jh_YEC}*_a=^SOPKeS|(l~hygOi{5*HH*g zzpsBRp?(FT$8q4r~lZLlkdyN&&FDg6T@TR%#yQHN|@iS@>zgnPpx zwV0553<(~e_P?Hdm-N3zpW`+O#yF~j)A~)M#m&hgv)SA~iB&(7rRryjRQ*hos-Nkk z`gtD3lcy|2R=#_Yl~1ONgyx3-=Y+=ItO&($mM{Weyeu9p@k5E+F-ra7kep1Xu4Kkr zEBCoTI)U|9^Qo|Ndv!Sg4A_VIr`N!sAgXC+xUsRmt|3)$4xI4GN@}1&v2@d)k{1wH#tQrmr-Sy;3k!KJ`hmZUEL~ z(wB>XxTH!$$U?GFSrREFw{S|y%}POAkg5UoDy?>1M%qxQU8!?fR2ea~O7D6DJxZ!~ zEu#{=o~FwkQ+z01iFnPCrBi8Md&Q-%p|ro0oV_rAI;BkxOw8h=%z!qLKQUXb^+|HA zPnK(a3axeWyurs)_YAfDi+5CDx$S9A!|vNFqV1x&v&WU5ut=1P3S$wX=0!|gV@{E7 z1d_F6D|#vc`^P*S=~PfTB4~pK@qKoQ6gqaf*?CaqxRHUQ*57!Q!rzSYo(fCq);}w!#NI!w> zkQ*TcnrS2l+#d!)?Td9~jmZ$fgk>Ig8_Z0|{5W4{SDP`J-ClYJZfxAU=bkP1V>8TX zV|cu|+#KJc5MOo>30c=b2YqGD=XmqAv9_xDM4+{t&pUX1F1{=>Tt5j7($518^?NIK z-??|szPig{N%M+dkjGf;>K8WpupYyC!S}XH<&NCKV@&4aCOv6%-@d(#k+5`8Lhg+{ zmE$cJcT~?Q442aPIHec?nN_l7EL^c1mLR2&U6M_X)Nm?^sN*aO`SV;p)(r8h)L1sN zS=32jEZ`MwT%Hw;HB6D22O{Byrn04(;GyMtzlMpT6`(ip=z)ik5%?z@ z2TT`h{6@x0n_8s_vWFbQuXTaY=IbF>=4;BV3rO=0Ii4xiA3gG_{_xBLub7m;-MBeM z9n+PC|E_RzU6tB%#~wHuhAVg{>CfD!P)u4T*)s!7{O67t3pmAyOaT~YX)Fr~x#?>v z%kA5Y;QXkWMrQ43Bi0}ifZZD=+x~cjqs|8v99@Bwj_lqYuEseqt8N9OlQ!P=62_4- z%KZC7YVvOgicSQz>}M9x4;!kIIS?^T!G_9`zS+<;iz~8Ukg6jq5pTyImpaik6{Q@z zhOuY{S3#)RmPN91HQi74!KnO~Y$-4-QcjtI1~X}L6>#c)dxoN2q8t0WMx(`LBDzt0 zihIRlo2*k*k4sXQl6K!NsVpN&EN!~z_o+>38A>3FtU$!1(^2x~PbG_V!C{PR_yWb| zn6X%qFmi%O^?|31>+|7}#_^0CO!!5rw1sQ_&T>(9yZDB{FpC~>!B{5Sl}4^q4R;x< zL)g8$ICREXlE=-Fk=-yWIG}>F2VQ?toDu7yZHG_9_6sR+3FRSWl;*@PTSaoW|O!6D=%^9ub<}`v#gqtK1A@Y^t z<Wmi-MJ#=L#l*M>k~vA*aIQ%kMo*tQ@|~iU^bGk=Q5gi#m1m=_rlFEvh`Uj27!}zq&uhYwz560N ztM)ch6;$pgy5NqcqUs?@KWM}&{ovWi(@q{Je3G4mMU5yr1b24I^LEo7bOe9qr-Q*3 z5ds$Y!jpvuunE||W{E=c)`(ei#C%wv;pzVzJc@@sSx0gH?BfxfAF`@({?y}i{|8xx zI6unwpVIf&Z^e`DtcW=$f`@I7Z!2rVjc;Yq)yt1ZqP1J@C~3x>FK4}`Ur4RL#;u&o zqSpV6TmM4V5qE)aae=?r1@1r-pUK*6hN#`Mk8dl*^>b))WyIWb1h-y&{OEez`m`?c zoyWH=D#49bSDA04%*CJZ@~XBfYNGg~3xr}>2SkB>(A=&cKnAz=e+;{-VL$V())ILZ zi?y!2_*3Pz&V;zy`jGhGC2kg3ZqAFm*pBGaqNcfXznQbSq)TjA-^UM+YHPZHmq)i) zz&CA&RGhpq;Lf=rRJ}t;7nFz<>i{8(b@asEiguk5tvqbdGez3HR;o2cbAdF3nLmL+HoRayHCESkE9r&7~H@8z`g zU`}#T-n972Y}!f}RK^s)!|l9j9TXw4^{kLyFU&(25x88G5*1gu@#6@_p!RZj@hbUF z313CV!u&fG14G%DxDoh`)TmNKXAI*3>Xh7BaDvrYAv#oJ-lx_txOTsrCrU0g?fApz z5AFWg$G0DP_8XTTd|8xtf9LR89Wj}b9bTn_OH(K7K~V*YDVebi*zu}-wdm=5kvlER z^Vl;{O|Y-yGToPm^|GrT1+ymQ6N_~{`5tlsN>yIDG9p%?^Mok+`P#+<@F{v{t$62l z34JMJdht#<7=B7NV=Sb9P&%XRXYSIN5UKFFj2&n$UnO3Wi&G9-%87!rlGXJco;es68E#9;qY94TdpOX}5ibAVh5eAa= z%!^iE-!ah)H@P;2AZ3jBb-C1zoE7KJepf@)Xb5Z{(4XDV^EKjwEiFY~I$O3<6xE2* z=rOewjcj27BeZR(1MffD{M5h>sfVM{ifFM>L>pv?W9EU)$j5FrZN|o_tz|c<4>_); z`>1sa-+t*ITg8GV^$|dy-Ca@`zf}w4$L9a0aYLw*USRI0)xwh1jFX+__T%Q#f|XsO z24P99NDc!6&}A$PiA$pMBiqFn56iv2O={1?hFSC@-a|(k2)$wJ?Knq^I2xAu7>z2o zKkMR|R@9eqayO{Eul8)vB!J4xDWIweP#t7u31f`1d=-CDX*ifFr4aHZTJW{mEp^x0 zr#=I7QeN`7h?a=?3bTOt-5WBB^EO1`OxD%!ug(4UOw?ReD3vyttaF_Rw4X1w&^DSO z5xT>EAVKXUO2j(dCD!{bLF8PPrLzr+4J7#BkD%R<_=IS&Q%>LNmhUWT7g2G7)?;F- zey8-nOvH$+&F|8i-QbnoG1aMy$~9S1J6;KdN3x6(I=A2#i=T;<4ZMxt{+iV(^3Dj! zV4%-$xEdAt`^7_IWlW6?eXsTa#OWR2Bf8C^H6772t?k&72I(GKuq#wqk0@#_FKKJX zU0lOA7McY7-(xHf;s16{ZGT60U~HOtSm3JLQ&|(<>By(!#ndzLPn0YF$p5|3qy4YtH0iPs!E+Ysn?!r({R3nR8T02`O>bdW{cLJNB=q z1q6)zxQ&sg$}=Cjxx4Jwtf5BaVob^_ZpqdAVx>{zAo#tb7qsA3K(9)Mu!+sl7=YEp zsY*YeR%ylfrJOT#<9UULeDxxYcGD;Y~hHc$2yu!GRO$2&;*%!EM!+iXC=&f?Si$ z9RtdLM3*mfl_$>#lN+3Hk)Ee&KS_C4`yXnR)R+`DCLJaahscd*TjeF{DUE?ihkj2b zO{boiG(Qul0jF-Vr>le>2$G#SJN*P6=>(r;7bmjK4(|hsyS{3Nr?^3jjC(%8GZhI7 zxmx-VD_-QLNdt#RK1?t=&O?L7Gz-Hy@YX8vW{;R%gTEK(!0hKCfbLf=_Ox*V4CaQJ zUnf4dw&EG^;O+EZ#Top4f<{9Y3FDNDi21Fx&&0c5)LHwx@$S!WU7Mi%y7}UhqO|P{ zy_Mhh4A_|ViZ-NF>@5BSofq@@iupGg*N56_#NzvF#ENA#tt)EH9C6g#Usx+%y}nkg zF!!x7r>~j6TFh?~e{!QZ7N`6qls|y-U%8=H6q}E&F{e@aBfWNy-%%e}hut$@yTlh* zk*)BLXr&`~e`6MO{(plkB>pmD48Q)rpZBUe^f10@!14Q1>ixPsH9L1jt9MrIJ6Jdp z&Rvf3f1v(e-hq-FwgRt`o-71T{_Mo&8(l~zkx66cmCt-vaZ#&+Ml|<=*ntU#u7J>r z$?Qi`D=ny`)G@GjKvwc2=nbZLGRkN3V%+ekE~y+!Q>&gZw#11}u%wogH*hl}oM|?T zxF}0(Q-PE$MI{ZSd@r$yJgJDzqjMjDlr)=jWa(6aluC4D?SLS3os^3t)(T~`O)=SQ zsWCuNBHM-(8&fqddm|J6rDPt@%AWXPOEa^f)x0A%8$21WS!z=JFzGZYK}!bIrkZRj ztIZw~>f~zT^8`Bt0|GzGg#Y=o5GhHGIbVc2#DcTfnA*8qWUR>RpnuA`BUP>`AY1CZ z(kLo3Ri^sN&*CegT3N`Fp!U`cfcy_wvW);VBcsBS zzpF0Nq;@lP)AgZ~{77SE6HUP!CAcU!9tF|{uUhewL{1Nb3dWUyi7+c|lP zBNPw^nHB}Dla`r7>lSD90QS8$(X#%j3!?1Bz8Ck4_7l+4&3FD?G9yn_m{SYPn%CEx zGYiCyr_YPBm)ej{mx@NYYo>xwTLi}Q-nc5%Cz9Wfs?t`C~a3XE$2 z=l_=-h_Wt^1CjJFX^b||G{V)Rp}NjDSZZJd?>NBY!{uG#^>@dFGMu<(ny(q;Fb3qR z@zSru%ju!0ye8#*M@@o%<+$(uN9D={ ztcjhXf~SYM&^UfN%ks>fVzasEcr0e#XDkhxMFlHG^;^dBS<^0NF;NOd6h2~W``2ECFR=0jJ8qFCq$=l z7fxoPhIzlSi#m7{zN!>9RKo-sxrb58WFKJWKfYq1x+oFB#+@cPae7|HO3=yxKil046a*l-z#|e1kX<4U7LZmOe@S#? zLo8RO5N(gi#Z0eERuXDEDZi)IhQS@~GxGff+$tTDDuT$VL`ma}k&^WTRPvY#=8L52 zGs-?M=1bPsxX#C5P=nSV;z*S8KL=m+ziPxxY1;AgJsqlti&FORMlQh?8tx%-4N8`6 z23Cj>cz(Bj}DgWF_LG^v8f4ubUm`qaCH zleR~`|0(<=eLoc)((;y~#9PzUf2LGP;OceD!NMQWkD( z2-n}ySOZ!6{d*x7jH#{oFbz_z?)YNxwNJ0g0m|6#eZb+<3OTh(I_g$d&ZNNq$GQPi zo%j#O?w+2QGO8y~`L1SDi|9}?Z4Cq8m?RVTX;8cSK)A}ug+E@DIu#Enz`7==V@6XU zwDkD@=fo!@qKpekc*J_d9V!wdZXqZdryx;J1uGBlV;}K30Js580LdjkGQyFlNa#2nipm^)t^1fOWBFl)eHBUT(Fq1=~s8oDNthpd@KQ=G0usw^ksKY-KJV95P zcv!so7D~=Hmgb@C8H&#?6XnW+|C&W!8;}NYf^dtaQ;bDdy%iM?!^imoIEo6Cmd_I` z!PR+a7Ue~C?_p%xIs=DR)gb)y`fyG9AUTA_jnlcQ&DNv#J>JaH6#uLqe1(6dO11#! zD#S+ySPE*sc!FEpY`rQevZ0z@H8XZf4Mb}9Uqaa!OdIY`!QF$r8M}{qca(!!?ghjY=ewFPA6Jg0Xym%bme3OlF?{@D4`pxQf&#Gck1gHsgF1x$hmd}z zWj}pd3p_*F1VF3uD9v4_#kP?-hJVQnlUEegH)o+oM+8L4{c((7c$QQqHpSsbGB2{LrfP@yTuWBxVQ;v>!LsmY9X`Y}#kv!2l0nVKA_^C=e%<4v%FAXeKdunqfkl13Shgh+B)x zN1=+tM-3Gsx}Q=Iu{;E-yJQ%34|u8LtFG;_WW@bIup7Efvuf_p}-}&4lYG z(N}|*1p_W~^kdc=>uu~m>&AO!4BbCeKi8VHoUMmJf34N&pkPz)!50zl_*2A z;g~$z#||)LgABgR>!-=UCSCU&Up)YpVr%i(W@~Zo0w)za!bKB95Vomo(9q@e*GFp% zR4&wEzezF8fBZ28G>e0xpr-@0wc?y8Z{LpTtgViIweL95z|HLov;#gIwx=@Rg< z&9P>r&6C_8DY&?h=cM!HmX_{lhp16$zvA-Ys0L|rS?Gh}%!xw?4LH>C_Ak0SJI$KN zoo4o$b&w%rw*9%D4t{YW9)4NqV>KZCwaNMTWuar<^a%rn0Tj_e zUU9#Jgn`o{d1sb~%t=RLC4Hi3rI{@vB8pguSBQ~U}{7f`@;;ftt9E~H^ z&XWJ+HbUb4HzBZ{AGt>;&Yb8nZ;19(uRHX#Xh}6}r&|ucs4_?kiSRbDOGqje5u;w*xuvMkRaeuE%BtF7*>=IkN&_&FL{p%O z%N1pGZfMN`?l>MAUeO+SNaH@8LLIjpTBx}gT__zXA9abV`Ni)mbhI|u$x#EGEWubl zgXf%5a;V530#nu>$%QSx#8m77RqQkp_eeo`AUG30&cy`MT)rW`W2~6Tm(@5TX9%T) zDiW=9XftQ5f$Z6Pjzns6Qnd(~QMNq*?@{51^=Mz8dGI36<+imJaoiKBAwgp9q-{9l z(B)*hoJwcY=rElwbLlMC0$;XPQe1A6a(a=-^(e{caA-#v^)~7^J!w$gM~<#@#)^C( zIrLGk-HR4-ExeA@4o1;c#;U74bw1acciT0AWKiv5dV@W-x(=QPdPg z%_kt_(fi-N}pCtjb&JwpIFB&!ZBp3;;NjJ(nR#`%tNb9x~LMNR}| zk2PnIPaC8~T%53&Toca@r3cV;&n@5<_ zw|Om$hQ>BPTswC*)zvhG5h4N39Eikw#ZQ|n>s?Rl8mjlYF6~U(s94FnUPWN^$Yuoe*pL%oS@yaZ9hv>TuHf&;3P|MU&nwUV2XHF^^bh zw}_(7*0!43tuWn0>6%4)WX&Jwd?%^l{XH3%3M6{}nJbH&#!5`3^`iJy%Z5;3z` zejQRqVqrtvl9A4YLjFH-?*boJRke+u&OjNi77DbWNXkvFZIZTW0xh&n(>C-Lk`!8Q z!z7s`Lno7vnMp5RC=~GaDg|$Nr4_FT2*~S&Rs=)@6s?N1sITG&DR_HdvV)g?!I#r!0a8)}r327=>L-?ATQ z^(UrmMdn(6N_J@E*6a2aWgWft|GLZ*Zk`d{$X{jtSe6EDU9*$AUU-6?-iHdc*_hDMM9p1QYiDgI4mGPDoc_zQA625=@y19QuR{FxV zsnw&%un(r4zX`tS-UqBfz2RWEiO$J7bPb=z3EGGZ?b=C@;E9Np0ixKBzgGMJ)1UR zVlFXKqD%QBx4t@g$kfyv=>9g_La$3biG^5WsGYbZdBoH`N?78NefBq@dm55=jDNpg z#EHwvgEu2C)L#L?Nd@dssr_xp`vhl3p2y2vf&!NwjS*Ojla(jF7>-(&Q1Lzd19g#gL&1aO?!6kc_uaIdYpLjkHpkT z{Ih*Z{r2st+fpl+rOr4vb>^JZS(sd%P_OpxX0S@8Uc$e!jw?_zh6swt3@l8uj6zCP78=z4eGR46YlqOQu}FN0Raa^Pd*F|LIsxj`lqdi>ErcZ}|aKCR_T)~Sr{t31F_Wn?Cw?G#XlgU!=~E{^gWVujPh@b; zgN*hdJER4~O~shfi@SX0QA=iQN}PXG!?rzp{=}0|rre1GPbQ`;b@dwM!Obu_A42Sf zD(xrzgcs1rzZy#ICqYjlIcPzLjs}rUa{Tt>5s8z=N!BR!*zVNoJMogA|3dk4Kob7< z$Ga{PD!o}>88NOj{=!e<%Bjh-Q;((I=g#PmeoELY3ig9lN`C(8KVW&TCY8Q__n$}i zr0TZ4m^warNQriV;I_T5O_P zB8`xO#$(eCPU||TW(iV|FDQuq+?mR4nt5}LrD1a4!+hv?6sXhSB*}_B%}5cY9W{z z6Kc8m$0eTvQP=-v@@cPmF$tuONuo;lB)^X{Oh%E2k|Tz8Zi6P?CORgGsN|ugJ9cl~ zbJJa^@>aajjl*w=Y>1x?ScE@fjB|D$Nzf#U<^58$Dw(Y`!(8(j7 z#(i7lz?zdLCVNx8bCVNipUP_|KAo6_LzfcgO-$Z)b*eWxWAD=PWs{D_Yh&+MJHDdAvaw&c~5vAk*_UVM^?%ac^yW1s^y6eAETlT=>-@rd+B4f<;Sx5dV zwd;my;xxp^-&NjJ{a*dXH>Q~{vL8~w-Z@Wvk+;d(n3Cd)tWoKA;pKw=o%>|<#nrl$ zan8YFwioQ(Z0p>U=^^Hvr*&nDx$gfh6%Hw=Uw5OmyK2wJE?;n_S$!L*Rvr3`Mnl)^ z@CeD}b0!QWb~;Oaj$AgtwKzjv9R_YWpn_nY8KuOo>_|fmvtmQ>UpN!gtrEC<_c9+w zUL@X@qvBS!?-(=%)(#G@=^Gv_52bs{1v&8w?nFk;#S=JkD(?qfGIi4~*&vD3P3-^V z`Y7U|DX9~c@C5zsBcHo1H3OmN5^Q1FF2|}RrXS0&N#cLJwQFr+>fwKSKu*uQ3;*r> z+0J|K{ORbJz_8 zZN*ppy>nNpcBg(ucRzIJT|3c&(cO3MMkU{rXgG4$i*{|NB&K%mOdj?$8h~n^*f%l< zlk#<3afq`L6K~zJ3uh~CxpVhJqoaN6v@U-@Q{3hinT>6G;Lf`eEr+j7&A1CJ=WMd| zg}B(P;i&D1`^1qUF8et4-?Va~7!%7i9F-i=c-a;>5fmPgn*DXHh|CsjauQeASrb#+ z%Ud2$>ifq(zWtB-EtcBm48k_G6>Hk7r|;2%H1lxHmDq3d^=&wR_S#eNj>TSVO~2_U0z+ll(4 z@NQ4MBCQsnY+0UrWa4zJb<3}ljt09#a$(}c%F4vKsq=N}o7{~{Nzlt5eHmBMcJ?Oi z?8M{--%ST%Z~JPknRFfZgG(e`-o5A6J=mSKM^eB^{U>pn&4Fd&0W*D;-o_v2*pKn4 z^^ZR>lG?U*w>0gp#NvsGMaN=GHzX$i;^zW<5m_dZ{RzA)TU-oQRo)P+dzaQdajEPI z)S2I9P|=@Ynwva$Hsrf4^~J<#6HZLNZYpA?ZC_8+PPhmE-L1qi9hjV$cy62(_lfbA z)kar*5$|cbNAkT%{YNs;$<%Z5Z{l=o>ni+6jQ=P8m6Iw{Z5SjF<~LF|?&-ewwUv46 z%a7P?55T6ttU^POl{zP3&rO#=BBJ z8Kjy0Z=yLTl8@A@HuX$%s*GnDw~wTzY~AxIm#~VlL$k2`726NXU*EaKb{C47*!JMQ z?vlM4^`pC?)}#0>F}V7C>o6N7F<4xc>f$#YlD4CO6y< zwdtLL{e5;Tsx(oyWA3usB`x>$DRp~Z*gkj93tyiC+dR7cu5Fj3PE4KqM;d8KK_vZ* zmw>TlDJ)a4N|O}2J88f1qR#t$Jz1QcJaqO6(<>`MD0B`E%uaM-hYy}AI$kXM@pxei z_5!mV_%n9>8ylH^JZ>CPtk;Y~TH{a{tVA=n!^92n!xHzn0WK^b8(&;1kC9+kP-=BK zb#tO_BF@G~Vsw=-Ox?dveuswmN&8Cjk0#0Y+azDrpzi0&xXE8e>Y-E_ue#j2XC$?2 z&+ik{j>ays^3KYSneOqAXqCdk(Z+G(Mt5(5TBJ^Y%i}siBt>p!!)!;B{H7T;i@~7= z+-E)TQjXR2f7*sTN^0VCY}@Xem%3v&GU*dF>v002o`m-}qNKg-1d0luM+S%Sc)|Zx z{5&>D{{N8(=|1C(X|n?J#%T&o8?$;mwzxP#!!>!=4?gsbG6sll4JW~6ohLZ&n*#UBEwJdhpE zbyq70%p`#8kdK>x9qNOnVe(vwTT;{?Za(F1IE)%p-HAp7H@a)C?YOtPW9xOkSr;l0 zTwXKTcQKv=Q@0wHlJjzzzua6{-ZrTm^ zds7*E|0izSibq`fQrD-jbpy6sAL(6-+0!@Vhb)B5!J3DDm*;p%|@i(lAw?)@)vvxlx_DRb zOMCl@_H^^omU+w5%`297wyf$*&s)AA-PXRMt);!QwWY)BA1s#A#eA+in;y!Qy8FGM z+`4QYyKXmRy)?`{-d_RZI4BQHd6xWvl`r5-mvm32gtEnc4rJDeUxKSb;hEvSqL*%6 zk*-hI!TM@7y|m_fM6WaX!9w#gKF(pQzUWk`D%kGl?+a@nE>=GqO_3q_Ub zP!7IOb}gfh*kGcqRF{voTTw+%jkENUH5J8}R3vUF3!5R7i}%T3Cv{tUOK0cm^um?P zn>$-qEKjGcL#OxP1tsgO_1Zw|W@%!kr1fTpYhP2*^0^{dqL;OswDIhx!QNi%*Y<`o zCA1w<6GduU(Y!Q0uYFOvb9GxwdijdwEy7po)|<~jUHUWm-gK|jR9<-Fbq@|_A@0m@ z$*Dx9yiW6j5=}t6y350$&6fuXo`qtrlZ2k~l{RVN*86?gf_iS~gm!&8Eg?>0y5tpy zyG{IvQdB1!W*{78zQ6o`E^I533aG>k~Y+M|rnk!!qSd1wd`eNj8H%FeTKjTk52CHvN)a2SohRZ=asC?ZG0F!b_0p6ym*!~7K;9A59KI`w4jED;P0SFW=%-2**W zRgH{{J#4bWEe_ytY_s{cV-B>E9#VoGW4M528Vl!5^~`l#9hJhSL}xXRJ9}! zjvS)eHR-fOu^R>pW~Z!+WK7zKfNjBdsR-0;2q7Tk(*skd15J8b%?17niTsu^9~hyi zAT*?xZ9{Gw4+bDUtkEcU(ejlw&2@D(v!>3RT3d70K&f2F&Y_OsXJ)v&e-0)cXEn^I zIjgVctlqZPX8a4q>0WadMt(^x&RLMKYMJyT6)BCuhKL&VHHCouGzLZcFoa7RM6oK; zNe|^Q#${Zp!fCHdd-M(}TRxrX>4Ehq3QuQ>C@mY&=sz{u6*YvY^t+u@L+$CsDe7r8 z4HT6=*REBOn&KY4SZ~&(Kb!08H;GGy&=mn`ica92Yj9j}SUpfN5JVYcF%05<`i3)L zLbs${IEb;BD`@e<*-VdiAqRtXJ)2k=QKf!3ORGODId0A8MK_1akp-I;!jZ!Bpf}cJ z;5tmB(N)qSsHhD!Gu6e+zz~LX(Ni(0A@`YZaf#yTY?#0k!TI!Kl!BL7AvNs6bg=76 zC`Qp)J?-n5VWL_XaJ*!$Hjj;mUSmv_F~|y~{CYQ;L12IYp`Ec(NaG4pNFz-i{oIQ15@ zpz>;%A>v1|l)A_kp@fcjpe#)UYF1aP;|ob(rakM;dd4(Lp~^vT*n^pCe^tBG!3mKK zDlvIVoi;BCVh4BcK10QgpC61*=|B*fbb7`p!`_f?k1J=kJmpMqPcN4IF73Y)5 z`{M%tP*MZn#-5BTbczMQGUlu1LzInz4h|4szO6go4EtTmHru0W3x@{>Ix)_$tnNCt zs)ZU4!*8sC#;AtVwL@@75%tvBpL+W<-Tut5KQlxAXK1jPliVK`%qV%0<8}ZX*Y~N?nu4P;y2X9D`+yAr1^!ije^i-JZ)7;6X|y%%3D+v7uyN zi{&m+Bm_a-7>XEi7H*p)px6?&YARdpcT-+bZyh(R0&SLR+E8yHtsSl5vQ}M`SVxDcUclj-Y3oHi(huE|Xivw1 zv-}nYX1a0^g=l(=S0m%%5VYT%+rUs6-U%BE;1*+U>dg;gq9XB4U^s}8#t6dcdm;dz z{|b)7wiT_I7`KDDOma0)L^ln~gbOna)e)H?&@{?dzuT%>j7oecrbBF6Xhu+(nS+T0)tF#_$aM}EVTwpp z=IZJ)VZWu>)>V4bm{9=5@mWL*Q%rMW`b5oN7H4s)1U1~N^nDJYQVzi%V-7VS7}VGT zqHZ>=v6qZWpUWOBm(pU8UGf1l2jB&-*=-e6&oQBgZ2BOxiWB}Ay!RHTi?n#p=<0%*x zD`B@9M8>LCxnQ$Jl{Ee0k<{7Zs1Vvlhd-W>M_iyB^fks zL~6^V>ZZR%4kk)Watp=uTC6a@1R`bOn=fl@hyl--&U~-MS?dekHphm>x%7`nNP4w8 ztL}lFU^)(Tet&BdE}z3K%R4DxQsAAj1ks~u3QT#@ki~k)KxRrlC3Q)zAXk-G)rVIH z9@AXufif}>2$DS5F*shy<5eSA(X{~xpYfAQUSd^xB2BjNT6H8yd(|!a9HM>A=3!4% zTkW?{!U<*8(=p>}gbtx+B^-l$aGplPY;#7!tr!ZDI_52FTMFYGrBBXRz#@xr6LCUd zRvnbxP0bG2W#~LCi8?WxG3%gIe<#Mq?4D)^z||)Q@E6;pQ)$y$%^ScFO6&pEu<$Vh z}P^E!6m9W>M8%PHA@J*}8DuN}$&;V^;=#CE|; z2jGujtMPFX1Lg3+V`)JApK=NC8cegr|Ty zWrz6SV&&LBn+f{p$J`qz$%Vq2C%!<^#8R5f#{5U)K?q_1WkONat?DiN;CNB4)ZbR| zW8jZ=ASEuYky9O=LfAOAuj)26qKGar$WpYfdLL8V0X>PSYeiYA*HJxxPqf4FNxudN z{2gAs5V%i!uzF2;7@XzCh$|Xq1XA@no%h$n`f0vSMajqy1=d}bwJg&$mjx{swl=o} zs%7_dl)EaN#DY01ob8kONmb$%I%fj987GLoR_`OKmIPYqV_RuwM8(>X#j9NJf=gHj~>F4hk+0-Y1IRGF<_XF?kWfo~4L-f;`7w_qSxeO(p%e zM->u5#!@(nD-8ghDp;(V%9yZb_{79ZT!cv7TZv{2F@50kdk#O z$9m;*l#vfyWNYwVfeO5!5)?PP>iWBL@1)>oTTvv$ab_EKSTfrp><)c=+(T^CSL*kj za6c`U798RFU};508r-^ky)FIu>t>e5SKNGe2)(2$)}|rg(t3mfIGJ8%=NNVQv_Gcy zFC=5}cv1U2Givi&m(OdLWn@|%cnVF`7uR5nlwiI(RyH@cbaX_w*(woGkTDf)UCN$_ zxS~dh`4G56U5b>k5h>vLvOw2bSfWd``w(!D78PJ{ud90S?a02l*}XzuX>d)pKo!+s zh@uX(jmpnU;Hr@$DvYpLs%+XFeW&Pl^+utVI`%{n`!Apn&Ed$5(d*TS4WnX+y(9}8 zl|@J_4fVjbAkudfC4RVwoRYo|)O3%o@Szl>exEu?}ovjO3V}BX8j%k{uTJ7o$ zY-G_}*t)#6W3gBRjl*O@?wSV=<1`nW*oN_12EWfsuV|BX@($GJsxsbEP;_ZHET!Oy zm4b+?c-1hLhjSx%)Tba0%foU#(yXA6#$b|-tg}^7t=Jgx%6fX*m)ihyzzZ?KfgJK0=ISV33cdx?#?cp_5Gqva*J0nTF}I z%%QVPZ4@lE-7*hz3V#Xy3n*L|Dl7S7eB>J@FxYY{->S1{8O25+Qe()md_g;Uh)wqj zn5S>xs)0@ql{L&I;;HpW-OAq$f$BuDLAAuGslA8Z$%-0M9azp}W@EiCcY*>dIRTmb z`{r$8;U(pe!$HNu1amS%Iyciu&lly9d9~V9SIA-n`8Un5>eR>5r0I1YzpQs(Hee_q z_gkz4ubSPKw1KZyBBFIFsP$Nv#P%2&TDcIt%Sej{b33H3u`*j^q1!!bj8+?hlsu?mSv6YQ_^{j=qqMHR@tbq zsCp$d9xHdv8}5@EIbtw8*7*vt5rZj8pdjAjz&7rwYF%JiRJX?}=%-Pjj}XO)wMPz1 zV-~Wn5Xh{kG%$oM61H7SEox=!mRyB!5pD%WoWBHX5!o)#9Y9h&zxY93xq#|mKw&Wm zE79Uf5fjLYA*v`P+a(MalpD>#iW8fVE~TeC!H~V&rpZSdq$-ebjN8k!-?1Y1LZ1}UvYC{pO27}D)PxD8A{%wRdrR|U*4j(4NL{~P#4W$3jxP*El z-i?D;oxTaib)j9U&{wBmnx6}>=McI`_TW`!kHTl{g?-#NcE;#qDi@>fZ$>CsDOT2o zx-=)CyBqk`SD7lcb`z^uMfY6_E9uvuFO@XND|6Tw%mloe!)^zc56ia z#;|~f`^gq!q!jxBO0o9CzRId)kW!G)-4q&UzT^@F13Y-irrSYs!#o{ySZD~`RW@xw7ZJFCtgCfm|zReaQb*`t8j7>hp%Gnqb)@m3l9u>d)Wbx8X1^x01 z-WL)NF1E-XB5+1t3865n&B~3v#&V6=3;_1rAPSKkf(VPT_N}{_HPn%n5=>!m;P3jP zBnz+zi@h4Mo{(N!%w9EJ7XACNkb*ybvh5i8Y{f9S^`))?f|l&a!ogv!SP_lUC2lMu zN?zW_hMO{Up};DnHIZfoIN%ly=(GYdhTKmL5^;4ca?RMRheabZj}3^id4d2P5pfuML=a`EUp3y zNX~x&wB22rk@9o3P+jk=Fq24qEH8xI3c8dE$-}VBM|JZw7c#gJ)~!}#Ra0~@_^aV` zGC~zq^Zm5u8dqYG)>T{Qq|!Hm%>W|R5N$v>sCk7+hh}2Xr0PmIR8#h43zxB!Haobe zgE1(+`1-IjQ(O}$iCrf+iWu7#wZ*t1QIZQyIDwSZsu^be*Pgn{A#QVVie!l0Y}3=l zKFgF=Td&;XO08eOel$rbZT$i)`pGr}EX{@3OkWVkXu4y>#>^vVv=a%U@^BV5W1+IYFFB2P3c2k9sj3@G`_B|Hh471R*Cp&`e zMMzEJi%k1qa`+X_3S0LoxJ?8lfgzR{^B#E|!e^5)cmg#tO{^v@d2CRU>5w=S_kBH{Zs}^qPBd&B$(Fi{cmx9!x(8|yL(RVrJw045=$^DP zh7GoRVw=<$5?x~E1xa0rxEU%9kz8-)4mcP-+cT#7p=7(5ZYC=B=Xy&Wh@k?_W3VAp z?q>OCf&8=FTU@^|JzsKIwhg_m0r6)*c0)3cfVo;O$+VvJmZeh)4FZw2#WOIH-I1uR z*(pJA6S)g?kg-%IR)S3?u`2`9bd&gWwp|1iZllb`v6P0UdE&OYRVv+u86cjR!mJR@ zp^SXH|DDvm^s;5?j+V~!!lf%a7N;+6XViai zpTSbOSPG+Zv3fxVsg85eI3%N&%Y$Ie{x0_SFp|^@?3x=dvUpaunm}12Sx-k^gb*+-FQ%Zdb85CaiMS4+>mqTI>6TuwEs7)Ef zWK|4vrVE=kWs%Lz2sK?S0;X&=kEqhJ>IJN8FZLayNBJnH?5u(Zf~KKa*lb-~3z6Af zPI%~8pB<6FNcZ<*aKrA}1`>I9D{zb0bgLrjYbzyb+0~-5m07y`K0~sNa2QzBk{zfs z5TTa5-s$zT8fIDHs1(`BK04f{boG_n%Ce!AypP2^ZieU{th3aiXsX&iH=E?7)ANa7hTESiO%VG?)sU~+1nDys zg7$K?qQSn@4dKIHZ(aSY3W?sJBCoWr0%_lj5rxVV+s(Bu){*#un#kk96()MY_@c^A z8Qs^h0#>flNnoU*LN|6@W7jkK1&=Su_Vv)vx3NP5pp{X%R4U+_j$j0d9(Hb}ewFHJ z8?{v3-Hw-A$p$Z{DHZw(H(#$>4cLp!7mK|^ETWgx=v97yC$)&-z}Tv<G0yb%kScX;WqXrK%7~9){eS%2GMhFa1x~49np?GW`a?_ik zA9kPa7@_c**WNH=>5Aox>Kc4k7Yg67 z>c}G%s2v>opk!XH+bydmz2f_AoI;N%>dY+6(~kQ=URw4R$%Y1%uHC6mjc`xQSiGl< zRqIMHYj@aiu!qVS?&--${<}$IlP>>-0qpNa{sdz|qIoePcs2^5HKGM9*IT1255#qq z2GVQsLOuUvI9aeE7}5{+bB%%c{fO*n-C! zS`nLt%XB!rFyj3#Jkyayf`0_28mtiK%@gtH>> zrgObt$`T6M7p&gTSBmQd^)k3Y1cj${OPII@JA?Iht$-sE8*7DP+gPPgzhEQE=|!s9 z)!a`i^Wwl32km1&12_dK5B<>%S1U`7NKxUhJZOX}yXV`6vnDij0+cNvTuDUXx#!v- z=;ZArAJE8T_?&}FjA1_?aVbF%X{ zS5%vG0#s6kijBl3(|{OE*U=wkM0_f8J$4|_1de$*tBbNFcdHnpD%Oc)D}1Md5If?2im!54vpp*$6i8-=N4!j|`wW+uQ0;N%6jdwg`)W!hSsT=rUTWH30$*ae-(UC!1{Zc}xdjqj^qB(QUt$piNGHfHFEe8kUxyF|4H1 zBXYq1_ zWt^&^mJULM0CeJODn5+oHb%15ZNie{B|jYvDxLuxrvuxjR#E|+Hip;fVuyjyK&@q9 zK#e4@%vNvX{JJu065hT^6yzp{2O(7?b_RpOUzV6k9F!z!Ou}M=NK+a_Ld7^~_6+BJ zAWiFUX&R#s4=#keL+Y1yDJ}6(kSJcNjHlpm(wZ81-%+Jjur)%OuLcr}BkA5AS!a=5 z;40K21|M=`i2U^@4ldEZT5UV_#P|QUA6PEa2^SKKk^wvAtpu) zYu=4xNswRkU%!CC+i#&6r}PaoiTWW(-4} z0TEv|^kaf6)L6x$mS(j_ZMmFoqS$aZdlQO^9WRN;RV2*{|hT>QCaYhVR1TNiH zp4_dPW9Ps5S(wl`&M2^egkfu{b7?10wL|b$J~1*2&7vZ%xL$O!^ph|X>PYgEOS1z~ zctnhf%}$GPWows-1D8yQZbEY%BC|VR&Dgl8a_Jr2B{vdWGsB(b>gVK&(FRDKxPT?1 zb_RN1$2N8;Ik&F`!GuWay94X3=q15eJhv#oEk#H}08eWI&uw5=qc zi#dB}J74T_JG&7@1eThrv5Px-3tM=>8fIFX&6)_A<}YNK3Zak&*JXLwpl?97=ExVk zkty#Dtn0?1j|#~-aGq753zjvzZV2bq$=wW%5ZEA9k*-WjaD)xGbob-287zom|1F+; z)~9U-oI6IGs1uo9j8Qlouf1hay1lNJf9v?So`0wF?+pH(iRVkCFj*2oXw1!YUN5w( zPa;-{LQw&JT_bZm{FH~vyD{c*v0IlLrTG{Jnnj0nEUgKNz{SGBRJFz=SDePbP@RQ1 z|8e2c&J`Cf)EYInTTNRQE_IjM@idt}52#{O6bH1(4OUC*6E#O+99yzht`| zf><2V2MMBN>u8ahNW@LZ#9C^akosrxpSQ~X&Tr%2Rq)`LoT%Z$4sNX4N`GR%H3f_W zFup-uHU14wVe1gD_tk6hssznszM$2ZFIa{CLY=HjS!3y8?1_}lUpFw|WZt^`!ixJ* z?Z)tD93ax|{5rH3&wxNv!4kTLGh?I!AbAroyvGY@fb!Xjt+{xqs^1!)TlG8 zosC;aU7CSwdJre(IADz-HYio5Af;s}A6GP1lP_H|{8Z$P_NPfLg|55Gb;MX0d5}g$ zGjkF)!9BrgnhYm{+d!~*<3=(EZIbFX*(1EX(HL#OOB>9`hQDf3<*^`ZkRaa*5 zyRM>vE0!);Hm_rea4As{lsDzLpjDJCkA$0cKX%KgsfR~%gWM=a`1}Oepc10Mkc`ex zky)3}AB9S9>rM(cwe23n`R_&K^}GAag*AAh8)5b^9@A4&$g(S=!x7(j#T|c9vK4f3 zsVl*gV_QmC<`Mfjn7bgH3+a59PG^YS?5lTy*_ zFB&8dFX07&)s~5{*{-|}pK_O#H`Zo@u@(iRtv1RDj{e=EL&DATE=QH4=dJdg&u^X*sLmtG{HUDIU?zF z`_k5B>E-yRwG$6;+VN+I*ELIFE(D1cIkqI-leaO$g$aG`*>+3fG*4{Gz_hV}&eJNPYjhRTvE0J3CvKwO9>JngJea zhGfRsOIxL-RVt`1N1EyC)X_Pwd5N}P_x!M?^E#{m_<8IIGdC)`kR%}R)mOwOXDwss zyCzbg)+<!P+6-RI*TdW7Lds3S}z&LB&ikAZQt1gCOgLkc!^ zA{s;jic@e^@v7f}{Xh@$fjzB*j17d)$QlHC9)c969Xf!JNyYf#X_n&8M7t^?v)HwI zqH^$p$tj2hrYTwrJ4zM`h!cR#?ZZ^z^k|v!TJo6Tb&wGWWa}K;A&(h3Z8S%$+jIco z#C4>)WW$^;b}8#1H`7bibXYU0oYJ|?G`9K7cV=|87ff{-j|T+IM6*R>2LkD_!WS6t(4k*o4@ zIXXspNoa01pQ6(i$iQ-~>uhUpT|vI~T4A(Q4xCmKY5_dP6}Dy-x^Yq)#)5ij<<9pI zyBla3ENv)3TmiY8Vy+9`7-N~lx{XoOSWzrwAD%nGktPfGL5JnkXD$w7K@kE=%k#c+ z9<%-u?ovyyFqXB9VO-XFrx6(8j5c9cX|vp?n|5T=8TnZDD#8L+-n3I2Sy!SjXgQO3@7 z_)GQ8uduNqpnZ~1wA1uFvC?iA4k{(gMa_JBbMW{DUe4=lpjJt?^+Y#92D@Z@&1m{> zRHae&zD+?s#?p)0=l+{y9wOwD>GsiDY*m$vR5&CV)j^XY4Q;vzM=Y+uww()0cSSdX zviB?J;6V@E%Dr7N3J}VgzwT@2JYfIE&MraefiYinNZ1okPLr4-YK1{vk z81X>s3;SIB$w$tB#Y&dWn%QbCcGuB7mGUx zGBE?f0kL_nZl?bpQ7fby;q^G&SbtUSVC{W^*BKy=(npLu(1o)R*LFjrhOLS+k+3~} z&@0o%U@#~Jt%QqFVck|V6XRL#~RY`efmto#mhTN zXebiPzSpkRO%MERp3%}aB4Uw+IUL849hPTDMImH044Dx8D-DwZB%(%^5Yhj|%a?%l zEL9TR0FAQqgIl|ncGb1CbdPkkj8ywdkHQ2ar1J6fh~zfF7;g!)_f%*sp{h-(2aQ-S z;uE|`2TeqO21JLJg%nYh6%A?aX~F(Vjc#0mwXRm>sJ(;~ERuNGE8T;XclS2YF_;*zK?G0<%*v4t zfRu|v@go(h$|P=}~&ip8|ZjRVzc(4Y|6q&}p_D|4h(9WCukI%@HX2ft-= zwFSSSu3_2hU|n4q9$tN&f5?d$HlH)uL zqPKrdT31&K_rsJDtl?|AXNC;lmUhwALbeY{J7+I@@jMR~pJ;+TIq zuWZ<&OrL+&^AgcjomRnbcnuOzK%FsfK=v43ZA2AJ?D9|! zO1Kv9el=%jZ%9h!=O5WQh0f5>u#KU&nwT72Cq}?B0 zvfi=fSVW+F=-4ECTXoK+H7N46_O}^yw7E10BOC}Y&Bdt|*6U(r{-wyvoQ_0Z6;B=2 z{g8NRu*-u#^TpKF*(AGJVoNm2HxA>y-9SsD3lmxp2z2LV2%Jjqf13GKk(*lm*TgZe z{!^L)-!3n?^;@&3$r)?yo7`4hmmUBPjTn)s(rax}cg2B^^g4^IY9Jb_s=)XMjgqH@ zq0V^6uAHO?V-cvW&ESd%K@mB=t>UG1q6Z5ip@nHreO=^ORU-j=X<1Y^>yTUGV_A$4 zY<*@ZtMQgR0Mv`Ez32=qD;4A{bi6fDCQJS}nEqUyiFXy&ZAu|EQA;=`oKilRbZIn& z9}-H%MO?n{r64c=F;zzhxVQF5Y%c9dU))ie#n={Q$P7#$3P2Eq?auOyw~F6*YLxXa zXq(K_#0W{1;C!V<)w`#|z_zSgC*Z#A9J*|Qj2@Y5j0w+P!~IAWXLVN`YJ$mE*gW&m zYrtx#ouZ#ABaL$?l|XN72V1~qvd4Z8na0-Dc~_MOp(N(p?3LctxsbkJ635J`gNaBh z+W6zU#pP|`Yb6YlzzToG%(H*@;UO^5kBAJ=^Sx-L?246XFy8-wQ{xNhbQHo^x~Veb z(eZ-z3x(3_;;~G_>~0Yf4s`9qa8S#FWg#p#b|c|n(_#3i>LA66jHDxjZia^`iuihU zwHnhG-NFLDlZ7~6lP@&S0z)km(_nt1k~pcDap!{PEp1)2yk$WekNKo^Q2|xJZcRx7 zV1l3Z>go{4x;L%U-}wVk+Z1l5So#Oa7EA|ZvMf{E>Ktq>&T)p6Y$B#HFq3CAvzjqt zwy2Vp<29S&kE}(-3Nn^dfjvCHgrG#~hKZv_#09DN7(0PlY5qnZ0<6KWb3;>=YAz}; zD+*5J{3Ex1FY#kGGJX`v$je-uWON22$s3&l_DYyq^bn|93;mu+&7%e~8pNQvnmgIs z43vqg*JM`~NW5tIfy$&@t0PZQgbc0|qzcAOXicE6sVod5i;qiq2SQFxW{@q!c-IiJ zuyBdi_E3%{6wrt?mV%;AoZ6BvHJ? zBv_`Yk#r$4M##pNGJU~cW)RDHiTKsth}#{&3Mf|HV9Q>Ayr-XM_!rMB=qJm+_N)5u zZ}Gl+`FsMewwKS=^f_H zjl^H)ZN7f|m;#dC1aI?AQTXBB+$T?opg+P}9Enf#+9L5Iy>~?7M|r!xAI1M@&)X4& zPx7AqVH7^ud-g|B_%UPZEx{EaaKr?K*0A-;vU<1+ybi7NL5;NzhGTMEYK2;489b7JV1lYaAH zu-v{F`nQq3<|?C~WbC~U#n5jj{ewlLKbiDDj-me@>D$UiKbiF76MJv(=8<51j*Fq6 z30&l}d2NvY@)-Iw>1*C<^v=1gi=qDy(hqGidgoj|9YcRV>7Tv9=#OH1e-uOSP9($D=+u>R{~=$-y-{78`h z`(o(tA^!;;?I5_U+^jFzn=Fr@hfgO+P{!gUevCZgRe;sx}bUj`F?${pWe|!vmEpX|_9S;WSTVv?EfQ$S;pEUu`Ht~DC z#7Bwu5-*Ye6;Bv{PCC5zkbX(8@mWmzkC1*Y>0N()33yb$e24Vjlg8hT$LC|{|4I6n zzHjs{Vw;?b=I`v!OFN9-$^Y~idKVA8^h2X}_VBzI`gY*syh+}Rj7#xTKbI*EX+33p z+_)Zz;qxxi&y|6OkF%?{#n9hJ`i(n{-s$0!G4xIj6P_`8Cx>6e(EpzNH~-Y=U7T~! zfu?VF6L)-01RmAD=a9bkzl@LT-_96%=dZUs8!UHC488N$Tb?(1rw=#8(7S$l=>?;A z@x#Yr=)VkH#@Dki8oi4Lz8^#XThibCYom8|a{q&(<>Tl#{x(Q|LJYl&AFlZCApLnU z^ykOmoiTVHaA|Mt{}_L#w;N;VZzjF>lF>VT_(BZ5>#v$W7`^MSM`P%p0WS9H@w?4Y z?9tKTdA}yU{T{)Sj_p;T~^?P#+{Yvt` z`<0*^3NiF=kHK#tpV~hgA2+V=iJ^D?qUJ9~@BHjTG4xN9{}q2VdS~DMCx+hHjl2IA ztPi>*Qf|(kzeIXx$BvAlKLfb*mnVS)KF-c8h@tO{!F$MOV-gM*A18;m#?apwgKv$& zzfAsD9ANyN+`b<}KT3MMhp`b*p7&AUY9FRqeVm^BC-EBMF3$Wu@wvnu|6eIS+50W?gqYUZ2ZloU zUqN~&&trj0Jx?C7`nY*Y9qBic-r1`q#J3Q4^~@4~khqiQ)x@6-mHT1hUahs)@&6L> z8sg3$JxP2naTi~`K>P~guH11LIMS~DKW^>%6#eFrz(o!lN$>2*RN`BRJ9#cv9JQl7 zYbef3N&hVAUB8SF_v)-&Zl1oGcn$Fr$>(_=6!l1qBc}JQBhWCH`0lKZ5voL--`(J3{zz#GeY`ClKEi!rw&vXCeG7;?IWg zdg9NA@L9xP4B@kh|2BlrBmPndUr79qA$$q&D0^}laM8DyzhwHhj{Q4CK0^)GFRmZo zNqh_ODWv}-`RsVo_uZB3Q?_I5Ub)ChH z_jd!AdS3HqwwL98jQH<~e}nS*GWiT~UB>ZwSn)|-Ez5-&(a(<+pX|L#9MePnxcStU zxz=8g>F598i_~ZJE0iZ2@E7v&o;pS89G`<>FlC)0Mcj?kHvo^4b3N%Ng!nuH10{SW zhxp6}z0~LKzgc~bW_?;>_+Ju(_mR(}e;S`tXjn&L=r;kE`n(}jpPLkiUv4(JIs0~d z44<#Y;NK#j&n+}Q2#56Z^B8&;H%?w;^aw}w^XnM;zmxwYpMr^e9F1}@{JZK=_tIQ^`Qp?CZrTyFFr)6bRrpuge*qjz!m#u$1xf7#q_^v>UX zG=|>Abv2zq{`bVte*?Ja;b&KwhCBKHfcTe)Bb?LEbHpDa{s!vp{}BJL)yC)Jq<{TP z#hbPkJE`sZZbK9C74-pu^LK|BU!%;>{Vu-%k7_;L?vZ%Mn_Zi^gt1|h_B_FtH{k)g-AI%y4O~h{}{S~Blam6=Df991&-%msH z-=yD2dKZTufKW#2e>ZWE>8FPH4&u&EHW7b`xNC1a@tRd8=PBf~26)tXSs#PHNBKyvdhZ{hj}Mm3S?2 z=NAr#KtyhHiM#$fo%j{R-MDTB9#w9e(ogp0vRxq3&t(qZb&3JR3l9HT5PzG)pEaE1 z-iL@U2;rXtE^>SM!$x@#<+e@fkw?my9Pm>=PmzA~BSzm${eOYM5k$wsBTHgS8ZA0&Pv z@yCEi_16!TezMp9tjXE=@n0xD$!iP64}T*4M$*qU_v!7Afg}F$!RLbgbrkVOLij1f zA0zI{olbm5h<-ltr$YDzz@^`>zuWrpDz-O6`e#Xh3-QZ{Z+zbB>F`1Fd6|3))Q9Vp z9(mMW>qplwx03$K`>fn^XrI4C`nC}Llf;LJJAe9u;*-3&l(TU|8Vz_|FI{G@N(9F7I4w?A<{eh&;~rJKC4N8_mJ^- z_I8-~4&tu8?^b-WS2Jqzcl~}V@l%OAIeeM;nIZgf;-sR zvxfL)(r+aFNyI--{BGiIp7k*C9mEk%=;x=zUkcIx5qMNT{zK^}c~^wy1>?~`Sr_XM z;S-4uh47PsiyV$gS|0eDtk2oRe@6U0lz$iT-2O)If#B;AL^l>H`W-RE#&hm@n0za$=((0FQ>PcD*YsHBjo`1p`X97 zK0|M_`Z)d*vGGIXxsLQs&reev^9a(raW|j%7UD3!`niPogT$SGFA?8C-08!6iN8eL zjnmH&pK!I+)6qXnyq380gFhqQ7Q$a9J{00J0g5X9vYEKE1E&KQJ-KDB$-kcZFrV~y zlitbmQsO&8d`5`BMBK@FGw}%Dxu*b9YGJ))3zk(yI@U|He%whk2fT9s(}% zFR!xtU&@O94!HE!v!p-Q$h{M$82u+MHTt!r?*K0JHP;#cI?{iX_!Y$G5PzKb7UHXk zzf9cYzSeeE?g_^K3gXj66A`0qMU${BNHze2@h^O#CnNjqm|!5I#R6{<-%V;mHPj zFB1R$bqPgiF1^HwR?o}MHwN#)y0v^J67QI6e6GiS3;CQ%d>P~3TMYK*5`UKZe4G{I zT}piA>x}>3SXQX z{=KZ{FNxp#Ph;>LaqlFP!yCV2z-L*{r9?|sr3l*oVl$uf>6dqW|6G6lHk`LB*LKAR}FI^u~34LHXx zd-I9^reGCueqjai+3c@(u-q*17cSUekv9?FK>S zcHv9J-?ZE+@)YSGBYpt$_Wi_VS|t7c_aB)YzCrwN#5-;>0bEVHaSS?6=nMB*xvvs$ zB;GXD%H2pli-CLI*yj{3A^k2sPLjkv5BXe0{JX69^_24s#J4|X^(-2>w}trqvJn)Y z3yFV$_{TqBc$xS%;&;Dl_5T6!CyBp**yxwqAMZKhCvP<1V$%PW_z$|Qy$gvSeX7al zj-|%m(bp1xcEtEhr(P{4{>mKVGM|Lx|IFBk$C@2R&E_{ z_!RLEc*cK(_52#~n-4Gr{N@36;k(3tbCJ=%i}b%B{?u;`c$5>!SBM|^N#k<}{my}> znH&bGZ*M1`V~C%0yVcX#+v&i^d5E@lK=FK^R?>fFs|oC7%I#9(UtM6tf8#hR5Z`gD z3E-W?-%b3Q*^~qEPZ0m`2dqBahUncxe8qnlALlO~B>u+7jQ*Xh>ko-P_XDFpmi2sr z_{GN=e!bo1jXT}s(|Ll`$LY!8#Aj?Z!tav)OyZNejs98o*KFcX?z9458E+L@M*QG$ zM*lO`Gf(_0v_B^je;e_0RvMqx9Csfi{`SpAIExAHJBW{`;dJ$Xl=!E=Z0&vZV7qS@ z@psd4{hICi9q}o&d-t+F2cBW_X_{hu+K3-Vd;*_`a`U5;i0}A})&I{2+70Ise@Grc zz~>NS=XDa_k9qQLBloT%e!>4S;9$GWJDzyB-1ifI<}PD=DD~>o#Bck9;SaIB4-@}% zhXE<#PZ6K?W23)=_4zgNch9$eoJ#z!#LuMvy_R_DOq1LDuQOoJ!S?q!;vfCJ@lUay zO~iM<%kbyPXCd+LPyyde{Bq(CzuxG}n9Mu;AQqB%biJl;#{M9f%>zU`0d9TJ*RozCB!Fl zK6QiL=H-cB!SUth<5v^E1!14hkv#weqvpt{)LUmiVLR8J`~#Ka=<`A2Ptj6$^>!7xnWy#1CU0 zwUK;w5ubaowd+{>Mg zyO;Q+%M5V!e4Kchd9&@T=kvfNuk{%74`k!LMEV~xj_TlrLu*Yw55Cvx^P-av@!elE z;8xN%5-+p<7ZG1Zd|c7wjJ1WPILyq}}%^;=f&L z4P8Mwf0_6%YK*b-gFA?SeTvERL#*es#Ji^%{aX6#J;c|1!SG+Qe~+#+`Q-9epYM_n z4&YF|+Mj;M#raE!AI8MVZ8QW!#Fubf-%UQ(5^ozY{_iLLKH}vI44+Q?OT=rwU=@_- z0p#--@q;*@z0P3om&D&oyotD1Z}q=$f8&4oA$H+t;%|A%@Y5)VGl~EG7?UT&rJp&( zCw$N3CeJI%XBqKq!Wf*zae5W;uW_C-(~9wKApW;O12~=YK1lo#<_Fxq^m~b)exA`^ zd#IKBP2vkV?oKh-+eQ4;k6Ark{a+&f`A-<1ceDOU2wd#O1&m{_CZ9JEe}QpbC0|PX zFI$a&2kEYc{G+3kmk|ATP|!a4o? zkodHVjll-m)#r$xw8ZL@r2PLuJVyih68TJ;VRE>ShW~r)$J2?wgYtZt^*o>Wd)SYI zts;5y*+~4T%dNnN$me~;J2@X|C;oBbKl!`yN7`RMUm^a( zTdcs@v>Q(m{~X7c%WwRX_#7@o*Kqv4Zl=j$&Sl0Q?nXbS693D04S$gM4C41NvD!;H zFC~8OJB;4>my3v(9Dcncl?DI6@ z|6OPGKaKKfA%1npPhCpiGP=V%9VRN@q6#F0#{PszDoRq zXPF?MB>o8TYnK}1|DgW=l=usr7d%1w-x1%yIB+rh<$zfxpSw6tT^|1R#6QBk;tJM( z7V+nqS9}xkX5w%AgfadR@ym(-B^3V*5kHLMr4qjpf6KkbK=zl*XEX6vIF7clU3U^M zFJnisT~81{>dyw8XWirdhWI0#kGS~o@5H|rnok{y08jFw>zEfMd+#{lVuvR(aQFf1 zGmH59yR5+Z9M{W<@0YfYa^o~j{Nhg==U-cMy>-OYL9RUv<|m3TX!&+Q`p zy~KaY`Qzu0whNCC{{j=YpJT&z5#K<6v5|a!OMKRGMtCCe{o%Mp4&VQw0d71VL;R8< z>lf!Y&m?~5g@(_g+~yEJjOz<oOZz?vuoSGSkZa0n7ab@h7jf`tKn9pNUUdY=q8#9d)+J=O@g!|Hf|f zP9g4{Y8BjhtT8%|`19{J!s}S>MZ}lhY4!OU%PkWB!9hmo{M!47_nct#_4Kn_h%e-N z2i%H&?j?RI{bQE+Bg9WX$?EeL*5?`G7jhgqKEEbj;QVeC`TULe6YRi;h#!XdTlD9y zP`>MU;?FbwTul12i2vYK8(-HmKAcbd8;cF6x%5^OKjrgQf0{GzEsnn&jDQcq4E=nF zc;{bDZZ{Y^ZyWKyGJpO_(*KZnJ;(Jiq#q@|k^bc((*K?KGV0p`;>W=di5$-Sis{4C zv>SEA8@SGRBk30qFK@K^uVT3u5})&k@wxUoyP==>shD zB>ouJt&S&t8}Ugqt)4D^_$u+%b;kb&>h1T4=R^6KQR1IvKH2sA_YmhC0Xc-@qWj_B z1rNswuY%7JtegMOAy~MN!V@sO!Y4fc`ahVM2p*oFu55|sb2KJ6LLXiidzAIOHWas9 z2E`Ei@Vd_w)bnuo-xovs7G}rPzeqmeb)vQy`pc$Sx#2uXZw&ogV(=F*uBHCr@m@aF z@bJ3K5C%?^{1;I^;W+b_m~y`mgEzrnOMSv|$S~p@!NYOaqcME`6OL8r!+EeD$I#bO zp5Z*zuRvepS3rIrI??!t_X)hJ{15Toce~lEwKkl*N#_au*v~Y|KkOIkW9VOq!S6WJ z>J!cQZC;yvLLj${8E!)F!zn$U;+^7y%C z-<}WIw@JXG^t_gKHN3B2D(Gvxv7hsSNAbBV2LBB8Hk{8a#L!O$@JG%td4|`^?yEOEoPT=&d}_S0pIyMC$&qNhwwV)EZU`ssIPq7deeW>K7{kMf1uqC=iyI4yI``$ ze9qPO9^yUvceBqhzxuf#Mh-dldpN%8jiG;Q41RMAem3qpnH-^1&G?<9SA zedn(+^?3{ZLU{kxwe;8F{d*H)>Uk&Y6JBS0l72S44tq)rpR;4|#f(qF>w|4E^#4PD z6yE=K6x$Wv57`sL=gl$rjWPHqWAI;5&f)!LH_(2D=Vg~OJ`Cp@zsR^QoCmuvrrf_$ zZsB#whhylUj=?80z76kdno9oR_1psWA-sP5TnzuaX!pYVNnVMe|32+%IB#=MbM!cR zV+=k!27j7yNH{;gnf(&Z>%Kxg5APpX5yQV5_yo*%!t10(+WGMQljGR$;XLgi_{hAe zCiGn4J7dcICH+n~um0&6`me>{4=a9%_lvjhr}ot4Ex$&&h1WZtiQ)6R82s|n%%6t$ zcfA@ze-Py#-uLnk`i1a5#w#e#aK8Ej^qb*z&qEeieI{_-5!? zCzzgu_vbgp(4WHb9^SXM4D=Hs_E9XOeumfgpJMzOUT?kpM5|AD-+pIIefA@L_&I_G z+Kupf;?XgDaxwUQj8DS*NscET-VgJZ7(PpAAHw@WKNLg1jrtJY?>fpnQh0yw?J<0= zWc(T4Pcn}339s8e5X0wDr5}5q{10O2i{u|(hxPErjAE(W+dH)z?^42xZSblByidA> zH~!*X4tSd!-d{54UVYw!*B%zrnKIrEjTaT<@j|kmsk0i8LW(59tD_4!ydq~fvp$VC zU=QO>S;LuuY`UjBFo0KB;f2SQUwCZ`-u~?;?anvjg$|``v%RZs;qc%LQsm&6qVqnczhv1(qAb zqp_i37DyLmOQdTp^bW?-)(fp`&cFa(_jf@#TaIr_Z8ZHwcuh)M<@LI;rA@E*OJd)} z&1#b3+U4~tXmx;|Ev#aDZ)QWKne(Ag+2ObH0rc4fNP#MQWAmekk=1(X`^1sjltb_|w>yJLH| zv9_vWzMJOf3Yp>cF(ozCs(z0tsdZ`W4RzJ==P#w4%a>XUOR~d-Y`z$Cb7SL7(QO(c z-W@~RG;^jXs|mVF+MS<|l6tCuzVb(3H3vp`i5}h%1H%a`UDRJDJiKG3um-QoDdq5z z;UHBSFRMzg&*D{w<)I_q zz&o44OPp9N-U~U5_gkUD(KfZIwl?O%bQxqYIP&K6YGb?eOVN<(@2CnjHo}5C<+1*V z6LG`zT2Qh(_DTv5VlG7NV1-iE+kVX(?u#jg86{4vc)i-dUFV|O}Rtkz16*hVXMOADq>_fb>W{P=&b2HYJ zkzPR{3P0GE&y;!xhX-00=&%^#Pl+8OTy?{AF_qv|xoxk$Q#u923$i1*?kr1)WZNjV zp-O2?UDNmB&Knz=qAM%vYn3&9*P*#LFW59)s=*GrXc(^wuegFJm_DU)sd;c{{n#6- z=w5wLgVdEpS8wSY+^ff&HN)pIsQnq63rL(*93lX{%`|WGK%x^(Y z6gO-d>V0l-nZC|Ajfx6Q%anB0}hxKZ#XK0w^6Lk2Fij7hpaZ+f2 znmUK!2-QOAkA1sb4m_oe~QKfZV zQAMJ(2i{Xc9cT~fDw6jN)xFkq)(l^Chv0JW#A<+0no?EDvUbWeYSGe8yj8Y5oDB#( zaD{?jS-@M9d+4G?IMxamMQ8y~`+-a;Ry}4-7p0exvkq^sE+RNtST1x+kTOqRy;n3F zvX|@N@B9QR5G~(XV)t6Rd%(ug!ci<)+^$uo^EU@VM0|V&h-RwFMC~Yd8F6eg8?>1w zFINSh+%$qKmvVU&uiv|Eo|VoO5j|yk)*CvI#k*GJJ&=f<2csKX-`Fr~dZZX|rLjKt z$}BG{rYoK6u~+*-*KtErL);CBnXRIzUMZWln~UoUakZLNJ0phGENFj}gzFn;O`jQe zWkxKwnX&gY#5HEttk^4!GrXKkC({zXWJ-8hF*Gx#QjIfa#a+RKNnXiXE~ZO^csZ-c zwy`m;o0{t5n$QF{6D_KySWz|2h`nbqwV-g$(`y*sQ;sy@7Hbzsq_2E_XG11x)kg@&dVMfhmlM)357&@VkcgdMoI*-}0M8pM|b$RyIa(#>GjPy`$T{iEBh^XcorIMuOZK~;V&T2U3LT?OC z`rJTYx~trq#_OXoe53jY_2+OY4QIL$7=Vu7G_jS#sNRt6;SiK7P&iB`v%_9VUhthC z?CW#i_3E(RW)}(A2rLivU^w=8-B-y3OkTpvca_^^qb>Ri;&sd!@m_kP@)u3+!YNQ3 z?9Un#3*O8wZyko&DD>u}W!b_=x;xX|pY@R6>p^C3#0X)%@aEG3M$-T+4G6Ux`ZF35 zb}XJ(m+l7_p`mgLz6_YQ*o(q@F6FUhGk)6_@ z6HHJo#MD)aaZySYioCC}&KE|577jObw`OeOifyd*1+{W}cEB{pUp~NvNM$Ks#99{A zVpawEwn2H9u6f(arIvNsZn|<6g9Wx)1$kZY^m-U}2t8Mlys97#GpdMqm~=n>amvE5 zSO#W~POCtR*IVP&)WzILHjj5xXNOH^k!vXRM~kZ@#s7BSlLd88XL)H%-Kx7|f=a2Q=FIUIc*xUz*+ zkQXNFcM)-#7C5Rhu>aBRYHY$3CHf9jKk5Re$8}byc9ZC{SO)a{kaSroYi|k{HG)nT z6u^bbZ$EXwRF+|_{0iV{ib;%##7rYFs4@Ab83+R)GRY@8<&IUJ88L3BX(rOCkdOyyp+H~w3mn=G3#Gxf zXl{QQ8PsAPNoy~Id>wpSA-fi#2VnT9Og>wL-`1bebwCt@+z%rA!Gc=mhz!FlOwOVj z+cXPxw2sT0Q0QV6%jfY@@$SJMysEx{V8MCj9!DAh*}xL9x!}XG9fUvET!=KT!h-Y| zu+jBvoE{zgHZ{(OX;I_M2Cp|;>hAv+3W*8fn;M&9#$yvyBvPJDF|&>)iN3ps;39Rs zj^6}9g8M3o%ChnUbETd^By6+2nR1?H)zOICM9^#9MFyZO!CVV2>!$x-X;%{)*-?Za zA^a(VKjqr>~`cm3(Otu)24)+kKc&+j$s z23X%P?3PyluzBhJnU4bBtQF=Q<=}sUs2%uTIx{%kBT}pe&3nzu@!Z7EeUHY;QqV_u zkG2WX;1Sj}4cG2$-`op(w{}BNWi?*79hTG4yAS0Pa7qBa+z5GVo*mvU{34HRnr0P4 zQl0gDI+&T4S#E4@WXhZ&jZnH!r&Oqk@iXgDiN@oUM&E8M)q1GFJE0B4M+b*_n~=N4Fj?=~-}TM`#2 z+TJB$MV$MpYoQ5|D>9owSC1`jQg!N$^R8S0tcXk-QwzQ9RWYbbqOkIo|%HgDbU1q3#n#SyTY34@nwpsSX{loQ0IBa2NV z9H7}B+F2(Gx@wJ5Gqhp6b(PgGcH<80WI-D%8@h2Q%OhB0AE;OV{-f7?scJ8H^u%8djoKwA0CKa15V_DROXR zWP+t2!fv>J>zS~3!!+QH-8(TERgYW)cS|iuSS)!-zi}7wF~p+Bu=KHaA=wh;R4XL`#oRboTxpt(wzCPT&OvsgoL_iE zAU{Qh;M{P)DyR~cC9vfs>z&VWLM+tPc&o)tvF~AjInKiMgWFfP55nzh*Y55eg`@4O z2YW$gWz1xXRH{6$4l%tmcO*o6s&QnLlrUSwQcggX^$t$uQ(|ztHfP25)I#AX;sOFP z(XQd1y|Q4J)u$~XxlU-YAgrM=(HwVq}V>fBmw z7$1SY(ELQ`*qhCelp_>6?#&rfg9kqeNTUjZxMQizlB6EUBj{BjfuFx?SGKa~u?S>| z>rL(l#7$TEyGto^1uCf_qr7mc!PV{{KeZ46J50_v72Xyfp+wUp5qtHSsG-er;Mbo& ztWtcRr()V-rb)FGH{J$0m1thE?M0Sdx$(tpXJKgHCdF0}J21k|Sqr;Nlp7Xf$UKA6 zRxq6(H%M}@TnWH@wrEad2WZ+!oMY*;hC5Fw(pwb;KYocwzN7icGB{)*I^tJA6PJS9 z(=&Ak^D|={TPv8-Y_gamOaQZ1B0M@OkFJH?v?2Kmx(>01$f{#JUkK{LO2KxT>l%^h z7W*&J$xIsqO`jt7e~ z;1KquVzU694C|2+2$FQDq{$j;)RKP0hlR;*qs(N?sYKz}PI00jwdOB>O&R zjqhLqOo{od3)Yua;7DK}>@1?MOKoZ^DB*9!OCh!;Qn4APi0E=v{g4ojZtj>IWHa_m$(C#88DZqaRAPn@=nh z9IXlx!*?yxdEl;$ps_le!-r-z zVjyYcIJ6*%k~Ur#sut{T9(9w!ZBd1s+E}0;OtA7a6$9aZqVw zVbfb6slZfs#19XUR~U|&SQz@2r^K@=W2;VU*jXUC)y-s;#~7TtuSku~C6`_2q7xSP zR<&ycKVYTlrcq?(o*H&dk9dJQYKBKgma^nrj4q>rFNY9VWuWYVY9emNw<{% z4f_QOG>st(Sa^62LPlGjN_u6yNDwG8idHESMllP=!kaG5vr^^zpeB38XzGF_Ap!-8 zHkD}alx~WBOJY^NEhW;cf+^x#%Do!qOZK`5YeHPwoi3PyN3plr(A%9C7K2%@99r0l zUOgj1f}|_-a`@%t(3#>J5QDy%a=vX?m`8MhqDGwT$cM3;6iuu4hc zmT3p&mJ}{+$T-?eEfZ~{^kZDFbQhMKzZ{vR<@qGvdQi1Y81p#=j}F)6Kwgd9q8rZ};+8qP5KV@*WR*m5JDj|q^(4kU8mh?hr0jGpwyldY}m2m4od!g^!9 zfgGa2jKTY~(iT^FT#`r_ZkBGrx?uXWc|I0XqMz1|PA3cpPV=%FnN-tBJ~*Rl5+an3 zh>Jw&(yD-sY0}x;4E?;*8b>2s&Tt0XMvAV5sHXNQvsKdJ-C zLo&EU#aQ{$SeD#W?Y)&F^AO4vURUK2JI)Ztp(aWnLD7#pqiI^HoRHS_dAyNU$+{dv z=d>r2p?!aobdboenDX3ML+G5ff@TM%@J2E{i^2{89uYOB?meC^ru_DY2QM`!9`=uJ zV#D^=1R$)ymjoQO+DMye(??tyLnR2PaGin9bTX9@x`TDJ-Ps8j8yi`l)JZ|PAq`F#35@Hd-r4K7O$s)j9((m1J3qT%i ztGm>3pF2OlK9P3KK#_@MYc#vtX?5 zqC%(;+W3aGTAHd&qpV-Vk0?FNWVFy1rOLX&q=MsulJ*6)X5>)fC0T@2`^JaBuuz zWL-Y{tf)O8i3P{3D01m&Bx<~R%?*sWyg6e*syeJMK1JGF*2vFC^Hv)rmR-sdzk-R_ zYaEXk4ROuBijxDm6L-APJs$%ylM+lLPjD#9@9`x!0nf1cw%E|FrpTLY2q!jh**Koy zR?a{hvL7~P6QNb32V+iN1as0wCw`}(`bL;cApDw8919%?Uairf16(F^4ucxHSPckV z)}Td-@C)kjf`Vhf^K>2cdOM&o>yO&)8P?S9;NbN98dUO21CQP*_5WOc2EZS}-y_z^ zEAP__FTZ5Ll{f8^?cztE|82%jopC8Z$UT%F{ z@7WEzcKAC-s4sKmDlQ`+q~vZ?hY?dN;!VO}s~mA1_}v0LS0Q@$wn8 zeJ6r{5$|*2$IG|mj=$}l$uE~W{#KoJalvQa-xTi;*5)!Gt&um2t%#N+?U;JtiqEk(e`_5OZPfxmF7?Y;bnH?*=k{~sB=uiuuz zdwKgwV<3aSV5Jv7#synA{EPOx@Lv9#!V!I{7Q_&fZe!5pK58Uf215B_15t4)5h3D)7bc{{B|s?dKAU zUL4NLKUd)Wcl_S^ox=aAfYgf%mHW4G&%S*AZ`pgfU-`Ylzf^e%VHN)GfaUxvY=ZZB zD!i#Pw9pUR^5f+@(X~9Ve}6OhwTc39IB(0}nXT6G*;9Yf@qco`HUI;tw*7Ps{I4J5 z&X#3gV}t0E-ennoU$I)p=8t^&vjTPX)%0n4hu>i__$vK&|N1$B|HVToihmC8<<||q zXkZurI{Ca@aed>D!+9O=U3YjL%eMy#KMh*@6pAl`Nu2L||Db+z-qDu&|G8Hc{*QZc X?J}*%UaR2u9{+*>9#jyn+-Cm)= .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/nearn/README.txt b/benchmarks/new_opencl/nearn/README.txt new file mode 100755 index 000000000..6f5d8bfa4 --- /dev/null +++ b/benchmarks/new_opencl/nearn/README.txt @@ -0,0 +1,33 @@ +The Nearest Neighbor application computes the nearest location to a specific +latitude and longitude for a number of hurricanes (data from: http://weather.unisys.com/hurricane/). + +The Makefile may need to be adjusted for different machines, but it was written for Mac OS X and +Linux with either NVIDIA or AMD OpenCL SDKs. + +The hurricane data is located in a number of data files that are copied into the working +directory by the Makefile. A separate text file lists the names of the data files that +will be used, and it is this text file that should be passed to the application (see usage, below). + +Nearest Neighbor Usage + +nearestNeighbor [filename] -r [int] -lat [float] -lng [float] [-hqt] [-p [int] -d [int]] + +example: +$ ./nearestNeighbor filelist.txt -r 5 -lat 30 -lng 90 + +filename the filename that lists the data input files +-r [int] the number of records to return (default: 10) +-lat [float] the latitude for nearest neighbors (default: 0) +-lng [float] the longitude for nearest neighbors (default: 0) + +-h, --help Display the help file +-q Quiet mode. Suppress all text output. +-t Print timing information. + +-p [int] Choose the platform (must choose both platform and device) +-d [int] Choose the device (must choose both platform and device) + + +Notes: 1. The filename is required as the first parameter. + 2. If you declare either the device or the platform, + you must declare both. diff --git a/benchmarks/new_opencl/nearn/cane4_0.db b/benchmarks/new_opencl/nearn/cane4_0.db new file mode 100755 index 000000000..26ddcbd2a --- /dev/null +++ b/benchmarks/new_opencl/nearn/cane4_0.db @@ -0,0 +1,10691 @@ +1992 3 22 0 7 ALBERTO 66.5 79.2 129 899 +1961 4 8 12 12 LESLIE 26.5 34.3 143 792 +1962 2 2 0 8 ERNESTO 35.9 33.6 93 336 +1991 4 26 12 24 WILLIAM 27.9 59.9 135 196 +1986 5 10 0 27 GORDON 34.1 334.3 55 803 +1962 8 24 12 10 GORDON 48.1 145.3 10 670 +1999 3 9 12 24 KIRK 52.7 335.6 98 219 +1998 9 23 0 6 WILLIAM 47.3 237.4 120 878 +1980 11 6 12 18 SANDY 15.8 84.2 153 47 +1951 1 17 12 17 SANDY 42.6 293.8 60 642 +1997 4 5 18 23 VALERIE 7.6 6.9 142 644 +1952 12 24 6 20 ISAAC 66.9 46.5 31 804 +1957 6 25 12 27 GORDON 37.1 86.6 24 792 +1952 9 26 0 4 ISAAC 12.5 11.1 89 307 +1979 6 21 0 22 TONY 55.6 259.2 84 71 +1962 10 4 12 11 LESLIE 51.7 110.4 64 161 +1952 12 22 0 15 VALERIE 14.2 74.6 46 792 +1985 3 19 0 20 HELENE 46.6 78.2 46 314 +1975 9 2 12 10 GORDON 64.6 88.5 114 331 +1981 3 6 6 18 VALERIE 12.1 257.0 100 376 +1957 8 4 12 22 NADINE 45.0 9.8 132 538 +1983 1 17 18 10 PATTY 17.9 177.8 83 202 +1997 10 8 0 10 KIRK 52.9 195.4 119 376 +2000 3 23 12 5 FLORENCE 69.3 256.9 35 87 +1981 2 11 6 26 OSCAR 14.8 24.5 85 421 +1964 9 6 6 5 KIRK 21.6 199.9 29 262 +1984 1 14 0 27 ALBERTO 37.3 94.5 28 655 +1985 9 23 6 4 LESLIE 49.5 276.5 117 462 +1960 10 16 0 2 HELENE 14.5 79.9 157 781 +1995 7 16 12 12 SANDY 29.1 135.3 57 70 +1988 8 13 18 24 HELENE 63.6 72.4 151 561 +2002 12 17 12 24 GORDON 21.3 342.0 54 124 +1962 7 21 6 22 LESLIE 35.5 163.9 43 16 +1955 1 10 18 9 JOYCE 49.3 162.1 44 461 +2002 9 21 0 12 ALBERTO 33.1 195.0 79 637 +1983 7 5 18 23 OSCAR 43.6 301.0 37 715 +1981 1 11 12 25 DEBBY 27.2 344.5 59 23 +1993 1 1 6 10 PATTY 24.5 27.8 63 468 +1963 3 18 18 8 HELENE 7.4 342.9 131 783 +2004 11 15 6 7 BERYL 48.2 164.4 82 195 +1992 9 15 12 28 GORDON 23.5 300.5 131 727 +1970 3 12 0 24 NADINE 19.5 117.1 155 269 +1956 7 19 6 9 BERYL 19.6 164.3 17 807 +1989 5 16 0 1 PATTY 57.8 21.6 46 96 +1951 1 17 18 23 BERYL 30.5 140.9 117 544 +1977 4 9 18 7 ALBERTO 15.5 193.1 163 151 +1989 8 6 12 19 LESLIE 63.3 327.5 92 629 +1962 11 19 18 5 WILLIAM 54.6 255.3 147 219 +1955 1 3 12 11 PATTY 52.7 289.4 26 884 +1962 4 7 0 2 ERNESTO 50.2 90.7 161 182 +1960 5 10 12 9 ISAAC 45.5 20.4 21 198 +1968 4 19 0 3 DEBBY 68.9 197.4 148 356 +1976 7 6 0 11 BERYL 55.9 115.0 37 42 +1986 5 22 0 17 MICHAEL 65.1 176.9 142 552 +1986 8 9 18 18 NADINE 25.9 213.1 31 189 +1993 4 1 6 21 SANDY 33.8 212.3 100 359 +1958 3 23 0 12 TONY 17.0 114.5 98 517 +1958 6 6 6 11 ERNESTO 65.4 33.0 78 813 +1950 6 2 0 26 FLORENCE 43.3 166.8 100 775 +1988 2 11 12 20 WILLIAM 41.6 177.4 152 312 +1992 2 26 0 9 KIRK 47.1 259.7 16 439 +1954 10 24 6 11 MICHAEL 18.6 351.6 70 320 +1998 2 2 18 15 ERNESTO 53.6 240.5 143 674 +1990 5 9 12 8 KIRK 45.4 35.1 68 801 +2002 2 6 6 20 FLORENCE 30.3 53.2 81 351 +1995 1 6 6 2 ISAAC 28.0 309.1 120 130 +1956 7 8 12 4 MICHAEL 27.0 10.2 98 5 +1976 10 4 6 20 FLORENCE 34.1 38.6 134 466 +1977 4 21 0 4 OSCAR 15.7 66.9 76 187 +1961 8 19 0 1 GORDON 20.2 241.1 92 46 +1969 4 10 6 22 WILLIAM 40.4 97.7 108 774 +1972 9 5 6 11 KIRK 26.2 284.5 163 370 +1959 2 4 18 23 ERNESTO 55.2 232.7 53 753 +1976 3 4 12 7 KIRK 15.5 4.0 127 187 +1951 9 12 18 7 VALERIE 54.1 163.7 102 407 +1980 3 22 6 14 GORDON 10.9 57.1 59 289 +1984 8 9 0 13 RAFAEL 45.0 200.2 147 302 +1974 6 9 18 27 ISAAC 32.7 176.5 62 501 +2004 4 2 0 10 JOYCE 18.9 65.4 109 823 +1982 4 17 18 7 TONY 14.9 150.2 129 288 +1980 11 20 0 11 GORDON 52.3 190.0 111 825 +1992 3 5 0 25 BERYL 31.6 355.5 14 273 +1954 2 2 18 8 JOYCE 36.8 113.0 67 618 +1987 5 23 18 19 CHRIS 41.4 316.2 10 748 +1951 9 21 18 2 KIRK 34.7 336.2 72 899 +1958 5 8 18 11 GORDON 10.8 29.7 134 765 +1976 9 10 12 18 WILLIAM 35.2 214.0 113 463 +1980 10 10 6 4 CHRIS 41.6 270.7 61 857 +1955 1 11 6 9 NADINE 55.6 175.4 113 780 +1971 8 27 6 22 ISAAC 13.1 50.9 49 388 +1952 10 16 18 10 RAFAEL 26.7 54.4 115 502 +1961 9 18 6 14 VALERIE 43.9 336.2 32 790 +1972 6 14 18 28 DEBBY 47.1 63.0 78 684 +1963 3 9 6 26 HELENE 67.2 326.7 91 283 +1999 6 16 18 5 OSCAR 29.7 144.3 161 243 +1974 6 20 12 20 ERNESTO 18.4 288.5 132 805 +1963 5 8 0 22 ISAAC 51.5 8.3 89 664 +1994 4 28 12 18 OSCAR 28.1 162.6 74 517 +2001 11 1 12 11 VALERIE 49.6 250.9 46 217 +1974 12 9 12 10 GORDON 37.2 350.1 53 868 +1965 1 10 12 22 TONY 60.8 45.6 82 77 +1985 10 1 18 9 ERNESTO 64.6 111.5 115 24 +1968 8 21 12 14 FLORENCE 48.2 162.3 114 659 +1966 7 27 18 10 OSCAR 53.8 46.8 111 826 +1972 10 6 18 11 BERYL 65.7 204.4 50 133 +1962 8 15 0 27 ERNESTO 36.5 82.5 113 148 +1994 10 14 0 19 WILLIAM 62.6 51.0 16 844 +1989 11 11 6 27 DEBBY 47.3 113.0 46 484 +1962 4 12 0 9 DEBBY 29.7 354.9 61 300 +1998 2 23 6 25 DEBBY 45.6 243.0 34 804 +1959 3 13 6 24 WILLIAM 27.3 37.3 160 488 +1960 5 27 18 10 CHRIS 34.3 64.3 56 238 +1956 7 13 0 27 NADINE 41.1 281.4 10 808 +1965 9 25 6 25 ALBERTO 32.5 131.8 123 183 +1997 4 4 18 22 JOYCE 38.8 338.1 106 297 +1968 1 15 6 23 BERYL 37.0 213.5 130 121 +1977 4 12 0 20 LESLIE 65.1 143.3 66 640 +1958 1 28 6 7 KIRK 37.2 117.6 51 145 +2000 2 26 12 4 SANDY 50.2 287.6 120 624 +1969 7 13 6 26 BERYL 27.2 20.1 101 326 +1985 3 1 12 12 CHRIS 27.2 244.7 152 93 +1973 12 1 0 7 DEBBY 19.9 61.4 104 570 +1986 4 7 0 25 JOYCE 46.6 351.9 64 504 +1994 1 1 6 9 ALBERTO 12.1 48.6 14 680 +1988 12 18 18 13 VALERIE 18.1 128.8 43 398 +1978 4 2 18 7 RAFAEL 41.7 192.7 107 766 +2002 7 6 12 10 HELENE 26.1 278.8 146 18 +1981 2 28 6 6 FLORENCE 28.7 135.5 119 814 +2002 3 17 12 19 DEBBY 32.6 162.6 154 771 +1975 3 20 18 7 HELENE 21.0 127.7 72 408 +1997 6 28 0 19 NADINE 21.8 23.5 137 471 +1975 9 10 0 22 DEBBY 57.6 192.2 46 762 +1972 5 23 18 14 SANDY 41.2 183.6 55 147 +1958 6 5 12 20 FLORENCE 44.9 110.8 20 781 +1990 10 25 6 28 MICHAEL 49.4 266.3 27 71 +2002 12 6 12 15 OSCAR 64.6 0.9 50 76 +1955 9 22 12 16 WILLIAM 19.0 226.7 137 467 +1957 9 8 18 27 RAFAEL 52.9 226.4 139 680 +1976 8 24 18 22 WILLIAM 18.3 171.6 20 809 +1997 6 2 0 9 HELENE 12.3 244.0 57 837 +1994 5 18 0 20 SANDY 15.4 276.7 60 624 +1992 2 4 12 19 OSCAR 61.9 134.2 12 166 +1975 7 9 18 10 LESLIE 21.0 332.5 38 873 +1978 4 21 18 23 MICHAEL 12.8 122.0 116 94 +1978 2 21 12 7 GORDON 46.5 267.5 105 242 +1952 12 18 6 2 RAFAEL 23.0 213.4 127 329 +1997 10 10 0 25 FLORENCE 9.8 40.9 98 747 +1983 11 26 12 4 WILLIAM 16.7 170.7 108 775 +1969 7 18 0 9 PATTY 45.5 8.1 130 4 +1976 12 9 12 10 WILLIAM 63.2 38.4 110 620 +1975 7 15 6 20 OSCAR 61.2 310.5 51 472 +1950 4 18 6 19 TONY 20.4 69.1 126 579 +1973 5 27 18 20 CHRIS 17.9 95.2 98 449 +1992 4 11 0 18 GORDON 55.6 235.4 77 69 +1953 9 15 12 22 MICHAEL 29.1 303.7 40 365 +1951 8 16 0 26 BERYL 52.1 183.2 85 723 +1958 11 2 6 17 KIRK 69.4 119.3 66 507 +1950 4 28 18 27 ALBERTO 56.6 13.3 118 800 +1969 3 11 18 22 WILLIAM 32.2 49.4 79 153 +1995 9 7 12 22 GORDON 49.2 202.6 64 822 +1996 8 20 18 15 MICHAEL 16.4 74.5 154 107 +1971 6 28 12 17 KIRK 69.6 242.9 61 649 +1952 1 17 12 13 ALBERTO 61.9 295.7 59 648 +2002 12 21 18 5 DEBBY 65.6 304.3 66 180 +1954 9 14 12 19 CHRIS 60.9 58.8 124 741 +2003 1 2 18 25 FLORENCE 35.2 138.8 155 452 +1989 5 27 12 27 ALBERTO 20.5 298.1 109 852 +1983 10 17 6 9 LESLIE 29.6 109.9 137 124 +1983 12 22 0 3 GORDON 59.3 54.2 159 288 +1983 6 21 18 14 NADINE 24.9 213.5 135 711 +1956 5 2 12 21 NADINE 16.9 192.0 144 883 +1989 7 25 6 18 MICHAEL 61.2 219.3 28 24 +1992 5 11 0 24 HELENE 32.7 274.0 119 445 +1957 12 22 18 28 PATTY 62.6 2.8 12 253 +1979 9 12 0 7 RAFAEL 51.1 176.6 80 696 +2002 7 11 0 4 ERNESTO 64.9 171.1 122 854 +1971 7 25 12 2 SANDY 14.1 262.9 36 182 +1958 8 14 0 23 ISAAC 42.2 239.4 30 214 +1965 1 28 6 16 CHRIS 26.9 281.5 101 178 +1973 3 21 18 6 KIRK 22.5 78.3 37 681 +1969 9 24 18 28 PATTY 20.1 351.5 43 128 +1950 10 6 0 26 NADINE 67.4 311.7 96 470 +1991 7 12 6 27 PATTY 26.8 154.6 162 347 +2004 7 21 0 3 ALBERTO 20.3 63.9 31 897 +1982 5 23 12 5 WILLIAM 65.6 333.4 43 511 +1993 6 2 18 7 BERYL 12.9 148.9 133 174 +1957 2 20 0 12 DEBBY 16.9 307.2 97 81 +1963 4 8 12 24 CHRIS 30.7 206.8 120 519 +1996 2 13 0 8 ALBERTO 50.8 300.0 137 425 +1996 6 22 18 5 WILLIAM 36.4 95.6 150 603 +1968 2 3 18 1 ISAAC 11.9 131.3 157 881 +1997 4 26 6 6 MICHAEL 59.9 286.7 36 326 +1984 3 20 0 14 PATTY 34.7 287.0 112 241 +1995 2 22 18 28 LESLIE 11.3 344.7 81 183 +1982 7 27 0 16 CHRIS 27.4 156.7 38 295 +1950 11 4 12 20 FLORENCE 37.5 111.1 116 334 +1974 1 13 6 2 HELENE 42.1 102.9 141 241 +1998 2 2 0 19 ISAAC 24.5 200.0 81 794 +1989 1 16 6 14 NADINE 42.9 313.5 98 632 +1964 6 20 12 28 WILLIAM 36.0 19.3 55 646 +1988 1 18 0 12 HELENE 35.5 197.4 109 876 +1967 2 5 6 10 OSCAR 65.8 196.7 28 801 +1985 5 3 18 6 VALERIE 41.3 12.3 103 815 +1995 3 9 6 21 BERYL 56.9 51.0 115 785 +2001 11 16 12 20 KIRK 66.7 343.0 115 820 +1965 9 7 12 16 PATTY 35.1 253.1 152 508 +1981 2 15 0 24 MICHAEL 52.1 216.5 86 444 +1958 3 16 18 13 OSCAR 16.3 181.3 13 402 +1982 11 25 12 17 DEBBY 34.4 110.2 87 423 +1972 12 8 0 5 GORDON 19.6 333.1 82 659 +1992 7 23 6 8 ERNESTO 13.9 316.1 152 388 +1981 12 2 12 9 VALERIE 58.0 151.1 23 781 +1958 1 10 6 8 LESLIE 15.1 2.0 141 753 +1962 11 9 6 10 BERYL 46.4 320.9 140 117 +1991 5 18 12 6 NADINE 35.0 60.0 121 148 +2004 6 3 12 28 TONY 26.8 160.7 53 264 +1957 9 9 6 24 CHRIS 53.5 135.8 39 896 +1989 6 1 6 6 CHRIS 64.6 265.9 107 325 +1991 3 18 12 19 DEBBY 28.5 87.8 107 850 +1957 10 27 0 5 VALERIE 19.0 290.0 51 422 +1988 8 16 12 3 LESLIE 14.4 173.4 71 667 +2004 4 14 0 15 ERNESTO 66.4 174.5 18 663 +1977 4 4 18 5 KIRK 55.1 158.1 24 586 +1990 4 14 0 13 LESLIE 41.7 109.5 39 619 +1953 4 3 0 17 WILLIAM 34.3 180.0 159 565 +1962 3 23 0 4 MICHAEL 38.2 78.3 162 416 +2004 11 2 18 16 KIRK 42.4 250.2 155 612 +2003 6 19 18 21 PATTY 54.7 54.7 94 431 +1965 8 19 0 19 OSCAR 51.4 249.2 68 79 +1958 6 1 0 23 PATTY 8.4 224.5 82 224 +1995 6 2 6 16 PATTY 38.6 328.9 65 431 +1979 10 28 18 20 ERNESTO 63.3 257.2 97 802 +1958 8 12 6 2 JOYCE 59.8 352.8 131 788 +1955 2 16 6 5 ISAAC 65.1 90.6 75 543 +1971 3 19 0 18 HELENE 17.2 35.2 109 657 +1952 11 12 6 2 HELENE 65.2 188.9 154 84 +1959 3 21 6 10 FLORENCE 62.2 114.9 103 451 +1964 2 26 12 4 RAFAEL 9.0 41.7 161 428 +2002 4 11 18 25 LESLIE 43.9 105.9 113 200 +2002 11 4 12 13 WILLIAM 56.0 65.1 155 758 +1987 10 7 18 1 MICHAEL 51.6 11.0 135 839 +1964 6 12 6 6 OSCAR 45.1 157.2 137 479 +1979 9 16 12 20 SANDY 56.5 4.1 76 795 +1950 11 23 12 13 GORDON 13.2 215.7 150 279 +1963 3 1 6 20 VALERIE 50.9 170.5 57 115 +1961 11 7 18 14 ALBERTO 35.5 101.8 124 712 +1998 2 8 6 15 VALERIE 20.3 280.9 124 393 +1994 2 9 6 2 ISAAC 48.0 45.4 122 324 +1969 7 26 0 27 ISAAC 25.4 350.9 120 732 +1967 5 5 0 6 FLORENCE 16.7 278.0 88 131 +1973 6 6 12 5 JOYCE 22.2 36.0 11 22 +1978 2 6 18 1 LESLIE 16.4 39.5 81 347 +1989 2 18 12 8 CHRIS 38.4 286.6 87 391 +1985 7 13 0 23 NADINE 62.0 316.1 19 654 +1985 2 17 12 13 NADINE 18.0 324.2 109 39 +1971 6 22 18 9 DEBBY 48.7 298.0 128 118 +1962 5 27 6 9 RAFAEL 26.0 66.5 70 134 +1959 10 12 18 9 PATTY 21.7 309.8 154 727 +1999 6 8 12 24 RAFAEL 8.2 188.7 86 88 +1974 11 6 6 19 BERYL 26.8 284.8 12 374 +1977 4 7 18 17 BERYL 42.9 289.4 139 847 +1968 5 2 18 24 GORDON 61.5 351.8 73 709 +1967 3 23 0 2 SANDY 34.3 334.1 158 514 +1984 4 9 12 26 ERNESTO 44.5 39.6 141 152 +1977 7 3 18 16 TONY 52.0 11.6 32 264 +1964 8 7 0 5 CHRIS 46.2 133.0 28 76 +1977 2 13 6 13 WILLIAM 36.4 53.7 52 157 +1953 4 19 18 19 GORDON 55.2 137.5 45 259 +1987 8 11 18 20 CHRIS 51.9 112.6 47 332 +1952 6 4 0 1 BERYL 24.9 143.4 95 23 +2003 12 8 18 22 LESLIE 56.5 77.1 125 654 +1955 6 14 18 2 JOYCE 69.8 222.1 66 150 +1975 9 3 6 13 VALERIE 56.7 249.6 26 134 +2002 10 6 12 18 SANDY 49.5 319.0 93 873 +1983 3 6 0 10 WILLIAM 37.5 241.2 13 409 +1986 4 21 12 16 WILLIAM 11.5 131.0 124 545 +1961 9 7 6 26 BERYL 70.0 348.9 30 317 +1982 6 23 6 18 MICHAEL 16.4 23.6 114 492 +1962 7 3 12 13 OSCAR 10.0 92.8 148 363 +1970 10 23 18 14 HELENE 59.0 40.8 38 807 +1951 7 18 6 22 RAFAEL 56.3 258.4 46 288 +1981 5 22 18 19 WILLIAM 31.2 7.4 41 463 +1987 1 26 18 3 SANDY 68.7 164.7 150 119 +1959 1 27 12 16 VALERIE 24.7 206.9 112 262 +1975 10 4 12 22 ALBERTO 47.1 311.2 78 461 +1991 4 17 6 28 FLORENCE 15.7 280.9 24 507 +1982 3 17 12 8 TONY 56.4 151.3 30 46 +1954 11 10 6 25 ALBERTO 33.0 152.7 103 613 +2001 9 11 12 24 KIRK 67.2 274.4 48 547 +1980 2 11 12 1 TONY 7.7 332.6 39 783 +1987 12 15 12 27 DEBBY 53.0 130.3 141 50 +1965 2 25 18 24 ISAAC 37.1 157.3 149 138 +1962 5 14 18 3 PATTY 69.6 83.7 151 331 +1974 2 13 0 7 FLORENCE 60.2 221.3 51 605 +1968 3 3 0 24 TONY 55.9 132.6 149 88 +1995 9 4 12 13 KIRK 55.4 154.6 94 396 +1979 10 23 18 13 LESLIE 52.4 57.5 163 616 +1983 3 16 12 10 TONY 48.9 50.4 64 747 +1979 11 6 6 1 HELENE 22.5 120.4 22 694 +1980 3 26 18 1 VALERIE 47.1 192.8 100 784 +1978 2 11 18 28 PATTY 40.9 95.8 29 197 +1969 9 20 12 13 VALERIE 59.3 296.4 27 451 +1961 5 24 0 15 DEBBY 64.9 113.8 154 2 +1963 9 9 12 24 LESLIE 40.2 171.5 24 859 +1999 2 22 6 15 DEBBY 15.3 348.2 150 893 +1984 9 13 6 19 SANDY 51.4 268.9 129 365 +1950 7 22 6 25 GORDON 48.5 304.5 39 234 +1987 10 3 18 18 VALERIE 17.9 130.8 16 433 +1981 8 26 0 7 ALBERTO 61.6 235.5 163 870 +2002 12 6 6 18 ALBERTO 53.8 136.1 12 281 +1982 3 6 18 12 ISAAC 66.6 245.1 138 683 +1954 1 1 12 16 CHRIS 27.3 289.6 127 666 +1966 7 10 0 9 ISAAC 18.6 125.8 66 372 +1994 10 11 6 16 BERYL 9.5 54.2 129 291 +1986 8 12 0 6 TONY 19.0 83.4 108 500 +1966 1 6 0 24 LESLIE 7.0 170.1 58 867 +1974 11 12 12 20 TONY 61.0 178.9 66 641 +1999 5 8 12 13 DEBBY 27.5 199.5 40 556 +1972 12 28 12 6 VALERIE 41.4 277.0 132 773 +1968 1 12 12 18 RAFAEL 41.4 258.3 53 602 +1983 12 5 0 28 ISAAC 57.8 78.4 132 259 +1952 5 20 18 21 OSCAR 43.9 128.7 45 379 +1976 8 15 18 6 KIRK 45.6 81.2 36 554 +1998 3 24 18 7 BERYL 35.6 346.2 115 46 +1998 11 9 12 7 JOYCE 66.8 156.2 28 524 +1997 9 2 18 23 BERYL 45.8 310.5 21 726 +1988 2 10 12 16 RAFAEL 38.9 40.5 96 426 +1990 11 11 12 10 JOYCE 36.5 221.0 112 316 +1974 2 16 12 27 ALBERTO 63.9 197.8 80 674 +1991 1 2 6 14 WILLIAM 63.2 140.5 72 685 +1995 7 11 0 6 PATTY 47.9 354.0 38 510 +1968 12 25 0 6 FLORENCE 32.3 165.9 64 474 +1956 3 16 6 4 JOYCE 48.5 151.0 141 442 +1995 11 22 0 2 KIRK 7.4 247.0 36 355 +2002 3 18 0 26 RAFAEL 65.0 269.9 90 309 +1950 4 24 0 18 PATTY 38.9 339.9 37 480 +1976 12 28 12 7 SANDY 63.9 3.0 31 618 +2003 2 26 18 15 PATTY 13.5 147.8 152 74 +1958 10 24 18 18 GORDON 62.7 52.9 94 438 +1986 9 21 18 8 VALERIE 13.9 78.5 70 658 +1996 6 22 18 25 KIRK 50.5 77.6 155 555 +1954 5 9 6 25 WILLIAM 19.5 17.6 13 342 +1965 9 28 18 20 TONY 12.6 349.9 118 9 +1974 6 19 0 7 MICHAEL 14.8 137.3 19 147 +1974 6 3 12 23 RAFAEL 15.8 352.1 30 443 +1972 8 21 6 3 ALBERTO 16.9 212.1 132 344 +1986 6 9 18 1 TONY 40.8 122.0 73 469 +1967 10 28 6 2 ALBERTO 27.1 169.9 111 821 +1952 2 11 18 23 KIRK 10.4 208.5 152 305 +1979 1 1 12 26 ISAAC 69.7 160.1 163 852 +1975 5 6 6 18 ISAAC 14.8 303.7 133 643 +1965 7 6 0 3 NADINE 68.5 321.7 96 288 +1969 3 28 6 14 MICHAEL 56.5 98.3 70 879 +1956 8 19 18 21 DEBBY 49.5 250.3 148 352 +1987 7 18 6 12 ISAAC 38.2 157.2 135 196 +2001 1 16 6 11 SANDY 63.9 308.1 41 748 +1956 8 17 6 27 GORDON 25.3 134.5 115 250 +1960 12 9 12 20 PATTY 24.9 295.6 137 429 +2004 2 19 12 25 BERYL 36.4 202.9 106 700 +1991 2 26 6 9 KIRK 37.4 101.6 118 541 +1972 2 8 6 24 BERYL 42.6 336.3 143 116 +1999 7 8 12 14 FLORENCE 44.1 258.0 35 425 +2000 6 22 0 5 MICHAEL 50.2 357.2 12 572 +1953 4 2 0 10 TONY 50.1 282.6 150 749 +1997 4 26 6 20 PATTY 9.8 51.5 80 540 +1988 11 8 6 8 CHRIS 26.2 107.8 40 32 +1992 1 18 0 14 CHRIS 64.3 146.6 130 183 +1982 1 6 0 5 LESLIE 17.0 155.7 70 748 +1995 7 12 6 6 OSCAR 68.6 309.1 127 88 +1973 7 2 6 21 HELENE 31.2 103.2 23 644 +1988 9 13 6 16 ISAAC 61.6 292.9 116 5 +1961 2 19 6 21 TONY 53.4 227.7 141 601 +1978 7 19 6 12 MICHAEL 44.6 179.4 76 426 +1950 6 9 0 14 HELENE 50.4 113.1 51 601 +1974 8 22 6 14 JOYCE 47.3 237.6 56 480 +1962 6 5 12 19 VALERIE 11.0 64.4 66 295 +1984 4 24 12 12 PATTY 15.0 230.2 22 389 +1989 3 5 0 16 KIRK 41.7 230.0 34 780 +1965 9 3 18 2 ISAAC 40.4 59.4 45 879 +1980 4 11 18 21 KIRK 31.7 348.8 41 751 +2003 6 22 18 27 ERNESTO 7.3 66.4 89 567 +1957 11 11 6 13 KIRK 12.7 0.1 95 46 +1956 5 22 0 19 OSCAR 11.1 86.2 127 40 +1957 8 13 6 9 MICHAEL 29.2 287.9 110 297 +2004 12 10 18 26 ALBERTO 28.6 103.0 70 291 +1975 6 12 12 24 HELENE 30.7 244.1 136 582 +1953 8 9 18 27 DEBBY 57.0 240.1 86 131 +1989 8 17 0 22 KIRK 43.5 21.0 161 722 +1986 4 1 0 3 ISAAC 45.1 15.7 107 517 +2002 4 17 6 2 CHRIS 58.2 259.7 59 770 +1989 6 1 18 5 JOYCE 19.0 205.3 50 185 +1994 11 26 0 15 CHRIS 46.3 57.4 156 309 +1981 12 10 0 22 RAFAEL 32.1 113.2 61 16 +1968 10 8 12 28 NADINE 65.5 9.8 92 779 +1968 11 5 18 21 LESLIE 46.9 306.2 90 63 +1953 8 4 0 19 JOYCE 43.8 200.8 35 703 +1957 7 16 12 23 OSCAR 66.8 41.7 139 707 +2001 7 3 12 21 ALBERTO 22.8 335.9 46 883 +1987 5 25 18 8 VALERIE 30.4 299.2 71 827 +1952 6 14 6 3 SANDY 20.0 71.3 103 889 +1979 6 18 18 27 WILLIAM 28.5 244.6 56 654 +1974 12 20 0 6 FLORENCE 23.8 59.6 132 486 +1986 11 25 6 2 KIRK 27.4 170.6 25 588 +1982 5 7 0 8 HELENE 15.8 292.5 131 164 +1986 6 17 18 19 ISAAC 15.9 287.6 18 644 +1974 6 28 6 1 PATTY 61.4 344.3 161 315 +1967 9 18 6 18 RAFAEL 19.6 25.6 145 185 +1982 9 24 12 11 VALERIE 23.3 190.0 108 572 +1966 4 19 12 3 ALBERTO 36.1 282.5 111 889 +1967 4 2 6 21 SANDY 65.9 285.8 132 359 +1961 4 5 0 25 VALERIE 55.0 262.4 97 711 +1989 4 13 12 3 WILLIAM 55.7 25.5 61 737 +1993 8 8 18 14 OSCAR 37.6 67.8 62 319 +1972 6 7 0 20 OSCAR 36.6 278.5 76 344 +2001 7 12 12 4 FLORENCE 33.6 175.3 26 628 +2000 3 2 12 1 JOYCE 58.5 298.6 41 360 +1960 1 22 0 17 ERNESTO 62.4 343.9 37 554 +1967 4 20 18 15 MICHAEL 54.5 230.3 152 189 +1959 10 18 0 25 LESLIE 44.3 29.4 122 651 +1994 9 25 12 17 SANDY 51.8 254.2 39 522 +1989 3 25 12 23 TONY 50.7 94.8 74 489 +1953 7 21 12 20 BERYL 66.2 193.2 145 263 +1955 1 4 12 5 FLORENCE 14.9 124.0 75 355 +1972 9 14 6 6 LESLIE 66.7 275.4 43 20 +1978 1 7 6 7 RAFAEL 23.3 153.5 95 463 +1969 5 6 18 26 DEBBY 8.6 77.2 82 698 +1950 10 16 18 12 BERYL 56.5 26.3 57 737 +1978 11 19 18 19 RAFAEL 38.8 314.1 163 791 +2000 10 10 12 1 DEBBY 67.3 61.2 120 852 +1973 6 14 0 12 FLORENCE 28.3 96.3 127 814 +2000 2 16 18 2 ERNESTO 24.3 198.1 108 156 +1970 10 28 12 26 NADINE 13.6 307.6 46 340 +1965 6 13 12 6 KIRK 16.3 268.5 131 833 +1978 8 4 12 4 ISAAC 38.0 151.8 42 579 +2000 1 19 0 17 LESLIE 11.9 25.0 61 497 +1981 2 12 0 18 RAFAEL 52.8 305.7 122 707 +1962 10 20 12 28 LESLIE 21.0 113.5 56 537 +1966 11 12 12 20 VALERIE 36.8 139.7 53 59 +1971 1 8 12 18 SANDY 27.1 311.4 141 702 +1995 7 5 12 11 CHRIS 51.2 205.1 124 452 +1984 11 15 6 26 HELENE 60.9 82.0 151 310 +2001 3 9 18 7 ERNESTO 49.8 168.9 161 108 +1987 3 18 12 2 RAFAEL 25.8 316.7 83 395 +1992 3 20 12 22 KIRK 46.6 138.0 91 117 +1962 4 26 18 14 RAFAEL 21.4 55.3 112 398 +1979 7 20 18 4 GORDON 22.3 138.0 139 320 +1974 8 23 6 21 VALERIE 57.8 152.9 71 819 +2002 9 19 0 6 JOYCE 62.0 323.1 53 896 +2003 6 17 18 16 CHRIS 36.7 149.9 38 431 +1994 7 17 12 7 JOYCE 40.6 201.4 117 394 +1965 8 2 18 10 JOYCE 62.5 128.2 142 295 +1959 12 17 12 18 LESLIE 28.1 33.3 25 487 +1957 7 21 18 27 SANDY 47.3 87.5 22 338 +1989 4 16 6 20 ALBERTO 22.7 203.1 50 668 +1963 12 18 12 9 NADINE 12.1 47.9 120 185 +1950 4 7 0 10 MICHAEL 18.2 299.4 72 289 +1974 2 5 6 27 NADINE 56.2 190.3 78 277 +1984 3 24 18 1 RAFAEL 9.1 132.2 33 529 +1997 8 1 12 26 ERNESTO 59.5 50.5 157 148 +1975 2 11 6 17 JOYCE 57.5 191.6 67 629 +1950 1 9 18 4 MICHAEL 25.8 53.9 18 885 +1964 11 21 0 23 JOYCE 11.3 238.2 63 430 +1955 8 15 12 26 DEBBY 31.3 314.9 117 134 +1950 12 3 0 13 WILLIAM 41.8 206.7 14 150 +1994 11 18 6 10 PATTY 11.0 46.9 144 169 +1952 5 26 12 9 RAFAEL 9.3 204.8 132 288 +1976 11 16 0 24 FLORENCE 62.9 356.6 81 245 +1964 7 24 6 13 LESLIE 17.4 329.4 20 205 +1954 8 23 6 15 WILLIAM 41.3 28.0 56 673 +1957 3 20 12 14 KIRK 49.1 158.5 57 549 +2001 4 14 0 8 WILLIAM 46.0 120.2 104 734 +2004 12 2 6 28 DEBBY 46.3 261.5 136 44 +1982 7 12 18 26 BERYL 59.8 2.3 48 357 +1951 2 7 12 6 HELENE 10.8 50.4 142 758 +1970 5 20 12 22 ALBERTO 66.8 213.9 105 402 +1981 5 11 18 19 CHRIS 18.9 146.3 28 389 +1977 1 3 0 23 OSCAR 24.1 302.7 147 373 +1991 4 18 12 22 DEBBY 26.9 104.0 83 287 +1991 7 20 12 21 PATTY 67.6 10.2 12 753 +1960 6 4 18 8 JOYCE 69.5 131.4 67 4 +1985 1 16 0 12 KIRK 18.7 344.1 155 139 +1955 8 18 18 10 HELENE 42.5 344.3 114 385 +1998 4 15 12 2 OSCAR 29.8 177.0 60 792 +1982 4 23 0 2 HELENE 14.7 176.1 12 377 +1952 11 2 18 19 KIRK 24.6 352.8 159 631 +1994 2 8 6 12 TONY 7.1 216.3 98 392 +1998 6 23 6 27 SANDY 66.3 107.3 112 669 +1979 5 27 12 4 CHRIS 9.7 294.3 38 217 +2002 10 7 18 10 HELENE 14.1 295.1 125 223 +1972 11 23 6 11 HELENE 27.4 199.4 108 860 +1992 2 20 18 7 CHRIS 13.8 127.6 26 518 +1975 2 1 0 19 HELENE 10.2 234.4 140 316 +1993 4 11 6 28 ISAAC 38.6 332.9 43 898 +1970 2 10 6 21 OSCAR 56.8 140.7 102 743 +1993 1 28 6 11 SANDY 23.8 156.3 85 640 +1996 5 21 12 14 ISAAC 19.4 283.7 31 662 +1980 7 11 12 23 NADINE 52.1 232.7 135 124 +1969 12 27 12 20 HELENE 12.3 112.3 58 737 +1972 6 8 6 21 ALBERTO 56.6 202.2 71 650 +1989 3 12 12 20 ISAAC 51.2 225.2 36 24 +1998 7 23 6 14 LESLIE 67.7 158.6 164 276 +2004 1 19 0 26 FLORENCE 7.5 353.6 116 740 +1977 10 22 6 19 JOYCE 51.0 130.2 32 167 +1964 4 9 18 18 LESLIE 36.8 346.1 72 711 +2001 2 9 12 12 ERNESTO 11.2 263.6 35 399 +1974 7 7 12 7 SANDY 11.6 34.2 119 195 +1989 9 18 6 24 SANDY 19.5 30.9 103 487 +2001 6 24 12 26 BERYL 22.3 323.7 109 119 +1990 2 25 18 28 BERYL 13.5 269.0 107 424 +1976 10 2 18 20 ALBERTO 27.2 1.3 27 438 +1995 12 4 12 19 DEBBY 57.5 118.2 11 672 +1971 7 8 6 19 RAFAEL 14.7 305.6 33 568 +1980 11 9 0 13 LESLIE 37.1 267.1 57 459 +1988 2 7 6 25 ERNESTO 41.8 291.8 21 693 +1975 3 23 6 25 FLORENCE 39.2 99.7 161 529 +1954 6 23 0 24 HELENE 63.2 171.6 138 271 +1955 12 23 12 17 ALBERTO 61.8 295.3 76 57 +1954 3 5 12 16 ALBERTO 47.9 103.0 92 260 +1960 6 6 6 27 PATTY 37.4 80.1 55 62 +1986 5 11 18 3 NADINE 49.3 213.3 123 496 +1953 9 3 6 18 SANDY 64.0 27.8 49 792 +1991 7 14 0 22 BERYL 31.3 171.5 120 344 +1978 3 16 12 20 KIRK 56.6 309.6 50 31 +1995 8 16 18 23 FLORENCE 66.6 220.2 30 855 +1962 9 7 0 2 BERYL 49.9 341.1 163 450 +1989 1 15 12 18 ERNESTO 52.8 61.2 105 530 +1987 7 27 18 8 TONY 57.6 1.7 63 389 +1994 11 8 0 22 MICHAEL 47.3 106.5 159 286 +1965 6 2 6 10 RAFAEL 55.7 175.5 94 806 +1966 8 21 0 13 OSCAR 11.9 186.6 24 162 +1954 10 9 12 19 BERYL 27.1 253.6 54 850 +1958 9 28 6 22 CHRIS 63.9 257.0 153 847 +1992 9 13 18 14 WILLIAM 19.7 169.4 33 226 +1953 10 19 12 23 VALERIE 13.9 35.6 64 184 +2000 10 8 12 18 TONY 39.5 160.9 39 312 +1991 8 24 0 21 ERNESTO 10.5 245.9 19 419 +1986 4 1 12 8 TONY 68.9 190.4 45 179 +1962 7 8 12 21 ERNESTO 19.6 239.9 82 281 +1973 8 20 12 16 HELENE 27.9 138.3 102 409 +1954 11 4 6 21 RAFAEL 36.2 199.6 102 255 +1968 4 9 12 17 PATTY 58.9 304.3 132 315 +1997 6 6 18 19 TONY 67.0 123.0 143 832 +1996 5 4 18 13 SANDY 24.5 344.7 30 5 +1991 1 23 6 24 ERNESTO 48.9 2.0 90 469 +1971 5 28 0 13 GORDON 46.1 137.0 53 70 +1959 8 8 6 20 TONY 18.7 73.1 66 102 +1973 11 12 6 10 WILLIAM 46.9 271.4 133 176 +1983 10 6 12 1 PATTY 11.2 97.2 93 224 +1976 9 1 12 25 RAFAEL 63.1 250.9 131 21 +1999 12 3 12 22 HELENE 41.6 212.9 67 444 +2000 4 22 12 16 FLORENCE 15.7 99.6 48 276 +1980 2 24 18 2 GORDON 66.2 29.9 69 28 +1973 10 11 6 14 JOYCE 27.1 331.8 144 316 +1982 11 7 12 1 SANDY 25.6 136.8 153 505 +1969 12 23 0 23 FLORENCE 61.8 72.7 10 443 +1950 12 14 6 3 GORDON 42.6 289.8 85 231 +1952 7 8 6 13 PATTY 51.8 265.2 153 251 +2004 2 20 0 5 GORDON 18.6 207.3 113 341 +1950 11 4 12 2 WILLIAM 12.0 125.9 68 701 +1969 8 19 0 17 KIRK 29.8 321.0 134 821 +1961 3 6 12 13 HELENE 51.2 88.5 23 81 +1954 12 8 18 9 BERYL 49.7 308.8 141 874 +1980 1 9 18 20 GORDON 45.8 223.2 84 509 +1981 12 17 18 7 PATTY 7.1 229.8 80 806 +1993 4 22 12 7 ALBERTO 22.1 295.3 67 548 +1995 9 7 6 3 NADINE 55.3 122.1 153 808 +1981 2 4 18 6 GORDON 9.1 249.3 52 39 +1986 4 12 6 1 SANDY 69.7 124.1 117 786 +1964 10 4 6 21 RAFAEL 60.0 26.6 132 691 +1991 3 17 18 25 VALERIE 34.7 41.6 135 871 +1967 1 5 6 21 VALERIE 34.4 67.1 151 743 +1992 6 22 6 7 BERYL 14.9 128.2 138 145 +1951 7 2 0 25 OSCAR 10.7 193.9 10 212 +1990 12 4 0 23 RAFAEL 9.1 113.5 163 375 +1951 1 9 0 26 BERYL 14.5 262.5 44 463 +1976 3 26 12 2 NADINE 38.2 39.4 98 677 +1990 12 16 18 10 VALERIE 13.7 72.5 121 871 +1997 12 19 6 7 VALERIE 44.4 352.9 89 648 +1991 1 28 6 15 FLORENCE 27.9 188.8 145 799 +2000 10 5 6 20 CHRIS 50.4 22.4 84 534 +1981 12 20 12 13 LESLIE 18.6 40.1 69 296 +1971 2 8 6 27 BERYL 35.4 305.4 57 106 +1989 5 1 12 12 ISAAC 56.0 70.1 65 514 +1972 12 1 18 25 ALBERTO 68.9 147.9 50 582 +1959 10 5 0 3 CHRIS 45.2 110.2 65 395 +1986 8 23 6 12 WILLIAM 60.2 250.6 161 850 +2000 9 23 6 8 HELENE 8.4 0.2 51 286 +1963 7 15 18 10 TONY 45.0 126.1 33 376 +1957 1 26 18 9 PATTY 35.6 269.2 72 316 +1970 12 17 18 7 LESLIE 50.9 57.8 161 752 +1996 12 5 6 21 OSCAR 47.3 112.1 114 86 +1980 2 1 6 21 WILLIAM 13.5 300.8 57 473 +1979 12 27 12 8 SANDY 22.9 4.9 131 718 +1952 12 3 12 15 JOYCE 62.6 276.0 146 475 +1956 10 23 12 1 OSCAR 65.3 154.9 148 279 +1981 4 1 18 19 TONY 16.0 225.8 52 471 +1969 11 18 0 23 HELENE 37.2 250.0 55 230 +1958 5 6 18 11 GORDON 65.0 350.8 159 84 +1968 4 24 12 8 ALBERTO 23.1 319.4 127 301 +1960 10 13 12 16 TONY 50.2 79.2 83 320 +1982 5 4 18 19 NADINE 57.9 1.8 85 752 +1950 7 10 18 2 HELENE 12.6 259.4 19 334 +1964 6 18 18 28 GORDON 9.3 334.9 78 465 +1972 12 5 12 15 ERNESTO 29.2 51.3 92 249 +1993 10 3 0 7 KIRK 15.9 289.6 21 795 +1955 6 12 6 13 ISAAC 67.2 142.6 25 556 +1964 2 7 12 9 VALERIE 34.2 28.1 142 718 +1950 10 19 0 2 SANDY 47.2 268.3 77 774 +1965 4 7 18 2 FLORENCE 23.3 132.6 164 710 +2003 3 13 6 21 WILLIAM 51.7 248.7 54 342 +1992 12 7 0 11 TONY 12.4 304.1 48 511 +2004 11 24 18 9 GORDON 36.4 133.2 159 303 +1995 6 25 12 23 RAFAEL 26.1 4.3 27 334 +1961 11 14 18 8 MICHAEL 67.9 237.0 108 223 +1991 3 12 12 1 WILLIAM 24.8 82.7 55 752 +2000 5 6 12 27 TONY 26.7 198.8 136 883 +2002 9 20 6 10 HELENE 47.2 321.8 74 718 +1978 12 17 12 1 RAFAEL 52.4 114.2 33 154 +1970 9 2 6 15 JOYCE 12.8 313.8 22 356 +1995 2 4 6 25 SANDY 13.5 262.3 79 348 +1969 3 16 18 27 VALERIE 66.5 326.3 159 106 +1972 3 15 0 11 VALERIE 35.8 24.4 39 880 +1994 6 6 0 17 CHRIS 25.0 5.1 128 418 +1956 8 15 18 2 JOYCE 17.5 231.7 75 99 +1994 11 14 12 27 BERYL 10.0 271.4 55 181 +1963 1 18 6 17 PATTY 32.3 107.3 61 476 +1986 5 12 12 5 FLORENCE 67.7 286.0 61 350 +1999 9 1 18 21 LESLIE 10.2 353.9 59 571 +2002 11 24 0 24 WILLIAM 19.6 322.5 74 262 +1953 4 6 6 18 FLORENCE 23.1 148.4 91 570 +1957 4 21 12 1 ERNESTO 47.4 121.2 36 800 +2004 3 1 6 26 GORDON 54.1 318.8 32 254 +1996 3 22 6 11 OSCAR 28.7 165.3 103 499 +1982 2 26 6 11 LESLIE 49.2 180.7 138 26 +1964 4 1 18 5 GORDON 35.7 244.6 43 252 +1960 12 14 12 24 ALBERTO 27.2 78.4 138 617 +1955 10 11 6 20 DEBBY 67.0 222.4 159 744 +1957 8 8 12 27 NADINE 33.3 135.1 148 638 +1982 9 26 0 16 ISAAC 10.0 179.3 81 182 +1952 2 13 6 10 ISAAC 15.5 336.6 54 822 +1974 7 8 0 20 CHRIS 18.1 233.0 94 178 +1982 6 11 0 18 LESLIE 30.2 118.3 85 397 +1963 10 15 0 4 FLORENCE 30.2 344.4 117 651 +1992 3 17 0 19 ERNESTO 52.9 258.8 95 883 +1971 4 14 0 18 ISAAC 38.6 244.2 29 473 +1991 6 14 12 12 ERNESTO 16.8 127.8 163 810 +1999 11 23 0 3 VALERIE 39.8 53.4 31 147 +1981 8 9 18 20 BERYL 35.0 1.1 25 363 +1951 7 16 6 21 CHRIS 10.1 122.4 112 677 +1956 11 16 6 8 DEBBY 42.6 172.3 103 488 +1968 12 2 18 25 BERYL 62.6 13.1 25 759 +1962 8 19 0 5 OSCAR 66.4 289.3 98 422 +1951 7 19 6 24 FLORENCE 22.0 44.8 107 400 +1978 9 3 0 10 VALERIE 50.0 218.0 99 829 +1994 9 7 12 13 DEBBY 19.3 342.3 140 210 +1967 1 2 6 12 GORDON 20.1 142.0 104 208 +1988 8 12 18 1 LESLIE 54.9 164.7 96 698 +1967 2 12 6 21 KIRK 15.5 182.6 130 829 +1993 12 25 12 16 WILLIAM 31.9 269.3 82 835 +1980 6 11 18 28 FLORENCE 43.2 237.8 36 861 +1974 3 3 6 3 ERNESTO 56.8 256.2 120 411 +2001 2 14 6 4 TONY 10.7 112.9 116 842 +1997 5 13 0 6 SANDY 59.3 43.8 134 535 +1992 8 21 0 23 VALERIE 43.0 218.2 130 676 +1991 8 17 6 7 OSCAR 41.4 337.2 53 713 +1998 12 14 18 4 BERYL 17.9 52.9 53 444 +1981 9 14 6 2 OSCAR 16.2 338.2 21 784 +1963 8 15 0 15 CHRIS 68.7 154.9 133 37 +1974 6 6 0 24 TONY 36.4 219.6 79 854 +1996 12 10 12 10 OSCAR 66.9 321.1 147 464 +1973 11 13 18 6 VALERIE 35.5 209.2 133 69 +1994 8 14 18 21 MICHAEL 36.3 189.9 102 288 +1988 7 22 12 24 FLORENCE 57.4 63.3 150 478 +1956 12 14 0 14 FLORENCE 68.6 338.2 164 319 +1996 9 17 6 8 FLORENCE 37.6 56.1 161 609 +1991 2 10 18 21 ERNESTO 44.8 154.4 163 881 +1988 10 5 6 9 ISAAC 38.5 334.0 35 479 +1988 3 17 6 10 JOYCE 68.0 4.1 73 793 +1959 9 9 6 24 ERNESTO 21.9 174.1 31 370 +1987 4 18 12 4 CHRIS 43.9 316.4 107 881 +1995 4 5 6 12 MICHAEL 57.5 99.1 12 502 +1965 12 1 6 23 LESLIE 32.0 15.0 48 85 +1954 4 21 18 14 ALBERTO 30.8 277.6 156 422 +1971 5 20 6 28 MICHAEL 43.3 90.7 99 358 +1960 3 23 12 24 CHRIS 10.6 344.0 113 108 +1996 6 7 18 14 SANDY 47.4 183.6 145 624 +1951 10 7 6 7 DEBBY 31.0 73.9 22 587 +1957 1 18 0 1 CHRIS 53.3 90.2 69 654 +1962 6 27 0 18 VALERIE 62.6 31.2 125 383 +1997 11 6 18 16 ALBERTO 25.2 28.1 131 594 +1972 11 4 18 6 LESLIE 27.6 13.4 160 309 +1958 10 24 18 9 PATTY 10.1 155.4 24 193 +2000 1 22 18 13 KIRK 33.5 318.2 152 161 +1966 7 19 12 6 CHRIS 28.0 272.5 90 356 +1971 11 17 18 18 LESLIE 58.7 261.3 120 163 +1990 12 2 6 8 TONY 18.9 159.5 136 651 +1976 1 28 0 16 ERNESTO 7.9 183.0 21 346 +1963 6 9 12 12 TONY 19.6 107.2 163 696 +1993 8 16 18 13 SANDY 54.2 238.7 143 660 +1970 1 2 0 13 JOYCE 46.2 298.2 48 255 +1953 7 4 6 10 WILLIAM 9.5 140.2 64 616 +1978 8 16 6 21 WILLIAM 33.8 47.4 105 147 +1965 3 24 6 25 ISAAC 50.7 321.4 93 844 +1953 9 27 6 18 SANDY 13.5 154.0 66 596 +1994 6 1 12 1 MICHAEL 28.6 104.7 19 486 +1995 5 16 0 22 RAFAEL 30.4 130.6 19 316 +1978 9 3 12 17 NADINE 38.2 135.0 125 300 +1961 5 19 0 2 WILLIAM 7.0 226.2 98 711 +1967 5 18 18 16 BERYL 38.0 8.6 93 117 +1976 12 14 0 14 VALERIE 48.9 154.3 22 465 +1992 8 1 18 23 VALERIE 58.5 310.4 140 567 +1987 5 10 6 19 MICHAEL 35.3 14.6 19 222 +1987 3 15 18 18 LESLIE 56.4 200.7 145 256 +1997 2 11 6 14 DEBBY 43.9 308.8 41 886 +1984 11 21 18 3 ISAAC 67.1 257.6 75 30 +1952 5 22 18 18 DEBBY 65.4 260.6 27 553 +1988 8 9 12 1 VALERIE 14.2 152.0 47 224 +1961 8 6 6 11 ERNESTO 55.6 156.4 69 775 +1956 3 19 18 21 CHRIS 22.6 143.1 81 25 +1960 11 25 18 4 OSCAR 11.5 155.1 18 455 +1988 9 4 6 5 SANDY 26.6 28.6 42 717 +1966 1 8 6 26 WILLIAM 62.1 174.9 153 202 +1950 3 10 18 28 MICHAEL 67.0 46.6 153 666 +1951 1 11 0 11 RAFAEL 59.9 64.6 126 372 +1951 3 3 18 26 WILLIAM 49.0 240.3 85 558 +1978 9 25 18 18 WILLIAM 8.4 198.9 91 538 +1961 7 2 0 11 WILLIAM 39.9 348.6 123 547 +1967 1 7 12 16 BERYL 7.3 217.0 104 557 +1981 10 7 0 2 DEBBY 9.0 45.1 138 295 +1995 5 28 18 24 SANDY 17.4 256.7 157 266 +1955 5 23 18 18 VALERIE 58.5 105.6 137 568 +1999 2 16 12 6 VALERIE 35.4 232.5 128 585 +1998 8 25 6 16 WILLIAM 25.2 287.5 131 754 +1990 12 20 18 15 HELENE 63.3 334.1 52 363 +1950 1 10 18 14 WILLIAM 22.4 38.3 156 180 +1969 10 28 12 28 NADINE 33.1 108.4 33 668 +1989 6 20 18 20 ERNESTO 10.5 301.9 21 318 +1985 12 3 12 8 FLORENCE 65.5 319.3 70 40 +1984 7 20 12 23 ERNESTO 9.7 36.1 101 433 +2001 6 5 0 22 NADINE 55.4 100.7 112 281 +1980 4 11 12 21 LESLIE 25.0 33.9 21 529 +1951 1 5 0 19 FLORENCE 7.4 38.8 110 317 +1961 5 1 18 15 FLORENCE 47.3 256.4 162 213 +2002 5 10 12 23 BERYL 30.7 188.6 130 750 +1961 7 12 6 21 DEBBY 64.5 253.3 126 559 +2001 2 12 6 20 OSCAR 21.7 280.0 119 771 +1984 4 21 0 11 ERNESTO 11.5 287.1 81 356 +1976 5 10 6 17 MICHAEL 7.5 55.3 163 478 +1963 6 6 12 26 JOYCE 61.4 151.9 41 299 +1967 1 24 12 8 GORDON 19.7 161.4 99 797 +1999 9 19 0 12 RAFAEL 66.5 226.5 123 247 +1975 4 17 12 22 KIRK 46.8 158.3 100 89 +1984 8 8 0 4 VALERIE 37.5 201.6 129 118 +1989 5 15 18 1 GORDON 15.2 282.5 164 617 +1953 7 21 6 24 LESLIE 9.6 101.7 164 528 +1997 8 22 0 20 MICHAEL 30.0 202.5 66 238 +1989 2 4 6 16 LESLIE 60.1 319.6 140 301 +1960 12 8 12 2 KIRK 16.9 112.6 139 476 +1972 9 9 0 6 ISAAC 46.4 45.9 53 157 +1962 4 25 12 19 RAFAEL 18.4 144.0 98 132 +1952 1 23 0 17 BERYL 40.0 159.0 77 374 +1999 1 14 12 3 ISAAC 14.4 251.9 91 83 +1995 2 23 18 12 ISAAC 19.7 144.7 100 804 +1955 4 16 18 16 TONY 69.4 30.8 53 204 +1988 6 28 12 25 SANDY 7.0 63.3 155 237 +1978 7 17 18 9 DEBBY 16.0 84.8 66 593 +1988 5 10 12 28 PATTY 12.1 178.9 65 4 +1959 10 17 18 1 GORDON 66.1 206.8 80 836 +1987 7 12 6 21 BERYL 36.5 123.2 121 556 +1989 1 2 0 6 RAFAEL 34.1 236.5 25 458 +1996 3 23 18 23 DEBBY 17.6 110.1 142 247 +2003 1 12 6 1 SANDY 45.2 319.0 156 780 +1998 5 4 12 6 MICHAEL 37.3 93.9 62 665 +1984 12 3 6 19 LESLIE 68.3 282.3 158 853 +1975 2 18 0 13 HELENE 68.7 245.9 94 638 +1987 7 11 18 14 RAFAEL 25.9 55.0 21 80 +1983 7 27 18 7 ERNESTO 57.6 5.1 44 435 +1961 10 4 18 25 MICHAEL 47.8 281.2 155 108 +1988 10 21 0 28 WILLIAM 26.3 238.1 50 374 +1969 6 3 18 19 HELENE 35.2 153.7 12 216 +1970 6 18 12 15 RAFAEL 49.2 166.8 148 154 +1995 9 25 6 24 TONY 39.9 314.1 40 356 +1998 2 25 18 16 FLORENCE 7.4 75.4 148 899 +1951 3 20 0 10 NADINE 52.6 320.4 110 771 +1952 3 4 6 28 OSCAR 13.4 167.8 162 366 +1999 5 1 6 1 ISAAC 51.4 182.0 106 190 +2000 1 17 0 27 ALBERTO 42.3 135.1 95 320 +1952 12 16 0 25 FLORENCE 59.1 211.0 49 797 +1994 3 20 0 23 BERYL 9.6 59.3 144 191 +1959 4 17 0 23 WILLIAM 17.4 269.5 164 117 +1992 7 23 6 18 ISAAC 26.6 212.6 70 265 +1997 7 24 6 14 JOYCE 47.8 72.0 141 291 +1981 1 23 6 21 BERYL 26.3 82.1 78 778 +1974 4 19 18 26 ALBERTO 61.6 268.4 61 62 +1985 11 19 18 8 JOYCE 50.2 250.6 118 746 +1963 7 15 0 28 TONY 26.3 15.1 17 28 +1970 11 2 12 23 JOYCE 37.8 340.6 54 861 +1976 3 21 18 9 OSCAR 52.2 297.3 95 678 +1986 10 14 12 18 WILLIAM 27.0 30.5 89 84 +1966 7 13 12 6 SANDY 27.9 286.1 118 194 +1951 11 27 18 7 DEBBY 51.9 3.3 58 252 +1988 4 13 6 16 PATTY 53.2 132.1 54 369 +1955 2 20 6 18 BERYL 40.0 339.3 147 399 +1975 3 26 12 18 KIRK 48.8 188.7 117 231 +1978 1 28 6 11 OSCAR 55.3 237.7 149 494 +1992 2 9 12 16 VALERIE 60.4 289.8 157 685 +1958 11 18 0 22 CHRIS 50.6 3.4 86 870 +1993 2 4 0 11 ERNESTO 27.8 52.4 144 13 +1999 5 12 18 20 LESLIE 10.4 324.6 77 463 +1994 3 5 6 10 PATTY 30.9 32.1 150 286 +1987 12 15 6 23 ALBERTO 65.5 310.6 129 335 +1985 11 3 0 9 RAFAEL 58.1 17.3 42 428 +1967 11 9 12 1 WILLIAM 7.2 146.4 129 387 +1979 4 2 18 7 WILLIAM 60.1 8.7 76 14 +1958 8 13 0 27 CHRIS 27.7 252.0 123 689 +1969 5 17 18 16 OSCAR 60.4 194.5 54 390 +1952 8 15 6 4 ISAAC 61.4 165.7 130 160 +1976 11 2 6 20 ERNESTO 53.8 253.5 76 828 +1950 4 4 0 7 OSCAR 23.8 134.2 16 755 +1954 3 5 6 7 FLORENCE 66.3 139.9 147 674 +1984 6 17 0 17 SANDY 11.5 92.3 164 171 +1978 12 5 0 2 BERYL 69.8 94.0 36 707 +1971 5 9 18 23 KIRK 44.1 100.8 144 216 +1999 7 1 0 18 SANDY 44.1 204.6 139 317 +1993 10 7 0 5 WILLIAM 49.4 88.4 106 811 +1981 7 17 12 22 BERYL 69.6 222.5 19 33 +1954 6 16 18 2 ERNESTO 44.6 351.6 64 898 +1992 4 4 18 19 VALERIE 47.8 172.4 80 727 +1954 11 13 0 21 OSCAR 21.9 2.5 72 267 +1965 11 20 18 1 BERYL 69.8 58.2 10 225 +1951 1 19 6 19 WILLIAM 10.6 181.4 56 256 +1998 2 24 0 3 HELENE 11.2 234.1 99 33 +1955 5 17 6 21 BERYL 11.0 92.3 13 368 +1963 2 12 6 23 ISAAC 17.0 142.5 108 247 +1990 9 8 18 1 HELENE 41.1 60.5 50 370 +1984 12 2 0 28 NADINE 51.4 118.1 92 490 +1988 1 23 0 26 DEBBY 62.0 49.4 138 343 +2004 5 7 12 6 MICHAEL 12.5 183.5 48 129 +1975 10 11 0 26 MICHAEL 36.2 20.8 98 563 +1963 5 5 6 11 VALERIE 38.7 114.2 121 25 +1996 9 6 12 26 ISAAC 60.3 348.2 72 143 +1964 8 5 6 4 ERNESTO 9.4 33.6 88 775 +1981 1 10 6 7 ERNESTO 14.5 119.3 124 403 +1953 2 9 18 4 LESLIE 32.9 53.3 41 89 +1988 10 24 12 3 TONY 26.7 250.1 106 457 +1959 1 17 12 5 RAFAEL 46.8 314.5 86 743 +2000 11 11 6 26 MICHAEL 20.7 208.9 74 455 +2002 6 19 12 25 FLORENCE 11.3 341.4 11 323 +1954 5 5 12 22 LESLIE 23.2 325.1 73 272 +1975 5 23 18 14 ALBERTO 17.3 16.4 163 556 +2001 6 26 0 18 TONY 63.1 102.9 28 886 +1960 11 12 18 23 KIRK 16.0 3.2 109 58 +1992 4 27 6 25 JOYCE 67.8 204.0 86 631 +1956 1 19 0 21 BERYL 18.3 68.8 37 708 +1975 5 15 18 12 DEBBY 36.8 72.9 104 871 +1954 11 9 18 14 ERNESTO 18.0 223.2 90 250 +1951 8 8 12 5 HELENE 66.5 36.5 88 691 +1993 3 12 12 6 NADINE 30.3 268.0 95 772 +1958 12 18 0 17 LESLIE 39.6 295.3 160 709 +1998 3 16 0 24 ISAAC 10.3 190.9 65 679 +1980 8 6 6 6 ISAAC 21.7 132.8 110 450 +1971 10 12 6 19 FLORENCE 13.0 53.2 65 169 +1954 12 1 18 18 SANDY 47.3 124.3 112 823 +1951 11 19 0 21 VALERIE 62.5 129.2 103 714 +1950 12 18 18 13 RAFAEL 11.4 222.0 96 641 +1972 11 2 6 19 TONY 47.8 254.4 111 708 +1963 1 21 0 28 CHRIS 12.5 143.0 129 828 +1994 4 6 18 1 ERNESTO 65.1 157.5 17 774 +1955 1 4 18 3 WILLIAM 9.7 189.8 55 776 +1986 10 5 0 13 MICHAEL 43.9 43.5 56 227 +1994 7 19 6 20 DEBBY 46.8 82.5 143 481 +1950 5 13 0 20 ERNESTO 53.3 188.1 67 280 +1962 8 4 0 21 BERYL 19.9 86.7 70 312 +1997 8 27 18 7 FLORENCE 25.6 346.3 135 128 +1964 10 8 18 6 CHRIS 30.1 256.3 112 551 +1986 6 10 6 20 DEBBY 19.8 33.3 118 551 +2004 4 25 0 28 SANDY 59.9 24.3 23 311 +2001 5 14 6 3 MICHAEL 34.0 33.4 124 836 +1982 2 13 0 1 RAFAEL 37.8 28.4 102 305 +1962 1 16 12 24 MICHAEL 11.2 308.4 113 207 +1986 12 27 18 17 LESLIE 46.8 121.6 61 47 +1979 7 12 12 10 ERNESTO 10.6 131.7 103 543 +1999 2 6 0 1 LESLIE 66.4 141.3 128 319 +1973 7 16 12 19 HELENE 65.3 253.6 41 550 +1962 2 17 0 21 ALBERTO 57.4 144.1 16 764 +1953 9 12 18 15 LESLIE 53.6 109.7 52 309 +1994 7 5 6 8 HELENE 11.9 60.2 17 198 +1992 1 8 0 22 CHRIS 32.2 4.9 113 282 +2004 5 1 18 23 GORDON 39.7 86.9 142 625 +1973 5 7 0 25 HELENE 39.3 219.3 62 328 +1988 5 27 12 20 ERNESTO 39.2 279.0 127 645 +1971 5 20 12 18 JOYCE 24.7 213.2 42 663 +1967 3 20 0 16 GORDON 65.8 90.3 97 522 +1979 4 15 6 25 ALBERTO 19.1 148.0 39 795 +1999 8 24 0 18 DEBBY 45.7 128.3 81 596 +1968 4 26 0 3 OSCAR 19.5 87.7 128 407 +1956 8 5 18 26 TONY 41.5 208.8 101 567 +1990 7 22 6 26 TONY 19.2 261.2 106 341 +1995 6 3 6 22 NADINE 54.3 333.5 93 828 +1951 2 27 12 16 RAFAEL 31.4 255.2 17 392 +1954 6 28 0 24 MICHAEL 68.0 27.8 162 696 +1990 9 12 12 26 OSCAR 17.0 338.5 110 569 +1995 1 5 0 19 RAFAEL 45.5 260.1 113 550 +1994 7 8 12 7 TONY 58.4 262.0 134 267 +2003 3 5 0 28 RAFAEL 33.4 225.8 72 121 +2004 9 14 6 21 OSCAR 54.5 353.8 91 653 +1997 4 23 0 26 CHRIS 55.2 49.9 91 76 +1973 6 13 18 28 JOYCE 28.5 232.4 136 57 +2000 12 5 6 23 SANDY 8.7 30.1 62 389 +1983 12 10 0 4 ERNESTO 26.7 208.7 151 90 +1965 11 17 6 9 WILLIAM 44.2 180.5 144 282 +1999 11 19 18 8 WILLIAM 18.0 336.0 140 61 +1981 1 25 6 13 PATTY 60.2 219.8 146 857 +1990 5 8 0 11 VALERIE 36.2 232.3 157 585 +1987 2 13 12 20 JOYCE 41.7 284.5 113 212 +1952 8 22 18 4 RAFAEL 51.4 123.6 53 629 +1985 4 7 6 18 BERYL 25.1 300.7 119 228 +1963 7 11 18 23 CHRIS 21.3 206.1 150 709 +1978 6 18 0 22 JOYCE 11.3 277.5 163 698 +1988 10 28 0 17 MICHAEL 30.3 143.5 61 355 +1981 8 25 18 2 LESLIE 50.0 286.8 63 499 +1996 9 8 6 26 VALERIE 64.8 186.2 157 47 +1990 5 12 18 20 PATTY 35.7 260.2 124 291 +1994 4 18 12 22 GORDON 12.2 168.8 101 133 +1957 12 2 0 15 SANDY 46.5 292.2 117 261 +1979 11 22 18 26 VALERIE 41.3 146.1 43 585 +2001 9 8 12 21 PATTY 24.1 259.7 142 379 +1975 3 17 6 26 BERYL 21.7 163.8 83 138 +1975 4 28 6 27 LESLIE 60.6 70.8 84 747 +1956 3 26 0 4 HELENE 32.5 233.7 95 556 +1952 4 11 6 16 JOYCE 66.7 192.3 67 76 +1952 9 13 0 6 RAFAEL 28.9 88.5 159 745 +1965 6 9 0 22 PATTY 23.1 150.0 109 374 +1979 6 24 18 5 VALERIE 46.2 300.3 161 290 +2002 6 20 6 18 JOYCE 60.3 120.3 77 603 +1987 11 21 6 21 PATTY 63.1 62.9 65 140 +1980 8 23 0 4 ERNESTO 31.5 137.4 20 699 +1977 2 10 18 1 LESLIE 25.7 204.7 16 435 +1982 9 1 12 24 ERNESTO 11.7 28.1 94 207 +2004 5 26 18 18 FLORENCE 17.1 139.0 143 10 +1954 10 1 12 2 CHRIS 11.1 50.4 33 183 +1964 12 23 18 25 NADINE 58.3 291.9 102 126 +1950 12 18 12 11 ISAAC 22.5 239.3 99 678 +1971 6 2 12 27 SANDY 45.9 268.4 81 758 +1952 3 1 6 27 NADINE 39.0 78.9 127 819 +1996 7 6 12 4 NADINE 7.9 184.4 93 500 +1972 9 18 18 4 PATTY 8.7 48.3 87 54 +1994 5 6 0 14 CHRIS 33.1 133.2 121 400 +1997 2 1 18 17 KIRK 23.6 206.0 65 270 +1953 10 3 12 12 CHRIS 48.6 270.2 34 787 +1996 11 18 12 23 WILLIAM 9.2 36.0 154 851 +1955 2 17 6 27 OSCAR 56.8 111.0 150 281 +1976 1 1 12 24 TONY 43.7 337.9 60 387 +1983 6 3 6 5 PATTY 21.8 8.1 87 312 +1968 12 14 18 5 JOYCE 52.3 319.6 148 584 +1983 2 26 12 1 FLORENCE 45.2 315.1 120 514 +1980 4 13 18 1 JOYCE 36.4 349.9 120 783 +1978 3 17 18 1 GORDON 42.5 84.6 14 440 +1954 10 15 12 27 NADINE 40.1 111.7 101 545 +1967 10 12 0 11 HELENE 28.8 323.2 119 475 +1951 9 17 12 6 ISAAC 51.2 150.0 24 563 +2001 10 7 0 11 ERNESTO 11.0 44.1 98 288 +1980 11 14 6 27 CHRIS 60.3 283.5 126 354 +1980 11 21 18 28 KIRK 65.6 153.1 10 451 +1998 1 11 18 26 VALERIE 22.8 270.3 102 146 +1977 6 23 18 4 FLORENCE 53.2 279.5 48 339 +2004 3 14 18 28 KIRK 16.4 50.7 99 873 +1951 5 21 12 10 ALBERTO 36.5 86.1 41 645 +1987 8 26 0 25 ERNESTO 16.1 167.9 90 138 +1957 12 23 0 1 CHRIS 34.7 178.1 60 619 +2002 10 19 0 13 RAFAEL 64.6 327.8 14 275 +1963 5 14 12 17 WILLIAM 20.6 224.5 161 444 +1987 4 12 0 1 ALBERTO 60.6 215.9 139 646 +1961 4 24 12 11 SANDY 27.4 350.2 156 252 +1965 1 26 0 28 ERNESTO 53.4 284.3 72 582 +1999 5 10 6 11 OSCAR 49.1 11.2 42 737 +1954 1 3 12 4 ALBERTO 16.3 275.3 90 730 +1987 9 12 6 13 SANDY 52.6 239.4 110 823 +1995 2 1 18 13 FLORENCE 28.1 108.3 31 615 +1980 5 23 0 5 PATTY 65.0 44.7 36 798 +1959 10 8 0 7 LESLIE 10.2 156.8 23 602 +1989 8 26 18 23 TONY 26.3 348.3 163 570 +1999 12 23 0 6 HELENE 42.1 279.3 81 516 +1959 9 12 6 17 NADINE 39.0 242.9 36 298 +1967 10 19 0 27 SANDY 15.2 315.2 69 782 +1976 11 8 18 21 VALERIE 8.2 111.7 30 683 +1981 12 12 0 4 TONY 15.4 193.1 154 329 +1953 10 10 18 4 CHRIS 67.6 190.7 53 420 +1959 7 23 0 13 ISAAC 24.0 333.6 45 124 +1960 3 3 0 28 LESLIE 32.0 92.3 116 589 +1974 12 27 0 13 GORDON 58.6 108.9 151 40 +1994 1 21 12 27 HELENE 17.5 109.1 130 710 +1992 5 16 0 4 OSCAR 28.3 101.1 18 323 +1984 6 13 6 21 MICHAEL 22.9 310.6 23 119 +1998 6 11 12 3 NADINE 10.0 343.3 109 429 +1970 8 2 18 4 ALBERTO 55.4 306.9 145 638 +1974 1 4 0 15 HELENE 35.6 91.0 21 206 +1996 8 4 18 20 BERYL 10.4 225.8 152 846 +1999 10 11 6 21 ERNESTO 16.2 340.1 164 119 +1986 8 5 12 9 OSCAR 51.0 257.8 106 390 +2004 7 10 12 28 ISAAC 60.6 101.2 51 390 +1992 9 27 0 24 VALERIE 67.2 33.8 59 753 +1962 5 4 18 12 DEBBY 46.0 282.8 157 130 +2004 7 13 0 2 JOYCE 65.3 292.3 128 376 +1989 4 14 18 16 VALERIE 13.6 345.0 111 760 +1982 4 16 12 3 PATTY 65.3 237.8 143 68 +1956 1 5 12 5 GORDON 48.7 302.1 70 3 +1998 4 27 6 11 TONY 40.1 20.6 104 688 +1984 10 10 6 15 WILLIAM 27.8 329.7 136 579 +2002 9 19 18 28 WILLIAM 27.6 312.6 68 254 +1984 12 10 18 2 SANDY 14.1 116.0 21 366 +1990 7 11 18 9 ALBERTO 24.4 292.9 49 103 +1982 7 12 12 16 FLORENCE 64.4 70.4 19 789 +1995 11 18 18 16 DEBBY 12.2 327.0 113 841 +1975 9 1 18 26 MICHAEL 33.8 155.2 101 680 +1983 3 5 18 16 ISAAC 64.5 302.1 150 819 +1985 8 19 0 7 NADINE 10.8 19.2 120 838 +2004 3 7 18 1 WILLIAM 62.1 1.6 36 501 +1957 1 25 12 19 VALERIE 20.8 335.3 117 375 +1973 5 1 12 3 ISAAC 30.5 258.4 79 215 +1969 11 11 18 22 GORDON 23.4 5.3 56 663 +1954 5 6 18 5 CHRIS 13.4 56.1 143 690 +1970 1 22 6 19 CHRIS 59.3 164.6 155 167 +1985 5 16 6 14 SANDY 37.8 327.6 66 197 +2000 2 4 12 13 DEBBY 21.3 218.4 147 409 +2004 12 22 0 7 OSCAR 53.3 40.7 160 279 +1986 9 23 0 24 CHRIS 39.5 285.6 76 311 +1990 1 6 12 15 TONY 14.1 190.2 113 42 +1950 9 25 0 27 FLORENCE 59.6 24.5 72 131 +1979 9 10 12 26 KIRK 38.9 57.5 40 472 +1965 7 13 0 27 PATTY 63.4 175.8 141 311 +1975 4 22 18 14 ISAAC 18.5 245.0 85 742 +1958 4 24 12 6 TONY 17.5 274.0 138 710 +1958 4 4 6 3 ISAAC 13.5 319.5 132 892 +1964 9 5 12 5 VALERIE 33.7 151.8 46 626 +1967 11 24 6 14 JOYCE 59.5 82.3 148 826 +1981 11 1 6 17 SANDY 32.1 343.8 69 573 +1969 2 3 0 14 WILLIAM 7.9 66.0 56 772 +1961 1 10 18 24 RAFAEL 64.2 160.2 63 374 +2002 1 10 18 3 LESLIE 38.9 93.5 164 644 +1958 2 16 18 12 KIRK 58.3 337.1 111 242 +1998 4 16 18 5 ERNESTO 66.7 300.0 155 857 +1954 4 3 0 18 GORDON 42.4 263.6 33 409 +1967 6 12 6 11 DEBBY 13.8 264.8 67 492 +1959 5 4 0 15 ALBERTO 34.1 183.9 59 574 +1995 4 21 12 8 FLORENCE 9.9 74.8 37 864 +1995 7 25 18 14 KIRK 40.2 2.4 108 589 +1999 6 1 12 22 FLORENCE 44.8 258.9 21 857 +1983 3 28 0 22 ALBERTO 32.2 234.9 39 130 +1952 9 10 6 8 ERNESTO 15.7 253.8 163 218 +1998 5 13 0 26 VALERIE 37.7 166.6 142 83 +1992 9 24 18 9 ALBERTO 67.7 63.5 94 463 +1965 8 13 0 23 BERYL 30.7 154.3 112 553 +2004 10 26 0 10 JOYCE 35.6 30.7 133 581 +1959 5 21 6 19 BERYL 47.6 179.6 55 439 +2001 8 15 0 2 BERYL 15.1 157.2 38 244 +2002 11 21 18 6 RAFAEL 68.8 213.1 121 789 +1958 6 23 18 6 GORDON 41.7 143.4 52 31 +1982 12 15 12 2 OSCAR 52.2 340.3 34 633 +1966 1 21 12 6 HELENE 25.3 50.7 122 251 +1953 7 6 6 28 SANDY 61.4 67.6 33 263 +1957 3 28 6 26 VALERIE 43.7 62.5 27 185 +1990 10 22 12 8 ERNESTO 69.3 357.8 52 466 +1968 6 1 18 5 OSCAR 27.4 43.0 149 52 +1974 10 25 6 22 CHRIS 47.5 85.9 73 298 +1983 7 26 0 2 TONY 50.9 327.1 139 259 +1979 12 13 0 18 HELENE 39.8 16.2 160 797 +1954 10 27 12 14 VALERIE 8.0 275.0 120 5 +1991 1 25 18 19 SANDY 13.6 91.3 163 686 +1959 6 17 0 27 NADINE 36.2 102.0 126 6 +1958 12 17 18 14 ALBERTO 32.2 23.3 67 410 +1959 7 15 18 13 ALBERTO 58.4 242.0 133 755 +2004 11 12 0 15 HELENE 65.4 313.3 103 778 +1974 9 24 6 14 NADINE 19.5 273.9 35 889 +1981 12 2 12 5 TONY 53.7 115.2 110 191 +1961 8 17 0 3 FLORENCE 48.4 33.5 89 2 +1972 6 22 6 8 HELENE 24.6 144.3 110 554 +1990 5 3 18 6 SANDY 24.6 45.0 155 567 +1965 3 20 0 16 DEBBY 26.6 30.3 138 77 +1976 11 26 0 27 KIRK 9.6 307.4 115 802 +1986 6 12 0 25 FLORENCE 65.6 228.2 39 249 +1963 4 18 12 23 HELENE 42.3 310.9 27 826 +1999 9 15 12 21 SANDY 8.3 218.2 95 155 +1959 9 28 6 8 VALERIE 21.1 332.9 114 85 +1997 11 19 6 8 DEBBY 17.0 233.5 162 584 +1958 1 28 6 1 WILLIAM 20.1 115.7 119 183 +1993 1 8 6 5 ALBERTO 58.9 141.7 128 278 +1954 3 8 18 1 FLORENCE 21.5 244.9 118 286 +1996 8 14 6 27 RAFAEL 20.3 74.7 42 214 +1979 9 5 0 9 BERYL 40.3 151.2 143 121 +1975 10 1 12 9 VALERIE 55.8 173.3 126 457 +1986 5 15 12 16 JOYCE 62.0 300.1 100 100 +1963 9 1 6 7 DEBBY 43.5 198.8 40 372 +1957 9 19 6 2 PATTY 8.5 271.8 122 129 +1953 10 10 12 7 ALBERTO 36.1 346.1 43 784 +1997 3 27 6 10 HELENE 52.0 58.0 72 616 +1998 1 18 12 15 WILLIAM 55.5 272.5 164 468 +1991 10 15 12 1 SANDY 49.1 93.0 95 126 +1987 6 11 12 25 TONY 25.1 92.4 89 198 +1968 4 23 18 1 GORDON 16.2 142.7 52 842 +1987 9 13 6 18 JOYCE 25.4 294.0 18 709 +1999 2 4 0 1 NADINE 57.3 352.6 66 727 +1973 6 28 18 20 MICHAEL 8.3 111.3 125 495 +2004 5 1 18 14 OSCAR 53.7 208.3 43 652 +1973 5 7 6 6 HELENE 14.1 342.1 91 211 +1989 11 28 6 9 SANDY 50.7 346.3 64 205 +1990 7 20 18 22 SANDY 35.1 169.0 24 878 +2000 6 9 6 13 PATTY 11.1 288.5 53 560 +1958 7 24 6 7 GORDON 8.1 212.9 98 464 +1987 2 9 0 8 RAFAEL 44.3 108.2 151 566 +1956 3 7 0 3 ERNESTO 26.4 277.6 44 420 +1961 7 11 18 8 TONY 39.7 137.1 76 200 +1950 5 22 12 4 ALBERTO 35.7 308.9 119 470 +1957 10 26 18 18 ALBERTO 31.5 352.0 87 502 +1971 5 21 6 20 VALERIE 61.1 148.1 138 798 +1963 2 19 12 8 CHRIS 45.0 268.2 14 145 +1964 3 16 0 20 WILLIAM 62.3 64.6 139 587 +1959 12 27 18 19 SANDY 31.6 54.5 125 543 +1953 3 9 6 9 ERNESTO 19.8 186.1 65 241 +1992 10 6 18 20 JOYCE 56.0 120.9 55 185 +1982 9 18 6 28 OSCAR 61.8 193.3 56 361 +1987 2 28 12 8 LESLIE 48.9 101.1 17 858 +1996 10 27 18 11 FLORENCE 32.0 353.2 41 296 +1953 7 14 6 12 ERNESTO 35.2 256.3 70 375 +1992 7 27 18 16 ALBERTO 24.4 183.7 83 779 +1991 8 4 18 9 MICHAEL 11.6 54.2 98 177 +1996 5 25 0 4 KIRK 12.5 258.4 139 868 +1954 6 5 12 22 VALERIE 26.4 226.9 80 634 +1966 8 3 18 17 MICHAEL 28.1 296.8 115 455 +1953 4 27 18 2 ISAAC 19.7 96.7 146 392 +1954 4 8 0 1 ERNESTO 51.7 247.1 100 785 +2000 9 18 0 13 WILLIAM 35.2 105.4 99 774 +1953 11 1 6 3 PATTY 49.8 51.0 159 92 +1997 12 26 6 25 GORDON 47.1 155.8 82 618 +1964 8 14 12 17 ERNESTO 36.8 139.5 20 869 +1958 11 26 18 12 ERNESTO 39.5 296.7 97 6 +1952 7 11 0 7 JOYCE 48.4 281.9 48 481 +1983 4 6 18 13 SANDY 57.7 126.3 146 68 +1960 10 20 12 7 WILLIAM 59.4 279.7 125 228 +1986 3 13 6 3 DEBBY 65.1 155.8 49 237 +1963 10 5 12 11 FLORENCE 8.0 120.7 11 576 +1951 9 6 6 15 LESLIE 32.8 290.3 36 22 +1956 11 17 6 14 ISAAC 52.1 302.9 31 64 +1956 8 4 18 1 MICHAEL 59.0 196.6 143 582 +2000 4 6 12 9 DEBBY 25.9 124.5 43 576 +1993 6 20 18 21 ALBERTO 67.5 187.5 70 7 +1981 8 24 12 8 FLORENCE 15.8 213.6 88 856 +2000 4 13 0 12 DEBBY 35.2 193.1 102 271 +1994 8 13 12 22 JOYCE 47.1 68.1 72 290 +1982 11 12 0 24 KIRK 65.0 294.5 126 682 +1952 7 7 18 7 ERNESTO 43.2 187.4 85 466 +1987 2 3 0 23 FLORENCE 57.4 247.6 147 685 +1985 1 15 18 10 OSCAR 9.6 213.0 73 161 +1961 11 15 18 21 ERNESTO 36.0 226.9 51 882 +1950 6 10 0 12 CHRIS 38.2 194.4 21 525 +1972 2 23 18 2 TONY 17.6 201.7 141 428 +2001 1 7 6 4 DEBBY 29.9 345.3 138 186 +1963 1 28 6 5 FLORENCE 67.9 262.8 23 470 +2002 10 22 12 13 ISAAC 52.1 344.0 159 831 +2001 11 23 6 12 ALBERTO 63.5 129.9 21 126 +1995 9 20 12 14 GORDON 23.0 42.9 111 304 +1995 9 7 6 5 RAFAEL 56.1 295.2 73 814 +1972 12 27 6 6 RAFAEL 20.4 88.8 10 614 +1989 10 11 12 7 TONY 43.0 10.4 131 540 +1978 11 16 6 6 WILLIAM 58.3 175.9 27 183 +1952 3 23 18 2 DEBBY 16.3 186.7 96 802 +1955 5 27 18 26 ALBERTO 17.8 336.4 131 896 +1994 10 10 12 8 WILLIAM 35.6 307.4 88 516 +1950 3 4 12 2 NADINE 51.3 329.1 114 446 +1972 3 3 12 22 OSCAR 38.6 76.2 66 67 +1987 11 26 18 2 MICHAEL 13.6 296.5 137 477 +1988 4 14 18 24 HELENE 53.4 253.8 34 36 +1993 11 11 18 11 WILLIAM 56.8 138.2 113 618 +1974 5 27 18 27 RAFAEL 36.9 264.5 28 47 +1985 3 26 6 19 ISAAC 49.7 2.2 71 766 +1966 3 1 12 15 PATTY 29.5 279.0 47 35 +2001 5 13 18 27 SANDY 69.6 106.2 90 92 +1969 1 26 12 24 KIRK 67.8 119.7 74 666 +1951 5 4 0 12 TONY 41.3 143.3 38 298 +1965 12 4 6 7 KIRK 62.1 345.8 17 605 +1953 3 19 18 16 CHRIS 48.3 157.7 139 106 +1984 6 9 12 18 OSCAR 22.5 214.6 158 342 +1997 8 19 12 15 FLORENCE 64.0 211.1 63 234 +1978 2 17 18 10 DEBBY 58.8 210.3 113 301 +1967 4 10 12 4 ISAAC 54.0 173.7 135 73 +1962 7 27 18 21 WILLIAM 41.8 178.5 133 358 +1992 6 23 0 8 RAFAEL 55.1 185.9 85 20 +1953 10 5 6 17 WILLIAM 14.9 6.4 93 560 +1979 2 26 0 28 FLORENCE 67.3 10.2 104 853 +1987 2 16 18 21 CHRIS 22.9 222.8 67 444 +1975 8 26 12 21 KIRK 40.1 46.0 17 444 +1978 8 13 18 17 MICHAEL 9.7 213.9 127 686 +1966 10 2 18 26 ERNESTO 13.8 44.6 53 664 +1960 9 9 12 21 OSCAR 61.7 146.2 129 344 +2001 7 7 0 11 VALERIE 15.1 152.7 157 583 +1981 4 7 6 21 OSCAR 20.5 198.5 102 836 +1953 11 19 18 2 CHRIS 39.6 327.7 96 795 +1975 4 17 0 20 MICHAEL 26.4 319.5 29 139 +1983 8 9 6 7 LESLIE 35.0 80.0 125 852 +1991 5 1 18 23 KIRK 53.7 231.3 145 384 +1977 2 17 12 11 MICHAEL 33.1 71.7 93 103 +1955 3 17 0 2 CHRIS 48.4 292.4 145 776 +1977 11 2 18 13 NADINE 29.4 348.9 122 574 +1993 11 7 12 25 GORDON 62.7 158.7 158 386 +1970 8 19 6 1 HELENE 64.1 307.1 155 280 +1983 11 12 12 22 CHRIS 43.4 46.9 96 547 +1963 6 22 6 4 GORDON 46.8 156.6 37 636 +1976 9 8 12 4 FLORENCE 67.9 112.8 26 417 +1984 5 13 0 7 CHRIS 53.5 152.9 144 733 +1975 1 16 6 7 TONY 27.0 188.2 78 666 +1962 3 24 18 12 CHRIS 40.5 75.8 78 217 +1958 9 2 18 9 KIRK 20.1 118.6 80 0 +1989 9 6 18 14 WILLIAM 33.9 261.1 67 334 +1985 12 4 18 13 RAFAEL 35.4 134.2 162 721 +2001 5 19 12 20 WILLIAM 63.5 153.6 148 134 +1953 6 19 0 27 NADINE 44.7 168.4 130 76 +1977 6 6 18 12 LESLIE 16.6 331.8 74 584 +1999 12 9 0 4 TONY 45.1 215.3 163 215 +1950 11 6 12 5 TONY 31.6 7.5 66 246 +1985 11 14 18 13 JOYCE 40.6 96.6 137 859 +1980 4 12 6 8 LESLIE 62.4 237.0 135 38 +1951 10 10 12 26 KIRK 14.6 201.2 35 514 +1976 10 23 0 6 BERYL 28.0 43.7 75 169 +1966 3 15 0 24 WILLIAM 35.8 184.3 139 692 +1966 7 12 6 1 TONY 68.2 2.3 45 636 +1977 12 26 6 11 GORDON 8.1 230.2 100 238 +1991 5 9 0 17 GORDON 68.6 137.3 117 143 +1981 2 4 12 24 TONY 29.0 149.1 143 95 +2004 4 17 12 12 RAFAEL 32.3 275.9 151 329 +1984 2 3 12 1 GORDON 14.1 232.0 19 132 +1994 10 24 0 18 BERYL 65.3 267.4 72 882 +1995 9 24 12 2 TONY 41.1 81.5 61 529 +1961 12 14 18 28 TONY 69.4 71.8 152 58 +2004 12 4 12 28 TONY 41.9 12.5 63 835 +1957 2 4 12 28 VALERIE 53.8 257.1 43 307 +1955 12 10 12 3 NADINE 13.6 2.0 44 430 +1953 4 27 0 16 PATTY 30.2 30.8 61 405 +1950 10 22 12 11 ISAAC 32.1 26.2 78 70 +1976 10 8 18 5 LESLIE 17.8 313.4 133 169 +1999 6 10 12 25 WILLIAM 17.5 274.8 70 623 +1967 12 3 12 3 SANDY 13.7 169.7 48 390 +1962 7 13 12 3 ALBERTO 9.4 323.6 11 679 +1998 5 11 0 2 KIRK 51.6 221.8 116 389 +1971 6 7 18 13 FLORENCE 42.3 161.4 136 719 +2002 4 2 12 1 NADINE 39.1 97.5 13 460 +1970 3 25 18 9 SANDY 29.5 285.3 99 675 +1966 6 26 18 3 LESLIE 25.9 76.3 130 720 +1962 7 25 6 15 ERNESTO 46.2 84.7 159 486 +2002 8 24 12 3 CHRIS 65.7 305.9 47 88 +1965 10 18 0 25 BERYL 9.9 85.6 157 26 +1961 2 10 12 3 KIRK 60.0 197.0 144 864 +1970 6 25 6 24 BERYL 49.6 20.7 12 233 +1960 12 8 18 17 HELENE 8.2 85.0 54 406 +1996 3 5 0 2 WILLIAM 10.6 22.4 139 176 +1959 12 8 6 10 SANDY 43.3 291.7 125 749 +1971 11 22 6 10 TONY 31.1 151.0 20 471 +1993 9 17 18 7 VALERIE 16.0 165.3 109 738 +1998 8 25 12 18 LESLIE 40.1 335.6 49 364 +2002 3 24 12 3 WILLIAM 46.8 17.7 135 193 +1958 9 13 0 15 HELENE 38.5 280.7 152 213 +1987 9 8 18 22 OSCAR 15.7 136.1 67 114 +1983 6 12 6 23 WILLIAM 11.9 252.8 53 490 +1982 12 18 0 7 WILLIAM 61.3 155.5 44 244 +1970 1 11 18 16 VALERIE 13.9 105.6 42 149 +1997 6 15 6 2 DEBBY 64.1 201.2 115 653 +1960 4 27 12 13 NADINE 35.7 195.6 162 334 +1982 4 12 18 21 MICHAEL 49.1 223.0 143 512 +1994 10 10 12 27 KIRK 42.1 127.5 110 79 +1990 12 22 18 10 DEBBY 59.0 150.7 31 82 +1985 10 28 6 26 MICHAEL 53.2 58.4 67 273 +1988 10 4 6 3 MICHAEL 45.6 295.6 147 248 +1967 1 26 12 9 OSCAR 50.7 25.9 88 204 +1998 9 17 18 16 BERYL 53.8 251.5 114 49 +1967 3 9 6 16 WILLIAM 30.0 62.0 29 75 +1961 4 11 18 3 CHRIS 65.0 164.3 137 501 +1993 7 15 0 2 WILLIAM 22.2 82.7 39 673 +1980 7 26 0 18 LESLIE 53.7 139.6 97 605 +1976 4 1 6 23 GORDON 8.6 124.8 115 693 +1962 1 14 12 15 ERNESTO 44.0 268.1 139 205 +1973 4 3 0 9 BERYL 28.0 25.9 78 767 +1967 3 27 6 27 ISAAC 60.3 328.1 139 572 +1956 9 25 12 26 NADINE 51.3 24.2 140 287 +1999 12 15 12 22 GORDON 15.6 171.9 111 64 +1971 6 7 18 6 SANDY 18.7 76.0 18 860 +1980 5 23 12 3 WILLIAM 10.9 17.5 63 553 +1987 3 20 0 3 TONY 42.6 99.3 75 192 +1958 12 24 0 16 PATTY 30.7 13.7 81 805 +1964 6 1 12 14 LESLIE 28.0 94.3 36 436 +1987 8 25 18 3 JOYCE 20.5 74.7 52 313 +1981 5 12 12 20 ERNESTO 30.6 335.8 51 450 +1966 11 23 12 17 MICHAEL 49.5 163.7 26 123 +1952 9 11 0 12 JOYCE 56.4 145.2 41 494 +1960 8 16 12 3 SANDY 47.2 61.8 102 778 +2000 5 27 6 4 WILLIAM 16.5 270.3 142 869 +1997 7 24 0 3 PATTY 25.9 271.9 18 844 +1993 10 19 12 25 TONY 69.0 195.1 87 234 +1960 6 12 18 16 CHRIS 61.5 22.1 78 49 +1961 3 9 0 13 BERYL 26.7 60.6 145 554 +1975 7 23 6 6 ERNESTO 48.4 202.2 78 436 +2004 11 17 12 3 VALERIE 68.7 219.8 164 847 +1970 10 9 18 28 PATTY 66.9 303.8 56 322 +1985 4 17 0 23 LESLIE 28.4 264.3 60 546 +1976 1 20 6 5 PATTY 63.0 87.5 164 505 +1950 2 11 0 6 ERNESTO 29.8 72.4 10 219 +2002 9 6 18 22 LESLIE 19.5 313.2 81 639 +1997 9 28 18 17 KIRK 25.7 256.1 45 149 +2000 2 10 6 8 CHRIS 41.9 242.6 135 727 +1983 9 11 18 27 FLORENCE 36.8 315.6 126 266 +1962 9 2 0 24 OSCAR 37.0 44.2 57 566 +1950 1 22 18 19 PATTY 31.1 352.3 116 222 +1970 4 15 0 1 VALERIE 12.9 99.3 36 328 +1983 8 1 18 7 HELENE 33.4 169.5 48 35 +1993 10 2 6 3 HELENE 32.6 15.3 30 5 +1983 8 27 0 3 ISAAC 9.7 59.1 160 29 +1992 8 25 12 26 MICHAEL 49.4 256.1 113 106 +1975 12 8 6 18 OSCAR 38.7 283.0 115 718 +1962 10 10 0 19 FLORENCE 26.9 140.9 83 131 +1952 1 22 0 23 DEBBY 55.3 61.4 62 130 +1979 4 19 12 26 GORDON 67.8 116.8 111 554 +1976 9 19 12 7 NADINE 28.8 86.0 90 203 +1977 9 19 6 7 ISAAC 48.0 330.7 132 403 +1973 4 23 18 17 CHRIS 49.3 81.9 158 427 +1968 12 22 12 2 JOYCE 13.4 322.0 130 338 +1997 6 24 12 27 KIRK 56.7 131.3 49 657 +1997 4 6 12 13 PATTY 55.9 144.2 12 184 +1994 7 22 12 23 BERYL 53.1 153.3 41 216 +1954 10 23 0 14 KIRK 49.9 153.6 143 599 +1971 10 9 6 5 LESLIE 60.4 198.0 36 325 +1957 11 16 0 7 VALERIE 64.4 12.4 96 117 +1984 1 24 6 4 DEBBY 38.6 133.4 145 527 +1986 10 19 18 25 CHRIS 62.5 145.7 91 519 +2003 5 10 18 4 TONY 10.3 311.6 151 58 +1960 11 22 6 15 KIRK 44.4 128.5 45 367 +1964 8 27 18 24 GORDON 55.3 297.6 163 74 +1966 10 4 6 13 ALBERTO 69.9 358.0 161 573 +1991 10 10 0 25 ISAAC 20.2 73.6 20 414 +1961 8 5 18 20 ALBERTO 16.3 189.4 27 678 +1999 4 1 0 9 GORDON 10.8 279.3 99 349 +1981 11 28 12 9 OSCAR 35.1 226.4 159 879 +1954 6 9 18 11 WILLIAM 12.2 280.7 77 234 +1957 11 9 18 26 CHRIS 34.2 317.7 30 421 +2000 8 10 6 1 WILLIAM 52.5 153.6 159 349 +1960 3 17 12 8 JOYCE 57.3 147.0 143 719 +1997 11 12 6 7 ISAAC 52.7 199.0 136 578 +2000 5 21 0 14 DEBBY 63.6 285.6 145 570 +1956 9 25 6 19 TONY 57.5 235.5 115 661 +1954 11 14 18 15 LESLIE 17.5 216.1 42 603 +2002 11 5 6 5 PATTY 29.3 12.8 75 6 +1976 9 21 0 13 NADINE 43.3 285.4 98 421 +2002 4 6 12 1 KIRK 47.3 6.5 138 340 +1952 5 3 0 17 CHRIS 49.5 14.1 40 574 +1980 8 1 12 2 KIRK 49.5 227.0 61 617 +1965 5 5 18 18 SANDY 44.4 295.8 43 681 +2004 6 24 12 17 KIRK 57.8 23.3 107 45 +1997 11 7 12 28 CHRIS 55.7 140.9 111 544 +1998 7 27 0 17 PATTY 49.8 99.9 122 897 +1964 11 6 6 15 WILLIAM 13.0 7.7 131 468 +1991 1 16 6 24 OSCAR 45.4 190.5 119 32 +1964 6 5 6 19 WILLIAM 42.8 47.0 36 654 +1970 4 22 18 8 VALERIE 44.1 237.1 103 75 +1986 9 15 6 12 LESLIE 30.8 341.7 110 301 +1973 11 18 18 14 SANDY 52.3 237.9 138 501 +1954 12 4 6 28 MICHAEL 46.0 288.3 37 89 +1985 8 8 18 10 KIRK 58.5 93.5 19 78 +1989 10 27 12 13 KIRK 28.8 325.7 133 572 +1992 5 6 12 28 ALBERTO 7.9 222.4 10 871 +1989 3 9 12 9 BERYL 39.8 103.0 90 2 +1954 3 21 18 21 HELENE 19.4 73.3 24 500 +1971 6 16 12 4 BERYL 60.5 311.7 50 96 +1981 9 18 18 13 KIRK 19.2 150.4 41 742 +1997 5 23 18 25 LESLIE 53.1 319.1 31 24 +1976 8 26 12 14 SANDY 64.2 259.5 15 361 +1998 7 16 6 9 GORDON 34.9 267.3 111 316 +1979 9 9 12 27 TONY 14.6 205.4 40 326 +1996 7 6 18 26 DEBBY 20.9 229.7 84 469 +1962 3 16 12 20 ISAAC 64.0 270.8 22 830 +1953 7 16 12 24 NADINE 14.5 262.5 126 386 +1963 1 24 6 16 LESLIE 27.7 345.8 41 499 +1975 4 17 6 11 NADINE 48.8 308.4 42 73 +1980 2 14 6 27 MICHAEL 15.1 273.3 90 280 +1961 10 8 18 3 VALERIE 37.2 215.5 85 157 +2003 8 1 18 2 GORDON 9.1 27.6 16 636 +1969 11 11 0 9 CHRIS 27.4 31.2 42 718 +1965 1 26 18 27 CHRIS 11.3 169.7 38 255 +1987 5 26 12 3 DEBBY 70.0 268.8 144 98 +1989 2 14 12 26 SANDY 24.7 332.5 13 19 +1977 9 4 6 21 RAFAEL 23.5 126.0 51 192 +1952 7 22 18 4 ALBERTO 26.1 112.0 53 245 +1951 2 26 18 4 JOYCE 59.5 209.7 136 241 +1958 10 4 12 8 WILLIAM 19.2 43.5 100 849 +1955 6 20 18 21 PATTY 37.5 64.0 84 409 +1971 10 2 18 16 PATTY 54.6 37.3 151 326 +1957 9 20 0 18 MICHAEL 38.1 80.0 61 877 +1970 12 5 18 21 GORDON 18.3 223.3 46 28 +1980 12 16 18 8 VALERIE 33.7 163.3 96 126 +2003 1 3 0 6 OSCAR 30.9 84.1 61 288 +1969 8 16 12 6 ALBERTO 7.8 41.4 161 121 +1985 4 4 18 25 VALERIE 31.7 159.4 26 748 +1950 7 8 0 17 CHRIS 63.1 97.7 56 519 +1984 7 21 18 9 MICHAEL 29.9 130.5 161 844 +1995 3 21 6 22 DEBBY 27.6 95.5 112 891 +1990 10 5 6 26 FLORENCE 48.7 57.2 66 51 +1952 4 6 12 28 BERYL 25.6 80.1 80 97 +1972 2 23 18 24 SANDY 49.7 312.2 112 218 +1978 12 6 12 5 JOYCE 16.9 206.5 30 203 +1966 4 22 0 20 PATTY 35.3 44.6 156 477 +1970 10 17 18 17 PATTY 51.6 91.7 107 835 +1952 9 18 18 3 ERNESTO 20.7 71.4 162 224 +1984 6 12 18 13 DEBBY 19.0 18.7 38 884 +1971 8 1 18 22 TONY 62.3 55.5 97 857 +1971 3 9 12 27 CHRIS 15.1 53.1 45 241 +1961 8 13 0 13 SANDY 48.7 99.9 72 115 +1969 4 16 18 15 LESLIE 11.1 7.6 150 47 +2002 4 19 12 6 BERYL 9.3 164.8 34 816 +2001 6 2 0 23 HELENE 55.7 63.8 34 719 +1957 3 20 12 24 MICHAEL 34.3 108.6 84 409 +1982 6 19 0 6 BERYL 22.1 178.4 39 883 +1983 4 22 6 10 KIRK 45.4 313.4 79 4 +1965 8 17 0 7 ERNESTO 48.1 95.2 85 37 +1991 12 26 12 22 OSCAR 8.3 298.3 105 361 +2004 7 13 18 19 TONY 14.0 240.0 149 775 +1993 4 27 0 27 ERNESTO 43.2 337.7 31 340 +1955 1 10 18 24 DEBBY 43.8 206.6 105 136 +1971 8 11 0 27 BERYL 50.4 193.9 34 57 +2003 6 14 12 20 GORDON 8.1 323.4 82 290 +1977 8 28 6 22 NADINE 52.9 152.2 161 864 +1956 6 24 12 28 ISAAC 21.6 270.9 28 303 +1962 3 19 18 3 BERYL 16.5 186.8 40 269 +1955 1 27 18 17 WILLIAM 65.3 266.4 81 615 +1997 10 25 12 27 HELENE 56.8 127.0 48 816 +1984 12 22 12 22 ISAAC 52.0 81.0 133 261 +1964 10 17 0 6 RAFAEL 33.4 296.7 129 738 +1990 9 5 12 21 RAFAEL 35.5 291.6 155 182 +1992 4 13 6 14 ISAAC 62.8 279.4 97 344 +1955 2 28 6 18 KIRK 12.8 181.3 142 670 +1997 6 25 6 18 LESLIE 32.2 153.7 46 244 +1995 9 23 0 11 RAFAEL 44.4 209.9 52 379 +1950 4 21 12 19 BERYL 45.5 347.4 157 750 +1982 5 24 0 28 DEBBY 13.6 217.5 66 472 +1991 9 16 0 9 GORDON 42.1 341.6 24 534 +1964 5 10 6 21 VALERIE 59.3 347.8 94 801 +1969 6 14 12 25 PATTY 46.3 96.4 144 218 +1976 10 20 6 2 NADINE 41.7 321.1 51 423 +1989 6 5 18 17 ERNESTO 63.3 133.5 113 871 +1998 1 26 12 3 NADINE 42.5 159.1 49 306 +1951 8 28 12 24 HELENE 50.0 149.4 55 757 +1987 9 23 12 10 ALBERTO 52.7 299.4 117 559 +1977 8 7 0 24 GORDON 33.8 314.5 69 410 +1950 11 4 0 17 WILLIAM 61.0 247.4 30 384 +1955 4 18 6 11 BERYL 13.6 248.5 133 555 +1996 10 15 0 4 SANDY 64.2 114.6 24 780 +2002 8 9 18 11 RAFAEL 45.5 43.7 79 604 +1979 12 6 6 27 WILLIAM 50.6 38.4 125 211 +1983 2 22 12 19 TONY 57.9 287.6 136 236 +1976 2 19 12 19 FLORENCE 11.5 75.4 39 711 +1983 11 16 18 2 ERNESTO 56.8 126.0 95 82 +1969 8 26 0 10 GORDON 60.6 161.4 60 837 +1954 7 10 12 2 BERYL 25.0 290.2 71 457 +1950 12 16 18 16 LESLIE 65.0 281.5 158 840 +2002 10 19 0 13 KIRK 54.1 50.1 13 898 +1988 5 11 6 17 BERYL 42.7 210.0 95 292 +1993 1 27 0 3 LESLIE 62.9 191.4 129 869 +2004 11 14 12 2 LESLIE 53.1 320.1 11 744 +1966 10 25 18 7 VALERIE 67.9 202.6 112 665 +1998 6 21 12 26 ALBERTO 59.1 330.2 34 399 +1973 7 22 0 6 HELENE 61.9 197.4 11 352 +1966 6 5 12 19 ALBERTO 62.6 246.5 72 791 +1985 5 11 12 2 GORDON 67.7 194.0 78 198 +2000 4 22 6 17 ERNESTO 65.3 67.6 65 730 +1972 5 26 0 9 ERNESTO 20.4 175.2 116 184 +1969 9 15 12 5 OSCAR 62.8 185.4 12 699 +1983 8 3 18 21 KIRK 52.4 98.5 25 880 +2000 2 1 6 3 ALBERTO 12.3 115.5 128 259 +1990 6 16 18 20 GORDON 20.5 20.6 62 528 +1989 9 16 6 4 ERNESTO 68.5 15.3 42 393 +1984 5 1 0 9 SANDY 49.2 187.1 13 858 +1981 6 18 0 19 FLORENCE 22.9 207.2 69 754 +1972 6 24 0 4 BERYL 26.6 56.3 113 231 +1976 9 16 18 9 HELENE 12.0 314.3 46 378 +2003 11 10 6 23 OSCAR 12.9 249.3 91 376 +1982 11 13 18 13 HELENE 15.2 317.3 12 645 +1991 5 11 6 27 SANDY 60.2 61.7 99 195 +1968 1 23 18 8 HELENE 33.4 194.7 155 853 +2002 7 26 12 17 GORDON 57.9 319.7 101 788 +1987 4 25 12 21 ERNESTO 26.3 339.9 44 697 +1964 9 22 12 13 VALERIE 41.9 320.6 114 792 +1985 4 15 18 18 SANDY 22.0 64.7 88 652 +1967 6 2 12 14 BERYL 29.9 314.9 85 162 +1955 2 20 12 19 FLORENCE 19.0 321.0 146 247 +1981 4 11 6 23 WILLIAM 39.7 60.2 81 777 +1955 9 1 18 1 ALBERTO 9.4 34.2 75 252 +1951 12 17 0 24 HELENE 40.2 237.5 84 597 +1990 9 21 0 20 TONY 65.8 305.8 132 866 +1988 1 13 6 13 PATTY 57.7 20.9 33 135 +2000 12 1 6 22 TONY 39.1 283.3 49 554 +1956 12 19 12 14 ALBERTO 60.3 111.4 146 149 +1970 4 20 12 19 ISAAC 23.0 195.6 104 834 +1954 10 21 0 1 RAFAEL 41.3 223.2 77 54 +1965 1 19 12 6 NADINE 17.2 69.1 115 677 +1973 8 27 12 22 ALBERTO 51.2 79.0 20 305 +1992 9 24 0 25 ALBERTO 46.3 7.9 37 685 +1987 11 21 6 9 BERYL 34.1 271.7 163 234 +1973 6 25 6 23 TONY 53.6 216.3 137 182 +2003 1 24 0 11 ISAAC 31.5 44.0 27 448 +1964 12 11 6 28 SANDY 40.4 93.1 26 129 +1955 9 7 18 3 VALERIE 44.0 153.0 150 546 +1997 6 26 12 24 CHRIS 24.5 235.8 114 664 +1965 12 13 6 7 VALERIE 38.0 314.2 28 397 +2004 8 21 0 20 ERNESTO 40.5 74.5 118 389 +1975 11 26 12 19 NADINE 59.9 114.0 64 399 +1973 6 8 0 6 SANDY 18.0 141.9 137 404 +1964 8 15 0 12 BERYL 17.2 295.2 58 342 +1973 3 20 6 18 ERNESTO 11.0 170.8 87 596 +2002 1 2 12 2 HELENE 34.2 174.4 143 557 +2002 6 11 6 4 RAFAEL 60.0 249.5 115 700 +1994 2 12 12 5 CHRIS 22.6 350.4 87 784 +1955 11 12 6 27 GORDON 25.8 4.1 138 831 +1974 2 20 6 25 OSCAR 56.8 142.5 28 393 +1952 2 7 12 14 PATTY 28.7 182.6 159 498 +2003 1 16 0 22 SANDY 65.1 208.8 85 122 +1990 11 15 18 28 CHRIS 16.3 51.0 68 839 +1989 11 24 0 5 OSCAR 43.7 349.1 145 34 +1973 2 15 6 13 ALBERTO 38.3 162.1 86 83 +1979 2 11 6 1 JOYCE 67.0 311.8 135 277 +1999 9 22 6 3 ALBERTO 41.4 121.7 16 551 +2003 3 15 0 14 FLORENCE 10.5 89.2 140 761 +1988 3 22 6 3 WILLIAM 15.3 116.4 59 4 +1997 3 26 12 9 WILLIAM 55.3 204.6 50 319 +1979 5 25 0 11 FLORENCE 31.0 300.0 69 378 +1960 4 5 18 4 GORDON 23.2 344.1 29 438 +1997 10 11 0 17 TONY 42.9 195.6 88 97 +1954 5 5 12 24 ISAAC 43.8 242.7 116 343 +1954 12 21 12 18 HELENE 29.3 79.5 101 569 +1980 3 10 0 26 WILLIAM 21.8 23.4 141 796 +1955 10 9 18 11 JOYCE 65.4 294.0 106 828 +1990 11 19 18 5 OSCAR 61.4 145.8 19 768 +1996 12 12 18 14 LESLIE 63.9 117.0 23 48 +1973 2 4 12 12 TONY 50.6 33.5 120 687 +1983 11 10 0 17 GORDON 12.6 287.8 63 642 +1994 7 27 18 22 ISAAC 22.8 320.9 15 606 +1965 9 21 18 2 VALERIE 24.5 270.4 99 856 +1952 1 16 18 25 CHRIS 29.8 252.7 13 25 +1995 5 3 0 8 KIRK 8.7 159.1 34 302 +1967 1 17 0 5 LESLIE 62.9 337.9 79 436 +1951 12 18 12 13 TONY 38.5 242.9 104 689 +1966 2 21 18 28 ISAAC 53.3 332.0 86 374 +1976 9 21 0 9 NADINE 25.5 105.1 115 581 +1979 12 24 12 10 HELENE 8.3 325.4 65 518 +1988 8 15 18 1 LESLIE 29.1 341.1 86 341 +1988 11 8 12 5 JOYCE 46.6 218.0 113 596 +1997 6 4 0 21 LESLIE 18.3 202.2 164 744 +1957 4 7 18 22 ALBERTO 68.8 300.2 94 615 +1955 12 16 18 3 RAFAEL 36.7 335.1 126 268 +1976 11 10 18 7 DEBBY 14.2 169.3 154 663 +1968 2 22 0 6 FLORENCE 30.9 228.7 164 475 +1988 8 23 0 2 WILLIAM 55.2 326.5 146 574 +1966 4 20 6 25 CHRIS 13.3 168.4 57 101 +1979 6 20 18 4 VALERIE 53.8 277.3 108 408 +1963 5 18 12 2 KIRK 58.2 222.0 52 136 +1950 4 11 18 6 ISAAC 60.7 73.2 15 728 +1980 9 17 12 26 VALERIE 62.2 41.0 96 370 +1961 12 8 18 16 DEBBY 15.4 242.9 123 643 +1954 10 15 12 2 CHRIS 42.6 45.3 131 446 +1999 9 3 0 25 VALERIE 44.9 175.7 51 486 +1989 8 9 12 21 LESLIE 50.7 16.1 162 195 +1989 8 16 12 28 TONY 7.8 72.5 125 262 +1970 11 7 12 17 ISAAC 46.4 290.5 51 533 +1994 1 12 18 17 RAFAEL 25.4 311.2 155 858 +1967 2 14 12 17 JOYCE 7.3 340.8 144 422 +1953 6 4 0 22 RAFAEL 12.2 122.0 131 139 +1996 1 17 12 28 TONY 39.4 325.2 162 861 +1968 10 9 0 28 DEBBY 67.3 58.2 120 119 +1975 4 6 12 24 ERNESTO 68.5 35.6 75 114 +1958 3 14 0 4 BERYL 11.0 291.9 14 162 +1965 10 22 12 12 LESLIE 48.9 327.3 25 290 +1979 8 6 6 22 DEBBY 34.8 64.0 104 224 +2003 6 27 18 28 JOYCE 44.3 72.0 23 564 +1992 3 8 6 22 RAFAEL 20.0 293.2 162 896 +1988 1 1 6 11 WILLIAM 60.6 226.1 82 343 +1966 12 23 6 19 OSCAR 48.3 114.8 135 189 +1970 3 17 0 5 SANDY 25.8 7.7 122 183 +1959 5 10 0 9 KIRK 40.9 314.4 66 189 +1978 11 23 6 15 KIRK 9.9 63.5 71 839 +2000 4 8 6 4 NADINE 20.1 155.1 25 269 +1950 9 22 0 23 JOYCE 56.4 340.5 161 50 +1995 11 2 12 6 GORDON 48.6 134.4 20 586 +1984 8 18 0 11 TONY 7.4 99.1 103 115 +1971 1 7 12 28 MICHAEL 69.2 261.2 128 492 +1974 12 22 18 13 VALERIE 12.5 31.7 154 73 +1976 5 26 12 4 ERNESTO 50.1 99.8 41 76 +1960 4 8 0 25 WILLIAM 59.2 26.8 41 752 +1963 7 19 12 2 ISAAC 23.1 283.8 149 608 +1999 2 21 12 14 OSCAR 13.0 186.1 45 778 +1990 8 21 18 7 HELENE 7.1 341.0 10 541 +1990 11 4 18 7 WILLIAM 57.6 68.7 158 337 +1962 1 28 6 11 KIRK 43.7 109.4 117 475 +1987 8 9 0 21 LESLIE 58.5 346.3 109 743 +1975 11 11 18 19 NADINE 7.6 189.0 54 762 +1978 10 20 0 2 ALBERTO 65.9 214.6 84 698 +1997 11 10 12 15 NADINE 25.1 182.1 114 220 +1958 11 22 0 23 SANDY 14.8 108.3 113 693 +1993 1 12 12 1 TONY 62.7 157.0 147 881 +1993 3 4 0 19 ALBERTO 33.0 176.5 84 464 +1981 1 18 0 10 ERNESTO 20.1 357.1 135 163 +1993 8 6 0 22 ALBERTO 34.2 175.2 41 205 +1975 8 24 18 5 BERYL 19.8 315.8 80 458 +1960 8 4 12 9 TONY 25.6 246.9 78 219 +1950 9 16 18 14 ERNESTO 56.5 74.5 69 814 +1970 5 20 18 26 ISAAC 39.0 31.4 88 899 +1955 6 5 12 28 JOYCE 24.0 132.4 98 227 +1984 1 22 6 13 NADINE 15.7 77.9 104 620 +1960 5 26 6 6 GORDON 16.3 54.7 73 193 +1981 6 14 12 10 ERNESTO 32.3 290.2 97 588 +1975 6 28 0 28 CHRIS 20.1 97.1 150 722 +1953 9 1 6 14 VALERIE 39.9 50.8 24 130 +1975 5 7 0 16 ALBERTO 43.8 273.3 43 52 +1997 11 3 6 21 BERYL 36.7 215.0 91 543 +1978 1 4 0 21 TONY 63.3 84.4 106 209 +1983 10 26 6 1 SANDY 48.9 60.1 160 209 +1960 3 14 0 19 OSCAR 43.2 185.3 58 131 +1992 9 5 18 13 RAFAEL 33.8 273.6 77 470 +1966 5 24 0 18 NADINE 60.5 96.3 71 306 +1967 4 1 0 27 NADINE 52.5 188.6 12 871 +1958 7 1 6 21 JOYCE 33.5 149.9 146 332 +1955 11 4 0 9 LESLIE 34.9 259.8 115 453 +1956 10 26 0 9 LESLIE 24.6 255.0 109 34 +1984 2 23 0 17 SANDY 61.2 312.9 39 569 +1995 3 3 12 14 TONY 67.2 93.6 88 217 +1988 11 3 12 18 ALBERTO 67.8 329.0 60 425 +1959 4 2 6 16 VALERIE 18.1 62.8 53 301 +1966 6 17 12 27 BERYL 61.4 75.6 40 74 +1995 7 26 18 25 ALBERTO 59.5 225.0 113 819 +2004 2 27 18 15 ALBERTO 7.5 299.3 132 447 +1954 10 27 12 23 ISAAC 38.1 126.4 12 230 +1987 12 21 6 14 RAFAEL 57.4 332.1 113 819 +1959 10 11 18 28 BERYL 24.4 307.4 56 843 +1972 12 20 18 6 MICHAEL 63.5 106.0 124 879 +1995 10 25 6 9 HELENE 40.5 164.1 50 489 +1962 8 14 18 13 ERNESTO 64.7 133.9 122 413 +1993 11 17 18 20 LESLIE 24.3 347.6 94 91 +1960 2 14 12 24 SANDY 54.1 11.4 152 54 +1965 9 8 6 20 KIRK 48.0 46.2 120 141 +1962 2 6 18 5 VALERIE 41.5 81.3 67 623 +1950 8 2 12 13 LESLIE 31.1 207.5 99 654 +1995 2 3 12 4 KIRK 35.4 14.4 69 647 +1996 5 8 18 24 OSCAR 51.3 1.8 14 238 +2002 4 25 0 20 KIRK 43.4 32.5 72 343 +1957 6 24 0 23 ALBERTO 61.6 192.7 80 461 +1975 3 3 12 14 ALBERTO 14.8 98.5 56 584 +1977 7 4 18 3 HELENE 53.5 24.9 68 548 +1979 4 26 6 10 ISAAC 17.0 354.8 23 118 +1988 6 6 12 3 NADINE 18.6 112.4 31 561 +1951 1 26 6 15 VALERIE 9.3 266.6 146 309 +1975 3 21 6 24 ERNESTO 43.3 194.6 29 352 +1973 7 19 18 5 SANDY 36.9 241.6 46 641 +1963 10 23 12 12 PATTY 63.8 115.7 45 754 +1998 5 4 18 13 LESLIE 64.3 43.1 132 393 +1975 4 25 18 9 KIRK 42.5 221.9 110 4 +1992 5 21 0 16 ERNESTO 42.8 319.2 152 829 +1985 3 19 12 13 SANDY 67.3 111.7 18 717 +1998 1 17 0 12 SANDY 17.0 2.3 135 736 +1981 7 21 0 9 NADINE 52.7 333.0 157 705 +1975 7 19 12 14 BERYL 27.0 344.8 121 426 +1991 2 15 0 11 LESLIE 66.7 176.6 53 717 +1972 3 7 6 10 RAFAEL 25.8 286.8 152 86 +2001 1 19 6 4 ALBERTO 63.6 270.5 105 182 +1985 5 15 18 2 PATTY 14.9 169.4 153 430 +1993 1 18 6 1 HELENE 33.8 225.0 40 705 +1969 8 13 6 13 ALBERTO 44.2 79.7 96 778 +1973 12 27 6 11 ALBERTO 68.9 40.5 61 172 +1997 5 20 0 11 BERYL 66.3 176.7 61 202 +1971 4 22 18 5 VALERIE 46.3 77.3 87 641 +1977 3 25 18 20 GORDON 46.7 10.7 74 678 +1983 4 14 6 21 NADINE 37.8 70.7 32 393 +1960 11 27 0 3 ISAAC 45.8 333.0 37 754 +1955 6 5 12 1 BERYL 64.8 35.0 41 442 +1951 10 25 0 19 DEBBY 19.8 284.5 80 34 +2001 7 1 18 4 MICHAEL 8.0 276.3 33 274 +1989 6 23 12 4 PATTY 69.1 252.6 113 46 +1958 6 7 18 26 JOYCE 19.9 219.6 117 40 +1953 2 7 0 4 ISAAC 12.2 129.5 73 258 +1976 11 15 0 7 TONY 39.3 133.6 61 572 +1984 10 26 6 24 CHRIS 20.6 139.9 33 30 +1969 10 23 0 11 BERYL 16.7 108.6 157 13 +1961 9 23 12 14 BERYL 64.6 225.5 111 322 +1954 5 9 6 8 HELENE 63.1 61.9 54 253 +1995 1 12 6 26 ERNESTO 38.4 339.3 24 523 +1999 7 17 6 27 MICHAEL 30.8 207.1 36 390 +1969 6 16 6 24 ERNESTO 69.3 345.9 123 595 +1980 9 4 18 17 RAFAEL 36.4 222.2 91 139 +1998 5 4 6 17 VALERIE 29.2 120.9 144 721 +1953 10 7 6 3 NADINE 36.9 21.3 38 710 +1984 11 13 18 26 TONY 37.2 104.1 89 32 +1969 12 7 0 11 BERYL 37.4 174.1 93 32 +1957 9 17 12 9 DEBBY 17.4 14.5 115 359 +1974 1 19 12 2 RAFAEL 45.5 308.0 13 56 +1979 3 15 6 7 CHRIS 14.6 315.2 77 367 +1960 11 3 0 16 FLORENCE 30.8 28.9 73 790 +1972 10 3 0 7 MICHAEL 58.8 222.6 38 558 +1968 8 10 0 26 HELENE 36.6 135.7 142 524 +1968 11 13 18 8 ALBERTO 54.6 330.6 37 302 +1978 12 23 12 15 GORDON 29.1 195.1 142 712 +1979 5 20 12 27 GORDON 47.8 90.5 132 347 +1985 3 10 12 27 FLORENCE 44.5 250.7 80 868 +1973 8 1 6 3 HELENE 55.1 274.1 161 418 +1962 9 5 12 24 SANDY 34.4 285.5 48 37 +1961 4 6 0 23 HELENE 27.1 183.0 163 161 +1986 8 10 6 21 CHRIS 66.7 191.6 103 447 +1986 3 13 0 14 ISAAC 56.1 347.3 92 425 +1956 5 19 12 18 ERNESTO 66.9 123.5 73 675 +1996 12 23 18 27 PATTY 60.5 283.2 31 146 +1974 5 10 12 16 PATTY 66.8 29.5 33 443 +1999 6 10 6 15 TONY 69.0 202.4 141 218 +1986 5 6 18 8 OSCAR 21.9 146.0 52 796 +1960 5 12 12 19 MICHAEL 21.4 145.4 107 843 +1952 4 6 18 11 WILLIAM 56.4 220.4 94 57 +1955 6 20 6 2 ERNESTO 66.4 29.0 82 127 +1952 5 4 6 4 LESLIE 8.9 286.8 146 586 +1978 1 11 12 16 NADINE 8.4 317.4 91 820 +1961 7 23 0 7 ISAAC 67.5 182.8 105 793 +1973 6 20 0 23 FLORENCE 42.5 139.6 48 741 +1965 6 9 18 21 WILLIAM 45.1 333.3 36 385 +1955 12 25 6 2 LESLIE 38.0 356.2 15 456 +1989 10 28 0 3 MICHAEL 45.3 183.7 141 453 +1996 9 6 12 11 JOYCE 49.3 84.1 125 402 +1987 5 8 18 22 NADINE 61.7 264.4 52 873 +1982 7 8 18 17 ERNESTO 8.5 326.4 67 246 +1997 10 4 0 6 KIRK 64.2 199.2 143 475 +1976 5 4 12 28 ERNESTO 37.1 331.4 127 139 +1986 11 24 12 5 LESLIE 31.8 81.9 93 66 +1961 8 25 18 6 SANDY 61.7 2.1 15 437 +2001 3 23 6 9 RAFAEL 62.8 137.9 80 818 +1977 2 3 12 23 BERYL 64.6 109.0 109 852 +1964 11 2 0 13 HELENE 8.3 160.0 17 50 +1991 10 9 6 22 OSCAR 25.4 280.8 66 648 +1958 1 5 0 26 TONY 52.0 84.4 86 314 +1992 6 17 6 14 TONY 50.0 97.1 11 574 +1998 6 25 18 7 CHRIS 62.4 207.7 15 668 +1986 9 17 12 27 NADINE 12.6 345.3 121 107 +1989 10 25 18 14 KIRK 32.0 77.0 133 785 +1998 2 2 0 19 BERYL 26.5 264.4 10 654 +1974 6 28 12 23 CHRIS 43.9 1.1 23 106 +1997 5 17 12 15 BERYL 25.3 311.6 97 897 +1986 1 21 12 26 FLORENCE 51.7 68.1 107 725 +1958 3 14 6 1 VALERIE 35.8 42.1 34 474 +1979 7 6 12 8 RAFAEL 66.2 65.8 14 723 +1971 4 22 18 23 GORDON 21.8 103.6 82 353 +1960 1 25 6 25 ISAAC 39.9 256.6 139 655 +1988 9 21 0 25 MICHAEL 25.0 281.1 150 620 +1976 12 7 0 13 LESLIE 60.6 244.9 63 795 +1961 12 27 0 17 GORDON 17.8 13.1 21 891 +1975 7 17 0 23 KIRK 56.7 25.7 114 591 +1977 11 22 18 17 MICHAEL 16.4 203.6 152 755 +1977 1 15 18 17 RAFAEL 32.4 87.1 66 538 +1986 8 8 6 18 VALERIE 24.6 321.8 46 615 +1999 1 28 0 6 ISAAC 7.7 72.9 11 165 +1998 7 9 18 5 JOYCE 15.1 337.0 15 687 +1961 1 7 6 18 DEBBY 53.9 260.8 64 285 +1971 4 23 6 7 VALERIE 55.8 157.7 44 132 +1981 12 19 18 9 DEBBY 27.6 120.9 80 495 +1954 1 27 6 22 TONY 21.4 203.6 110 299 +1950 1 14 6 7 GORDON 49.5 164.5 138 66 +1993 2 13 0 10 NADINE 23.2 156.7 87 301 +1998 10 23 6 19 RAFAEL 42.4 283.5 86 120 +1950 4 4 18 20 MICHAEL 47.0 47.9 71 240 +1977 9 7 6 11 PATTY 24.1 239.4 111 765 +1981 10 14 18 8 VALERIE 47.7 284.0 87 312 +1997 11 18 18 28 FLORENCE 30.8 335.7 83 825 +1958 8 5 18 5 JOYCE 53.1 341.5 162 180 +1995 4 6 6 23 MICHAEL 37.1 308.2 123 363 +1989 3 9 0 17 ISAAC 62.3 213.5 88 85 +1957 8 19 18 17 ISAAC 37.4 6.7 158 88 +1995 3 20 18 6 NADINE 10.4 345.6 60 499 +1982 6 21 6 4 ALBERTO 22.3 229.1 73 819 +2003 9 24 12 2 DEBBY 69.1 105.3 154 356 +1997 6 8 6 13 WILLIAM 69.5 96.3 65 372 +1969 4 10 18 8 TONY 64.3 66.6 162 707 +1998 4 16 12 18 SANDY 32.5 347.1 110 351 +1980 3 12 6 20 OSCAR 38.5 91.2 95 763 +1991 3 7 18 1 VALERIE 68.8 310.4 19 271 +1970 5 28 12 27 ALBERTO 20.7 298.3 107 525 +1983 11 5 6 10 JOYCE 63.4 315.3 161 122 +1951 8 18 0 9 BERYL 45.6 127.5 146 529 +1978 8 5 0 2 ALBERTO 51.0 346.2 156 203 +1991 10 10 18 20 DEBBY 11.1 9.5 94 809 +1957 9 25 0 20 JOYCE 67.3 255.6 71 406 +1983 12 2 0 22 LESLIE 27.0 75.9 138 606 +1957 8 24 6 14 DEBBY 17.5 321.2 139 616 +1950 10 10 18 7 JOYCE 9.2 155.9 57 872 +1973 6 12 0 15 DEBBY 31.1 252.9 32 174 +1966 8 14 18 7 NADINE 28.9 309.6 125 328 +1992 5 21 18 16 MICHAEL 26.9 144.0 157 561 +1959 12 28 6 2 VALERIE 64.2 36.1 158 457 +1975 11 6 12 28 JOYCE 39.6 266.6 121 572 +1999 8 9 18 8 JOYCE 12.1 354.6 75 21 +1973 2 21 6 14 CHRIS 63.4 182.9 74 785 +2003 8 26 0 7 BERYL 22.2 22.9 154 31 +1974 3 8 6 26 HELENE 18.6 342.1 73 819 +1992 9 27 0 1 DEBBY 20.0 156.7 149 209 +1993 12 21 6 13 DEBBY 12.1 118.5 23 225 +1984 6 7 18 17 HELENE 24.4 188.8 131 616 +1960 6 25 12 6 GORDON 28.4 189.8 22 603 +2003 8 13 6 4 ISAAC 38.0 327.6 72 197 +1961 10 13 6 7 DEBBY 60.3 161.4 65 598 +2003 7 21 0 22 ISAAC 26.6 351.4 23 544 +1976 7 9 0 11 JOYCE 29.2 119.3 18 743 +1960 10 25 18 20 HELENE 69.5 144.8 53 388 +1986 4 3 12 15 LESLIE 62.1 102.9 112 874 +1962 4 6 18 6 VALERIE 8.9 341.6 148 470 +2001 9 3 6 27 DEBBY 18.1 305.5 109 455 +1962 8 22 12 27 FLORENCE 22.8 337.6 29 306 +1978 4 6 12 11 CHRIS 32.0 218.4 84 655 +1977 5 15 6 13 LESLIE 9.7 158.5 106 73 +1971 5 15 12 15 OSCAR 17.2 213.5 102 879 +1957 10 25 12 12 ISAAC 50.1 55.9 49 703 +1993 7 10 6 13 KIRK 51.4 295.5 41 516 +1989 4 19 0 22 GORDON 43.0 283.4 81 243 +1958 4 20 12 12 KIRK 62.7 267.4 158 355 +1950 5 1 0 17 JOYCE 16.8 5.3 156 536 +1964 7 1 0 15 DEBBY 27.4 13.5 36 84 +1995 9 11 12 19 ISAAC 32.2 161.2 60 505 +1968 7 25 0 2 MICHAEL 46.1 268.4 68 484 +1983 7 19 0 13 MICHAEL 69.7 244.1 96 512 +1990 8 19 0 10 OSCAR 46.3 110.7 112 607 +1997 1 6 0 6 CHRIS 10.0 142.2 131 494 +1966 10 19 12 9 MICHAEL 34.0 172.9 113 427 +1956 7 11 18 6 TONY 18.5 349.2 124 802 +1952 8 7 12 7 KIRK 40.5 86.9 130 420 +1978 7 13 0 12 BERYL 39.7 187.6 96 852 +1984 6 28 0 6 FLORENCE 19.3 180.1 10 420 +1958 4 3 12 3 HELENE 39.5 36.4 60 299 +1989 5 4 0 23 ALBERTO 27.7 90.4 112 207 +1964 12 21 0 28 ERNESTO 56.8 203.7 135 809 +1982 8 18 6 8 TONY 19.5 176.9 75 736 +1980 1 15 18 25 NADINE 20.1 11.9 12 54 +1983 4 17 12 3 KIRK 62.5 68.6 11 215 +1966 5 8 18 20 NADINE 21.5 188.4 23 554 +1960 8 6 0 19 BERYL 43.6 213.2 100 549 +1997 3 13 6 15 KIRK 64.0 205.8 106 619 +1952 12 8 0 10 JOYCE 35.5 284.6 163 409 +2000 7 19 18 15 GORDON 39.8 328.4 153 483 +1976 5 16 0 28 ISAAC 50.8 184.9 128 435 +1996 10 19 0 6 JOYCE 37.5 350.3 79 878 +1993 10 6 12 7 KIRK 22.0 169.0 133 304 +1971 11 14 6 25 BERYL 36.4 215.0 132 173 +1961 1 7 6 1 LESLIE 49.7 164.4 140 519 +1998 3 19 12 16 ALBERTO 49.6 343.4 71 199 +1964 12 19 18 27 GORDON 44.6 97.1 101 826 +1981 6 5 18 27 ISAAC 66.9 108.1 17 647 +1954 12 26 0 24 RAFAEL 68.6 31.4 91 714 +1977 3 26 0 27 WILLIAM 55.4 9.1 94 189 +1982 2 6 0 26 TONY 42.0 144.5 151 365 +1974 7 4 18 16 ERNESTO 11.1 59.8 109 81 +1976 5 10 6 27 GORDON 67.0 204.6 50 772 +2003 10 23 0 22 CHRIS 64.7 131.4 131 166 +1985 11 21 18 6 CHRIS 13.1 38.7 139 537 +1977 3 24 6 15 FLORENCE 64.1 163.7 98 805 +1979 10 23 0 26 HELENE 49.8 302.4 132 475 +1975 10 11 0 20 FLORENCE 62.8 262.0 106 591 +1961 2 12 12 22 KIRK 21.6 204.0 60 345 +2003 2 11 18 17 HELENE 51.7 219.5 163 332 +2002 11 8 0 24 DEBBY 45.0 42.0 102 590 +1972 11 5 6 21 ISAAC 22.2 200.3 149 52 +1989 3 18 6 4 OSCAR 31.0 151.1 68 716 +1968 4 27 0 26 TONY 28.9 284.3 130 24 +1960 12 6 12 17 RAFAEL 24.3 109.3 61 655 +1956 1 27 0 2 JOYCE 67.0 250.9 146 778 +1963 5 18 0 24 OSCAR 21.9 118.3 125 784 +1965 6 2 6 17 SANDY 35.9 7.6 116 416 +1952 4 6 0 15 VALERIE 11.6 1.0 26 773 +1956 7 11 6 12 VALERIE 46.2 68.9 22 491 +1976 4 15 6 2 WILLIAM 58.3 258.8 61 818 +1950 9 9 18 25 RAFAEL 68.0 66.7 123 879 +1963 5 13 18 16 BERYL 48.7 152.5 59 275 +1950 4 14 6 22 WILLIAM 26.1 13.4 52 655 +1996 6 21 18 9 WILLIAM 54.5 111.7 150 275 +2002 7 5 0 27 KIRK 33.9 162.2 69 52 +1959 9 19 18 10 MICHAEL 67.8 176.1 63 677 +1988 3 19 12 3 TONY 7.1 332.1 46 374 +1962 10 6 18 19 TONY 37.2 308.5 34 279 +1958 5 8 0 9 LESLIE 15.9 33.3 115 35 +1973 10 24 6 20 LESLIE 19.9 171.0 96 703 +1989 6 10 0 27 MICHAEL 34.6 105.6 24 211 +1973 9 11 6 21 VALERIE 20.7 350.7 152 215 +1965 11 15 12 12 ALBERTO 58.5 318.4 56 205 +1994 5 4 6 11 TONY 52.7 211.1 11 159 +1994 1 21 6 25 KIRK 33.2 258.8 118 217 +1991 1 27 12 8 KIRK 64.6 177.6 35 117 +1976 1 20 0 28 OSCAR 58.1 318.3 54 704 +1975 11 26 6 14 WILLIAM 23.4 163.3 43 408 +1961 8 3 12 3 PATTY 51.5 331.5 116 560 +1976 9 9 12 17 HELENE 26.9 53.6 57 250 +1959 4 17 12 5 ALBERTO 46.8 133.9 10 514 +1970 2 23 12 17 RAFAEL 8.8 326.7 121 710 +1972 9 7 12 18 ERNESTO 66.2 332.6 157 290 +1960 11 11 0 4 WILLIAM 10.3 165.6 159 282 +1974 10 22 6 9 NADINE 31.3 209.3 24 448 +1983 2 7 18 27 ALBERTO 63.7 88.9 136 331 +1950 3 25 6 28 CHRIS 56.8 68.0 64 785 +1992 8 16 12 18 HELENE 45.7 111.0 20 331 +1958 5 16 12 17 NADINE 61.8 349.0 72 0 +1958 10 23 6 2 JOYCE 12.1 129.4 145 247 +1980 5 6 12 13 ERNESTO 61.8 61.1 74 127 +1996 8 8 6 14 BERYL 65.0 296.1 16 147 +1959 3 25 18 28 VALERIE 43.4 71.9 147 331 +1984 5 19 18 21 ERNESTO 69.1 238.7 77 647 +2003 3 14 6 11 HELENE 69.2 34.7 162 626 +1996 7 15 12 27 LESLIE 39.2 298.0 32 481 +1999 4 26 0 10 OSCAR 48.2 13.6 130 363 +1984 11 21 18 27 VALERIE 10.5 286.9 77 261 +1998 2 4 12 9 DEBBY 62.9 25.2 90 257 +2002 12 10 0 24 KIRK 15.7 151.8 10 747 +1968 2 18 12 2 ALBERTO 50.4 241.5 54 58 +1971 1 18 12 2 LESLIE 53.7 133.2 44 119 +1958 2 4 0 26 ERNESTO 30.4 231.0 132 778 +1997 8 22 18 2 GORDON 45.0 57.1 114 322 +1957 6 12 12 22 OSCAR 10.3 170.0 155 242 +2000 10 24 6 23 GORDON 47.5 101.3 33 315 +1988 9 4 18 23 ERNESTO 16.9 80.2 157 655 +1962 8 5 12 5 BERYL 59.3 281.9 155 602 +1965 9 15 18 18 KIRK 35.5 99.5 114 215 +1967 9 28 0 6 KIRK 32.0 313.8 32 473 +1983 9 6 6 4 GORDON 51.0 185.6 71 188 +1979 7 24 18 24 DEBBY 22.4 234.4 161 707 +1988 1 19 6 13 PATTY 32.7 203.7 151 254 +1956 8 24 12 26 PATTY 56.7 327.8 76 400 +1980 6 22 0 4 DEBBY 66.6 158.0 88 519 +1976 9 11 18 25 NADINE 19.5 101.8 57 138 +1955 8 23 12 9 RAFAEL 20.7 128.2 118 758 +1952 8 10 12 6 KIRK 59.2 18.6 132 207 +1969 8 19 18 26 WILLIAM 11.0 9.2 135 252 +1983 4 20 18 5 HELENE 17.0 104.4 81 783 +1961 11 6 18 11 BERYL 51.0 228.3 76 291 +1971 1 2 0 28 FLORENCE 28.8 1.2 63 521 +1962 1 17 0 19 ERNESTO 52.3 54.6 87 274 +2002 6 2 12 27 ALBERTO 52.0 136.1 152 623 +1980 9 16 18 13 BERYL 68.2 314.5 87 769 +1962 2 1 12 3 ALBERTO 69.3 253.0 104 315 +1964 8 8 12 7 BERYL 61.3 69.8 89 819 +1954 4 6 12 1 NADINE 47.9 171.5 76 643 +1963 11 3 0 13 DEBBY 53.1 346.8 95 816 +1957 2 21 6 27 MICHAEL 11.4 293.9 49 263 +1958 4 27 12 19 FLORENCE 23.0 28.0 115 106 +1954 6 17 6 28 CHRIS 15.4 101.9 76 696 +1971 3 15 0 13 ISAAC 23.4 187.6 54 779 +1966 11 14 0 7 FLORENCE 40.6 342.0 15 131 +1986 1 25 0 15 KIRK 13.0 182.7 40 465 +1968 9 28 6 23 PATTY 41.9 250.4 40 220 +1997 1 19 18 27 FLORENCE 62.8 130.2 87 654 +2004 2 27 6 24 ERNESTO 16.0 336.7 61 288 +1954 1 10 12 19 TONY 8.2 67.2 74 69 +1961 5 19 12 23 HELENE 22.0 216.6 140 675 +1983 11 15 12 28 WILLIAM 13.7 85.6 128 65 +1990 2 28 12 15 CHRIS 9.5 150.1 73 695 +1990 11 7 12 5 JOYCE 17.5 66.3 13 159 +1975 11 11 12 24 WILLIAM 39.2 261.2 46 399 +1971 2 28 18 24 CHRIS 69.6 204.6 72 207 +2002 11 17 12 15 FLORENCE 35.0 264.8 45 137 +1970 11 24 6 21 VALERIE 21.4 59.8 60 877 +1986 5 19 18 17 CHRIS 24.2 198.3 159 319 +1989 6 21 18 13 KIRK 10.2 176.3 50 63 +1985 12 15 12 20 JOYCE 8.4 132.8 125 436 +1962 5 23 0 23 RAFAEL 68.2 199.5 156 243 +1968 5 13 12 1 WILLIAM 27.5 145.3 148 372 +1973 10 8 18 12 SANDY 26.2 239.0 163 149 +1967 4 22 12 16 PATTY 39.5 30.5 148 836 +1998 4 22 6 15 LESLIE 49.9 189.8 155 840 +2001 2 16 6 7 MICHAEL 29.0 325.3 62 636 +1984 7 8 0 5 GORDON 64.1 170.4 18 86 +1969 7 13 12 16 LESLIE 54.1 83.8 87 301 +1968 9 24 6 12 KIRK 11.5 268.3 156 671 +1993 12 28 12 18 ALBERTO 60.9 72.0 65 862 +1975 3 1 12 8 NADINE 23.5 133.9 96 746 +1950 10 2 6 15 FLORENCE 10.2 84.8 111 865 +1985 7 4 0 24 NADINE 20.8 241.1 149 412 +1964 5 3 0 16 OSCAR 21.6 99.7 78 333 +1969 1 26 6 13 BERYL 45.2 63.9 57 325 +1992 9 16 6 28 TONY 12.4 46.5 150 87 +1963 9 10 0 2 HELENE 63.0 142.7 108 640 +1973 6 10 18 19 GORDON 59.7 123.9 160 731 +1992 9 13 18 12 TONY 66.6 210.9 90 687 +1969 8 22 0 6 RAFAEL 55.0 221.2 31 543 +1967 7 10 6 6 KIRK 29.5 180.0 145 851 +1973 4 13 18 27 SANDY 32.7 33.1 100 622 +1982 9 22 0 27 ALBERTO 27.7 125.3 128 491 +1973 2 9 0 22 WILLIAM 30.6 169.4 85 598 +1968 1 13 18 9 FLORENCE 43.9 31.8 28 846 +2001 4 27 0 13 OSCAR 32.0 118.3 84 629 +1995 6 4 18 2 LESLIE 52.8 50.4 68 814 +1992 10 6 6 6 TONY 56.4 169.4 52 385 +1991 5 26 0 16 OSCAR 48.1 57.9 64 633 +1978 1 22 0 19 TONY 34.5 348.8 78 847 +1984 1 8 12 26 HELENE 16.9 187.6 105 523 +1997 3 3 12 7 FLORENCE 68.1 78.2 56 4 +1986 3 21 18 11 VALERIE 13.9 59.9 135 710 +1961 1 12 0 25 TONY 26.7 228.1 123 177 +1998 6 18 6 11 DEBBY 57.3 214.1 110 722 +1954 9 18 6 10 ALBERTO 32.3 254.7 141 759 +1985 5 15 12 19 NADINE 14.2 294.3 45 548 +1992 8 8 18 10 NADINE 48.2 201.4 148 2 +1956 2 4 0 1 ERNESTO 45.2 349.3 99 111 +1957 6 13 0 18 ALBERTO 34.3 26.7 31 667 +1951 9 17 6 28 FLORENCE 23.1 338.5 46 56 +2001 5 17 6 10 WILLIAM 35.0 327.9 83 517 +1978 8 10 6 15 DEBBY 67.7 116.7 63 820 +1970 7 10 0 18 SANDY 62.8 104.6 149 415 +1968 11 12 18 24 NADINE 32.3 114.2 24 260 +1984 2 4 6 11 CHRIS 25.0 9.3 82 278 +1987 12 7 12 9 ERNESTO 23.0 296.6 107 645 +1999 11 12 6 20 WILLIAM 31.0 331.0 55 899 +1952 4 2 0 15 BERYL 13.5 45.1 31 295 +1966 4 19 18 21 RAFAEL 27.3 329.6 139 739 +1993 12 4 18 9 BERYL 60.3 21.3 139 863 +1968 7 6 18 16 HELENE 21.6 89.5 23 93 +1973 12 10 6 4 SANDY 51.8 171.9 75 217 +1961 5 1 12 5 FLORENCE 40.4 135.2 140 298 +1991 6 4 12 2 VALERIE 43.4 309.0 23 897 +1967 9 4 0 28 VALERIE 30.2 94.2 91 92 +1980 12 1 6 27 BERYL 23.2 48.1 85 342 +1971 2 26 18 15 KIRK 52.5 305.6 58 484 +1988 7 14 0 9 WILLIAM 25.8 141.8 45 317 +1989 7 9 6 14 WILLIAM 38.0 43.8 49 861 +1960 6 20 12 21 KIRK 38.0 241.7 155 255 +1986 1 18 12 26 ISAAC 30.6 125.0 50 863 +1963 2 26 18 18 VALERIE 47.7 289.4 109 391 +1980 12 24 18 7 SANDY 37.4 218.1 51 611 +1984 3 10 12 18 CHRIS 39.4 277.9 32 442 +1952 11 6 6 7 FLORENCE 15.6 254.5 152 339 +1961 10 25 0 17 CHRIS 8.6 154.8 71 80 +1959 12 23 6 19 SANDY 10.9 22.6 100 588 +1981 6 20 18 14 ALBERTO 53.8 111.4 87 407 +1965 8 24 6 1 JOYCE 25.9 111.3 160 619 +1991 11 4 0 12 BERYL 46.0 40.6 31 887 +1966 4 27 6 10 PATTY 20.3 187.7 95 121 +2000 11 7 18 10 TONY 28.1 237.1 91 31 +2003 10 22 0 14 HELENE 35.3 285.5 94 262 +1975 11 11 0 8 HELENE 20.2 106.0 131 330 +1955 3 7 0 17 ALBERTO 57.5 15.9 103 784 +2004 4 25 18 13 ALBERTO 39.2 214.7 103 379 +2000 2 1 6 6 MICHAEL 26.6 191.7 128 563 +1962 11 27 18 23 JOYCE 48.8 331.5 75 457 +1957 7 26 12 4 FLORENCE 21.7 91.8 103 122 +1996 5 9 12 27 CHRIS 54.4 7.0 159 307 +1965 10 17 18 26 SANDY 57.5 37.6 114 230 +1950 12 2 18 23 OSCAR 51.1 10.6 48 601 +1985 9 16 12 17 OSCAR 51.3 240.7 79 359 +1955 7 23 18 28 BERYL 52.6 19.9 138 113 +1979 4 19 18 22 WILLIAM 40.3 143.3 158 130 +1954 4 17 18 15 GORDON 16.0 68.7 139 739 +1981 11 14 12 22 ISAAC 19.6 137.2 95 662 +1997 11 10 0 11 VALERIE 44.9 38.1 152 512 +1976 9 3 0 21 HELENE 36.5 298.4 44 53 +1959 2 16 0 4 DEBBY 53.5 287.9 131 853 +1971 8 5 6 27 OSCAR 49.9 324.2 113 274 +1970 8 2 18 25 MICHAEL 31.5 313.5 98 552 +2001 6 22 0 14 SANDY 12.9 340.8 45 356 +1951 9 28 6 1 MICHAEL 43.4 341.2 163 885 +1983 11 9 6 11 MICHAEL 45.7 244.7 46 722 +1988 5 7 0 18 ISAAC 51.6 173.0 21 576 +1997 1 8 12 9 SANDY 41.3 254.6 33 136 +1958 7 12 18 14 CHRIS 14.9 8.1 160 611 +1973 4 4 0 3 SANDY 47.6 102.3 158 253 +1996 3 10 18 6 JOYCE 38.2 52.0 53 858 +2000 5 22 0 24 ALBERTO 24.9 356.7 62 189 +2000 6 10 0 26 RAFAEL 29.0 19.8 106 27 +1983 5 28 18 8 LESLIE 39.9 240.6 101 557 +1980 1 6 0 24 ISAAC 34.9 104.9 79 887 +1972 12 1 18 7 DEBBY 67.8 199.8 131 596 +1965 9 21 6 19 VALERIE 11.1 141.8 112 0 +1987 11 23 18 6 HELENE 38.6 280.1 142 666 +1982 2 15 0 3 WILLIAM 70.0 20.4 141 315 +1982 11 20 18 7 LESLIE 12.9 265.6 15 236 +1965 5 8 18 24 SANDY 22.9 274.6 54 237 +1971 12 12 0 13 WILLIAM 56.5 99.2 13 651 +1974 1 24 12 7 WILLIAM 58.4 164.4 91 341 +1987 10 12 6 5 BERYL 55.5 142.3 138 662 +1963 1 6 6 24 BERYL 57.3 89.2 129 97 +1952 5 18 18 6 VALERIE 55.2 342.5 52 378 +1984 9 24 0 23 RAFAEL 42.7 293.0 49 184 +1994 8 4 6 28 MICHAEL 55.8 2.8 162 750 +1993 12 15 12 7 CHRIS 63.6 33.9 88 559 +1999 12 18 0 11 BERYL 59.3 147.5 147 166 +1990 12 15 0 16 ERNESTO 28.5 26.7 153 199 +1999 8 8 12 24 ALBERTO 23.9 101.8 74 777 +1978 5 7 12 20 CHRIS 66.9 21.3 16 470 +1978 3 14 6 12 BERYL 26.5 326.8 152 85 +1970 2 13 12 24 ISAAC 43.1 134.3 93 851 +1997 1 20 0 24 FLORENCE 40.2 345.8 149 481 +1981 2 27 12 9 PATTY 65.2 176.1 121 414 +1991 7 21 0 9 DEBBY 17.5 128.7 107 828 +1973 6 17 18 18 ALBERTO 20.5 240.7 126 610 +1969 11 27 12 23 ISAAC 50.3 358.0 127 584 +1978 6 3 0 17 KIRK 60.2 285.1 41 754 +1982 5 4 18 11 RAFAEL 31.6 3.1 164 789 +1966 1 8 6 9 LESLIE 14.5 195.3 92 35 +1993 2 5 6 22 HELENE 37.3 39.4 63 668 +1964 5 23 18 14 ISAAC 27.7 124.4 86 386 +1968 9 23 6 24 ISAAC 38.9 155.2 87 185 +1960 8 9 18 23 WILLIAM 43.3 275.9 151 312 +1954 7 15 6 12 PATTY 53.9 284.8 43 194 +1952 3 18 18 27 RAFAEL 66.1 26.2 60 258 +1974 3 25 6 4 GORDON 41.5 320.8 107 651 +1970 3 9 0 8 BERYL 60.3 112.0 61 407 +1958 2 8 6 10 CHRIS 47.9 292.7 63 483 +1955 8 18 12 20 PATTY 49.3 77.0 92 869 +1954 5 4 6 24 WILLIAM 57.2 336.4 131 20 +1996 1 13 6 9 MICHAEL 48.0 188.6 47 63 +1950 12 13 18 26 DEBBY 60.2 276.6 75 261 +1955 1 2 18 13 JOYCE 22.9 318.0 103 899 +1964 12 15 6 26 KIRK 62.9 346.2 44 611 +2002 3 27 6 7 ALBERTO 47.3 287.0 142 554 +1984 11 9 12 27 FLORENCE 57.7 138.0 138 35 +2003 6 19 0 8 FLORENCE 8.1 242.6 29 805 +1980 8 25 12 4 LESLIE 40.1 102.7 68 552 +1979 7 24 12 10 MICHAEL 30.1 265.9 119 760 +1956 5 3 12 27 BERYL 55.1 93.9 125 377 +1967 11 4 6 24 MICHAEL 30.4 200.4 41 771 +1968 4 12 6 16 FLORENCE 15.1 252.2 92 407 +1982 2 2 18 10 KIRK 36.7 258.1 157 39 +1991 5 11 12 9 PATTY 70.0 351.5 91 51 +1955 11 11 6 27 KIRK 65.3 280.6 146 131 +1984 9 1 6 25 NADINE 67.0 97.3 96 143 +1956 8 13 12 21 HELENE 44.9 268.4 20 322 +1974 8 19 12 2 CHRIS 52.6 283.3 152 789 +1963 4 22 0 11 OSCAR 36.5 91.6 13 423 +2004 6 10 6 10 ERNESTO 58.1 303.9 34 757 +1994 3 28 0 10 WILLIAM 62.9 27.1 45 528 +1953 8 14 12 12 RAFAEL 52.9 76.0 71 632 +1986 10 19 12 28 OSCAR 57.3 309.0 79 126 +1999 9 14 6 9 DEBBY 43.6 239.6 115 534 +1965 11 3 18 6 BERYL 41.5 308.9 51 393 +1950 1 24 18 15 TONY 43.9 114.8 75 534 +1970 10 10 6 13 FLORENCE 16.0 2.5 138 345 +1995 1 26 0 28 VALERIE 35.9 191.7 41 481 +2001 6 17 0 28 RAFAEL 37.9 320.0 61 530 +1951 8 20 6 2 MICHAEL 54.2 234.3 59 379 +1951 2 20 6 19 ALBERTO 46.5 338.9 78 163 +1975 11 26 0 14 OSCAR 35.2 102.2 153 45 +1969 11 13 6 19 PATTY 66.1 24.6 151 8 +1952 10 1 12 21 RAFAEL 62.1 126.3 45 519 +1967 7 6 0 25 LESLIE 27.5 317.1 139 309 +1953 11 27 0 14 ERNESTO 9.2 4.3 36 751 +1963 1 27 12 13 ALBERTO 31.0 287.8 76 617 +1959 11 19 12 1 VALERIE 20.2 330.7 41 859 +1991 1 28 6 5 RAFAEL 58.2 268.3 38 498 +1961 10 16 12 28 ERNESTO 10.4 334.5 24 411 +1973 5 11 18 7 WILLIAM 51.2 81.3 10 326 +1987 8 28 18 7 LESLIE 20.7 175.1 144 695 +1967 6 22 18 4 RAFAEL 30.5 38.1 83 404 +1976 4 26 18 22 SANDY 54.6 234.4 91 240 +1998 3 21 12 8 VALERIE 68.1 111.5 131 646 +1995 1 4 12 1 RAFAEL 41.4 48.2 94 519 +1970 3 18 6 11 FLORENCE 56.1 157.0 70 196 +1954 4 4 18 7 WILLIAM 50.8 90.9 92 747 +1973 8 18 12 4 WILLIAM 25.2 134.8 130 778 +1990 6 23 12 12 ISAAC 40.5 122.9 97 670 +1976 6 2 12 27 BERYL 67.7 231.1 18 252 +1974 6 19 0 5 MICHAEL 68.8 105.6 121 567 +1980 8 27 12 2 HELENE 62.1 310.5 12 844 +1953 10 3 6 16 SANDY 13.8 90.1 90 824 +1955 4 19 12 13 OSCAR 63.6 336.4 26 795 +1987 10 25 18 12 PATTY 22.4 218.7 151 896 +1958 8 9 6 12 RAFAEL 58.8 166.0 99 443 +1995 6 22 6 21 SANDY 12.8 279.0 59 847 +1950 2 23 0 24 MICHAEL 19.4 346.2 31 226 +1959 4 17 0 12 DEBBY 43.7 165.3 63 413 +1984 12 4 12 28 KIRK 45.1 147.2 109 764 +1956 7 18 12 15 ISAAC 57.9 195.8 158 684 +1984 11 19 6 8 WILLIAM 65.7 59.0 61 23 +1974 3 23 12 3 WILLIAM 32.4 87.6 71 605 +1997 9 5 0 9 FLORENCE 58.6 168.5 14 269 +1953 8 5 6 2 ALBERTO 54.7 13.5 19 894 +1960 9 17 12 17 BERYL 27.8 330.4 77 156 +1986 8 25 6 15 OSCAR 56.2 158.8 125 689 +1960 9 5 18 19 CHRIS 46.6 87.6 161 53 +1952 12 20 6 4 SANDY 51.0 171.7 164 635 +1958 12 22 18 19 OSCAR 16.9 57.4 54 155 +1992 8 10 12 25 BERYL 42.8 219.6 45 2 +2000 6 18 12 11 OSCAR 20.6 114.6 60 806 +1981 5 9 0 26 HELENE 38.6 150.4 41 249 +1959 5 28 6 4 DEBBY 21.7 225.9 150 426 +1951 4 20 6 23 JOYCE 12.2 354.0 54 64 +1988 7 16 6 20 ERNESTO 11.9 98.5 157 124 +1959 2 25 18 2 WILLIAM 10.0 26.7 128 764 +1989 1 15 18 8 PATTY 39.9 232.8 119 425 +1960 1 26 18 19 TONY 25.7 2.4 115 757 +1998 12 5 6 16 HELENE 41.3 187.8 14 496 +1969 2 2 6 17 BERYL 66.3 19.9 51 745 +1983 1 20 18 13 ISAAC 13.4 106.3 17 687 +1988 2 23 18 17 OSCAR 28.7 252.2 16 342 +1975 5 5 18 21 HELENE 37.4 190.4 63 830 +1972 3 18 6 24 NADINE 63.1 153.0 109 866 +2003 9 15 6 9 SANDY 29.9 311.8 133 455 +1967 4 11 0 8 WILLIAM 67.8 191.4 76 10 +1982 3 13 12 18 NADINE 48.6 330.9 41 249 +1984 2 18 6 26 MICHAEL 31.2 89.2 84 711 +1974 4 16 12 20 TONY 7.8 271.3 57 876 +1976 6 17 12 28 BERYL 26.8 312.3 125 25 +1976 3 2 18 14 ERNESTO 9.5 328.3 132 539 +2002 5 27 12 10 ALBERTO 45.9 241.6 37 441 +1978 9 23 6 17 ERNESTO 41.2 0.3 54 404 +1962 3 18 0 21 LESLIE 14.4 253.7 98 190 +2003 4 22 18 26 HELENE 44.0 60.6 74 442 +1974 7 27 6 6 JOYCE 22.1 246.1 29 288 +1972 11 28 18 21 RAFAEL 34.0 69.0 49 332 +2003 3 4 0 5 DEBBY 15.4 215.0 99 630 +1982 6 9 18 12 JOYCE 20.0 88.6 156 580 +1979 12 7 6 19 FLORENCE 12.4 308.2 125 134 +1994 5 14 0 2 HELENE 64.8 32.4 10 725 +1983 9 16 6 11 RAFAEL 64.1 211.0 41 413 +1989 9 27 12 18 ISAAC 69.2 220.0 92 560 +1973 4 6 12 26 ISAAC 20.8 314.2 61 420 +2000 1 10 6 1 RAFAEL 19.6 50.7 147 726 +1990 3 4 18 25 GORDON 69.9 151.1 59 600 +1956 6 26 6 17 ERNESTO 35.4 342.0 162 184 +1964 12 13 18 17 FLORENCE 27.9 200.4 71 501 +1990 3 18 18 28 JOYCE 64.3 188.6 43 596 +1998 2 4 6 5 ERNESTO 35.9 174.1 124 642 +1975 1 19 18 25 PATTY 40.2 121.7 115 719 +1961 5 18 6 18 WILLIAM 7.1 116.4 79 550 +1990 5 26 6 22 HELENE 50.2 239.8 147 324 +1953 5 10 12 6 ERNESTO 9.8 95.4 85 402 +1958 5 5 6 6 KIRK 20.7 314.0 30 512 +1994 10 5 18 4 MICHAEL 49.0 262.7 22 529 +1972 5 4 18 12 ISAAC 43.6 199.5 114 292 +1995 8 5 6 9 CHRIS 60.4 216.8 141 795 +1953 8 21 12 16 FLORENCE 57.9 76.6 65 759 +1975 12 11 6 18 ISAAC 47.9 235.7 102 625 +2001 4 6 0 6 ISAAC 23.6 328.0 119 135 +1951 11 2 0 3 FLORENCE 49.0 47.3 56 714 +1995 3 7 6 12 GORDON 23.7 312.4 72 479 +1973 3 20 6 23 CHRIS 27.8 348.4 37 198 +1973 2 11 0 3 CHRIS 52.0 215.5 47 701 +1951 10 1 12 14 HELENE 14.4 214.8 42 508 +1999 9 7 18 2 SANDY 31.2 168.1 106 459 +1966 5 2 6 6 BERYL 51.1 159.0 164 847 +1982 2 6 6 3 ALBERTO 17.9 137.1 44 278 +1978 7 8 0 4 SANDY 67.2 329.1 27 203 +1968 4 17 6 27 ALBERTO 22.4 233.7 19 775 +1991 5 17 0 1 LESLIE 22.0 279.3 57 354 +1991 12 6 0 12 PATTY 28.3 262.1 16 42 +1964 6 8 6 16 ALBERTO 36.5 40.7 145 407 +1956 1 4 18 19 SANDY 12.5 40.7 100 241 +1995 6 25 0 14 CHRIS 57.2 225.8 11 44 +1997 7 23 18 15 GORDON 27.3 123.4 77 591 +1973 1 18 12 27 DEBBY 24.8 20.4 40 430 +1983 11 12 6 21 SANDY 33.9 94.8 80 387 +1973 7 15 6 28 VALERIE 58.1 276.6 73 79 +1968 1 22 6 28 ERNESTO 60.1 342.5 72 351 +1961 10 9 6 2 PATTY 49.0 290.3 22 337 +2003 9 21 18 23 ALBERTO 16.2 313.4 30 328 +1960 1 3 18 16 OSCAR 33.3 333.9 36 388 +1987 3 22 0 17 ISAAC 46.2 275.0 18 686 +1995 6 2 18 2 KIRK 7.9 222.5 142 813 +1994 4 6 12 14 MICHAEL 54.5 282.9 10 337 +1997 5 1 6 18 ALBERTO 37.6 357.4 114 594 +1959 2 13 6 11 TONY 57.1 336.9 118 129 +2001 8 13 12 4 DEBBY 11.0 3.2 120 416 +1963 9 28 0 18 HELENE 13.5 180.2 103 897 +1962 12 8 6 15 OSCAR 38.8 186.3 19 645 +1984 5 22 12 17 HELENE 32.9 239.2 141 646 +1978 6 7 12 9 ISAAC 12.4 340.3 140 444 +1971 5 13 0 4 CHRIS 13.8 230.5 34 58 +1966 7 18 6 21 CHRIS 12.0 157.9 47 358 +1962 6 20 0 16 SANDY 53.9 165.8 67 473 +1966 7 16 0 13 BERYL 23.4 29.9 154 518 +1977 7 27 6 5 FLORENCE 28.4 113.7 130 752 +1973 5 17 0 18 RAFAEL 28.0 115.2 53 171 +2002 11 21 6 3 SANDY 58.4 326.6 164 624 +1952 10 8 12 28 ISAAC 36.4 48.2 83 595 +1978 9 7 12 2 RAFAEL 42.8 131.6 73 171 +1967 9 5 0 6 KIRK 39.0 116.8 82 668 +1970 11 19 18 2 MICHAEL 61.8 344.1 59 123 +1959 2 8 0 8 TONY 22.9 235.7 94 87 +1997 4 10 18 24 DEBBY 37.6 246.8 48 877 +1992 7 7 6 4 ERNESTO 42.2 38.9 122 93 +1965 8 25 12 16 MICHAEL 37.0 246.0 59 37 +1970 6 11 6 16 MICHAEL 37.9 150.3 164 764 +1950 1 8 0 9 CHRIS 36.6 169.5 87 4 +1959 2 7 12 5 JOYCE 19.8 133.5 101 808 +1988 3 19 0 8 NADINE 12.8 323.5 18 199 +2002 7 26 18 16 VALERIE 7.6 114.2 20 555 +1978 6 13 18 28 LESLIE 18.0 105.9 90 316 +1958 9 10 18 5 RAFAEL 18.8 152.7 115 723 +1979 10 7 18 1 TONY 68.4 115.4 121 725 +1960 2 13 0 24 MICHAEL 9.2 47.9 109 765 +2001 7 24 6 11 NADINE 40.1 314.8 69 486 +1996 2 5 0 21 CHRIS 67.8 110.1 30 355 +1993 8 4 18 18 PATTY 18.6 329.9 99 236 +1999 12 8 12 3 GORDON 53.7 238.6 51 652 +1979 12 4 18 10 NADINE 41.9 66.0 93 171 +1971 1 14 12 20 CHRIS 28.5 288.6 125 382 +1962 2 21 0 19 KIRK 67.2 285.9 33 743 +1960 11 20 6 9 BERYL 19.0 340.3 131 214 +1964 3 26 12 9 HELENE 47.4 312.9 34 550 +1963 3 16 0 4 JOYCE 14.1 242.9 115 626 +2004 8 21 18 2 WILLIAM 51.2 344.6 138 195 +1981 8 21 12 21 WILLIAM 38.3 296.1 56 619 +1981 4 2 12 3 KIRK 66.1 213.9 71 161 +1972 9 2 18 8 ALBERTO 24.5 311.1 21 743 +1951 1 8 18 6 MICHAEL 22.6 221.0 16 772 +1963 12 28 18 21 BERYL 50.9 29.0 150 701 +1969 2 7 18 4 FLORENCE 48.8 318.6 77 207 +1984 6 17 18 17 RAFAEL 68.0 208.0 87 82 +1980 12 16 18 1 BERYL 11.1 58.3 144 247 +2002 4 3 12 6 FLORENCE 23.2 148.6 146 782 +1970 10 3 12 10 ISAAC 49.3 262.0 148 544 +1990 7 18 12 14 VALERIE 26.7 196.7 32 501 +1968 1 24 18 26 KIRK 23.3 238.5 160 639 +1967 1 18 12 13 BERYL 30.0 158.7 47 59 +1993 12 17 6 21 ERNESTO 48.5 152.0 59 132 +1967 4 22 18 4 NADINE 67.0 61.2 158 441 +1990 12 21 6 20 ALBERTO 58.0 153.3 158 245 +1963 12 8 12 13 LESLIE 32.7 143.1 107 302 +1966 8 25 6 20 KIRK 55.4 233.2 73 490 +1970 2 11 12 22 SANDY 21.8 4.2 44 370 +1968 7 4 12 26 HELENE 33.6 8.4 74 479 +1960 10 27 18 1 KIRK 68.0 262.5 44 665 +1953 4 3 0 15 OSCAR 39.0 204.4 76 353 +1959 5 12 12 5 OSCAR 64.7 228.0 11 98 +1972 3 20 18 22 PATTY 65.7 226.4 137 621 +1981 4 19 6 18 GORDON 21.1 332.4 38 642 +1972 9 16 12 1 ERNESTO 56.2 150.3 124 671 +1965 1 12 6 21 NADINE 66.2 259.5 57 492 +1966 7 28 6 21 KIRK 47.6 183.5 88 534 +1984 7 19 6 11 HELENE 13.2 126.5 101 174 +1965 10 8 18 11 JOYCE 20.5 252.4 163 352 +1989 10 21 6 5 NADINE 49.6 192.0 75 677 +1966 10 27 6 14 ERNESTO 53.8 206.9 118 612 +1967 8 14 6 6 CHRIS 19.1 130.8 22 873 +1973 3 9 18 13 PATTY 59.0 62.8 53 275 +1997 8 1 12 2 DEBBY 60.0 215.9 160 876 +1978 3 23 12 25 FLORENCE 54.6 19.0 15 155 +1963 8 19 18 11 NADINE 60.1 233.6 126 599 +1989 3 26 6 2 MICHAEL 62.0 107.7 10 279 +2001 2 16 0 14 LESLIE 34.3 234.6 24 146 +1997 8 21 12 22 TONY 66.8 90.6 76 383 +1982 2 27 18 18 PATTY 40.9 115.2 16 94 +1982 6 17 0 12 VALERIE 7.6 206.5 89 581 +1961 3 5 12 26 ERNESTO 15.9 219.5 127 122 +1989 8 1 6 22 TONY 52.2 314.7 46 733 +2001 8 6 0 19 RAFAEL 26.2 327.6 17 774 +1991 10 28 12 14 TONY 11.8 202.0 119 532 +1987 6 14 6 21 HELENE 47.2 106.5 52 544 +1963 6 3 18 15 CHRIS 24.8 302.1 34 773 +1959 8 20 12 21 SANDY 36.7 101.9 158 415 +1990 3 9 6 18 BERYL 57.5 114.9 141 72 +1982 4 25 12 12 BERYL 26.3 217.5 133 257 +1966 9 4 18 2 RAFAEL 29.7 127.4 46 894 +2002 3 19 6 15 SANDY 49.5 322.2 98 279 +1976 4 11 6 11 VALERIE 13.0 14.9 91 745 +2003 10 14 6 25 BERYL 42.5 251.0 151 3 +2003 12 25 12 2 PATTY 31.1 195.4 34 358 +1960 5 10 6 19 TONY 21.5 161.5 101 93 +1953 5 6 18 15 WILLIAM 24.4 184.1 31 144 +1960 2 22 18 2 ALBERTO 19.2 260.9 22 630 +1964 6 14 12 26 ERNESTO 60.8 217.7 86 687 +1970 3 20 18 15 ISAAC 62.0 329.3 160 581 +1961 10 24 6 28 DEBBY 13.5 125.8 139 569 +2001 12 10 12 2 BERYL 23.1 157.6 160 245 +1980 8 27 18 5 RAFAEL 20.3 118.9 22 272 +1952 2 18 0 18 NADINE 32.9 108.1 117 721 +1963 4 7 12 21 WILLIAM 50.2 130.7 11 314 +1975 11 8 6 18 HELENE 9.5 79.6 89 231 +1963 5 3 12 4 WILLIAM 27.2 26.9 64 454 +1986 8 11 18 21 NADINE 23.6 313.6 31 408 +1983 4 12 18 6 VALERIE 27.7 340.8 79 749 +1979 10 12 12 24 SANDY 67.8 354.6 12 35 +1955 7 1 12 12 OSCAR 14.5 195.6 29 178 +1961 10 18 12 14 CHRIS 41.9 254.0 133 668 +1961 12 2 6 15 BERYL 24.5 269.4 81 506 +1974 6 19 18 28 WILLIAM 60.4 265.8 89 261 +1994 1 15 18 20 ERNESTO 37.4 116.8 153 170 +1992 11 12 0 15 OSCAR 47.7 6.1 54 455 +2000 5 6 12 4 OSCAR 67.9 126.5 25 485 +1989 8 11 18 5 FLORENCE 23.1 167.4 50 390 +1994 9 3 18 26 ISAAC 46.1 260.9 111 725 +1989 11 11 0 25 BERYL 42.7 156.2 71 34 +1964 8 8 0 17 MICHAEL 68.6 96.8 10 63 +1989 2 1 6 22 MICHAEL 58.3 119.6 92 673 +1988 1 13 6 5 ERNESTO 69.4 212.1 26 84 +1969 11 17 12 8 GORDON 36.0 96.0 57 454 +2001 10 2 12 27 JOYCE 33.7 61.3 89 325 +1995 3 27 12 26 VALERIE 57.1 9.5 58 568 +1966 3 26 12 1 MICHAEL 50.5 91.3 163 879 +2000 1 9 0 17 BERYL 39.1 289.0 120 127 +1958 11 10 18 9 DEBBY 55.7 136.1 85 753 +1968 9 27 6 2 LESLIE 50.1 211.0 88 870 +1975 10 5 12 18 ERNESTO 61.8 327.1 17 578 +1970 6 5 12 26 TONY 56.4 22.0 23 265 +1986 9 14 12 14 GORDON 15.5 335.8 89 724 +1989 11 20 12 22 CHRIS 30.1 166.4 20 351 +1979 5 10 0 10 ERNESTO 64.2 47.3 62 886 +1991 2 20 18 11 CHRIS 24.4 95.0 62 71 +1974 5 15 6 25 NADINE 14.8 203.3 119 812 +1969 7 19 0 10 KIRK 17.1 7.5 156 801 +1992 9 8 18 24 ALBERTO 40.5 102.3 152 349 +1970 6 6 12 28 MICHAEL 54.6 345.0 85 621 +1997 11 23 6 25 HELENE 52.5 236.8 101 583 +1994 7 14 12 15 OSCAR 58.2 348.5 66 94 +2004 7 2 18 19 RAFAEL 60.7 218.4 153 834 +1997 6 9 12 24 JOYCE 31.3 115.6 138 529 +1959 12 28 12 1 JOYCE 25.0 32.6 79 387 +1974 11 25 0 17 WILLIAM 14.8 197.6 116 734 +1969 10 20 12 13 CHRIS 64.9 320.7 33 170 +1996 8 6 12 13 MICHAEL 30.9 165.4 20 733 +1981 7 5 18 22 KIRK 57.9 326.0 65 746 +2002 12 7 12 19 KIRK 56.8 141.5 129 12 +1956 3 19 6 4 OSCAR 17.7 49.4 68 424 +1981 8 14 6 13 NADINE 52.3 325.0 106 261 +1978 3 21 6 4 OSCAR 61.6 228.3 52 388 +1974 4 16 0 6 ALBERTO 44.3 212.3 114 829 +1983 1 6 6 10 BERYL 28.3 216.5 111 234 +2000 2 6 6 13 ALBERTO 7.4 7.1 63 882 +1950 4 24 6 26 ISAAC 32.2 165.3 61 138 +1952 3 2 12 13 ISAAC 62.8 162.4 89 235 +1996 12 1 6 28 KIRK 41.0 179.8 37 90 +1973 9 18 0 21 WILLIAM 18.2 239.2 113 856 +1963 4 18 0 6 VALERIE 41.0 82.8 159 713 +1979 6 6 18 9 DEBBY 27.5 130.4 41 714 +1950 2 7 0 6 RAFAEL 23.1 230.7 14 153 +1973 11 19 12 2 FLORENCE 11.1 41.9 130 453 +1982 10 13 6 21 ERNESTO 56.9 311.0 93 334 +1955 11 26 18 1 RAFAEL 29.2 176.8 125 864 +1967 7 28 0 4 ERNESTO 64.6 209.4 82 48 +2003 8 18 12 7 WILLIAM 58.8 286.5 102 134 +1994 10 27 6 25 VALERIE 32.9 214.1 30 171 +2000 9 22 18 19 BERYL 47.8 160.7 72 178 +1975 4 20 12 2 SANDY 66.8 344.0 141 770 +1993 8 21 0 9 CHRIS 65.5 3.7 26 365 +2003 7 1 0 7 LESLIE 58.0 165.9 98 275 +1963 1 8 0 28 FLORENCE 33.6 139.9 56 489 +1956 7 2 6 19 ISAAC 19.2 312.2 40 789 +1989 2 8 12 24 LESLIE 22.0 16.7 152 67 +1954 10 15 18 21 CHRIS 17.4 111.8 11 269 +1962 10 17 6 8 SANDY 12.6 8.8 75 8 +1978 9 11 12 10 OSCAR 24.4 277.1 65 685 +1963 9 12 6 24 SANDY 44.4 277.6 89 619 +1973 11 20 12 9 BERYL 49.7 263.3 31 292 +1964 11 13 12 12 OSCAR 18.6 236.5 79 407 +1951 2 2 6 9 VALERIE 49.0 267.6 152 851 +1988 12 2 6 25 VALERIE 23.4 80.6 128 755 +1992 8 17 18 28 ISAAC 21.2 320.4 99 156 +2004 4 4 0 24 NADINE 67.2 13.3 29 95 +2001 10 17 6 16 ALBERTO 16.7 222.0 53 142 +1968 4 20 18 16 MICHAEL 31.5 335.2 124 528 +1959 12 18 12 20 RAFAEL 20.7 347.5 102 469 +1983 8 16 6 12 JOYCE 22.3 341.5 143 307 +1967 10 20 12 16 NADINE 62.7 71.4 97 103 +1999 2 25 12 20 HELENE 67.9 155.6 125 93 +1966 12 12 6 3 VALERIE 49.1 210.5 46 57 +1997 2 24 18 18 SANDY 57.0 27.2 109 348 +1964 7 15 12 1 WILLIAM 35.9 296.5 53 890 +1969 9 27 12 17 PATTY 42.1 260.5 67 419 +1980 11 21 18 2 DEBBY 12.0 118.9 93 883 +1997 1 22 18 20 DEBBY 69.5 180.5 121 426 +1996 1 12 18 12 DEBBY 68.1 269.3 49 306 +1973 10 17 6 8 WILLIAM 57.9 297.9 109 816 +1965 12 16 6 20 RAFAEL 67.0 108.7 65 12 +1959 6 27 18 28 TONY 31.6 185.1 88 884 +1977 4 4 0 13 VALERIE 48.1 209.3 48 886 +1951 1 23 18 7 CHRIS 69.8 149.0 91 18 +1985 5 17 12 23 CHRIS 61.2 171.0 78 774 +1960 2 23 6 21 KIRK 21.1 42.5 23 137 +1964 11 2 12 21 JOYCE 47.7 78.7 84 891 +1957 2 9 6 20 LESLIE 62.5 95.7 62 752 +1964 7 8 18 14 BERYL 16.3 31.4 49 801 +1966 1 23 6 5 BERYL 25.5 212.0 84 101 +1954 2 27 12 12 FLORENCE 28.2 195.6 39 272 +1958 8 22 6 1 ISAAC 42.5 267.6 152 861 +1971 8 22 0 21 MICHAEL 33.6 298.9 65 80 +1958 9 21 0 15 SANDY 21.9 302.2 50 286 +1993 11 16 0 20 ALBERTO 18.4 8.4 147 72 +1992 7 28 18 27 CHRIS 21.7 13.9 143 523 +1997 9 14 0 24 GORDON 32.7 96.7 141 387 +1956 2 8 18 17 DEBBY 17.4 340.8 11 796 +1986 2 16 6 13 JOYCE 51.7 321.3 74 122 +1977 5 1 18 6 PATTY 62.6 4.2 139 73 +1973 11 13 18 2 TONY 16.3 17.3 135 343 +1954 11 26 0 24 RAFAEL 36.0 341.9 41 100 +1989 12 16 12 14 FLORENCE 64.8 286.9 146 233 +1978 6 23 0 21 RAFAEL 66.7 64.9 139 729 +1980 12 25 12 26 ALBERTO 37.6 97.9 32 722 +1968 2 13 0 18 VALERIE 49.6 54.9 115 708 +1988 3 18 6 12 RAFAEL 67.3 249.1 38 870 +2000 4 19 6 10 BERYL 12.2 95.6 103 126 +1979 11 18 12 22 DEBBY 53.1 4.4 150 885 +1996 5 5 12 23 RAFAEL 10.3 150.8 161 170 +1965 3 16 0 15 DEBBY 65.3 137.8 129 33 +1974 1 14 12 24 MICHAEL 63.9 267.9 135 529 +1962 11 21 6 10 BERYL 18.1 326.2 48 316 +2001 7 13 18 22 OSCAR 35.0 44.9 113 413 +1960 7 10 18 3 RAFAEL 9.3 137.9 18 882 +1982 3 27 12 14 WILLIAM 42.9 305.2 68 293 +1959 8 19 12 6 PATTY 49.8 179.2 153 309 +1982 11 13 6 4 DEBBY 25.3 18.3 55 467 +1976 5 28 12 12 ALBERTO 45.6 100.8 42 663 +1969 10 22 12 27 ALBERTO 38.2 144.3 72 319 +1957 11 19 6 12 TONY 8.7 159.8 93 732 +1990 5 20 6 15 FLORENCE 43.3 229.3 164 65 +1978 7 19 18 2 TONY 20.9 229.4 88 566 +1972 2 26 12 10 WILLIAM 67.7 284.5 127 128 +1953 6 6 12 23 VALERIE 9.9 153.3 92 675 +2004 9 12 12 2 FLORENCE 32.4 286.9 159 831 +1984 12 4 12 2 BERYL 53.3 268.8 119 487 +1950 8 10 0 18 WILLIAM 18.6 169.0 113 211 +1961 7 11 12 21 NADINE 16.1 150.9 12 532 +1976 5 6 6 28 OSCAR 54.5 353.8 18 536 +1960 11 1 12 18 RAFAEL 57.7 309.4 15 37 +1962 3 9 6 8 PATTY 19.7 82.9 161 441 +1995 1 21 12 10 FLORENCE 39.5 247.3 82 191 +1954 5 5 12 9 WILLIAM 37.1 16.5 143 305 +1968 1 26 0 27 KIRK 69.1 274.1 30 151 +2000 10 9 12 9 OSCAR 67.0 14.8 61 328 +1985 5 15 0 5 FLORENCE 14.4 164.7 152 797 +1999 4 3 12 6 VALERIE 15.4 8.4 77 211 +1952 5 18 12 27 LESLIE 26.2 237.4 151 85 +1972 7 16 6 22 ISAAC 18.7 21.2 83 566 +1983 11 4 18 22 HELENE 13.6 127.1 53 117 +1970 12 14 12 20 MICHAEL 42.0 326.7 158 6 +1986 2 5 12 26 OSCAR 63.1 229.6 94 432 +1965 9 12 12 8 SANDY 44.6 121.5 51 470 +1990 12 9 18 7 LESLIE 58.4 114.7 109 289 +1988 6 20 6 18 ERNESTO 27.0 59.4 143 96 +1974 10 14 18 7 SANDY 64.6 319.6 29 869 +1953 3 14 12 16 CHRIS 44.7 122.3 115 5 +1976 8 13 6 20 CHRIS 50.0 69.4 137 65 +1994 1 15 6 19 GORDON 51.3 8.6 57 292 +1996 2 22 12 9 ISAAC 52.7 3.9 38 439 +1969 7 15 6 6 LESLIE 66.0 251.7 84 607 +1978 5 3 18 13 TONY 37.9 75.7 63 9 +1957 9 10 0 5 CHRIS 26.7 138.7 131 477 +2003 6 22 18 22 RAFAEL 40.7 222.1 45 894 +1950 3 9 12 11 RAFAEL 52.6 160.8 28 26 +1966 7 10 12 20 FLORENCE 25.6 213.0 24 47 +1964 9 24 6 2 ISAAC 26.8 334.3 76 263 +1955 8 27 18 28 LESLIE 42.9 73.9 132 326 +1952 4 17 6 21 WILLIAM 61.9 98.0 158 787 +1977 12 22 18 20 VALERIE 59.9 58.7 90 271 +1964 1 1 0 24 OSCAR 35.8 347.4 112 463 +1975 12 12 18 28 WILLIAM 37.5 19.5 132 186 +1961 8 23 0 28 HELENE 28.7 347.6 117 372 +1970 3 3 6 14 RAFAEL 7.6 329.7 69 316 +1983 12 11 12 7 OSCAR 51.2 216.2 106 137 +1961 6 26 6 1 TONY 37.3 268.9 78 197 +1980 10 13 12 14 LESLIE 33.5 135.1 130 891 +2002 9 7 18 15 KIRK 8.1 104.3 103 470 +2003 9 26 0 9 ERNESTO 69.2 219.1 136 105 +1966 12 15 0 22 SANDY 47.1 339.7 115 428 +1996 11 7 0 2 OSCAR 31.5 325.7 39 786 +2003 7 9 6 21 SANDY 54.9 222.4 26 481 +1954 7 24 18 17 DEBBY 58.2 126.0 153 676 +1981 1 6 0 17 CHRIS 67.2 56.7 126 876 +1967 11 16 12 4 OSCAR 21.7 223.6 54 613 +1961 5 28 12 26 GORDON 37.6 347.6 19 94 +1978 11 9 6 13 LESLIE 15.1 209.7 68 374 +1962 5 28 6 8 BERYL 65.0 55.5 46 205 +1988 7 14 18 10 ERNESTO 68.1 71.4 40 55 +1985 6 14 6 8 ALBERTO 32.7 121.5 96 214 +1954 11 13 6 12 TONY 48.9 264.5 18 647 +1980 11 2 18 13 ERNESTO 27.6 270.6 17 549 +1969 4 21 6 7 NADINE 37.2 170.7 52 245 +1997 1 19 6 8 DEBBY 58.2 76.5 158 524 +1971 7 20 0 3 KIRK 15.2 250.4 123 116 +1951 6 5 18 18 CHRIS 37.8 44.5 41 237 +1985 11 18 6 5 RAFAEL 55.5 33.6 105 109 +2004 12 14 12 25 BERYL 24.0 201.4 27 66 +1998 1 27 18 11 DEBBY 39.6 257.8 67 411 +2001 10 22 12 18 LESLIE 69.8 108.4 40 878 +1983 6 4 6 25 VALERIE 69.5 23.4 11 481 +1983 6 12 6 20 BERYL 68.2 258.9 55 105 +1957 12 11 12 8 PATTY 34.4 109.9 65 311 +1987 4 18 6 10 ERNESTO 11.8 206.5 23 213 +1952 5 16 0 26 JOYCE 25.8 38.4 90 106 +1960 4 2 6 7 RAFAEL 13.9 116.0 134 218 +1969 8 6 6 21 DEBBY 49.5 99.2 122 754 +1969 12 16 0 5 BERYL 63.8 245.4 54 135 +1997 8 16 18 18 GORDON 10.8 45.9 126 632 +1990 9 26 12 4 MICHAEL 45.3 110.3 38 162 +1975 1 9 0 21 ERNESTO 41.0 300.0 61 23 +2001 8 16 18 4 MICHAEL 17.6 323.1 124 492 +1972 4 18 6 9 ERNESTO 42.3 171.2 57 699 +2002 4 2 0 8 PATTY 17.2 254.1 97 777 +1988 3 14 12 15 GORDON 16.3 349.2 157 91 +1997 6 27 12 17 HELENE 69.0 12.0 31 40 +1967 4 16 12 7 GORDON 23.2 121.3 110 418 +1990 4 28 18 4 DEBBY 38.5 97.9 50 84 +1989 6 11 12 20 HELENE 65.7 58.5 38 547 +1970 3 27 12 23 ALBERTO 23.9 316.3 25 442 +1974 8 9 6 4 BERYL 19.3 160.9 67 10 +1993 7 7 12 8 MICHAEL 55.6 258.0 148 565 +1959 2 4 0 22 MICHAEL 43.5 254.3 121 348 +1979 11 18 12 27 NADINE 60.8 316.8 131 442 +1993 12 25 6 23 ISAAC 56.7 135.6 129 308 +1959 4 1 18 11 OSCAR 43.0 151.7 72 210 +1973 2 7 18 4 TONY 59.6 231.4 123 841 +1954 3 6 12 5 MICHAEL 26.4 260.7 132 178 +1956 3 21 18 20 CHRIS 44.0 253.0 98 761 +1965 2 1 12 20 RAFAEL 10.0 250.8 19 279 +1989 1 19 12 16 ALBERTO 9.5 216.5 58 259 +1999 5 28 0 16 LESLIE 7.5 89.6 99 398 +1980 10 6 0 2 FLORENCE 35.6 56.8 73 813 +1993 4 21 18 17 OSCAR 10.8 186.3 101 502 +1959 10 10 18 28 VALERIE 33.7 247.3 104 809 +1968 10 5 18 28 ALBERTO 32.7 249.8 130 406 +1983 2 18 6 27 NADINE 43.6 138.9 13 185 +1967 5 4 12 20 WILLIAM 8.6 114.6 33 894 +1970 4 18 18 24 CHRIS 10.0 40.5 13 591 +1978 10 18 6 22 ALBERTO 59.7 175.0 160 806 +1999 6 7 6 13 MICHAEL 48.6 178.8 145 539 +1972 12 14 0 3 GORDON 41.3 293.0 113 770 +1999 1 19 18 7 PATTY 27.3 339.1 44 462 +1961 9 1 12 13 DEBBY 19.0 282.1 59 704 +2001 4 6 18 24 FLORENCE 48.3 233.3 70 281 +1950 2 7 12 10 FLORENCE 44.8 204.9 76 796 +1984 1 21 6 20 RAFAEL 16.1 309.5 68 703 +1950 4 11 6 16 SANDY 58.3 226.7 101 216 +1971 12 16 0 9 PATTY 33.7 83.7 48 644 +1987 4 11 6 22 WILLIAM 17.3 36.6 89 773 +1956 7 27 12 16 FLORENCE 52.9 116.8 155 785 +1987 8 6 6 13 GORDON 19.1 220.0 156 247 +1967 12 27 0 6 LESLIE 24.3 268.4 113 791 +1961 3 7 6 21 DEBBY 52.3 321.1 150 793 +1983 3 28 18 19 NADINE 57.8 25.3 148 399 +1988 4 5 18 20 LESLIE 54.1 209.0 50 775 +1975 9 20 18 28 TONY 61.0 165.4 109 209 +1970 12 14 0 21 RAFAEL 17.7 227.9 49 600 +1986 1 17 18 18 WILLIAM 39.1 44.5 78 809 +1980 5 4 12 17 ISAAC 60.5 351.7 84 351 +1967 4 11 0 4 ERNESTO 57.9 17.0 94 480 +2000 11 21 6 2 DEBBY 37.3 51.2 33 71 +1994 6 28 6 22 HELENE 61.4 82.8 26 794 +1958 3 7 12 4 ERNESTO 13.2 354.4 34 457 +1981 4 2 0 22 ISAAC 51.6 336.4 124 157 +1991 11 11 0 22 RAFAEL 42.9 219.8 52 428 +1992 1 24 12 27 MICHAEL 42.4 219.1 117 89 +1963 5 9 18 4 TONY 31.6 69.8 44 160 +2004 5 25 12 22 SANDY 16.6 269.4 87 896 +1971 5 1 12 17 TONY 22.0 207.2 56 544 +1979 7 3 0 26 CHRIS 40.7 20.4 105 65 +1951 5 13 0 27 PATTY 66.1 30.0 131 61 +1952 11 5 6 6 HELENE 54.4 347.5 17 122 +1958 9 25 0 14 LESLIE 20.9 163.1 58 760 +1968 12 26 6 24 MICHAEL 58.7 74.4 156 447 +1990 12 23 18 16 MICHAEL 63.4 211.6 29 154 +1964 11 2 0 5 OSCAR 32.1 130.6 141 208 +1998 6 21 18 25 NADINE 58.3 13.4 133 788 +1956 7 5 18 17 ALBERTO 30.7 16.1 127 282 +2000 9 12 0 18 SANDY 40.2 323.0 16 418 +1978 6 2 12 23 DEBBY 30.3 225.4 22 17 +1951 9 25 6 12 CHRIS 15.5 331.5 101 177 +1994 3 17 6 23 RAFAEL 8.5 344.4 139 202 +1984 2 4 0 17 WILLIAM 65.7 329.7 62 458 +1994 9 17 0 23 NADINE 44.3 225.6 124 428 +1952 12 9 0 17 NADINE 17.2 46.0 128 816 +2000 11 1 18 16 ISAAC 27.8 50.6 39 191 +1974 7 2 12 1 LESLIE 44.1 10.2 75 604 +1986 3 21 0 1 ALBERTO 20.8 221.5 164 10 +1951 9 4 12 26 FLORENCE 57.7 330.8 156 322 +1959 7 27 12 21 LESLIE 63.4 157.6 98 589 +1977 11 22 18 24 LESLIE 40.4 114.9 102 693 +1965 12 20 18 18 KIRK 40.4 15.2 162 877 +1983 2 23 0 14 JOYCE 49.9 103.1 162 816 +1993 12 1 12 5 KIRK 55.8 102.9 92 476 +1983 11 17 0 20 FLORENCE 21.3 172.4 77 301 +1959 8 15 18 14 RAFAEL 43.4 152.0 115 178 +1965 1 16 6 16 VALERIE 62.1 90.0 95 513 +1980 12 28 0 16 ALBERTO 18.5 120.1 140 741 +2004 1 1 6 21 ISAAC 46.8 30.9 117 369 +1990 7 20 12 1 NADINE 15.4 283.5 27 268 +1979 6 22 6 25 MICHAEL 57.0 29.0 70 867 +1960 2 20 12 9 GORDON 22.8 274.1 102 794 +1996 2 27 12 17 ERNESTO 26.8 251.9 98 112 +1962 9 28 6 4 HELENE 11.8 113.2 19 842 +1966 3 7 12 3 ISAAC 16.8 217.0 45 874 +1996 12 20 6 11 RAFAEL 20.0 91.5 17 89 +1994 3 14 12 14 CHRIS 20.4 270.6 110 416 +2004 12 12 0 27 GORDON 57.4 121.3 46 349 +1980 7 15 18 27 SANDY 20.0 89.6 111 463 +1950 7 5 18 14 ISAAC 27.1 284.4 128 305 +1984 2 26 6 3 RAFAEL 47.3 338.4 82 786 +1997 5 11 6 27 GORDON 32.4 242.7 87 135 +1984 2 20 12 8 CHRIS 61.6 352.6 69 846 +1953 1 4 12 26 JOYCE 46.3 177.8 60 401 +1958 4 5 6 4 JOYCE 52.6 119.1 50 582 +1983 9 12 12 25 GORDON 36.1 184.1 141 631 +1983 1 17 12 12 BERYL 19.6 73.8 10 189 +1997 4 17 12 3 MICHAEL 10.0 79.6 27 381 +1958 4 4 18 3 CHRIS 26.6 273.4 80 62 +1993 7 13 12 3 LESLIE 43.5 243.7 26 256 +1978 8 25 6 24 JOYCE 24.2 168.8 93 776 +1988 8 18 0 10 WILLIAM 36.4 95.7 31 717 +1965 5 27 12 17 HELENE 69.6 337.9 112 28 +1970 1 2 0 3 MICHAEL 50.9 131.2 138 274 +1989 6 18 6 12 HELENE 8.5 284.4 62 838 +1963 6 24 0 1 MICHAEL 37.4 189.7 147 127 +1982 12 3 6 6 ALBERTO 10.5 147.9 65 261 +1993 9 10 6 6 ALBERTO 29.9 84.6 141 114 +1980 6 6 6 4 FLORENCE 60.7 238.1 66 111 +1968 4 8 0 21 MICHAEL 69.4 46.8 14 609 +1993 8 3 6 18 RAFAEL 35.1 37.8 38 282 +1996 11 6 18 14 OSCAR 31.8 35.9 65 89 +2003 7 12 6 2 MICHAEL 42.4 330.6 85 175 +1955 11 1 18 12 TONY 40.1 177.4 17 566 +1976 10 15 6 11 SANDY 37.8 207.0 151 561 +1967 5 27 18 26 PATTY 49.9 336.2 70 205 +1966 10 17 12 21 PATTY 52.2 96.7 101 586 +1982 6 27 6 24 PATTY 61.8 146.9 135 318 +1972 6 12 18 25 PATTY 23.1 332.8 74 321 +1960 6 11 18 4 ERNESTO 7.9 235.3 68 608 +1975 10 5 6 11 ISAAC 7.9 271.0 26 37 +1975 3 20 6 15 LESLIE 35.6 139.3 132 288 +1980 12 26 12 13 SANDY 63.2 145.0 73 130 +2001 9 26 0 11 HELENE 38.6 229.6 146 779 +1995 4 6 12 5 VALERIE 59.3 42.7 135 58 +2003 11 4 12 15 NADINE 52.9 231.7 154 323 +1957 11 8 6 16 TONY 17.6 329.4 115 463 +1992 6 17 0 9 ALBERTO 58.6 40.5 64 680 +1978 3 26 18 24 HELENE 9.2 11.2 102 424 +1966 7 6 6 2 CHRIS 47.7 234.8 78 261 +1951 6 9 18 23 ISAAC 51.9 67.4 148 19 +1950 3 4 6 24 BERYL 30.3 195.4 72 364 +1985 3 3 12 16 KIRK 25.2 270.6 103 468 +1973 5 2 0 26 MICHAEL 10.4 282.8 142 442 +1999 1 12 12 3 ISAAC 16.2 165.5 93 547 +1980 11 12 18 15 TONY 20.7 277.8 113 517 +1954 8 15 0 18 JOYCE 46.5 313.7 49 827 +1995 1 9 6 18 HELENE 19.6 237.6 53 619 +1989 11 19 18 12 ALBERTO 36.5 353.6 63 866 +1960 7 4 0 28 KIRK 19.8 244.8 43 239 +1969 12 26 0 4 TONY 33.7 43.2 136 716 +1961 7 22 6 25 SANDY 33.5 298.8 69 331 +1951 9 14 12 13 KIRK 18.7 223.3 23 151 +1957 1 15 0 3 BERYL 14.6 96.4 153 586 +1950 5 19 18 8 NADINE 17.5 101.6 71 868 +2000 9 28 18 8 VALERIE 63.6 135.3 115 786 +1992 11 6 12 20 PATTY 22.9 11.0 58 301 +1978 5 26 6 24 VALERIE 21.1 222.7 140 555 +1965 1 13 0 17 MICHAEL 18.2 266.3 55 127 +2004 7 27 12 10 DEBBY 57.7 297.6 128 523 +1981 7 15 6 16 ERNESTO 21.1 48.5 51 652 +1995 11 1 18 10 NADINE 62.4 204.5 64 690 +1952 9 2 18 6 TONY 15.1 101.4 68 412 +1990 10 25 0 15 LESLIE 36.3 256.8 144 887 +1984 3 2 18 4 ALBERTO 67.0 145.2 43 294 +1980 2 6 12 2 DEBBY 11.3 299.7 60 665 +1973 8 3 12 28 ALBERTO 25.0 188.9 10 775 +1976 3 11 0 17 TONY 43.8 352.5 48 407 +1973 7 2 6 18 VALERIE 46.2 163.6 42 150 +1975 9 25 18 7 CHRIS 41.9 347.2 114 720 +1980 2 6 0 7 VALERIE 30.9 45.2 36 568 +1968 9 1 0 22 ISAAC 31.9 327.7 50 865 +1990 6 8 0 7 SANDY 22.1 137.0 130 593 +1959 8 7 6 21 RAFAEL 22.6 200.2 124 389 +1986 1 6 0 24 DEBBY 23.6 72.3 75 750 +1954 8 15 12 10 ERNESTO 61.4 208.8 39 602 +1969 7 21 12 5 NADINE 21.0 345.8 75 496 +2000 12 5 6 9 HELENE 13.7 317.4 86 660 +1952 2 8 12 24 ISAAC 53.5 65.5 84 523 +1986 5 10 0 6 RAFAEL 61.8 103.2 81 57 +1996 11 17 18 21 OSCAR 44.7 149.4 90 523 +1984 1 13 12 22 MICHAEL 30.7 288.3 36 661 +1978 1 28 12 21 ALBERTO 69.0 241.5 144 451 +1981 7 25 12 22 KIRK 32.9 219.1 92 728 +1953 4 4 12 23 NADINE 41.8 277.6 127 171 +1954 6 9 0 15 DEBBY 62.5 306.1 93 439 +1950 12 16 6 6 TONY 34.3 0.6 146 438 +1983 2 18 18 5 OSCAR 66.4 72.7 125 510 +1984 1 4 0 1 KIRK 16.3 27.0 83 110 +1997 2 26 18 11 ISAAC 33.9 256.5 13 588 +1999 5 14 18 23 LESLIE 45.6 11.5 141 79 +2001 8 2 18 6 ERNESTO 37.6 17.9 122 513 +1966 11 25 0 10 VALERIE 49.8 19.2 159 200 +1956 5 13 0 26 DEBBY 18.6 62.9 82 434 +1998 12 3 6 10 HELENE 26.6 146.6 150 490 +1977 8 19 6 26 OSCAR 25.0 3.0 124 686 +1978 10 10 18 3 HELENE 59.5 152.6 82 651 +1962 4 26 18 23 ERNESTO 56.8 350.8 154 535 +1956 10 19 6 28 SANDY 25.5 38.9 57 876 +1959 12 9 18 12 WILLIAM 20.0 321.0 13 526 +1959 10 9 6 17 JOYCE 19.5 41.5 135 276 +1997 11 15 6 28 VALERIE 46.4 330.9 96 665 +1990 8 24 12 20 CHRIS 59.8 215.2 29 237 +1985 12 3 12 11 OSCAR 43.0 130.6 128 237 +1993 12 3 12 17 CHRIS 69.7 69.3 86 348 +2000 6 11 18 12 JOYCE 51.5 172.0 104 502 +2004 10 20 6 27 FLORENCE 29.3 4.5 59 536 +1988 5 3 18 28 KIRK 65.2 136.3 12 235 +1971 7 9 18 6 FLORENCE 45.9 218.5 66 346 +1968 9 1 18 9 ALBERTO 57.1 85.8 149 29 +1987 11 28 6 4 ALBERTO 7.0 243.6 27 892 +1993 7 26 0 16 ERNESTO 59.8 298.2 151 331 +1957 1 19 12 27 NADINE 38.4 20.2 85 530 +1952 7 9 6 16 GORDON 34.8 249.9 115 351 +1982 11 18 0 19 FLORENCE 46.4 94.7 87 65 +1957 12 5 0 26 LESLIE 10.8 11.9 15 418 +1967 4 2 12 23 BERYL 61.0 125.8 13 237 +1995 12 1 18 17 ISAAC 33.0 184.7 82 133 +1970 8 10 6 22 CHRIS 31.3 72.6 45 593 +1989 9 6 12 24 NADINE 27.2 104.5 100 736 +1956 7 22 0 12 SANDY 51.6 52.6 14 452 +1975 7 5 0 1 BERYL 52.8 122.5 19 447 +1962 5 23 18 16 LESLIE 27.8 355.3 58 350 +1990 2 24 12 13 GORDON 59.5 104.3 57 276 +1967 1 16 12 15 VALERIE 20.1 173.6 45 767 +1977 6 27 0 23 HELENE 36.9 317.9 159 179 +1985 4 14 6 23 BERYL 24.2 131.2 63 398 +1966 9 13 18 5 WILLIAM 27.5 268.3 144 504 +1994 2 19 12 16 MICHAEL 49.0 48.8 128 136 +1974 11 13 6 4 NADINE 53.3 166.2 57 724 +1983 10 7 18 19 MICHAEL 21.8 183.1 18 240 +1955 5 5 18 23 WILLIAM 35.8 227.0 85 687 +1983 12 27 18 11 WILLIAM 15.7 21.6 130 567 +1972 6 13 12 24 LESLIE 13.8 245.1 26 205 +2002 7 6 6 7 ERNESTO 21.4 281.6 87 87 +1988 12 23 0 14 DEBBY 45.7 140.8 87 809 +1960 9 11 18 12 SANDY 32.3 218.5 141 322 +1953 2 16 0 16 HELENE 11.1 296.9 44 11 +1983 10 3 0 14 GORDON 43.5 275.5 36 598 +2003 5 28 6 23 GORDON 45.5 30.8 159 193 +1984 9 28 0 15 JOYCE 19.6 295.8 28 740 +1979 12 26 18 16 RAFAEL 33.4 203.0 151 548 +1952 11 26 18 5 KIRK 7.2 96.2 36 141 +1982 10 19 6 20 RAFAEL 16.9 285.4 48 382 +1959 2 28 12 7 MICHAEL 66.9 136.5 156 660 +2002 5 19 0 13 DEBBY 67.3 256.4 41 761 +1980 6 9 6 21 JOYCE 45.5 232.6 21 766 +1990 2 19 6 18 DEBBY 29.3 341.4 71 573 +1999 8 17 18 19 OSCAR 28.2 304.4 134 148 +2001 7 18 0 19 JOYCE 44.7 41.9 28 574 +1992 8 6 18 16 GORDON 17.1 61.4 27 793 +1965 12 19 0 13 KIRK 34.0 327.5 26 561 +2000 4 22 18 13 NADINE 60.8 35.6 60 520 +1977 3 18 6 11 DEBBY 36.4 296.2 149 660 +1952 9 25 12 19 CHRIS 20.4 133.0 50 784 +1963 10 28 18 9 ALBERTO 65.8 74.7 75 193 +1960 4 24 6 10 CHRIS 39.4 152.8 138 300 +1992 6 13 12 2 FLORENCE 54.8 215.8 113 642 +1972 12 18 0 21 RAFAEL 62.0 119.5 18 210 +1999 6 22 12 7 FLORENCE 67.6 90.8 114 453 +1962 10 2 0 19 BERYL 51.9 130.6 84 569 +1966 7 28 12 12 RAFAEL 55.7 219.1 100 806 +1990 8 7 0 19 PATTY 22.2 328.4 127 608 +1971 9 2 6 5 SANDY 18.8 46.8 145 224 +1987 12 20 18 17 TONY 9.8 155.4 63 594 +2000 4 8 12 24 VALERIE 51.5 152.5 93 414 +1978 7 24 12 16 BERYL 36.8 69.0 148 665 +1957 4 3 6 15 KIRK 53.3 211.6 102 206 +1993 9 19 12 13 ALBERTO 62.3 231.2 94 120 +1955 12 20 12 22 LESLIE 47.1 244.8 35 471 +1957 7 23 6 10 BERYL 17.0 96.4 40 860 +1962 12 4 6 23 ERNESTO 55.5 103.1 13 528 +1955 5 28 18 7 BERYL 52.8 81.8 16 718 +1960 7 12 0 13 HELENE 48.1 147.2 152 315 +1951 5 3 18 10 JOYCE 29.7 115.2 98 254 +1999 3 7 12 28 KIRK 56.2 122.1 47 834 +1986 12 16 12 24 CHRIS 25.1 195.9 75 418 +1985 2 16 6 28 ISAAC 18.4 353.1 19 852 +2001 7 12 6 2 NADINE 34.4 0.9 70 213 +1993 6 20 0 1 VALERIE 15.6 120.2 41 761 +1961 8 7 12 18 OSCAR 40.7 207.4 112 60 +1969 9 16 18 12 ALBERTO 9.9 169.5 33 193 +1984 11 1 12 17 TONY 16.0 179.7 133 341 +1998 3 10 6 18 SANDY 55.9 146.6 140 289 +1996 6 21 6 17 OSCAR 64.8 173.6 110 121 +1957 12 27 12 2 OSCAR 45.4 44.4 120 317 +1961 12 8 6 5 ERNESTO 63.1 198.0 94 589 +2001 2 27 12 9 WILLIAM 52.1 101.6 157 7 +2002 6 8 18 23 VALERIE 10.9 62.5 158 392 +1961 5 14 0 3 GORDON 42.7 7.5 81 186 +2000 7 6 18 20 LESLIE 20.5 269.7 96 531 +2002 6 12 12 9 HELENE 67.9 215.9 13 655 +1969 2 20 6 14 ISAAC 29.8 18.8 11 611 +2002 6 15 6 3 RAFAEL 60.5 155.2 124 637 +1974 6 6 12 10 GORDON 8.0 121.2 110 370 +1998 7 6 18 4 ERNESTO 37.5 331.8 120 267 +1960 6 5 6 2 BERYL 22.0 217.6 52 412 +1975 11 14 6 24 DEBBY 38.9 214.0 44 753 +2002 12 1 12 9 TONY 35.9 203.4 110 812 +1967 8 20 0 28 HELENE 20.4 297.6 35 720 +1973 5 11 0 11 BERYL 34.9 247.1 77 291 +1964 1 4 12 23 VALERIE 58.6 275.6 16 714 +1988 4 26 12 10 ALBERTO 34.2 356.0 159 712 +1999 9 17 0 18 BERYL 48.1 274.4 103 304 +2003 2 23 6 11 ALBERTO 29.7 76.9 139 665 +1979 2 25 12 23 SANDY 35.3 179.1 133 880 +1969 3 22 12 13 JOYCE 22.1 221.0 85 467 +1950 9 3 12 10 TONY 58.6 287.1 84 844 +1991 10 28 6 25 NADINE 67.7 245.9 17 874 +2003 6 5 12 19 ALBERTO 51.0 30.5 19 878 +1992 2 24 12 13 RAFAEL 60.9 261.1 38 575 +1998 10 5 12 16 WILLIAM 20.4 152.8 127 707 +1957 10 16 6 22 RAFAEL 54.0 231.3 22 744 +1954 7 11 0 24 MICHAEL 67.3 61.5 51 282 +2004 7 21 18 15 KIRK 16.3 167.2 40 131 +1956 9 18 18 20 HELENE 59.9 333.7 108 430 +2003 4 15 12 3 ERNESTO 20.6 0.9 54 105 +1990 7 5 12 16 RAFAEL 42.9 242.9 72 465 +1984 6 21 12 15 DEBBY 28.0 295.9 83 245 +1982 6 27 12 15 SANDY 52.9 136.4 35 292 +1969 8 12 18 15 JOYCE 22.4 24.5 81 372 +1956 6 3 0 25 NADINE 45.1 10.0 160 15 +1962 11 7 18 23 RAFAEL 69.9 352.9 107 218 +1982 5 4 6 10 BERYL 10.1 342.4 139 201 +2004 8 18 18 24 OSCAR 37.4 49.0 53 429 +1991 9 2 6 15 KIRK 68.9 119.4 58 210 +1952 8 25 18 4 RAFAEL 10.4 275.2 43 429 +1950 5 8 6 10 OSCAR 63.7 168.0 112 602 +1976 9 8 12 16 KIRK 38.8 117.0 64 308 +1959 5 23 18 23 NADINE 16.5 241.2 72 98 +1993 12 22 18 28 DEBBY 50.6 56.5 14 117 +1978 7 11 12 3 LESLIE 26.8 189.8 77 665 +1994 9 18 12 17 HELENE 22.4 92.1 80 793 +1970 5 15 12 10 RAFAEL 17.3 203.2 120 633 +1976 7 11 0 28 RAFAEL 48.9 150.3 145 382 +1996 3 19 18 25 OSCAR 46.0 151.6 119 378 +1981 10 6 12 4 BERYL 61.6 206.1 138 739 +1978 10 20 12 25 OSCAR 20.3 189.6 87 688 +1999 11 1 6 14 MICHAEL 51.1 82.6 105 815 +1950 8 24 12 6 TONY 44.1 17.7 36 551 +1958 5 18 6 12 SANDY 64.5 262.2 142 401 +1972 2 18 6 23 ERNESTO 15.5 223.6 163 664 +1991 9 18 6 10 ISAAC 39.7 111.1 93 121 +1977 1 21 0 19 OSCAR 29.1 268.4 71 404 +1970 5 2 12 28 OSCAR 60.8 250.2 124 285 +1972 1 5 18 19 OSCAR 16.6 86.9 67 666 +1980 12 5 0 18 LESLIE 7.1 122.6 26 627 +1996 7 18 12 7 SANDY 55.8 356.7 139 686 +1955 4 13 18 8 FLORENCE 25.4 343.7 116 418 +1951 12 20 12 22 ERNESTO 8.8 132.1 34 814 +2004 2 23 12 26 OSCAR 29.0 134.9 80 252 +2000 3 18 18 21 WILLIAM 39.1 212.2 40 891 +1968 2 7 18 1 TONY 37.8 219.4 141 883 +1959 6 17 12 7 MICHAEL 10.8 236.8 48 454 +1967 3 5 6 28 ALBERTO 68.9 258.2 40 538 +1959 11 9 6 23 SANDY 48.1 223.2 62 257 +1987 5 2 18 8 FLORENCE 9.9 285.1 116 602 +1969 10 13 0 1 CHRIS 62.4 166.6 19 766 +1961 6 23 18 2 WILLIAM 62.0 92.4 11 460 +1996 11 11 18 25 KIRK 38.1 339.4 85 36 +1955 2 25 18 5 TONY 13.8 99.0 124 303 +1982 6 9 6 12 ERNESTO 11.3 113.3 17 591 +1976 4 22 12 18 BERYL 35.8 280.1 119 582 +1953 3 24 6 15 PATTY 22.5 139.3 88 868 +1984 7 3 0 27 OSCAR 45.9 149.4 79 212 +1981 6 17 18 4 VALERIE 36.9 6.8 53 133 +1952 8 5 12 20 WILLIAM 63.3 56.9 114 258 +1965 2 28 0 15 SANDY 39.7 311.0 80 750 +1981 2 1 18 23 ERNESTO 16.2 242.4 52 842 +2002 10 8 12 3 DEBBY 69.3 146.0 13 255 +1977 4 12 12 24 HELENE 66.9 79.3 64 565 +1982 5 6 18 22 TONY 68.9 57.7 107 727 +1953 8 19 12 5 MICHAEL 23.1 322.5 139 355 +1984 11 13 12 9 MICHAEL 56.9 254.5 22 566 +1994 11 3 12 7 SANDY 24.3 5.0 42 132 +1961 1 16 0 2 GORDON 45.5 217.8 139 51 +1968 10 13 0 19 ALBERTO 12.1 148.9 86 681 +1985 3 13 0 14 VALERIE 65.8 308.6 126 631 +1956 2 12 18 25 GORDON 54.4 139.6 138 881 +2004 3 2 6 23 GORDON 67.5 177.8 19 402 +1976 8 17 18 4 JOYCE 13.5 232.9 40 219 +1956 9 20 18 19 SANDY 68.7 151.2 23 799 +1972 12 6 6 3 TONY 60.9 250.8 94 815 +1979 10 19 18 17 LESLIE 43.8 276.6 13 898 +1952 4 3 6 22 RAFAEL 26.2 153.1 128 153 +1995 2 1 12 22 JOYCE 24.6 58.6 92 140 +1954 9 9 18 14 DEBBY 69.3 62.0 151 752 +1967 1 2 18 19 NADINE 26.7 348.1 123 361 +1994 1 28 6 9 HELENE 67.0 33.2 126 78 +1977 5 17 0 9 ERNESTO 43.8 338.4 55 422 +1977 2 15 6 7 ISAAC 7.7 179.0 83 838 +1954 6 2 0 12 DEBBY 67.6 293.3 145 285 +1980 7 23 18 12 NADINE 40.1 51.7 127 537 +1995 4 13 18 18 KIRK 44.2 103.0 38 797 +1972 12 19 0 15 FLORENCE 56.1 150.5 66 381 +1973 2 2 0 1 TONY 41.8 78.6 159 619 +1986 6 17 6 21 NADINE 51.9 111.0 91 693 +1992 5 7 18 9 DEBBY 12.8 323.7 136 788 +1997 9 14 12 15 JOYCE 20.9 335.7 60 711 +1990 2 27 12 23 ISAAC 16.2 292.9 49 206 +1958 3 28 0 9 DEBBY 45.4 55.3 150 148 +1991 10 10 18 20 SANDY 24.8 321.5 107 659 +2001 4 27 0 10 ISAAC 22.2 280.9 130 695 +1952 3 9 6 28 WILLIAM 40.9 125.4 138 888 +1995 9 19 18 22 ERNESTO 41.2 72.6 164 455 +1996 8 2 18 22 RAFAEL 17.7 131.9 49 209 +1988 3 9 12 2 VALERIE 15.8 122.2 90 116 +1970 2 5 12 11 KIRK 55.8 259.9 24 864 +1967 4 18 0 18 KIRK 33.7 155.4 148 806 +1967 1 6 6 7 JOYCE 19.4 262.6 25 328 +1950 10 7 6 17 FLORENCE 63.2 217.4 154 729 +1974 1 5 12 6 MICHAEL 58.7 71.0 76 392 +1954 8 23 0 17 DEBBY 51.7 266.0 150 124 +1963 7 24 12 18 DEBBY 34.7 130.6 154 199 +1987 10 4 18 16 NADINE 7.8 229.0 57 476 +1997 12 7 12 19 ISAAC 69.2 332.0 52 17 +1973 8 1 6 19 FLORENCE 56.5 24.9 101 734 +1998 10 9 18 11 CHRIS 12.9 249.7 97 87 +1956 3 9 6 17 JOYCE 28.9 347.9 10 541 +1980 6 6 12 6 HELENE 40.9 285.6 66 519 +1990 5 18 0 3 WILLIAM 49.6 21.2 65 639 +1973 11 28 18 13 TONY 9.6 251.8 109 810 +2002 3 8 12 3 KIRK 34.8 120.6 153 686 +1998 1 5 18 9 PATTY 11.5 212.5 60 330 +2002 8 19 18 22 RAFAEL 26.3 354.3 160 876 +1988 10 6 6 21 DEBBY 56.6 309.1 153 293 +1951 10 26 6 23 VALERIE 58.6 330.6 74 805 +1991 9 12 6 6 BERYL 25.4 104.5 23 524 +2000 3 13 12 3 TONY 63.2 69.8 19 770 +2003 12 3 6 6 HELENE 43.4 243.1 16 679 +1994 5 2 6 16 DEBBY 36.1 163.9 107 77 +1970 6 28 18 15 VALERIE 66.4 355.2 101 370 +1961 4 28 12 2 ISAAC 15.6 75.8 120 520 +1956 9 20 18 22 JOYCE 27.4 290.2 19 776 +1967 3 4 12 11 GORDON 32.6 192.4 53 225 +1962 6 19 12 17 LESLIE 50.6 63.7 135 82 +2004 12 5 0 7 JOYCE 12.0 241.6 121 209 +1997 12 13 0 20 KIRK 37.4 152.9 111 106 +1953 5 26 12 7 SANDY 52.5 219.3 147 145 +1988 2 26 6 18 VALERIE 63.8 209.7 14 351 +1988 1 24 6 21 ISAAC 14.0 84.3 119 340 +1970 4 2 18 9 ERNESTO 32.3 128.0 45 173 +1966 6 16 12 16 KIRK 9.8 21.9 41 101 +2002 3 19 6 12 PATTY 34.6 107.1 160 105 +1959 9 3 0 19 ERNESTO 41.2 100.1 24 245 +1974 9 9 18 1 TONY 20.5 226.5 41 497 +1955 10 9 6 5 ALBERTO 67.1 45.5 118 166 +1999 10 16 0 10 LESLIE 40.7 136.0 129 638 +1950 3 10 18 26 BERYL 61.2 95.8 25 427 +1987 3 28 18 20 KIRK 42.8 317.2 102 531 +1962 11 27 0 25 DEBBY 44.2 128.0 94 760 +1960 1 3 6 5 ERNESTO 67.1 205.3 123 362 +1959 10 4 6 3 FLORENCE 35.1 185.8 28 227 +2003 5 9 0 13 PATTY 21.8 258.4 130 532 +1964 4 10 18 28 TONY 11.1 60.8 119 512 +1965 4 14 6 6 ALBERTO 37.6 214.9 129 86 +1959 1 10 0 4 ERNESTO 9.5 352.0 20 67 +1958 1 10 6 15 KIRK 20.8 134.4 108 58 +1958 2 26 12 26 TONY 41.1 316.0 161 141 +1950 10 17 12 16 WILLIAM 65.5 227.1 139 65 +1989 9 18 0 23 CHRIS 51.4 205.9 68 348 +1974 11 10 18 13 MICHAEL 10.4 267.4 35 526 +1991 12 9 12 15 WILLIAM 36.6 224.1 117 569 +1992 6 13 6 22 VALERIE 40.9 152.2 10 656 +1993 7 28 18 28 PATTY 7.8 242.5 14 386 +1967 4 5 18 20 DEBBY 15.1 71.2 110 428 +1966 8 24 6 14 KIRK 45.4 303.5 63 442 +1971 7 21 12 25 BERYL 55.6 339.8 83 342 +1986 9 20 12 17 KIRK 27.6 270.8 100 809 +1999 2 27 12 3 FLORENCE 49.8 233.4 94 30 +1959 9 12 6 21 ISAAC 68.0 265.1 110 411 +1976 10 21 6 8 DEBBY 20.5 332.7 161 635 +1993 6 25 12 20 BERYL 68.7 209.6 32 192 +1997 3 28 6 19 NADINE 28.5 352.6 68 549 +1975 12 8 0 14 PATTY 50.2 157.4 64 444 +1989 4 14 12 23 NADINE 10.3 4.5 160 828 +2000 6 20 12 3 PATTY 60.7 239.3 40 852 +1950 7 19 0 18 JOYCE 66.3 229.6 154 735 +1985 2 1 6 8 GORDON 16.6 255.3 40 545 +1989 9 20 0 4 NADINE 21.3 245.2 11 765 +1972 1 10 6 15 MICHAEL 66.9 86.9 68 477 +1994 12 1 6 25 DEBBY 8.9 78.3 89 421 +1999 12 17 12 7 TONY 39.1 169.1 36 53 +1981 10 15 18 15 TONY 50.8 146.3 145 744 +1989 1 15 6 15 JOYCE 43.3 187.2 17 321 +1978 8 12 0 1 ALBERTO 8.5 344.8 159 666 +1971 3 4 6 12 WILLIAM 35.1 7.0 36 91 +1999 7 9 12 17 NADINE 24.4 139.6 24 539 +2002 1 25 18 10 JOYCE 38.4 210.0 15 687 +1952 9 18 18 13 MICHAEL 32.8 221.8 76 875 +1994 2 10 12 9 CHRIS 25.2 310.8 159 821 +1959 12 28 12 21 MICHAEL 42.0 295.7 143 83 +1984 4 7 18 27 MICHAEL 13.2 90.7 26 58 +1954 9 14 0 14 NADINE 19.2 317.2 115 451 +1984 1 13 18 24 RAFAEL 56.6 258.6 112 610 +1995 10 4 18 10 JOYCE 28.8 50.7 40 719 +1959 4 9 6 2 SANDY 48.7 232.0 90 643 +2000 3 19 12 11 CHRIS 22.5 80.2 130 871 +1970 1 21 18 20 ISAAC 35.7 335.2 120 146 +1979 12 16 6 21 MICHAEL 29.1 266.0 26 587 +2001 9 20 6 12 LESLIE 8.3 133.2 40 780 +1962 11 23 18 5 MICHAEL 60.2 340.6 56 657 +1976 8 28 0 6 WILLIAM 64.0 168.6 141 744 +1965 8 25 6 24 KIRK 29.4 136.2 103 26 +1962 2 9 6 2 JOYCE 62.4 86.5 71 104 +1953 9 18 12 27 FLORENCE 70.0 324.1 137 826 +1975 3 4 6 27 HELENE 22.9 275.8 29 347 +1997 8 20 12 6 OSCAR 54.0 318.1 22 878 +1995 5 2 6 24 ALBERTO 66.5 17.6 151 521 +1994 11 13 0 3 GORDON 22.1 141.6 82 332 +1992 11 12 12 10 BERYL 24.7 249.5 20 760 +1968 4 23 12 27 DEBBY 37.5 161.2 118 234 +1972 8 5 18 19 CHRIS 62.8 46.2 158 827 +1996 5 3 6 11 OSCAR 54.8 250.4 99 324 +1958 3 26 18 18 ALBERTO 61.7 7.0 30 447 +1963 8 16 18 11 ERNESTO 10.4 298.9 33 736 +1968 9 10 12 20 SANDY 25.8 46.9 148 891 +1963 1 5 12 6 MICHAEL 18.7 82.5 72 440 +1956 10 19 12 27 CHRIS 16.3 3.8 56 280 +1960 12 24 18 4 RAFAEL 19.4 146.6 57 527 +2000 10 19 0 15 RAFAEL 27.6 32.5 35 456 +1965 11 19 12 23 LESLIE 7.7 28.7 107 113 +1950 9 7 12 7 MICHAEL 48.7 165.3 141 201 +1988 3 11 18 26 LESLIE 25.8 134.8 68 894 +2000 9 5 12 11 DEBBY 68.1 242.3 160 652 +1972 4 2 18 25 SANDY 30.6 114.7 54 213 +1968 9 21 0 19 NADINE 55.5 265.9 42 855 +1966 12 3 18 10 OSCAR 66.0 7.4 58 547 +2002 9 6 12 20 SANDY 12.8 66.2 16 692 +1962 11 19 12 22 MICHAEL 34.7 46.0 21 111 +2000 2 18 18 2 ALBERTO 54.8 310.9 77 337 +2004 11 21 0 7 KIRK 29.2 213.1 62 800 +1966 4 24 0 12 ERNESTO 64.1 193.8 139 7 +1972 6 28 0 6 HELENE 53.9 68.6 155 666 +1983 10 11 6 25 JOYCE 40.7 118.2 93 25 +1983 3 25 12 27 SANDY 35.7 152.7 137 326 +1959 10 26 12 16 FLORENCE 17.0 266.2 89 799 +1950 4 6 0 9 HELENE 47.3 90.1 53 836 +1989 10 14 12 26 WILLIAM 26.7 257.7 141 246 +1958 7 12 6 17 KIRK 13.7 10.1 39 844 +1961 6 26 0 4 TONY 16.0 302.6 110 367 +1972 4 20 12 25 ISAAC 7.6 54.9 20 691 +1983 2 6 0 8 NADINE 25.5 83.0 77 313 +2002 11 3 6 16 ALBERTO 62.8 116.5 20 152 +1955 9 25 18 12 KIRK 8.7 28.2 60 358 +1960 10 4 6 4 OSCAR 17.3 221.0 45 898 +2004 10 6 6 6 OSCAR 10.4 342.2 102 157 +1967 4 2 6 15 SANDY 63.1 40.1 136 81 +1952 7 21 18 4 LESLIE 59.4 46.2 56 404 +1962 1 19 12 15 ERNESTO 24.9 28.9 102 180 +1986 7 4 18 26 NADINE 59.6 81.3 96 804 +1971 6 10 6 21 HELENE 16.3 127.2 153 273 +1994 10 19 0 7 FLORENCE 16.0 179.0 134 563 +1988 1 5 0 22 CHRIS 45.0 112.2 33 858 +1996 11 15 18 6 ALBERTO 52.5 265.5 125 431 +1985 4 4 6 8 ALBERTO 19.3 42.3 60 619 +1961 3 4 0 28 CHRIS 69.9 14.2 136 891 +1980 1 23 6 19 HELENE 53.5 171.7 160 568 +1962 9 22 0 26 HELENE 47.1 53.7 117 36 +2001 2 9 12 14 CHRIS 11.9 188.2 68 158 +1982 1 9 12 17 MICHAEL 13.5 347.2 88 712 +1956 6 13 0 21 KIRK 58.8 195.2 65 890 +1969 12 1 6 28 VALERIE 28.5 277.2 152 11 +1956 3 22 6 23 MICHAEL 60.3 330.2 123 177 +1991 6 10 12 27 OSCAR 46.0 224.5 27 894 +1992 9 28 18 4 ALBERTO 21.9 327.0 59 446 +1959 10 28 12 24 RAFAEL 14.2 210.3 14 236 +1958 9 25 12 14 JOYCE 24.2 30.5 139 708 +1986 7 3 12 6 OSCAR 41.0 11.5 56 10 +1978 2 24 12 19 MICHAEL 12.0 211.6 93 501 +1978 12 7 0 19 RAFAEL 66.7 299.1 115 723 +1951 3 27 12 3 KIRK 54.9 214.8 129 780 +1962 5 14 18 4 SANDY 50.5 34.2 111 483 +1952 4 28 18 14 FLORENCE 26.0 69.2 83 510 +1986 1 10 18 25 DEBBY 58.6 299.4 34 242 +2001 8 18 12 18 DEBBY 18.9 307.5 107 56 +1975 9 10 0 1 RAFAEL 24.0 54.1 37 792 +1995 4 21 6 25 KIRK 12.3 156.6 144 424 +1958 1 4 6 13 CHRIS 42.7 83.3 130 357 +1965 9 4 12 25 NADINE 33.1 263.5 62 235 +1971 3 17 6 4 FLORENCE 46.0 84.9 138 13 +1951 8 7 12 11 WILLIAM 65.4 37.9 94 733 +1968 7 16 6 6 TONY 18.3 282.8 151 132 +1951 12 22 6 17 LESLIE 51.2 344.7 57 144 +1968 5 26 12 16 TONY 19.5 131.0 107 351 +1961 4 9 6 5 VALERIE 65.4 121.1 37 405 +1990 10 24 18 19 FLORENCE 65.1 117.2 99 98 +1983 7 4 18 17 MICHAEL 67.7 170.2 140 734 +1965 8 5 12 26 LESLIE 7.2 58.2 146 603 +1978 10 12 0 19 PATTY 55.4 283.5 69 368 +2000 2 10 12 4 GORDON 41.3 252.0 145 699 +1975 6 26 0 25 JOYCE 58.2 70.0 40 586 +1952 9 15 18 19 CHRIS 24.4 101.3 36 633 +1972 11 6 6 12 HELENE 65.0 38.5 157 468 +2003 8 11 0 11 HELENE 29.5 262.9 97 311 +1959 6 11 12 22 FLORENCE 58.9 270.4 98 669 +1980 4 6 0 1 PATTY 67.4 352.4 116 422 +1957 8 17 18 16 WILLIAM 48.9 25.3 83 52 +1960 11 22 6 20 MICHAEL 12.9 349.9 127 475 +1990 4 13 6 10 OSCAR 14.7 129.7 97 607 +1998 2 4 6 6 ALBERTO 63.9 149.4 155 116 +1953 6 7 12 14 JOYCE 42.0 181.0 152 225 +2002 4 18 12 9 TONY 31.6 195.4 72 118 +1999 7 8 0 10 OSCAR 30.3 106.9 74 39 +1994 2 2 18 28 GORDON 10.4 222.8 117 236 +1961 2 19 0 18 SANDY 57.2 296.0 77 666 +1984 1 20 6 24 DEBBY 67.2 50.6 54 511 +1953 4 6 6 22 NADINE 39.8 298.1 156 236 +1961 1 17 18 23 DEBBY 52.1 229.9 87 388 +1972 7 24 12 12 RAFAEL 30.8 56.4 92 193 +1964 8 7 18 24 RAFAEL 61.5 147.5 79 309 +1967 11 11 12 7 VALERIE 46.4 8.2 122 333 +1982 2 6 18 9 SANDY 7.6 231.2 94 174 +1950 5 9 0 5 VALERIE 46.3 276.0 90 65 +1950 8 19 6 26 OSCAR 62.8 98.0 18 682 +1959 4 1 12 23 MICHAEL 55.4 217.8 91 30 +1995 10 20 12 14 SANDY 31.1 304.8 151 31 +1994 1 28 6 13 CHRIS 41.5 46.4 49 852 +1997 8 4 12 10 BERYL 61.2 124.0 154 206 +1967 11 11 6 9 NADINE 59.9 131.3 10 97 +1977 9 14 6 16 RAFAEL 42.0 266.0 78 860 +1991 3 1 6 18 KIRK 25.9 329.1 108 354 +1966 8 6 18 8 FLORENCE 41.5 92.3 22 193 +1977 1 18 6 2 JOYCE 67.7 277.1 44 879 +1990 6 8 18 15 LESLIE 57.2 274.6 127 632 +1983 1 3 12 23 CHRIS 60.6 322.4 139 759 +1980 8 5 12 11 RAFAEL 16.6 239.8 24 338 +1989 8 23 18 15 NADINE 61.3 44.4 36 256 +1961 10 13 12 23 SANDY 56.1 63.3 149 379 +1996 4 19 0 25 LESLIE 64.4 134.4 154 790 +1988 2 18 0 11 LESLIE 65.3 291.9 119 537 +1978 2 10 18 4 LESLIE 63.3 198.1 64 187 +1950 3 7 12 15 PATTY 30.9 156.0 60 331 +1980 3 10 12 7 CHRIS 63.6 129.8 154 766 +1970 10 3 12 3 KIRK 18.3 158.2 146 587 +1952 3 17 0 8 OSCAR 54.3 91.3 159 442 +1955 6 11 12 19 SANDY 25.7 85.8 16 453 +1987 3 10 12 15 PATTY 58.4 270.5 92 308 +1961 3 16 12 28 VALERIE 13.3 186.2 128 600 +1976 2 20 0 9 PATTY 18.7 2.3 123 344 +1981 9 27 6 26 KIRK 23.2 250.7 36 533 +1971 11 1 18 9 VALERIE 39.6 209.9 70 69 +1951 3 28 6 22 WILLIAM 45.8 245.9 23 420 +1993 2 17 6 14 TONY 18.5 350.1 113 57 +2001 6 7 6 4 CHRIS 57.2 279.0 76 110 +1958 9 5 18 25 OSCAR 27.0 293.0 83 614 +1967 9 10 18 20 OSCAR 22.2 317.1 154 759 +1974 3 14 12 28 PATTY 40.8 242.2 136 800 +2002 3 17 12 7 SANDY 32.9 277.6 109 476 +1988 8 27 0 26 FLORENCE 51.4 112.6 102 671 +1982 7 12 0 4 MICHAEL 29.2 48.0 90 153 +1976 1 27 18 7 DEBBY 64.1 253.4 96 134 +1996 3 18 18 3 KIRK 52.4 178.8 143 287 +1951 8 17 18 17 GORDON 58.5 334.3 28 735 +1985 1 7 6 19 ALBERTO 50.8 5.7 67 333 +2002 9 28 12 11 NADINE 17.5 225.4 124 13 +1952 2 5 18 4 OSCAR 55.8 32.2 101 620 +2001 5 10 0 9 PATTY 57.9 104.1 127 712 +2004 12 27 12 3 ALBERTO 10.3 291.4 38 611 +1974 10 23 18 18 TONY 69.6 21.7 34 79 +1986 12 15 12 20 PATTY 62.9 1.6 78 133 +1985 8 3 12 8 ISAAC 11.1 259.7 15 140 +1989 9 28 12 6 VALERIE 58.4 17.6 52 752 +1985 10 18 18 6 ISAAC 7.4 78.9 129 588 +2004 5 10 18 13 OSCAR 60.4 57.5 139 762 +2002 12 24 6 12 FLORENCE 64.5 124.9 12 684 +1953 12 21 6 7 FLORENCE 36.5 240.9 19 360 +1964 7 25 6 2 MICHAEL 16.1 172.1 41 99 +1989 6 3 12 2 OSCAR 11.0 134.6 161 335 +1990 8 11 12 6 ERNESTO 19.7 199.4 164 124 +1976 6 27 6 5 SANDY 32.8 189.6 14 564 +1976 6 11 0 9 BERYL 20.3 124.9 97 165 +1970 5 15 6 24 ISAAC 43.4 120.5 112 838 +1992 2 11 6 17 NADINE 64.4 15.5 105 457 +1963 9 21 0 18 TONY 57.3 341.4 146 96 +2004 3 12 18 11 NADINE 53.2 235.2 143 677 +1950 12 2 6 24 WILLIAM 28.1 51.7 124 551 +1979 7 23 0 20 SANDY 69.0 215.4 84 183 +1981 9 12 6 8 ISAAC 38.9 182.5 112 763 +1983 11 12 12 17 JOYCE 53.7 198.9 50 137 +1973 6 22 18 14 DEBBY 29.8 240.1 89 31 +1966 9 23 12 16 ALBERTO 61.3 311.8 81 80 +1953 7 9 18 3 OSCAR 34.2 139.6 89 358 +1950 7 12 18 17 HELENE 57.1 57.4 62 796 +1973 6 5 12 15 ALBERTO 21.3 50.9 46 650 +1957 1 27 0 19 JOYCE 36.7 133.7 107 605 +1964 9 28 6 26 HELENE 63.2 272.8 87 275 +1968 4 7 18 10 VALERIE 11.0 327.7 66 868 +1997 3 10 18 1 DEBBY 25.6 240.3 160 531 +1978 7 8 12 25 DEBBY 36.1 296.2 107 849 +1984 12 22 18 27 HELENE 66.7 82.0 140 212 +1975 4 13 6 19 VALERIE 8.2 324.8 18 604 +2000 9 16 0 1 RAFAEL 46.6 152.1 162 478 +1987 5 16 6 5 CHRIS 56.6 134.7 116 136 +2000 3 6 18 7 VALERIE 17.3 236.5 117 153 +1963 2 28 18 1 TONY 37.1 350.1 16 512 +1968 5 13 6 19 NADINE 56.5 145.7 22 605 +1963 9 8 18 4 ISAAC 62.3 269.9 147 440 +1973 9 26 18 14 LESLIE 27.1 346.9 135 770 +1996 9 4 0 8 JOYCE 45.0 237.9 146 696 +1966 7 19 12 2 JOYCE 59.0 242.7 106 617 +1999 5 15 0 9 WILLIAM 51.2 304.6 17 483 +1985 3 6 18 4 MICHAEL 10.7 144.1 105 854 +1988 8 20 12 8 FLORENCE 39.5 256.1 88 40 +1982 10 11 12 7 ISAAC 31.5 232.2 47 253 +1967 7 16 6 1 MICHAEL 7.9 174.0 54 857 +1965 1 13 6 19 NADINE 31.7 106.8 115 835 +1955 8 16 6 18 JOYCE 10.7 317.1 58 817 +1973 11 24 18 5 PATTY 43.6 330.6 140 703 +1973 6 8 6 14 CHRIS 54.3 97.5 36 571 +1986 9 22 0 7 BERYL 68.3 94.7 23 594 +1998 4 20 12 22 CHRIS 59.8 36.0 40 828 +1997 9 22 0 9 RAFAEL 47.0 59.6 102 229 +1996 10 13 12 16 OSCAR 50.7 22.9 151 507 +1967 12 10 6 5 OSCAR 40.1 37.9 95 29 +1967 2 25 6 18 OSCAR 7.7 82.0 18 68 +1987 12 17 6 24 ALBERTO 37.3 148.3 111 90 +1958 5 2 0 28 ALBERTO 64.5 307.0 145 474 +1995 3 9 6 18 TONY 61.9 11.3 75 330 +1995 10 8 18 8 VALERIE 56.8 218.4 101 813 +1994 4 8 18 25 ALBERTO 61.1 92.2 64 777 +1964 1 2 0 11 ISAAC 17.2 264.0 106 311 +2004 5 23 6 16 DEBBY 25.6 84.6 55 132 +1985 8 2 18 10 JOYCE 27.7 176.6 124 566 +1999 3 22 6 16 ERNESTO 48.8 260.3 87 375 +1964 7 18 18 16 CHRIS 51.7 350.3 130 396 +1970 12 28 6 15 ERNESTO 45.5 282.3 143 456 +1965 1 11 18 20 DEBBY 45.0 24.4 85 39 +1989 5 7 12 26 WILLIAM 52.6 82.7 41 686 +1965 7 18 0 23 ISAAC 65.4 10.6 49 285 +1990 1 12 0 10 JOYCE 61.0 14.2 99 746 +1971 9 5 0 14 ALBERTO 54.9 166.5 114 9 +1966 2 20 18 4 GORDON 52.0 165.6 124 150 +1951 9 23 0 24 FLORENCE 17.4 257.9 122 47 +1980 6 21 6 22 GORDON 42.4 104.2 111 433 +1962 7 2 12 27 PATTY 31.8 66.9 73 65 +1951 4 17 6 19 FLORENCE 28.7 53.7 154 896 +1998 4 4 18 13 GORDON 62.1 247.2 33 345 +1992 6 20 18 25 HELENE 15.5 68.3 22 118 +1977 11 11 12 11 NADINE 68.3 255.4 101 168 +1998 1 3 12 28 ALBERTO 29.8 331.0 164 471 +1953 12 4 18 20 ERNESTO 56.9 3.3 22 689 +1981 1 22 18 15 ALBERTO 22.8 81.9 53 484 +1965 12 21 18 7 ISAAC 33.3 223.7 160 729 +1964 4 4 12 12 BERYL 31.0 16.1 77 162 +1953 7 28 0 8 BERYL 45.9 170.4 76 581 +1981 11 4 0 25 ERNESTO 21.6 185.1 96 506 +1988 7 22 12 13 OSCAR 7.5 18.3 161 453 +1999 12 22 6 28 LESLIE 17.4 262.3 52 794 +1972 9 3 6 10 FLORENCE 32.4 217.9 58 367 +1953 2 7 18 2 BERYL 68.0 331.4 51 203 +1960 1 10 0 3 OSCAR 7.6 315.9 53 758 +1998 6 6 6 12 GORDON 51.5 28.4 146 629 +1978 2 11 18 16 TONY 21.6 292.7 94 394 +2004 12 11 6 17 ISAAC 32.1 257.7 133 632 +1957 10 6 18 17 ALBERTO 26.1 324.9 19 201 +1985 1 23 18 13 RAFAEL 69.4 322.5 156 403 +1997 1 22 12 6 SANDY 49.8 258.9 75 282 +1969 10 3 12 13 ERNESTO 54.0 143.6 140 329 +1975 6 14 18 14 PATTY 9.6 340.4 75 664 +1956 7 14 18 7 VALERIE 31.5 77.0 127 175 +1975 1 28 6 7 PATTY 9.8 195.7 117 612 +1959 12 27 0 28 HELENE 32.1 235.8 52 511 +2000 10 10 6 6 KIRK 56.8 90.0 95 749 +1971 11 24 6 14 ERNESTO 60.3 346.0 31 95 +1961 4 24 18 25 CHRIS 63.8 226.0 138 158 +1987 10 25 6 6 CHRIS 25.8 119.2 95 559 +1956 10 11 18 26 NADINE 16.8 26.8 40 712 +2003 11 19 12 23 FLORENCE 18.7 357.6 41 836 +1964 9 4 6 7 CHRIS 52.9 61.6 77 854 +2003 5 15 18 7 OSCAR 34.3 234.4 147 830 +1952 7 3 18 3 NADINE 12.8 137.7 118 175 +1955 7 22 0 9 JOYCE 23.5 162.8 107 852 +1956 10 25 18 16 BERYL 10.9 192.4 134 722 +1956 7 21 6 23 CHRIS 51.8 227.7 79 453 +1972 12 22 6 13 CHRIS 34.9 269.9 25 708 +1979 4 12 6 28 MICHAEL 30.8 174.8 45 534 +2003 5 20 18 15 HELENE 60.9 307.4 22 220 +1967 2 20 12 12 HELENE 60.1 251.6 30 592 +1974 7 16 18 7 FLORENCE 10.9 148.0 45 378 +1977 2 4 18 8 ISAAC 65.8 55.9 117 135 +1961 10 24 12 12 VALERIE 66.9 17.4 59 661 +2002 3 11 12 7 NADINE 42.1 199.3 117 142 +1957 10 7 6 5 BERYL 7.5 323.9 143 450 +1957 7 21 6 6 MICHAEL 30.9 173.4 29 789 +1975 6 20 6 1 HELENE 16.0 263.8 75 365 +1957 1 23 12 17 GORDON 42.3 286.1 53 401 +1973 6 5 0 3 MICHAEL 61.8 2.5 82 743 +1955 6 14 12 8 OSCAR 23.4 268.3 91 794 +1987 7 5 6 23 JOYCE 47.2 75.7 60 127 +1984 3 20 18 11 HELENE 58.8 347.6 152 42 +1978 11 2 18 25 MICHAEL 37.4 295.7 98 791 +2001 9 20 12 28 TONY 30.0 38.6 121 408 +1994 2 17 6 21 ALBERTO 33.2 266.1 68 824 +1998 11 6 6 9 VALERIE 57.3 350.1 44 843 +1985 10 24 12 15 VALERIE 53.2 267.6 52 92 +1974 3 18 6 13 PATTY 50.5 332.8 159 516 +1969 8 26 12 16 KIRK 44.4 95.2 96 449 +1966 10 19 18 9 ALBERTO 41.3 93.2 111 835 +1971 5 5 18 4 CHRIS 62.6 137.3 31 640 +1981 11 5 6 8 CHRIS 52.9 226.5 48 529 +1953 7 19 6 24 BERYL 12.1 157.3 45 196 +1972 1 26 0 10 RAFAEL 16.5 307.1 129 659 +1972 7 15 0 12 OSCAR 44.3 160.0 20 199 +1979 5 27 12 18 ISAAC 27.9 284.8 31 777 +1999 1 17 0 26 CHRIS 8.2 212.1 86 754 +1984 12 24 18 12 FLORENCE 55.4 82.4 145 743 +1965 9 4 0 25 VALERIE 46.4 212.5 77 241 +1963 10 4 0 8 OSCAR 7.3 130.5 142 228 +2003 10 9 12 27 LESLIE 41.2 151.2 122 67 +1998 9 17 6 3 ISAAC 39.0 63.9 105 890 +1964 6 5 18 16 GORDON 16.7 45.5 159 133 +2000 12 13 12 2 VALERIE 68.0 95.1 20 97 +1978 10 22 18 28 FLORENCE 25.3 174.5 93 333 +1955 8 9 18 8 LESLIE 15.2 103.2 14 698 +1955 6 5 18 28 OSCAR 63.6 14.8 109 875 +2001 4 6 0 1 WILLIAM 57.6 257.1 30 320 +1955 6 10 0 11 NADINE 33.5 76.5 16 77 +2003 3 13 6 13 LESLIE 13.7 219.9 107 865 +1958 6 7 0 15 NADINE 64.1 9.7 116 405 +1979 4 12 6 22 TONY 64.7 179.8 51 591 +1961 6 23 6 24 CHRIS 54.1 191.7 31 453 +1992 12 12 6 6 GORDON 68.1 76.3 155 729 +1969 12 5 6 18 FLORENCE 65.0 57.5 126 792 +1951 2 19 0 22 ISAAC 11.7 219.3 26 30 +1965 9 16 6 22 WILLIAM 53.4 268.5 147 357 +1960 10 4 18 21 HELENE 60.0 148.7 37 132 +1963 3 5 0 8 DEBBY 68.9 5.9 36 551 +1958 12 11 12 15 KIRK 34.5 306.5 112 28 +1981 4 18 18 6 PATTY 32.1 324.7 43 703 +1984 9 4 12 15 ERNESTO 19.8 126.3 55 702 +1967 4 15 12 7 DEBBY 12.9 200.1 29 855 +2001 6 25 12 25 CHRIS 46.5 148.6 69 181 +1961 12 7 6 28 BERYL 32.4 102.0 163 679 +1977 11 23 12 7 TONY 54.3 115.6 19 393 +1983 8 19 18 17 MICHAEL 35.6 0.5 86 27 +1994 7 25 0 25 ERNESTO 30.0 149.4 13 53 +1982 2 13 0 5 PATTY 26.2 199.5 164 797 +1991 8 19 0 2 ALBERTO 26.7 350.5 117 240 +1988 10 18 18 5 KIRK 56.5 136.5 152 362 +2003 10 24 0 6 NADINE 50.3 326.8 128 351 +1977 3 9 6 15 TONY 57.3 221.7 91 171 +2002 11 15 12 28 SANDY 66.0 273.1 15 289 +1976 12 8 0 20 BERYL 50.6 223.2 57 237 +1967 3 5 12 2 ERNESTO 59.2 170.6 79 88 +1995 2 19 6 22 JOYCE 14.3 6.6 127 643 +1965 1 28 12 21 VALERIE 31.0 267.5 114 444 +1998 7 15 12 7 ERNESTO 41.8 185.3 125 784 +1951 5 12 12 15 TONY 61.2 301.2 100 852 +1985 8 20 6 4 ISAAC 41.2 30.3 47 65 +2004 3 6 0 8 BERYL 28.3 122.6 55 166 +1989 7 28 18 28 DEBBY 21.9 96.6 154 133 +1950 5 24 0 8 ISAAC 10.9 233.3 16 169 +1978 3 27 12 3 RAFAEL 38.9 266.0 38 720 +1977 4 26 0 6 PATTY 67.9 106.5 97 499 +1957 10 5 6 1 KIRK 59.4 184.6 93 454 +1971 7 20 18 10 DEBBY 48.2 209.8 144 389 +1979 2 1 6 10 WILLIAM 65.5 330.7 84 574 +2004 1 6 18 5 GORDON 23.5 157.4 105 330 +1953 3 11 0 12 GORDON 44.5 318.8 154 200 +1981 11 26 0 24 ISAAC 38.8 349.1 79 399 +1950 11 16 0 15 GORDON 41.2 316.3 59 388 +1968 5 5 0 10 WILLIAM 31.6 70.3 141 407 +1973 3 14 18 3 ERNESTO 42.3 353.0 130 298 +1982 8 26 0 17 GORDON 26.1 276.4 154 162 +1950 12 26 18 17 DEBBY 51.5 60.0 143 657 +1964 12 19 6 10 WILLIAM 49.1 122.9 39 322 +1994 3 1 12 24 PATTY 44.2 136.9 40 894 +1965 4 4 6 15 HELENE 69.9 160.0 90 293 +1963 5 15 12 18 MICHAEL 39.3 37.3 85 618 +1963 5 18 12 11 HELENE 53.0 153.0 88 540 +1987 1 5 18 14 SANDY 62.4 112.8 143 701 +1985 4 21 12 1 JOYCE 39.2 101.8 134 447 +1964 11 4 12 7 PATTY 48.8 244.7 143 411 +1976 3 20 0 26 ALBERTO 64.6 23.0 160 205 +2003 9 14 18 15 LESLIE 49.1 85.6 102 304 +1951 1 15 6 24 CHRIS 41.8 157.1 126 304 +1953 1 6 12 3 GORDON 27.0 45.8 156 696 +1953 2 28 6 3 ISAAC 40.0 61.5 115 816 +1993 3 16 18 11 SANDY 56.6 87.1 57 153 +1961 2 2 18 19 HELENE 69.8 275.8 142 451 +1953 4 6 0 4 GORDON 28.5 340.7 93 164 +1958 10 24 6 7 ISAAC 69.3 49.5 125 505 +1987 12 19 0 7 GORDON 8.9 318.9 155 15 +1969 10 3 12 26 LESLIE 21.7 16.1 157 648 +1994 1 6 12 4 PATTY 35.7 26.7 43 142 +1969 4 24 18 21 BERYL 50.1 228.5 60 99 +1963 11 8 0 17 TONY 22.1 7.8 147 456 +1994 2 20 18 28 ALBERTO 66.8 140.1 81 39 +1970 6 9 18 23 ISAAC 60.6 147.4 112 609 +1980 2 6 0 7 BERYL 69.0 130.4 136 588 +1973 2 23 12 19 FLORENCE 24.2 95.3 79 240 +1962 3 25 12 2 GORDON 48.4 270.7 79 296 +1954 11 2 0 19 FLORENCE 42.0 343.2 45 233 +2004 10 10 0 23 TONY 57.5 159.7 119 644 +2002 9 22 0 21 KIRK 55.6 104.7 19 626 +1984 9 13 12 3 KIRK 69.9 15.2 95 39 +1992 11 3 12 4 GORDON 42.5 218.6 61 583 +1957 7 12 6 21 JOYCE 8.2 151.3 159 225 +1997 11 28 12 24 JOYCE 43.1 223.6 10 83 +1959 11 17 6 3 VALERIE 17.5 220.6 20 736 +2002 6 16 6 10 FLORENCE 47.6 337.3 70 847 +1998 4 14 12 8 OSCAR 13.9 347.5 141 421 +1986 3 2 18 14 SANDY 64.0 7.0 83 848 +1996 8 4 0 5 NADINE 47.5 131.4 145 234 +1989 11 28 0 8 ALBERTO 36.4 174.3 83 42 +1959 7 22 0 14 RAFAEL 50.8 191.8 62 769 +1991 5 21 12 9 GORDON 33.1 67.1 75 439 +1963 1 19 12 8 OSCAR 63.6 324.9 38 727 +1981 9 26 6 1 OSCAR 22.8 169.3 65 387 +1955 3 18 0 11 LESLIE 17.8 321.2 13 650 +1969 4 27 0 14 PATTY 39.5 108.2 49 742 +1965 6 11 12 9 MICHAEL 27.7 114.7 41 269 +1954 4 14 0 13 PATTY 33.9 58.1 123 345 +1980 10 4 6 15 ISAAC 12.9 272.9 91 562 +1966 9 20 0 4 ERNESTO 45.2 335.9 126 375 +1984 12 18 18 1 GORDON 62.4 89.0 127 555 +1978 4 1 18 17 DEBBY 34.6 129.9 124 886 +1980 12 8 18 22 ALBERTO 66.0 159.3 156 311 +1995 5 3 6 20 GORDON 31.6 226.2 123 881 +1957 11 16 12 20 JOYCE 68.5 298.6 33 809 +1983 3 23 18 7 GORDON 22.8 147.6 25 861 +1955 8 14 18 8 KIRK 60.7 131.1 132 726 +1983 11 11 0 27 ERNESTO 35.8 169.6 16 605 +1952 5 1 12 6 SANDY 58.1 141.7 158 394 +1995 8 10 12 11 PATTY 29.2 90.0 92 62 +1984 8 25 12 28 ERNESTO 53.9 206.9 12 95 +1985 11 8 12 8 VALERIE 41.3 183.5 73 815 +1953 5 6 0 7 JOYCE 14.4 315.2 125 190 +1971 1 15 6 5 ERNESTO 35.5 288.6 98 777 +2003 4 28 0 6 PATTY 54.8 256.2 11 537 +1964 4 24 0 6 MICHAEL 23.9 61.0 26 796 +1967 12 17 18 21 BERYL 50.0 62.2 124 728 +1964 8 6 0 14 SANDY 12.2 345.0 136 0 +1992 9 14 0 25 TONY 53.3 337.6 122 483 +1988 9 11 12 16 RAFAEL 63.5 73.2 38 718 +1962 12 1 6 25 BERYL 9.8 355.0 127 454 +1965 1 23 6 2 VALERIE 16.2 84.1 108 213 +1969 3 20 0 7 TONY 18.1 314.4 116 640 +1957 9 1 12 4 PATTY 64.3 323.0 105 78 +1997 7 9 18 13 DEBBY 38.1 204.8 118 321 +1988 11 15 6 10 ISAAC 66.8 242.9 113 813 +1995 1 23 18 12 NADINE 23.6 354.4 87 154 +1977 5 6 0 16 KIRK 44.0 128.8 70 821 +1964 7 7 18 5 WILLIAM 63.5 311.9 155 546 +1978 1 20 0 19 ISAAC 25.3 82.2 43 278 +2000 11 7 6 7 SANDY 34.3 223.9 86 890 +1982 1 6 12 11 PATTY 48.6 352.5 79 302 +1994 5 24 6 21 FLORENCE 55.5 90.6 153 697 +1950 10 18 6 7 BERYL 34.3 330.9 148 583 +1961 10 17 0 10 CHRIS 51.9 263.8 73 853 +1991 9 16 0 6 BERYL 31.6 242.0 127 550 +1998 11 5 18 19 VALERIE 41.7 206.9 31 539 +1963 2 16 6 15 GORDON 32.7 20.6 162 669 +1978 6 7 18 8 OSCAR 67.1 27.6 162 201 +1976 7 1 18 13 TONY 38.8 255.2 55 314 +1978 4 15 18 2 MICHAEL 13.2 291.2 154 586 +1965 1 8 18 22 BERYL 47.0 220.2 126 771 +1995 2 12 18 13 CHRIS 33.0 169.3 71 736 +1972 12 22 0 8 RAFAEL 18.8 15.3 18 682 +2003 1 10 0 22 CHRIS 59.5 329.3 64 381 +2003 1 1 6 20 ALBERTO 15.5 272.4 49 309 +1991 11 6 0 21 ISAAC 13.6 322.3 71 501 +1973 9 18 12 19 SANDY 13.2 63.1 57 17 +1965 9 18 6 8 PATTY 16.0 270.1 156 636 +1967 7 19 0 23 MICHAEL 69.4 348.3 121 826 +1962 9 4 6 17 DEBBY 67.9 191.2 143 520 +1977 1 11 12 21 TONY 69.2 317.9 49 766 +1991 6 22 0 16 FLORENCE 25.8 60.5 69 503 +1965 3 19 18 18 HELENE 61.2 208.0 48 671 +1963 4 16 18 17 SANDY 52.4 350.7 129 528 +1998 7 20 12 1 WILLIAM 10.2 139.2 144 674 +1964 2 14 18 16 VALERIE 11.6 310.5 63 738 +1982 12 19 12 18 SANDY 45.6 296.3 131 576 +1997 6 12 6 14 FLORENCE 59.2 296.6 18 646 +1965 11 6 6 9 ERNESTO 17.0 248.5 56 799 +1995 2 13 0 15 KIRK 37.9 6.3 25 229 +1987 12 7 18 21 MICHAEL 13.2 157.3 26 851 +1967 9 14 18 19 LESLIE 12.7 278.5 11 496 +1982 7 12 12 22 SANDY 34.3 112.0 51 345 +1966 3 10 12 1 NADINE 60.4 60.4 16 780 +1975 3 23 6 21 ISAAC 36.6 53.7 65 659 +1960 12 10 6 9 SANDY 25.7 355.0 116 284 +2003 5 22 0 24 JOYCE 36.2 65.1 31 387 +1983 3 13 18 28 LESLIE 61.1 33.1 90 723 +1974 3 4 6 26 OSCAR 40.9 261.5 53 536 +1981 12 19 12 19 NADINE 28.8 330.4 151 559 +1961 3 27 6 22 CHRIS 12.8 165.7 41 225 +1952 7 5 6 21 NADINE 27.2 180.8 27 804 +1976 1 25 18 27 MICHAEL 20.6 160.5 118 215 +1998 10 27 6 14 VALERIE 29.1 251.1 53 237 +1955 9 14 0 1 CHRIS 16.2 240.9 151 238 +2003 9 11 18 22 CHRIS 57.9 342.9 63 477 +1965 12 28 6 22 SANDY 28.5 190.9 41 895 +1973 8 15 0 13 FLORENCE 59.8 134.0 18 821 +1963 4 25 18 9 NADINE 69.6 354.7 147 785 +1968 12 5 0 8 SANDY 57.7 134.0 75 239 +1963 2 10 12 22 VALERIE 23.7 218.5 27 728 +1977 10 5 6 6 ISAAC 56.2 155.8 19 644 +1993 4 5 6 5 ERNESTO 50.9 139.8 49 574 +1982 8 12 0 12 RAFAEL 62.0 158.4 109 709 +1998 5 13 18 28 BERYL 64.2 278.9 129 287 +1970 9 22 0 27 HELENE 32.1 4.5 157 692 +1961 11 9 6 16 NADINE 8.4 302.8 117 269 +1964 2 19 0 18 MICHAEL 29.3 147.2 138 795 +1988 5 2 6 19 HELENE 14.8 60.4 34 695 +1968 11 2 12 5 HELENE 31.3 19.1 10 720 +2002 5 1 0 21 GORDON 57.4 125.9 128 327 +1992 1 3 0 23 PATTY 63.6 326.0 137 748 +1969 4 3 6 14 ISAAC 46.0 166.3 23 508 +1957 1 11 6 14 RAFAEL 10.3 295.3 15 356 +1995 5 23 12 6 GORDON 55.8 320.8 154 19 +1951 11 12 0 1 KIRK 51.6 212.8 10 154 +1979 2 8 6 25 VALERIE 36.4 344.8 139 677 +1995 3 10 6 28 RAFAEL 21.9 0.1 54 149 +1985 8 2 0 11 CHRIS 60.3 279.3 56 424 +2001 8 11 12 12 ISAAC 62.4 302.4 79 175 +1976 8 15 18 24 ISAAC 60.1 193.2 76 279 +1992 3 25 12 16 NADINE 66.7 252.6 103 337 +1962 11 16 18 28 PATTY 69.5 338.3 100 715 +2003 5 23 18 2 DEBBY 25.5 186.9 126 883 +1995 2 13 0 23 FLORENCE 33.2 172.3 163 837 +1989 3 15 18 4 CHRIS 18.6 33.4 127 745 +1956 12 10 18 7 RAFAEL 33.9 10.8 46 784 +2003 12 17 0 9 WILLIAM 56.1 152.7 150 413 +1960 1 11 12 2 ERNESTO 55.4 58.0 51 169 +1996 2 27 18 9 GORDON 40.7 312.6 153 778 +2000 10 9 12 22 KIRK 48.9 202.7 89 459 +1969 7 4 0 20 JOYCE 31.5 261.8 64 300 +1983 8 28 0 8 NADINE 21.1 317.9 113 112 +1997 1 1 18 23 PATTY 10.3 39.3 137 596 +1988 5 13 12 25 WILLIAM 44.3 66.8 53 15 +1985 10 16 18 9 OSCAR 23.0 337.1 151 591 +1987 7 7 0 28 GORDON 47.2 125.3 70 713 +1964 8 13 6 22 WILLIAM 10.1 34.0 100 845 +1989 9 19 6 10 GORDON 8.2 327.8 18 561 +1977 6 24 6 19 CHRIS 18.6 120.1 116 661 +1977 3 27 12 3 RAFAEL 9.8 135.5 152 604 +1986 10 13 12 24 HELENE 7.5 1.3 113 437 +2001 11 22 18 10 DEBBY 68.0 81.6 126 688 +1994 8 24 18 10 TONY 38.4 58.9 46 636 +1961 3 20 0 22 RAFAEL 20.0 191.3 80 382 +2002 8 2 0 10 FLORENCE 36.2 105.9 45 42 +1999 5 16 18 21 GORDON 14.5 331.8 148 874 +2003 5 15 12 5 FLORENCE 27.7 120.5 43 541 +1972 7 16 0 26 CHRIS 20.5 320.9 55 753 +1984 7 24 12 17 CHRIS 27.4 195.0 80 34 +1969 5 9 6 3 BERYL 26.7 221.1 12 427 +1996 10 16 12 24 FLORENCE 65.1 168.0 84 472 +1982 8 10 12 28 RAFAEL 53.1 205.4 51 651 +1976 9 14 6 3 SANDY 65.1 273.3 41 132 +1993 3 5 6 2 GORDON 24.4 157.0 154 593 +1972 12 6 18 28 KIRK 55.5 203.3 73 457 +1985 9 3 6 20 FLORENCE 57.0 207.0 34 632 +1959 7 2 12 17 VALERIE 53.2 53.4 87 363 +1952 2 11 6 10 MICHAEL 53.3 200.3 129 845 +1993 2 10 6 19 SANDY 69.7 12.6 117 484 +1984 4 15 18 8 CHRIS 32.3 345.5 11 835 +1982 3 10 0 12 KIRK 31.7 349.1 32 620 +1986 2 15 0 25 ERNESTO 59.9 20.7 18 42 +1952 3 13 6 8 BERYL 62.2 278.9 53 853 +1955 12 8 18 27 NADINE 19.7 247.6 110 147 +1981 8 21 18 3 FLORENCE 43.2 109.0 154 153 +1965 8 12 12 25 RAFAEL 52.1 238.7 136 502 +1973 4 26 0 18 NADINE 35.1 335.2 37 834 +1963 10 25 12 15 VALERIE 21.2 48.4 29 270 +2002 6 28 0 9 SANDY 9.3 149.4 45 796 +1987 2 15 18 21 TONY 69.9 74.3 95 470 +2003 6 6 12 9 KIRK 38.2 302.4 69 249 +1958 3 7 18 26 PATTY 11.9 79.0 16 593 +1977 2 6 0 19 NADINE 63.1 239.1 134 389 +1987 9 1 18 12 HELENE 41.4 276.9 13 275 +1994 6 25 0 4 PATTY 11.4 93.8 48 419 +1974 6 18 18 10 WILLIAM 10.7 217.3 29 869 +1997 5 25 18 8 NADINE 62.7 163.3 32 598 +1956 5 14 6 12 GORDON 53.9 104.6 136 543 +1997 6 21 6 19 PATTY 55.1 296.6 43 360 +1998 10 23 18 1 ERNESTO 18.8 241.0 94 364 +1953 2 2 12 4 VALERIE 26.6 82.1 129 102 +1971 10 23 12 8 RAFAEL 32.4 161.5 164 301 +1988 6 10 12 9 ISAAC 53.7 185.0 63 617 +1992 5 21 12 2 VALERIE 41.7 241.7 15 228 +1974 4 17 6 28 MICHAEL 36.2 128.9 128 340 +2002 3 2 18 15 ISAAC 9.2 192.0 74 25 +2004 5 13 6 12 RAFAEL 14.2 348.1 31 243 +1972 11 25 6 6 CHRIS 9.0 150.9 160 48 +1964 12 25 6 9 ISAAC 58.0 59.2 110 90 +1974 5 2 18 7 FLORENCE 54.6 274.6 110 768 +1974 6 27 6 9 OSCAR 38.6 131.5 43 339 +1988 3 13 6 5 TONY 27.9 48.2 89 416 +1998 11 8 18 23 NADINE 50.6 226.7 77 804 +1995 9 7 12 15 KIRK 55.7 68.9 87 588 +1951 1 13 6 26 TONY 40.8 346.3 106 188 +1967 2 15 0 4 BERYL 26.1 27.6 93 544 +1977 10 1 6 19 LESLIE 17.5 15.4 82 578 +1986 2 9 0 14 VALERIE 60.8 93.3 158 474 +1978 10 20 6 13 BERYL 27.2 106.1 164 422 +1950 9 17 0 27 HELENE 14.8 351.1 104 638 +1999 10 24 0 21 ERNESTO 43.3 202.5 36 302 +1968 4 9 0 22 LESLIE 63.4 227.0 51 560 +1971 3 1 0 18 KIRK 19.1 334.0 156 599 +1992 5 20 6 14 PATTY 48.6 185.3 149 486 +1999 2 2 0 2 NADINE 18.5 121.1 32 12 +1994 9 19 6 15 VALERIE 46.6 92.2 52 405 +1976 11 8 12 22 ISAAC 64.6 227.7 82 40 +1986 10 6 6 3 CHRIS 53.1 48.5 57 372 +1962 3 19 18 6 BERYL 35.7 310.6 94 400 +1996 1 16 12 7 TONY 24.0 118.2 13 599 +1993 8 5 18 20 ALBERTO 66.8 86.2 85 629 +1981 12 17 6 28 ERNESTO 38.6 255.6 139 843 +1972 3 4 0 27 NADINE 44.9 249.5 138 720 +1954 12 27 6 10 NADINE 25.6 3.7 128 347 +1992 6 26 0 23 KIRK 7.8 317.7 120 193 +1987 11 26 6 22 ISAAC 7.8 209.7 140 256 +1994 12 24 0 3 FLORENCE 32.2 51.9 155 747 +1981 11 18 0 2 JOYCE 57.4 238.9 71 339 +1954 1 19 12 13 DEBBY 41.2 68.8 140 113 +2001 9 28 18 1 PATTY 29.4 151.1 98 828 +1961 4 3 12 7 MICHAEL 44.1 29.7 22 106 +1981 6 20 6 20 ALBERTO 34.0 194.9 62 520 +1988 3 26 12 3 TONY 56.9 56.7 17 828 +1974 6 27 18 14 LESLIE 24.0 25.1 21 650 +1981 5 7 0 19 ERNESTO 15.2 214.4 105 570 +1985 7 9 12 20 FLORENCE 47.9 241.4 122 27 +1966 7 24 6 8 DEBBY 69.7 116.4 46 788 +2003 9 26 18 23 ALBERTO 37.0 57.4 158 690 +1986 7 17 18 12 BERYL 41.0 313.8 72 62 +1958 10 7 6 11 OSCAR 42.0 336.4 23 224 +1960 12 11 6 13 ALBERTO 68.4 311.6 72 144 +1978 4 2 0 13 LESLIE 65.5 198.7 96 610 +1998 2 17 6 8 ERNESTO 57.9 231.3 106 593 +1972 8 20 0 16 KIRK 23.9 149.6 87 416 +1965 1 4 0 6 TONY 54.2 41.1 121 537 +1991 12 12 12 1 JOYCE 11.4 270.4 87 437 +1983 12 7 18 21 PATTY 21.7 81.9 55 28 +1979 7 25 0 26 LESLIE 65.5 263.8 110 237 +1995 9 9 0 24 GORDON 30.3 47.0 29 534 +1953 9 11 12 24 SANDY 67.1 328.1 124 806 +1986 7 13 0 11 LESLIE 31.6 245.4 81 476 +1965 8 3 12 20 DEBBY 60.1 146.9 66 151 +2000 7 22 6 9 LESLIE 48.5 85.5 157 551 +1967 3 9 6 12 WILLIAM 44.6 311.1 10 521 +2000 8 4 0 2 KIRK 42.4 13.0 159 327 +1957 7 16 0 2 GORDON 61.9 25.5 92 145 +2000 10 2 0 22 WILLIAM 45.3 160.5 107 868 +1966 6 23 18 27 NADINE 53.8 47.4 107 468 +2004 7 23 12 12 FLORENCE 20.5 291.6 76 92 +2000 11 26 12 12 ERNESTO 63.8 199.0 42 550 +1956 9 17 0 22 NADINE 24.4 249.3 55 268 +1951 8 7 0 8 HELENE 62.5 158.9 57 137 +1965 11 17 18 7 MICHAEL 45.8 171.1 60 429 +1972 1 24 18 16 SANDY 20.8 62.2 56 497 +1960 9 20 18 26 GORDON 9.4 34.4 41 169 +1968 7 21 18 25 KIRK 37.5 22.6 20 151 +1975 1 20 0 7 RAFAEL 7.7 331.0 105 320 +1958 11 17 18 19 NADINE 39.6 202.5 51 663 +1953 10 18 0 9 ERNESTO 20.2 304.7 34 84 +1988 4 16 0 24 NADINE 16.8 261.7 57 434 +1981 12 1 0 28 LESLIE 43.8 288.1 29 686 +2001 1 5 0 11 KIRK 16.2 123.6 11 480 +1988 6 13 6 15 PATTY 63.3 72.1 116 30 +1953 3 7 12 11 ALBERTO 61.6 200.3 21 301 +1971 3 26 18 27 FLORENCE 53.3 300.8 134 564 +1963 10 25 12 22 MICHAEL 47.7 232.9 78 560 +1950 5 10 18 18 PATTY 24.6 36.1 104 714 +1951 12 16 6 4 CHRIS 26.0 236.8 159 375 +1986 3 10 18 14 PATTY 58.5 173.8 26 30 +2004 3 15 18 8 LESLIE 44.6 142.9 88 279 +1990 3 4 0 5 ISAAC 51.9 217.6 71 24 +1992 10 7 18 7 OSCAR 56.5 254.3 52 489 +1954 10 13 0 7 FLORENCE 35.3 106.6 143 650 +1965 12 12 18 12 ERNESTO 46.6 197.8 40 307 +1960 10 25 0 2 ALBERTO 65.1 22.1 157 724 +1964 11 24 0 18 RAFAEL 15.0 104.9 70 153 +1951 5 15 18 28 CHRIS 24.2 154.7 153 737 +1958 5 27 12 27 MICHAEL 63.4 92.1 28 731 +1976 11 17 12 4 KIRK 51.7 311.4 56 740 +1998 5 11 6 4 ISAAC 31.8 172.4 151 38 +1957 8 23 18 18 RAFAEL 53.0 236.0 87 91 +1970 5 14 12 7 OSCAR 63.8 176.1 164 17 +1960 3 6 0 8 CHRIS 24.3 120.0 98 703 +1971 7 5 12 3 BERYL 57.7 78.3 43 251 +1955 12 3 18 28 RAFAEL 12.6 283.7 143 644 +1950 12 9 12 4 NADINE 69.1 155.0 80 752 +1975 7 28 12 2 SANDY 33.4 210.8 55 566 +1976 11 19 0 12 ISAAC 49.4 291.2 88 885 +1957 3 4 0 1 FLORENCE 40.8 16.7 34 739 +1981 11 19 6 19 PATTY 9.2 213.7 29 401 +1994 11 17 6 1 HELENE 22.9 192.6 16 164 +1967 7 19 18 6 RAFAEL 21.5 85.1 154 883 +1974 2 13 6 12 HELENE 61.1 122.0 47 292 +1994 4 26 6 1 HELENE 53.9 257.9 80 110 +1997 12 12 0 12 VALERIE 38.2 272.5 108 209 +1987 4 17 6 7 PATTY 54.0 288.4 101 516 +1980 4 3 12 24 FLORENCE 10.5 39.9 76 481 +1969 4 5 18 19 WILLIAM 37.7 183.4 94 195 +1969 2 28 12 25 VALERIE 7.0 159.6 35 726 +1997 6 18 6 26 ALBERTO 11.3 12.1 42 466 +1972 7 23 6 25 HELENE 46.2 178.4 24 120 +1951 5 6 12 10 PATTY 24.3 350.3 71 867 +1970 12 6 18 11 ERNESTO 55.8 337.2 60 37 +1966 12 25 12 12 SANDY 33.0 85.2 48 838 +2003 3 27 0 9 SANDY 46.6 117.4 146 729 +1966 10 20 6 2 DEBBY 30.3 258.5 140 217 +1977 6 8 6 26 BERYL 33.2 40.3 120 759 +1968 3 24 6 4 JOYCE 21.7 68.8 160 461 +1984 4 18 12 15 FLORENCE 7.1 223.3 106 606 +1987 11 13 12 5 ISAAC 39.2 151.7 21 820 +1962 2 4 12 28 ALBERTO 26.4 329.8 83 201 +1975 10 25 12 9 HELENE 10.4 158.8 164 842 +1957 4 12 6 20 LESLIE 55.6 157.7 147 178 +2004 1 10 12 14 GORDON 25.6 1.9 102 885 +2001 7 6 6 28 RAFAEL 9.8 324.0 51 1 +1983 2 24 0 14 TONY 42.9 12.0 39 652 +1963 8 20 0 8 WILLIAM 59.5 331.9 97 454 +1960 12 22 6 11 HELENE 66.4 288.9 66 427 +1987 10 24 18 6 CHRIS 41.7 251.2 144 368 +1985 10 16 18 17 LESLIE 32.8 132.8 68 361 +2002 3 17 6 13 OSCAR 65.6 115.5 64 830 +1991 5 7 0 8 OSCAR 66.0 102.1 22 492 +1966 10 28 6 12 ERNESTO 27.8 276.9 130 258 +1979 10 15 0 18 WILLIAM 17.7 236.0 133 666 +1954 5 11 18 28 VALERIE 8.5 136.8 30 602 +1971 2 18 6 8 VALERIE 61.4 2.2 87 679 +2002 9 16 12 22 PATTY 69.2 238.7 94 226 +1987 9 13 12 1 ALBERTO 42.4 318.8 81 795 +1998 12 10 6 25 VALERIE 45.6 122.6 149 493 +1973 4 23 6 7 ERNESTO 18.9 223.2 12 743 +2004 2 3 12 11 ERNESTO 17.4 15.4 84 706 +1979 9 23 12 21 CHRIS 9.2 189.5 30 143 +1951 3 26 6 6 CHRIS 23.5 176.3 117 813 +1979 12 15 18 23 DEBBY 37.8 175.9 122 649 +1981 12 25 12 22 ERNESTO 55.9 308.0 78 303 +1953 5 16 12 20 PATTY 21.6 351.1 153 833 +1984 6 23 0 13 MICHAEL 47.7 144.1 22 629 +1986 1 21 18 7 ERNESTO 63.4 215.8 67 192 +1984 4 6 12 25 ALBERTO 20.1 154.1 161 115 +1967 3 5 12 21 WILLIAM 25.9 214.6 96 551 +1958 1 2 12 3 PATTY 44.0 230.6 63 656 +1953 3 5 0 8 GORDON 40.8 10.3 35 198 +1976 1 20 0 10 PATTY 7.6 238.1 70 478 +1960 7 20 6 3 ALBERTO 28.9 93.1 129 527 +1972 12 2 18 23 LESLIE 12.5 224.0 158 875 +1974 6 10 12 18 VALERIE 31.0 45.6 66 262 +1967 1 3 0 19 VALERIE 29.1 151.5 128 359 +1977 1 26 0 10 ISAAC 49.0 32.8 143 573 +1972 2 4 0 14 TONY 11.3 291.3 118 125 +1981 11 17 18 7 GORDON 8.0 30.6 102 465 +1965 8 17 0 12 MICHAEL 26.4 289.5 67 156 +1986 12 23 18 5 ALBERTO 42.6 182.0 33 677 +1967 6 26 0 2 CHRIS 31.4 249.3 100 529 +1955 1 6 12 18 JOYCE 56.4 164.7 30 498 +1986 1 25 18 26 RAFAEL 22.4 173.1 26 777 +1996 11 19 6 17 BERYL 69.7 104.4 28 206 +1995 10 8 0 6 HELENE 18.7 205.0 45 593 +1978 7 15 6 21 OSCAR 47.8 328.7 118 826 +1987 5 7 6 13 KIRK 42.4 64.6 85 323 +1994 11 19 18 14 VALERIE 51.5 42.4 140 403 +1986 10 12 6 11 HELENE 25.5 206.7 79 146 +2001 5 18 6 16 ERNESTO 12.5 327.2 145 844 +1988 7 19 6 3 OSCAR 7.7 27.9 96 777 +1962 10 21 18 16 DEBBY 43.9 357.2 127 236 +1987 5 3 18 7 KIRK 44.0 186.9 71 548 +1953 7 2 18 22 HELENE 54.9 110.9 115 216 +1998 8 15 18 23 ISAAC 41.6 308.8 20 440 +1972 9 10 12 6 OSCAR 17.5 60.0 59 607 +1986 5 6 18 6 JOYCE 31.7 170.5 112 700 +1983 6 3 6 15 BERYL 54.4 301.1 87 876 +1970 5 2 0 28 VALERIE 33.0 64.3 14 835 +1977 1 24 12 26 WILLIAM 9.3 226.0 102 797 +1956 1 13 12 17 WILLIAM 33.5 76.3 29 161 +1958 4 24 18 25 CHRIS 42.1 272.4 94 98 +1952 9 28 12 5 TONY 58.9 270.4 77 150 +1985 12 6 0 20 RAFAEL 43.4 93.5 17 11 +1981 4 24 12 10 JOYCE 15.8 1.5 114 493 +2004 12 17 18 9 ERNESTO 55.6 113.8 74 748 +1954 6 2 12 19 JOYCE 56.4 177.5 53 579 +1982 4 1 0 8 TONY 41.6 149.7 17 263 +1985 1 26 0 19 WILLIAM 18.3 47.7 79 424 +1965 5 5 12 6 TONY 25.2 291.8 39 782 +1953 6 27 18 4 PATTY 24.2 219.1 99 563 +1974 1 28 12 7 ISAAC 22.7 244.0 18 787 +1957 11 27 6 28 HELENE 7.3 297.9 26 462 +1965 6 5 18 2 ALBERTO 38.8 79.2 154 658 +2000 3 26 6 9 LESLIE 57.7 128.9 26 87 +1985 3 7 0 28 FLORENCE 33.8 313.2 61 276 +1966 7 27 6 9 TONY 38.7 32.0 56 245 +2001 2 12 12 5 SANDY 44.8 327.2 156 405 +1959 12 27 0 3 VALERIE 54.8 233.9 67 813 +1975 6 22 12 22 DEBBY 68.8 9.9 129 684 +1977 9 6 18 21 MICHAEL 66.8 116.0 135 764 +1979 8 13 18 7 ERNESTO 69.7 181.9 151 340 +1951 3 9 18 26 OSCAR 45.4 53.7 92 63 +1977 12 13 12 15 FLORENCE 33.7 159.2 150 656 +1957 2 5 0 16 RAFAEL 22.6 40.5 117 179 +2001 7 28 18 16 VALERIE 14.4 191.3 76 396 +1959 1 17 18 1 ALBERTO 19.4 87.3 111 232 +1965 12 24 6 13 JOYCE 62.1 258.6 34 715 +1956 12 7 18 23 ISAAC 9.2 115.0 58 316 +1977 10 18 6 18 MICHAEL 56.7 120.9 19 63 +1988 6 1 18 10 ISAAC 56.1 253.2 75 717 +1978 1 14 0 5 WILLIAM 8.5 5.6 42 606 +1977 4 27 18 22 CHRIS 34.3 210.7 119 269 +1992 11 1 12 16 LESLIE 50.3 188.2 56 354 +1955 12 19 6 1 VALERIE 41.9 209.2 73 724 +1965 8 13 6 15 WILLIAM 60.2 113.7 50 446 +1971 12 6 12 9 TONY 26.9 187.5 13 591 +1971 11 22 0 28 ISAAC 39.4 91.2 20 359 +1972 8 25 0 23 NADINE 23.8 74.0 49 260 +2000 1 18 12 27 HELENE 61.6 165.9 135 689 +1974 9 26 12 6 KIRK 47.9 95.1 48 547 +1975 3 18 12 26 SANDY 54.2 301.0 133 179 +1999 6 28 0 9 RAFAEL 65.7 255.5 156 657 +1978 7 13 6 21 GORDON 37.1 161.9 78 667 +1954 12 21 6 23 ERNESTO 28.2 159.7 51 249 +1957 6 16 12 24 PATTY 16.9 53.8 138 649 +2000 11 21 0 13 SANDY 49.2 240.8 25 26 +1963 8 4 0 20 BERYL 40.3 133.8 41 128 +1960 1 25 0 24 DEBBY 15.3 357.0 161 703 +1990 11 13 0 7 LESLIE 47.2 157.1 38 636 +1954 3 27 12 16 SANDY 43.5 216.9 104 758 +1982 6 9 18 12 WILLIAM 14.7 192.8 102 664 +2004 8 26 6 22 NADINE 18.4 238.0 122 835 +1952 9 7 0 8 WILLIAM 49.1 332.3 89 885 +1950 1 6 0 16 ISAAC 24.6 20.4 115 421 +1999 11 4 0 23 OSCAR 8.0 184.4 117 379 +1982 12 7 0 19 BERYL 25.4 25.0 16 768 +1981 10 12 0 24 JOYCE 29.2 227.8 132 525 +1991 3 6 6 8 DEBBY 52.8 124.9 24 862 +2002 9 28 6 16 LESLIE 9.4 241.1 155 664 +1996 1 16 0 6 KIRK 10.3 177.1 131 679 +1955 5 22 0 1 TONY 21.6 118.2 158 191 +1980 7 4 18 7 TONY 56.0 47.4 14 88 +1995 9 19 18 27 ERNESTO 34.1 30.4 144 174 +1957 5 19 18 27 TONY 34.1 279.4 127 43 +1979 3 4 18 1 BERYL 41.5 289.3 138 17 +1962 3 16 0 27 PATTY 69.4 192.1 31 352 +1972 11 12 0 17 FLORENCE 60.0 318.3 69 392 +1979 7 26 0 4 ALBERTO 40.8 293.2 15 545 +1957 9 7 6 6 KIRK 64.0 105.1 62 213 +1955 2 6 12 9 TONY 57.8 250.0 159 837 +2000 5 19 0 10 WILLIAM 10.8 49.4 119 454 +1951 3 15 0 4 NADINE 44.7 192.5 68 92 +1999 12 27 0 24 VALERIE 58.8 352.4 21 85 +1982 7 27 6 16 FLORENCE 67.1 350.4 87 649 +1980 12 11 6 6 ISAAC 19.5 35.9 78 200 +1965 9 13 0 12 KIRK 19.5 317.3 81 526 +2003 8 1 6 26 VALERIE 14.1 281.2 39 710 +1996 10 26 0 22 WILLIAM 28.0 126.3 12 368 +1963 8 4 12 19 SANDY 49.8 147.6 110 636 +1995 9 7 6 18 ISAAC 21.8 61.2 53 201 +1954 6 23 12 8 WILLIAM 53.5 13.4 96 9 +1960 1 8 6 26 PATTY 29.1 270.0 81 275 +1987 10 16 6 7 BERYL 33.9 137.5 156 776 +1994 12 22 0 26 HELENE 68.6 73.6 25 470 +1965 10 23 0 2 OSCAR 22.8 87.1 43 79 +1998 8 19 12 21 ERNESTO 51.5 109.7 63 532 +1962 7 4 12 24 PATTY 46.0 41.1 87 584 +1991 9 28 18 7 HELENE 33.8 41.8 76 63 +1999 9 5 0 13 LESLIE 10.2 245.4 50 74 +1976 8 20 12 27 MICHAEL 56.8 28.5 69 154 +1961 11 3 6 16 WILLIAM 8.1 12.1 11 829 +1988 3 15 0 11 ALBERTO 38.6 347.3 135 551 +1964 6 5 18 8 GORDON 32.0 238.9 160 326 +2002 7 15 0 15 SANDY 30.6 323.1 69 723 +1958 3 1 18 6 GORDON 10.5 357.7 124 518 +1965 6 24 6 26 FLORENCE 16.0 165.5 92 845 +1989 8 1 18 12 WILLIAM 27.0 124.5 130 575 +1977 5 16 0 16 MICHAEL 7.5 120.2 97 14 +1963 8 28 18 2 HELENE 54.7 211.4 109 732 +1950 1 7 0 15 WILLIAM 43.4 255.1 145 562 +1964 8 21 12 26 MICHAEL 22.1 336.5 101 467 +1962 11 11 12 20 WILLIAM 43.8 13.8 26 554 +1986 9 18 18 12 OSCAR 61.4 144.9 22 742 +1984 9 4 18 17 JOYCE 36.8 194.8 95 70 +1975 4 24 18 17 ERNESTO 66.2 134.2 77 220 +1985 9 26 6 18 GORDON 47.0 308.1 46 418 +1969 8 13 6 25 ERNESTO 46.8 252.1 118 701 +1992 10 2 18 21 FLORENCE 61.9 115.6 124 3 +1993 9 18 0 20 CHRIS 56.6 257.3 142 413 +1954 8 14 0 2 ERNESTO 12.4 220.9 142 330 +1991 11 19 6 7 KIRK 69.4 308.8 80 335 +1986 12 26 6 3 WILLIAM 45.0 126.6 46 601 +1979 1 6 18 16 ISAAC 35.4 34.9 75 365 +1953 6 6 0 15 FLORENCE 49.2 331.2 159 791 +1992 2 10 12 10 ERNESTO 40.1 53.7 61 194 +1956 7 14 0 11 ALBERTO 57.0 93.2 52 857 +1966 2 12 6 7 WILLIAM 66.8 298.8 43 385 +1967 6 25 0 15 SANDY 58.6 228.7 17 858 +1971 6 12 6 8 ALBERTO 36.8 226.9 110 524 +1950 7 5 6 4 CHRIS 10.8 55.1 108 726 +1955 9 11 0 21 OSCAR 36.6 181.1 81 42 +1980 8 15 0 19 GORDON 58.9 2.4 72 357 +1957 7 11 12 22 WILLIAM 39.1 165.9 55 83 +1973 12 3 18 5 RAFAEL 42.5 272.3 135 700 +1997 9 12 12 9 KIRK 30.6 332.8 156 179 +2002 6 9 18 28 KIRK 52.3 350.7 13 864 +1956 12 24 18 16 NADINE 33.4 345.5 84 7 +1956 10 11 0 23 RAFAEL 52.7 134.9 73 151 +2000 6 19 0 24 NADINE 16.2 60.2 152 819 +1979 7 28 12 10 SANDY 61.8 231.5 152 436 +1981 4 4 0 16 JOYCE 18.9 89.2 160 190 +1954 10 2 18 10 TONY 9.2 322.5 62 231 +1999 6 15 18 3 RAFAEL 49.4 244.8 100 645 +1988 3 5 18 6 ERNESTO 62.5 141.7 50 367 +1961 10 17 0 20 ERNESTO 43.8 173.4 160 367 +1979 9 6 12 3 BERYL 50.5 154.9 59 295 +1962 3 24 12 2 VALERIE 11.4 233.8 150 734 +1988 4 8 6 26 GORDON 68.3 260.1 70 138 +1993 5 18 18 25 PATTY 13.1 335.9 87 806 +1988 8 14 12 25 TONY 45.9 243.4 102 518 +1977 8 1 0 9 OSCAR 60.1 301.7 100 861 +1984 3 10 18 4 ALBERTO 45.8 19.6 87 120 +1959 11 14 6 3 ALBERTO 36.9 278.1 161 511 +1953 11 17 12 23 GORDON 31.2 139.7 32 239 +1975 3 14 0 26 NADINE 34.7 244.6 31 443 +1994 12 19 18 16 BERYL 35.2 90.4 71 838 +1953 4 20 18 25 VALERIE 51.8 105.0 66 15 +1990 7 25 18 8 JOYCE 36.9 266.1 156 458 +1960 7 4 6 22 FLORENCE 14.3 271.0 16 565 +1991 8 23 6 20 BERYL 55.8 26.2 89 709 +1957 8 19 18 8 FLORENCE 25.1 302.2 57 298 +1980 11 4 0 14 GORDON 62.5 103.0 53 215 +1958 4 26 12 25 HELENE 13.6 99.9 156 0 +1976 5 18 0 21 GORDON 7.7 143.9 112 6 +1993 1 4 12 13 WILLIAM 10.7 153.5 98 173 +2002 7 14 18 8 TONY 56.7 351.4 52 38 +1974 2 14 0 11 NADINE 17.6 198.4 12 625 +1976 9 22 6 12 HELENE 62.0 222.6 121 669 +1989 12 5 12 16 GORDON 42.0 24.6 140 699 +1967 7 8 12 2 OSCAR 11.4 128.5 60 97 +1988 10 14 12 2 BERYL 13.5 90.8 93 315 +1970 10 3 0 28 PATTY 50.5 318.2 30 317 +1989 6 28 18 15 GORDON 25.8 245.8 143 685 +1984 10 4 12 14 GORDON 16.3 330.5 139 641 +1962 6 18 18 13 TONY 31.8 333.8 83 159 +2000 1 3 6 19 GORDON 51.4 140.6 14 253 +1990 2 20 0 26 JOYCE 21.1 172.2 98 843 +1964 12 13 0 28 GORDON 14.8 179.9 131 111 +2002 4 21 0 2 WILLIAM 36.2 50.5 161 753 +1995 9 22 0 11 NADINE 36.5 56.9 18 119 +2001 9 8 12 3 BERYL 7.4 310.6 161 585 +1958 6 17 6 23 NADINE 35.7 299.5 56 50 +1979 9 16 0 17 RAFAEL 44.4 36.8 52 22 +1995 10 6 0 16 WILLIAM 21.5 127.3 29 143 +1992 9 8 6 9 JOYCE 65.4 157.1 139 95 +1970 1 13 18 24 ISAAC 31.7 35.1 43 425 +1993 3 22 18 5 ALBERTO 28.0 171.3 64 107 +1997 4 8 0 19 BERYL 69.5 175.9 22 740 +1967 6 9 12 28 SANDY 66.6 166.6 37 390 +1967 1 2 12 14 SANDY 35.7 322.7 88 178 +1973 8 16 12 16 NADINE 67.8 51.9 83 691 +1987 2 22 6 6 RAFAEL 13.0 86.9 64 544 +1997 6 19 12 15 LESLIE 69.7 254.5 55 788 +1982 2 21 6 1 HELENE 27.5 209.2 114 92 +1953 4 18 12 13 WILLIAM 23.4 292.1 70 204 +1995 5 16 18 8 BERYL 40.5 260.8 96 410 +2004 8 6 0 18 HELENE 44.5 233.4 76 801 +1996 7 19 6 24 MICHAEL 34.4 242.5 45 397 +2004 4 12 0 15 DEBBY 19.2 293.6 78 642 +1982 1 16 18 19 DEBBY 9.2 149.6 36 704 +1956 4 22 12 8 DEBBY 62.5 273.6 84 692 +1955 2 12 12 18 OSCAR 44.9 33.5 148 65 +1961 7 3 0 14 SANDY 45.2 49.9 79 510 +1989 9 17 6 4 TONY 12.5 357.2 37 288 +1976 4 9 18 21 RAFAEL 27.4 1.6 92 146 +1964 1 24 6 27 ALBERTO 55.4 333.8 83 718 +1996 2 23 0 3 WILLIAM 64.2 262.3 96 188 +1994 6 5 0 20 ERNESTO 19.5 26.3 19 463 +1978 3 6 6 22 PATTY 58.0 67.8 160 781 +1964 9 13 18 14 KIRK 69.6 25.0 51 738 +1974 1 13 0 15 BERYL 45.8 106.9 155 702 +1955 5 2 6 27 WILLIAM 22.1 97.8 36 362 +1960 1 4 18 5 NADINE 37.0 353.4 11 387 +1984 1 15 6 6 DEBBY 58.8 103.6 22 865 +1992 5 19 6 8 PATTY 33.6 29.4 72 415 +1979 6 25 6 8 FLORENCE 69.8 15.3 152 727 +1968 1 20 6 13 FLORENCE 61.3 63.8 58 28 +2000 7 21 6 22 ALBERTO 16.5 1.3 77 37 +1991 5 2 18 20 DEBBY 63.8 46.1 120 322 +1958 1 7 18 9 CHRIS 56.7 92.1 124 40 +1955 6 7 6 15 LESLIE 58.0 351.4 10 444 +1956 7 10 18 8 DEBBY 28.6 267.6 74 547 +1961 9 19 18 9 TONY 60.9 243.5 75 277 +1999 1 22 0 8 DEBBY 39.9 318.3 54 626 +1977 7 16 6 27 TONY 26.1 216.2 36 820 +1988 1 9 18 6 TONY 56.1 45.7 28 401 +1983 5 11 0 23 ERNESTO 34.8 198.0 120 726 +1980 10 14 12 17 TONY 52.7 7.2 163 608 +1957 9 25 6 15 TONY 15.7 175.8 86 243 +1982 8 4 18 2 ISAAC 35.0 210.7 41 458 +1966 12 3 0 10 ISAAC 7.2 145.1 157 773 +1950 8 18 12 24 SANDY 38.9 222.2 144 613 +1997 3 17 0 22 WILLIAM 38.3 106.6 12 197 +1992 11 7 12 12 SANDY 57.0 129.8 139 422 +1985 2 5 12 20 PATTY 41.8 30.0 89 613 +1977 12 12 12 24 TONY 17.0 143.2 116 127 +1953 4 5 0 16 BERYL 66.8 9.4 112 260 +1965 11 6 0 27 SANDY 20.6 191.3 155 328 +1955 2 4 6 12 MICHAEL 32.3 231.5 152 558 +1996 3 12 0 22 SANDY 22.9 257.8 54 711 +1977 1 16 0 5 SANDY 40.4 201.0 150 114 +1977 4 27 12 16 SANDY 60.1 250.9 63 10 +1990 8 1 0 10 FLORENCE 69.8 178.1 153 255 +1999 11 22 18 15 VALERIE 62.0 245.5 64 78 +1960 2 9 12 10 ERNESTO 51.6 217.5 108 516 +1993 5 17 6 8 KIRK 59.0 345.1 153 537 +2003 9 20 0 13 TONY 68.3 102.7 15 617 +1953 8 7 6 8 MICHAEL 49.7 198.2 99 773 +1967 8 6 12 17 DEBBY 35.5 170.9 45 320 +1959 4 11 12 2 SANDY 24.6 33.8 61 228 +1994 10 7 12 8 GORDON 37.6 12.6 24 744 +1965 9 26 6 12 PATTY 29.1 191.2 71 351 +1980 12 19 12 5 LESLIE 35.5 310.2 94 731 +1961 4 6 18 9 ALBERTO 52.3 100.2 103 438 +1969 9 28 6 5 VALERIE 13.8 344.7 18 506 +1964 12 12 12 18 MICHAEL 58.4 263.8 38 601 +1977 8 3 0 14 ISAAC 16.1 305.2 96 237 +1986 8 24 12 27 PATTY 37.5 69.5 131 540 +1992 1 13 18 9 MICHAEL 43.7 345.8 42 496 +1973 12 26 18 2 KIRK 9.2 267.6 108 49 +1990 6 14 0 26 NADINE 26.3 344.1 72 288 +1950 7 6 0 17 BERYL 65.6 192.3 110 683 +2004 8 18 6 28 BERYL 38.3 224.1 164 535 +1988 1 15 18 26 ALBERTO 32.4 286.0 94 534 +1955 5 25 12 7 DEBBY 32.7 253.7 105 817 +1961 6 14 6 9 FLORENCE 25.8 347.2 23 591 +2002 2 6 0 15 OSCAR 51.9 204.3 65 207 +1971 10 1 18 24 TONY 49.1 83.9 123 144 +1977 2 24 18 20 VALERIE 38.5 333.5 96 649 +1976 10 24 6 1 GORDON 17.6 269.7 142 807 +1986 9 19 12 26 OSCAR 28.9 261.5 100 543 +1953 2 26 18 14 GORDON 17.8 66.7 160 556 +1959 4 19 6 16 TONY 57.3 153.4 138 752 +1970 2 13 0 12 SANDY 60.7 184.4 18 698 +1952 11 25 0 14 OSCAR 54.3 134.9 132 701 +1978 2 11 0 21 MICHAEL 34.5 201.9 57 618 +1974 10 26 0 14 FLORENCE 59.8 300.7 67 130 +1956 12 2 18 19 ERNESTO 42.0 252.5 143 712 +1988 10 6 0 3 VALERIE 58.8 137.2 87 877 +1952 6 7 18 12 OSCAR 38.4 223.1 133 65 +1981 2 2 6 25 RAFAEL 16.7 128.2 150 108 +1956 9 3 0 21 SANDY 30.0 17.1 71 837 +1984 12 11 18 10 FLORENCE 28.9 339.4 46 279 +1968 9 25 6 23 WILLIAM 45.9 22.8 55 723 +1969 9 7 6 8 BERYL 58.4 33.6 135 256 +1951 9 8 12 15 PATTY 12.0 313.9 158 625 +1983 12 17 12 3 SANDY 36.3 229.6 122 75 +1976 1 11 12 9 GORDON 28.6 63.2 147 719 +1999 11 21 18 13 NADINE 21.8 199.1 65 119 +1960 9 6 0 21 FLORENCE 67.1 145.0 16 96 +1981 2 28 6 24 BERYL 13.8 309.2 78 367 +1988 1 9 6 10 VALERIE 12.8 31.9 24 375 +1969 11 16 6 9 VALERIE 42.1 6.7 151 161 +1984 6 25 0 11 MICHAEL 17.1 139.5 138 65 +1957 8 8 12 18 MICHAEL 25.2 347.9 82 277 +1972 9 6 0 14 ALBERTO 28.1 206.1 34 446 +1991 8 9 0 16 TONY 50.3 9.3 157 248 +1984 12 23 0 9 ERNESTO 26.5 353.6 94 75 +1964 8 27 12 5 KIRK 22.1 147.9 164 432 +1991 4 24 18 21 SANDY 30.7 353.5 75 95 +1989 3 22 0 15 DEBBY 12.2 288.7 126 234 +1991 11 5 18 20 VALERIE 53.5 67.7 23 698 +1978 12 11 12 25 KIRK 67.6 341.0 138 563 +1965 11 20 18 28 PATTY 64.9 15.0 29 883 +1977 6 28 6 5 DEBBY 42.9 243.4 131 290 +1973 11 22 0 13 TONY 37.8 233.0 23 569 +1979 2 15 18 16 KIRK 68.7 316.6 150 284 +1969 11 8 6 16 GORDON 28.1 118.4 50 750 +1969 4 28 12 10 JOYCE 47.5 311.4 138 612 +1984 3 23 6 28 LESLIE 59.7 228.0 158 592 +1981 3 16 0 15 JOYCE 21.3 347.0 133 759 +2002 4 13 18 26 TONY 13.4 334.6 119 625 +1984 6 8 0 12 PATTY 46.1 5.8 58 0 +1965 9 19 18 8 CHRIS 48.5 5.0 66 187 +1951 12 2 0 17 PATTY 12.5 172.4 34 53 +1999 9 7 6 4 TONY 20.4 168.6 75 287 +2004 2 5 6 16 JOYCE 31.6 133.5 122 324 +1958 7 25 6 20 NADINE 12.9 228.7 36 547 +1982 1 12 12 25 SANDY 64.6 176.3 130 272 +1970 9 10 12 19 PATTY 28.9 79.1 138 380 +1965 10 16 12 16 MICHAEL 37.4 57.0 93 407 +1985 5 22 0 7 MICHAEL 66.9 317.8 50 531 +1965 9 1 12 7 MICHAEL 40.0 56.8 61 491 +1959 4 28 18 25 HELENE 62.5 230.3 162 808 +1954 4 1 6 26 CHRIS 39.7 277.1 48 542 +1954 7 3 18 8 NADINE 65.2 224.7 146 604 +1987 9 17 6 25 WILLIAM 45.3 259.2 136 392 +2004 3 18 0 4 PATTY 45.8 239.2 62 74 +1997 12 7 12 21 VALERIE 15.5 87.8 93 749 +1956 4 14 6 10 TONY 35.7 52.9 113 813 +1967 10 16 18 3 LESLIE 56.3 167.2 78 227 +1958 2 8 6 1 TONY 17.8 300.3 29 65 +1952 11 4 6 10 HELENE 17.3 318.8 36 344 +1998 10 21 0 2 FLORENCE 18.3 236.6 116 817 +1990 5 17 0 4 NADINE 64.4 294.8 92 51 +1954 10 1 0 23 NADINE 33.9 326.3 118 431 +1971 12 5 0 19 WILLIAM 41.0 314.7 126 69 +1980 12 25 0 12 WILLIAM 43.4 75.7 163 587 +1991 2 16 18 15 LESLIE 38.5 173.7 143 487 +1961 5 26 12 18 FLORENCE 9.4 164.9 152 245 +1992 1 15 0 17 KIRK 7.5 311.4 17 825 +1974 3 24 12 13 ALBERTO 15.1 146.3 81 763 +2001 3 16 6 18 VALERIE 20.9 345.1 93 375 +1997 5 2 18 23 KIRK 35.1 114.3 49 28 +1959 5 14 0 28 ISAAC 64.8 337.1 16 311 +1982 12 8 0 9 ALBERTO 39.1 316.9 163 514 +1973 12 23 12 24 MICHAEL 52.8 136.6 145 795 +1983 1 11 6 4 WILLIAM 9.9 203.6 123 353 +1972 6 9 18 3 HELENE 50.2 348.6 145 333 +1978 7 19 0 27 FLORENCE 35.1 69.9 18 862 +1958 3 12 0 23 LESLIE 30.7 187.0 86 751 +1956 12 24 18 28 WILLIAM 49.7 86.7 78 694 +1982 7 1 0 9 KIRK 22.4 165.7 22 283 +1957 10 5 18 16 HELENE 55.2 348.0 59 571 +1972 12 27 0 10 LESLIE 67.0 308.8 64 8 +1983 7 8 12 9 LESLIE 67.0 76.0 87 856 +1986 4 6 0 1 KIRK 33.6 230.5 140 757 +1996 9 13 18 8 RAFAEL 46.2 281.0 74 169 +2000 1 8 18 23 TONY 46.6 127.1 94 195 +1961 6 25 6 4 ERNESTO 40.2 285.9 156 184 +1994 11 13 18 18 ERNESTO 7.0 344.5 159 390 +1970 4 1 12 21 SANDY 10.3 244.0 140 219 +1986 3 3 18 22 FLORENCE 62.1 139.6 98 656 +1992 11 8 18 22 LESLIE 54.4 27.8 49 605 +1978 12 4 6 2 ERNESTO 47.5 342.9 65 357 +2002 11 1 18 19 JOYCE 34.1 244.4 48 581 +2000 4 10 12 11 RAFAEL 32.9 340.1 56 331 +1957 5 4 6 28 SANDY 14.3 56.5 164 95 +1954 10 2 0 17 JOYCE 58.3 44.3 105 68 +1957 4 12 18 27 WILLIAM 69.0 49.5 94 255 +1975 7 15 0 21 ERNESTO 50.0 267.0 64 486 +1952 6 16 6 4 MICHAEL 54.6 72.1 50 93 +2002 4 1 12 10 HELENE 9.8 333.7 65 588 +1976 6 9 18 14 GORDON 9.6 210.5 146 338 +1950 3 19 18 3 HELENE 31.8 143.0 58 410 +1950 6 4 6 14 PATTY 31.2 212.2 50 563 +1985 1 25 6 18 RAFAEL 67.1 52.6 100 354 +1993 10 13 6 26 BERYL 67.4 302.0 17 31 +1951 6 18 12 7 KIRK 32.5 357.2 130 505 +1951 6 12 18 15 ERNESTO 7.3 152.0 148 770 +1996 1 26 18 20 FLORENCE 21.1 304.1 107 709 +1984 5 23 18 23 JOYCE 68.4 161.5 19 351 +1959 4 3 0 26 SANDY 51.1 242.3 84 653 +1998 6 22 0 18 JOYCE 42.0 292.8 57 431 +1979 1 6 0 8 NADINE 39.9 68.9 96 635 +2003 5 28 6 17 CHRIS 55.8 275.3 128 241 +2001 12 15 6 15 OSCAR 64.4 238.5 33 777 +1958 9 4 6 23 OSCAR 12.6 137.5 55 618 +1986 11 5 6 3 FLORENCE 33.4 69.4 114 67 +1974 9 9 0 26 OSCAR 62.0 1.8 119 243 +1986 8 10 18 24 DEBBY 58.8 160.2 65 432 +1969 10 16 0 26 RAFAEL 10.0 277.4 123 764 +1992 11 18 6 19 SANDY 23.3 176.3 105 205 +1998 9 15 0 6 JOYCE 56.2 157.6 156 539 +1967 4 4 18 7 RAFAEL 30.4 39.4 142 468 +1998 5 17 6 19 BERYL 8.0 159.5 60 144 +1985 2 9 12 10 TONY 56.1 17.6 158 29 +1955 12 28 6 28 ERNESTO 23.2 307.6 114 457 +1951 8 27 18 16 GORDON 55.7 331.0 23 396 +1961 9 14 12 22 WILLIAM 38.5 330.0 69 135 +1997 5 19 0 2 GORDON 17.2 125.1 55 333 +1980 2 22 6 17 DEBBY 54.6 215.6 108 210 +1994 7 8 18 25 BERYL 18.7 103.9 90 383 +1960 12 14 0 27 VALERIE 69.9 55.8 159 480 +1969 5 21 0 24 OSCAR 11.7 342.1 107 729 +1988 4 19 0 12 OSCAR 31.9 329.8 20 5 +1953 8 23 12 10 MICHAEL 7.5 110.1 73 487 +1978 7 26 6 28 NADINE 65.2 140.6 84 822 +1990 10 21 18 10 HELENE 63.8 331.3 110 186 +1961 8 24 18 18 JOYCE 22.9 186.6 34 417 +1960 6 20 6 26 LESLIE 15.4 164.0 67 387 +2003 11 20 12 6 RAFAEL 60.9 300.6 52 809 +1994 2 20 6 14 KIRK 63.1 135.8 137 225 +1969 8 16 12 19 RAFAEL 15.8 147.8 42 844 +2002 7 26 6 26 VALERIE 58.5 332.6 100 707 +1966 9 3 0 7 KIRK 54.0 288.3 39 741 +1967 10 9 18 26 WILLIAM 22.8 58.2 73 677 +2000 3 19 18 1 RAFAEL 42.3 257.7 105 77 +1993 10 20 12 14 MICHAEL 10.6 303.9 127 317 +1986 2 4 12 11 RAFAEL 30.1 87.5 84 677 +1992 7 18 0 20 KIRK 53.8 117.4 126 849 +1979 6 8 18 10 NADINE 60.0 230.1 116 568 +1983 5 17 18 11 WILLIAM 58.0 52.6 123 149 +1970 10 9 18 3 SANDY 7.3 158.5 34 127 +1982 6 19 12 5 FLORENCE 47.4 94.4 31 714 +1996 8 26 6 10 ALBERTO 65.6 38.2 111 412 +1976 2 15 12 1 GORDON 24.2 222.8 76 473 +1960 6 9 18 1 SANDY 63.9 51.3 28 762 +1996 7 16 6 17 FLORENCE 26.9 161.1 91 777 +1955 8 15 0 4 ALBERTO 61.4 49.4 43 457 +2002 8 17 6 23 PATTY 22.4 240.9 113 802 +1996 12 27 0 16 KIRK 42.7 278.4 40 757 +1976 5 25 6 14 NADINE 41.6 291.6 129 651 +1976 6 25 12 5 LESLIE 53.9 8.1 17 689 +1986 5 21 6 17 DEBBY 25.8 195.2 58 832 +1986 5 6 12 5 PATTY 37.9 33.5 156 207 +1993 12 15 12 11 MICHAEL 18.0 138.6 124 678 +2004 1 23 18 12 GORDON 10.9 138.9 71 740 +1955 12 5 18 26 MICHAEL 28.0 44.6 76 456 +1990 1 18 0 25 NADINE 62.0 220.2 120 59 +1998 9 23 0 2 LESLIE 65.3 97.8 53 612 +1988 7 22 0 8 MICHAEL 48.4 177.5 91 710 +1981 1 7 12 6 VALERIE 59.2 0.3 158 739 +2004 6 5 12 6 ERNESTO 8.6 199.5 23 352 +1978 6 23 18 4 SANDY 60.7 94.8 105 373 +1963 1 23 0 21 VALERIE 43.6 44.9 94 9 +1981 9 26 6 5 DEBBY 28.9 72.3 118 773 +1956 11 24 0 22 RAFAEL 52.5 119.6 96 639 +1958 5 19 0 1 VALERIE 35.6 115.8 132 289 +1962 11 12 6 14 ISAAC 52.2 166.3 55 64 +1990 3 24 18 15 MICHAEL 66.7 57.7 110 323 +1960 4 16 12 11 MICHAEL 7.9 191.5 77 407 +1967 11 21 12 9 RAFAEL 10.8 113.6 110 418 +1971 7 13 6 8 FLORENCE 63.0 99.1 164 75 +1964 12 14 0 12 ISAAC 8.3 215.0 52 594 +1956 5 27 0 7 KIRK 17.7 19.1 93 480 +1991 10 26 6 21 ERNESTO 40.7 282.2 127 199 +1992 4 7 0 20 MICHAEL 22.4 154.3 119 826 +2004 10 6 0 16 HELENE 38.4 101.4 77 135 +1973 3 20 18 1 PATTY 66.5 256.3 20 57 +1956 1 22 6 5 WILLIAM 61.4 254.9 42 321 +1986 1 6 12 23 VALERIE 14.4 210.6 85 464 +1994 12 15 12 14 GORDON 62.5 10.7 136 724 +1977 8 13 18 17 MICHAEL 17.6 99.8 40 649 +1961 8 23 18 8 NADINE 24.0 302.5 51 175 +1983 9 19 6 14 NADINE 64.7 44.0 55 146 +1984 2 22 6 24 GORDON 56.3 92.9 83 633 +1954 6 21 12 24 FLORENCE 54.9 256.2 161 615 +1980 1 6 18 7 GORDON 8.3 149.2 73 494 +1979 8 26 0 21 ISAAC 33.6 162.8 154 243 +1956 9 1 6 17 ISAAC 19.3 196.7 33 24 +1980 12 28 18 3 VALERIE 50.6 129.2 53 267 +1971 7 28 12 9 DEBBY 24.1 100.8 128 750 +1957 2 14 6 24 ALBERTO 17.2 312.7 47 145 +1960 8 22 0 6 MICHAEL 18.4 356.1 113 796 +1959 11 24 6 1 CHRIS 59.5 354.2 148 437 +1950 3 16 12 4 CHRIS 55.3 356.4 55 293 +1960 4 21 18 2 BERYL 48.8 116.6 156 344 +1998 11 8 6 16 TONY 49.1 309.7 120 234 +1956 2 28 12 15 RAFAEL 44.8 263.7 142 280 +1981 8 26 12 3 MICHAEL 46.7 31.0 143 278 +1971 1 6 6 10 KIRK 29.4 95.2 84 399 +1996 3 2 6 20 WILLIAM 48.0 73.6 162 887 +1983 2 17 6 7 SANDY 65.0 30.6 85 203 +1984 10 12 6 24 ISAAC 62.2 238.7 156 551 +1967 5 25 0 16 ISAAC 55.3 350.4 151 747 +1981 12 20 18 25 BERYL 49.4 256.3 42 401 +1984 12 24 6 26 BERYL 52.3 87.1 116 372 +1995 5 11 6 9 DEBBY 23.9 191.2 72 677 +1986 5 14 12 3 TONY 61.8 4.6 68 367 +1958 9 9 12 14 VALERIE 53.1 203.8 126 665 +1960 8 20 0 11 HELENE 20.7 66.1 35 510 +1976 2 14 0 9 ERNESTO 51.0 292.4 84 123 +2001 10 22 12 7 MICHAEL 51.3 255.7 84 634 +1990 11 2 18 22 WILLIAM 31.5 148.2 114 768 +1984 12 18 12 24 ISAAC 47.0 204.6 160 152 +1987 8 23 18 23 FLORENCE 7.1 142.5 112 95 +1962 6 19 6 13 PATTY 20.0 153.1 117 552 +1973 10 2 6 26 LESLIE 42.9 281.6 97 517 +1966 11 23 6 25 LESLIE 18.7 65.2 18 352 +1971 1 10 6 8 ERNESTO 34.3 92.3 51 55 +1960 3 17 6 19 OSCAR 53.1 162.5 30 879 +1993 4 21 12 23 KIRK 66.0 343.2 138 114 +1951 9 17 6 4 HELENE 43.4 344.7 34 172 +1966 10 19 18 23 ISAAC 35.1 304.4 162 810 +1968 9 25 6 16 PATTY 33.6 224.8 102 279 +1979 10 13 12 18 FLORENCE 61.4 17.4 52 775 +2003 1 28 12 4 CHRIS 12.2 174.7 11 750 +1966 10 6 6 18 VALERIE 28.4 348.6 146 780 +1962 7 27 6 24 DEBBY 61.4 167.9 66 232 +1973 10 23 0 27 OSCAR 9.0 8.6 163 843 +2004 5 21 18 10 DEBBY 20.5 290.2 99 193 +1955 3 28 18 18 RAFAEL 40.9 316.3 74 734 +1964 2 15 0 25 ALBERTO 45.7 191.8 30 72 +1977 7 14 0 1 CHRIS 56.6 177.6 121 446 +1978 9 6 0 3 MICHAEL 9.1 199.3 138 641 +1971 8 3 12 11 CHRIS 51.2 182.1 50 404 +1968 12 15 18 5 WILLIAM 22.4 269.3 101 809 +1996 7 26 6 24 ERNESTO 48.6 82.2 70 558 +2004 3 4 12 18 DEBBY 22.1 151.7 86 791 +1954 4 28 12 11 ALBERTO 57.4 128.0 43 52 +1958 10 3 18 23 LESLIE 24.9 104.1 120 737 +2004 9 17 0 5 PATTY 37.7 342.5 39 484 +1950 6 19 12 12 ISAAC 23.1 307.0 120 57 +2002 4 2 0 17 SANDY 7.2 306.0 40 23 +2001 5 27 18 15 JOYCE 41.7 107.7 12 400 +1963 10 7 12 3 DEBBY 67.3 91.3 142 827 +1990 6 18 12 20 RAFAEL 64.7 283.9 119 245 +1973 12 6 0 18 SANDY 48.5 140.1 156 878 +1993 7 10 0 4 OSCAR 58.2 325.9 104 460 +1960 7 6 12 27 NADINE 60.4 341.4 113 200 +1953 2 2 0 28 SANDY 47.5 96.6 106 533 +1986 6 17 0 7 BERYL 11.7 256.0 81 45 +1968 1 3 12 18 NADINE 48.0 54.5 103 27 +1993 4 25 12 20 LESLIE 57.3 132.7 131 440 +1970 5 10 0 23 GORDON 69.9 115.5 154 882 +1984 2 12 0 21 VALERIE 48.1 100.0 57 807 +1977 2 22 12 22 TONY 42.1 124.5 121 798 +1956 12 4 18 8 NADINE 39.3 276.8 83 607 +1997 11 8 6 10 ERNESTO 23.0 254.9 129 575 +1966 8 14 18 4 NADINE 11.8 299.0 40 188 +1976 6 24 0 15 WILLIAM 60.0 217.2 55 261 +2000 6 8 12 20 FLORENCE 17.3 102.1 109 713 +1988 11 27 12 4 ALBERTO 53.1 34.4 124 460 +1990 6 21 18 24 NADINE 40.3 100.0 72 238 +1996 7 17 6 26 CHRIS 59.7 230.0 35 554 +1960 8 16 0 10 OSCAR 53.2 212.6 153 305 +1993 9 1 0 20 OSCAR 27.2 212.9 97 833 +1981 2 4 18 10 LESLIE 55.9 275.4 161 256 +1983 2 10 0 3 TONY 58.0 273.9 143 268 +1993 12 15 18 14 NADINE 32.4 201.1 47 403 +1995 10 27 12 7 RAFAEL 10.5 201.8 153 161 +1998 3 2 18 5 NADINE 37.0 342.0 100 686 +1961 8 12 18 4 OSCAR 61.2 107.3 104 527 +1966 7 21 18 7 LESLIE 44.3 220.5 160 550 +1995 11 19 6 5 NADINE 28.0 306.4 162 351 +1963 9 2 18 2 OSCAR 66.6 132.7 84 314 +1970 9 19 12 3 FLORENCE 50.2 174.5 109 668 +1951 7 19 0 26 WILLIAM 64.5 221.3 140 460 +1970 3 6 12 22 RAFAEL 8.2 112.5 49 14 +1960 12 13 6 3 GORDON 51.4 42.7 61 875 +1974 2 24 12 7 RAFAEL 39.0 27.0 142 787 +1951 1 21 0 16 KIRK 15.4 246.1 101 512 +1966 3 7 6 6 VALERIE 36.3 194.4 78 622 +1986 9 12 0 1 DEBBY 53.5 212.2 135 293 +1962 8 19 6 12 SANDY 68.5 212.0 119 319 +1957 8 16 18 24 MICHAEL 13.8 150.7 138 250 +1990 3 19 6 3 JOYCE 40.0 80.5 163 113 +1989 12 20 12 19 CHRIS 16.5 299.6 148 565 +2001 12 24 0 17 DEBBY 45.6 227.6 144 847 +1970 11 16 6 24 ALBERTO 45.6 44.7 137 94 +1979 7 25 0 12 ALBERTO 63.3 26.3 38 20 +1962 6 20 0 10 DEBBY 35.0 301.6 35 668 +1996 12 4 0 13 BERYL 31.3 357.8 44 82 +1988 6 5 18 7 HELENE 67.1 68.4 162 465 +1966 3 19 18 6 CHRIS 65.3 159.8 140 71 +1972 4 28 12 27 NADINE 66.0 92.1 160 755 +1993 1 14 0 8 SANDY 52.7 111.8 29 878 +1967 4 20 12 3 LESLIE 46.5 138.1 19 774 +1960 10 28 0 11 ISAAC 62.4 89.6 73 380 +1954 2 17 6 14 RAFAEL 7.3 92.0 39 825 +1972 6 27 0 23 OSCAR 31.5 175.5 110 58 +1992 11 10 18 16 FLORENCE 68.1 30.1 88 439 +1979 5 17 12 9 WILLIAM 28.7 247.6 11 92 +1978 3 23 0 8 KIRK 61.3 180.4 71 763 +1988 4 23 6 16 DEBBY 18.1 77.3 149 583 +1977 9 20 18 3 MICHAEL 57.1 267.7 132 784 +1991 2 3 18 5 ISAAC 28.7 298.3 121 853 +1968 7 24 6 28 HELENE 34.3 131.6 108 451 +1954 12 7 18 21 RAFAEL 31.1 30.6 40 606 +1954 1 19 12 25 TONY 37.4 81.1 104 724 +1995 10 26 0 25 CHRIS 68.1 270.5 12 553 +1955 7 1 12 5 FLORENCE 50.3 353.9 11 836 +1961 12 28 18 11 JOYCE 23.5 56.9 58 205 +1981 3 17 18 9 KIRK 27.1 213.7 92 613 +2004 1 27 18 24 KIRK 38.1 109.2 46 643 +1994 12 21 12 17 CHRIS 30.4 148.3 49 445 +1990 11 18 12 3 BERYL 42.7 80.5 157 831 +1973 1 13 6 27 RAFAEL 37.8 29.0 36 456 +1987 5 4 0 12 LESLIE 57.2 181.9 161 290 +2003 8 21 18 3 BERYL 55.1 297.3 22 863 +1961 1 27 0 22 NADINE 33.3 55.0 122 168 +1989 7 18 6 5 DEBBY 68.9 286.7 136 518 +1982 7 25 6 18 ISAAC 16.3 29.0 79 590 +1980 3 28 18 11 HELENE 60.5 338.2 149 511 +1955 8 7 0 15 WILLIAM 9.9 357.2 126 313 +1985 12 23 0 3 NADINE 12.0 336.4 32 372 +1967 7 4 6 11 ERNESTO 25.6 139.2 88 26 +1990 7 1 0 18 JOYCE 23.5 351.2 21 157 +1988 1 27 6 23 ERNESTO 33.5 302.3 107 262 +1951 12 10 0 19 WILLIAM 36.9 271.7 104 436 +2004 5 25 12 24 ERNESTO 53.1 229.4 110 328 +1957 3 10 18 24 MICHAEL 34.0 154.4 135 822 +1980 8 22 18 23 ISAAC 31.8 80.8 112 868 +1968 4 24 18 14 SANDY 58.7 301.7 116 812 +1983 11 21 6 15 ISAAC 41.9 20.1 42 62 +1992 6 8 12 6 TONY 34.1 148.6 164 243 +1980 6 9 12 2 SANDY 41.1 285.4 55 89 +1968 8 25 0 13 LESLIE 32.3 35.0 101 177 +1957 10 17 6 3 LESLIE 34.2 23.6 57 368 +2001 4 16 12 15 GORDON 55.9 277.8 28 797 +1964 5 20 6 18 ALBERTO 66.7 116.5 37 482 +1958 8 10 18 6 NADINE 57.4 206.4 33 720 +1985 6 4 12 5 OSCAR 59.5 62.1 101 79 +1951 2 17 6 16 PATTY 55.6 44.7 131 843 +1986 6 16 12 1 VALERIE 34.2 179.5 116 774 +1988 12 9 6 15 ISAAC 25.1 282.6 107 259 +1976 2 3 12 16 RAFAEL 55.3 269.6 106 525 +1950 4 7 18 18 VALERIE 66.7 111.2 99 156 +1978 6 9 0 3 ALBERTO 69.1 43.9 128 150 +1983 8 2 12 25 TONY 32.7 155.1 60 686 +1950 6 7 12 3 LESLIE 12.9 31.6 44 103 +1987 10 7 12 19 DEBBY 16.4 248.6 162 538 +1977 7 16 6 5 PATTY 24.1 321.5 20 76 +1973 10 15 12 28 ALBERTO 15.3 52.9 15 729 +1988 2 21 6 8 FLORENCE 32.7 46.2 20 517 +1999 12 7 0 10 SANDY 69.7 152.2 20 65 +1974 12 20 12 20 BERYL 38.0 194.2 145 209 +1980 3 16 12 5 PATTY 24.6 58.9 80 771 +1958 4 10 0 18 GORDON 24.8 157.1 114 500 +1991 7 19 18 14 BERYL 7.8 251.7 24 380 +1955 2 20 6 12 VALERIE 59.5 189.1 88 766 +1978 8 16 6 9 WILLIAM 34.5 34.6 135 862 +1994 7 2 6 3 PATTY 45.2 331.9 58 588 +2003 7 22 18 12 FLORENCE 67.5 169.7 132 235 +1998 8 22 0 12 ERNESTO 61.0 241.7 132 435 +1997 9 13 0 10 DEBBY 17.2 280.3 157 259 +1962 6 16 0 7 VALERIE 42.4 105.4 105 170 +1971 2 5 6 10 SANDY 33.6 357.4 68 136 +1977 11 13 12 21 GORDON 49.7 300.2 120 690 +1972 7 10 0 16 ISAAC 22.0 15.3 114 711 +1975 7 21 6 3 GORDON 64.0 345.1 76 883 +1986 6 22 0 23 VALERIE 28.6 45.7 108 388 +1970 3 13 6 21 SANDY 27.3 272.2 76 250 +1966 1 28 6 19 HELENE 28.3 170.4 141 263 +1995 11 15 12 15 LESLIE 68.8 144.7 157 892 +1971 5 23 6 9 NADINE 59.6 56.6 136 676 +1984 6 19 12 24 WILLIAM 57.3 165.0 144 417 +1982 9 20 12 4 LESLIE 20.0 327.4 90 375 +1999 6 7 0 21 BERYL 43.2 19.5 135 710 +1974 7 19 0 19 HELENE 46.0 350.6 36 476 +2000 5 5 18 2 PATTY 55.2 151.3 113 851 +1975 6 6 6 25 HELENE 18.4 247.5 96 505 +1952 3 6 12 28 GORDON 49.2 82.7 139 501 +1993 9 14 18 14 RAFAEL 32.4 197.5 164 275 +1994 5 28 0 27 RAFAEL 29.4 296.7 129 245 +1962 8 9 18 3 GORDON 62.7 286.6 151 871 +1989 1 8 18 5 SANDY 31.0 184.2 93 718 +2002 12 3 18 16 WILLIAM 69.1 93.5 97 345 +2000 6 8 6 12 BERYL 11.4 72.3 102 370 +1978 9 8 0 11 ERNESTO 43.6 274.8 136 527 +1998 12 2 18 17 JOYCE 58.1 76.0 94 851 +1965 1 7 18 20 NADINE 67.4 17.4 72 751 +1973 10 12 0 19 ISAAC 46.0 73.2 59 273 +1992 10 5 12 9 OSCAR 62.3 229.5 107 843 +1987 2 7 12 10 MICHAEL 53.3 72.5 142 732 +1960 3 11 0 27 ERNESTO 47.5 106.9 89 345 +1985 9 23 0 12 VALERIE 10.0 120.6 11 430 +1966 12 17 6 9 DEBBY 38.8 158.3 48 119 +1954 1 17 0 2 TONY 46.6 337.6 145 370 +1951 7 3 18 1 TONY 58.2 138.7 50 880 +1983 2 19 0 26 VALERIE 11.5 239.2 13 658 +1990 6 2 6 2 LESLIE 27.0 188.8 16 742 +2001 4 6 12 17 MICHAEL 51.8 21.1 12 202 +1987 8 12 18 27 HELENE 40.2 323.7 40 874 +1962 5 10 6 19 PATTY 65.6 211.5 61 80 +1993 7 9 12 24 KIRK 11.5 227.7 159 53 +1975 10 10 0 17 ALBERTO 44.5 74.5 58 13 +1996 4 3 18 24 BERYL 50.9 37.4 159 278 +1957 1 28 12 1 OSCAR 34.6 223.7 158 841 +1980 7 16 6 7 ISAAC 37.8 124.3 144 101 +1986 10 15 6 6 TONY 36.0 33.7 62 433 +1971 11 1 18 24 KIRK 32.7 345.6 150 677 +1954 1 5 6 13 FLORENCE 41.5 139.4 78 736 +1987 11 2 12 6 LESLIE 44.4 278.7 162 77 +1975 5 28 18 1 HELENE 54.8 296.0 86 778 +1972 3 13 12 28 DEBBY 24.0 138.3 120 319 +1950 3 12 12 19 FLORENCE 59.1 27.5 99 167 +1961 4 7 12 9 PATTY 28.0 312.5 45 403 +1968 6 1 18 14 SANDY 13.1 63.8 133 508 +1997 4 8 0 3 FLORENCE 35.7 73.6 88 193 +1956 11 26 18 25 DEBBY 28.6 238.5 154 513 +1975 7 3 18 21 KIRK 40.4 71.4 38 471 +1957 5 27 6 2 FLORENCE 48.1 10.5 71 34 +1981 4 28 12 7 GORDON 27.8 126.5 139 78 +1972 8 5 0 18 KIRK 27.2 346.3 102 111 +2004 6 1 0 21 DEBBY 30.8 351.8 110 657 +1966 6 26 0 15 FLORENCE 65.4 251.9 78 753 +1959 2 27 12 12 CHRIS 23.3 118.9 41 830 +1995 5 18 0 8 KIRK 20.6 272.1 129 758 +1954 8 21 12 15 CHRIS 43.0 282.7 123 277 +1984 2 7 6 24 VALERIE 44.9 314.7 150 867 +1989 11 1 0 2 ALBERTO 41.3 43.2 91 83 +1978 11 22 12 25 NADINE 60.1 84.3 19 289 +1980 8 7 18 13 WILLIAM 13.2 109.3 109 822 +1974 12 11 6 22 TONY 37.8 329.8 84 539 +1964 9 19 6 27 KIRK 63.1 216.0 78 374 +2000 7 19 6 22 ALBERTO 55.1 298.8 91 111 +2004 5 18 12 18 PATTY 29.8 51.6 137 483 +1994 4 21 12 21 ALBERTO 14.5 341.6 34 524 +1983 9 15 0 11 NADINE 55.1 48.3 158 95 +2001 5 24 12 16 KIRK 43.7 72.3 58 336 +1977 4 12 12 11 ALBERTO 13.9 292.7 112 634 +1951 8 24 6 1 TONY 64.5 76.2 59 729 +2004 3 2 0 13 VALERIE 54.7 198.8 20 724 +1972 1 25 0 18 ISAAC 65.3 326.6 153 40 +1972 6 10 6 18 KIRK 51.7 343.7 159 420 +1978 4 12 6 8 VALERIE 61.3 25.2 135 262 +1966 2 7 12 19 ERNESTO 19.0 210.3 138 110 +1953 9 17 6 20 OSCAR 66.9 223.1 143 692 +1956 9 28 12 15 ERNESTO 63.6 203.4 122 353 +2002 2 19 12 6 BERYL 48.4 168.5 58 107 +1992 10 10 0 6 ISAAC 42.3 173.2 135 885 +1992 7 19 12 21 OSCAR 15.1 22.4 17 760 +1977 5 12 18 9 ISAAC 62.9 118.8 72 101 +1953 12 9 12 8 SANDY 63.8 246.1 38 92 +1976 8 7 6 26 RAFAEL 64.0 318.4 130 802 +1957 2 10 18 5 VALERIE 40.3 230.1 31 265 +1972 7 28 18 9 WILLIAM 18.0 103.8 35 146 +1963 11 15 18 7 KIRK 63.9 291.8 57 702 +1983 7 10 6 9 BERYL 63.9 315.7 121 133 +1961 4 1 6 2 RAFAEL 31.0 277.7 44 801 +1953 5 28 0 8 OSCAR 15.9 355.3 130 291 +1958 2 24 0 27 VALERIE 43.2 257.8 94 174 +1986 5 18 12 3 KIRK 40.0 353.6 136 390 +2000 3 28 0 7 FLORENCE 12.2 161.9 123 681 +1985 8 9 6 7 BERYL 53.3 268.4 28 330 +1963 5 11 18 8 MICHAEL 14.4 214.0 132 543 +1950 8 5 6 27 DEBBY 61.6 97.7 139 763 +1980 1 22 18 18 FLORENCE 30.0 109.5 14 612 +1979 2 1 0 6 BERYL 34.4 254.0 19 18 +1983 5 13 18 8 LESLIE 45.8 118.8 64 201 +1950 7 27 12 3 WILLIAM 27.9 297.8 58 793 +1972 9 22 18 17 SANDY 49.3 329.5 80 186 +1977 1 14 18 25 NADINE 55.9 261.3 40 579 +1962 3 28 6 6 OSCAR 49.5 124.5 58 868 +1999 2 27 0 28 LESLIE 7.4 90.4 103 12 +1984 6 15 18 5 ERNESTO 55.4 211.9 157 120 +1987 2 6 12 17 CHRIS 44.3 178.5 60 446 +1967 6 25 18 18 OSCAR 44.8 2.2 82 463 +1994 6 22 18 28 LESLIE 50.4 109.1 153 13 +1961 2 19 0 18 KIRK 10.4 127.1 48 82 +2001 1 7 6 23 ALBERTO 24.0 344.5 143 730 +1994 9 20 12 22 RAFAEL 63.6 130.4 33 842 +1959 5 28 0 23 BERYL 27.4 124.3 106 618 +1951 8 9 0 14 JOYCE 50.9 220.7 133 267 +1950 5 10 6 11 NADINE 26.5 212.1 84 451 +1982 8 5 6 1 ALBERTO 54.6 335.5 106 696 +1995 4 7 0 26 BERYL 29.1 258.3 60 638 +1964 6 12 6 24 PATTY 8.5 258.6 101 624 +1976 11 14 18 24 ALBERTO 64.8 311.0 46 787 +1989 9 2 6 25 SANDY 10.4 143.9 133 176 +1956 3 18 18 7 MICHAEL 18.8 190.5 110 553 +2004 5 19 12 11 OSCAR 13.1 101.0 16 656 +1990 4 2 18 7 BERYL 50.0 247.5 81 383 +1994 10 23 0 7 GORDON 68.9 170.1 71 522 +1954 11 14 18 10 VALERIE 66.9 260.7 107 687 +1989 4 2 0 6 FLORENCE 44.2 71.1 82 793 +1982 5 4 6 17 RAFAEL 39.9 300.0 115 648 +1996 6 5 6 18 OSCAR 42.3 21.9 103 148 +1969 5 7 18 14 CHRIS 48.9 321.7 62 753 +2004 3 5 18 3 KIRK 44.1 148.8 90 874 +1973 2 27 6 12 BERYL 31.5 3.1 90 868 +1967 4 20 12 9 RAFAEL 54.2 37.9 85 443 +2004 3 12 18 26 GORDON 66.9 273.1 26 172 +1972 12 16 6 8 SANDY 60.4 121.2 117 893 +1980 6 23 0 9 ERNESTO 21.9 222.8 31 113 +1985 12 6 0 3 WILLIAM 16.3 300.9 154 233 +1997 5 10 0 6 VALERIE 52.6 10.4 20 752 +1953 6 4 6 21 KIRK 37.8 206.1 111 443 +1987 2 9 6 6 CHRIS 9.8 273.3 56 304 +1988 2 18 12 14 SANDY 62.5 323.0 134 561 +2000 6 25 0 10 MICHAEL 16.4 193.5 121 623 +1958 12 8 0 27 RAFAEL 37.7 76.6 114 865 +1961 6 28 12 8 HELENE 54.4 110.5 28 669 +1956 2 15 6 1 GORDON 53.7 351.5 33 409 +1956 8 27 18 27 ERNESTO 59.3 253.5 41 704 +1965 9 24 12 13 LESLIE 35.0 69.2 85 547 +1953 3 14 0 18 SANDY 68.7 14.0 127 441 +1979 12 6 12 28 DEBBY 25.9 313.7 102 399 +1975 9 16 12 19 JOYCE 18.8 310.7 128 728 +1967 11 21 12 3 GORDON 38.7 36.7 76 106 +1976 12 25 12 26 CHRIS 40.4 289.2 45 83 +1978 12 28 18 11 DEBBY 13.7 94.3 141 753 +1972 9 21 6 22 DEBBY 31.3 346.8 119 821 +1992 9 18 0 10 ALBERTO 20.6 77.3 155 589 +1998 2 20 12 5 MICHAEL 65.5 101.4 93 508 +1964 4 21 0 23 OSCAR 48.9 108.7 69 873 +1960 3 8 12 22 BERYL 36.6 339.8 135 382 +1992 3 18 0 6 CHRIS 51.5 96.1 20 790 +1953 4 16 0 28 GORDON 48.5 207.8 72 725 +1973 12 19 12 15 ERNESTO 32.1 237.5 39 464 +1962 1 10 12 14 BERYL 45.4 146.5 154 33 +1979 5 8 6 25 KIRK 45.5 340.9 155 744 +1951 9 21 0 23 DEBBY 17.3 75.0 98 894 +1982 12 13 6 12 ERNESTO 42.3 42.5 19 143 +1958 9 1 18 9 ALBERTO 57.9 23.9 93 179 +1951 2 20 0 19 SANDY 11.5 58.8 107 745 +1958 6 27 18 12 ALBERTO 32.6 308.5 73 564 +1952 3 18 0 2 PATTY 56.4 42.0 92 205 +1997 4 24 18 10 MICHAEL 22.6 317.2 35 170 +1996 11 19 0 6 VALERIE 44.0 226.0 86 168 +1952 4 10 0 2 HELENE 48.7 25.2 12 330 +1974 3 16 12 6 FLORENCE 18.3 110.3 123 499 +1950 2 12 6 16 NADINE 8.4 22.5 155 560 +1965 2 11 6 6 JOYCE 28.9 222.1 106 507 +1997 7 28 6 26 ISAAC 35.9 247.3 54 644 +1950 12 16 12 18 PATTY 10.6 252.1 48 833 +1974 8 26 18 3 ERNESTO 7.0 224.9 72 15 +1998 4 24 12 17 HELENE 31.7 139.0 122 865 +1978 6 12 6 7 VALERIE 45.1 143.8 103 807 +2001 1 13 6 2 HELENE 61.8 330.2 126 38 +2002 9 7 18 7 ERNESTO 12.2 300.1 26 784 +1991 3 12 18 11 BERYL 68.5 329.5 86 22 +1967 5 26 6 20 ERNESTO 68.0 116.0 125 321 +1980 8 13 6 16 GORDON 46.0 278.8 108 493 +1985 8 20 12 12 NADINE 59.6 276.5 113 666 +2000 11 6 0 5 MICHAEL 22.8 62.3 162 363 +2004 2 25 18 14 FLORENCE 7.2 72.6 82 167 +1982 1 21 0 3 CHRIS 13.2 311.7 53 301 +1976 5 1 0 18 VALERIE 64.8 60.0 71 290 +1982 3 9 0 15 KIRK 8.0 108.5 42 831 +2001 8 7 18 4 OSCAR 50.0 172.5 14 4 +1953 8 11 6 20 ISAAC 55.3 298.0 111 601 +1973 11 10 0 18 ERNESTO 18.2 134.1 39 399 +1973 5 20 12 9 ERNESTO 26.2 162.4 36 545 +1972 3 5 0 6 GORDON 35.0 343.3 14 439 +2000 1 16 0 22 GORDON 16.9 357.0 149 527 +1991 10 1 0 26 OSCAR 33.6 40.2 133 90 +1979 12 24 18 18 LESLIE 10.0 170.5 65 625 +1953 5 7 12 24 PATTY 56.6 43.0 148 256 +1961 11 11 0 1 CHRIS 34.2 60.1 115 266 +1963 7 28 6 8 OSCAR 31.1 306.1 14 575 +1972 7 9 6 8 KIRK 21.8 189.0 64 386 +1965 1 21 6 14 KIRK 63.7 319.4 31 434 +2003 7 10 12 24 ISAAC 9.7 110.8 75 19 +1997 8 27 6 8 PATTY 31.1 207.5 97 106 +1980 8 10 18 18 LESLIE 64.9 330.5 51 85 +1952 1 16 12 27 NADINE 15.6 5.8 157 712 +1986 6 24 6 17 LESLIE 54.0 87.3 157 577 +1953 6 9 18 13 PATTY 28.3 116.6 153 4 +1954 6 6 12 21 BERYL 21.6 43.7 35 884 +1966 2 21 6 14 PATTY 58.8 65.0 159 761 +1991 4 19 0 12 FLORENCE 53.3 293.5 15 751 +1966 2 25 18 28 MICHAEL 17.6 328.8 102 188 +1979 6 7 6 21 WILLIAM 69.6 139.5 13 726 +1973 11 24 6 21 HELENE 37.6 265.1 19 635 +1970 12 1 6 8 RAFAEL 52.1 137.8 30 877 +1987 11 8 18 7 PATTY 29.6 14.9 150 468 +1957 8 9 12 5 SANDY 65.4 20.7 152 257 +1986 10 17 12 21 KIRK 52.3 312.1 96 265 +1970 3 23 6 28 ALBERTO 20.7 20.4 124 860 +1954 7 28 18 9 CHRIS 32.4 122.8 62 289 +1996 9 6 0 4 ALBERTO 68.8 215.4 99 810 +1986 11 1 18 20 FLORENCE 56.0 61.7 125 851 +1999 8 21 18 21 VALERIE 31.5 190.5 129 216 +1986 8 5 18 3 LESLIE 60.4 198.4 107 268 +1999 6 9 12 9 MICHAEL 32.9 258.1 14 43 +2001 12 14 12 22 ERNESTO 12.5 300.7 141 256 +1965 6 14 6 28 KIRK 36.6 215.7 135 440 +1963 10 28 18 24 DEBBY 36.7 252.4 96 135 +1985 7 21 0 22 OSCAR 28.6 230.0 152 205 +1968 6 1 18 15 KIRK 9.2 236.4 69 181 +1954 5 7 18 8 LESLIE 46.1 89.5 135 64 +2004 10 19 12 3 DEBBY 63.2 239.1 24 601 +1957 6 25 12 21 GORDON 45.7 212.6 45 137 +1999 1 23 12 25 WILLIAM 19.5 210.5 110 67 +1999 2 2 12 2 MICHAEL 23.9 129.6 160 809 +1966 3 16 6 4 ERNESTO 30.0 15.0 58 501 +2001 3 10 6 16 ERNESTO 32.2 105.5 123 138 +1981 12 8 12 4 MICHAEL 47.2 320.3 35 167 +1979 10 5 6 23 CHRIS 38.6 348.9 143 485 +1963 5 24 6 6 ISAAC 17.6 262.7 123 634 +1993 5 25 0 4 ALBERTO 56.6 222.5 147 223 +1994 4 3 6 5 CHRIS 10.6 184.1 49 339 +1991 1 7 18 19 FLORENCE 29.8 234.6 101 113 +2004 2 13 0 5 DEBBY 28.2 20.7 34 596 +1982 5 25 6 10 MICHAEL 33.8 297.4 82 827 +2004 11 26 0 24 WILLIAM 44.5 332.9 17 829 +1958 12 26 18 21 WILLIAM 11.4 0.1 130 520 +1950 7 3 18 10 GORDON 11.6 317.7 107 624 +1993 5 16 0 3 TONY 37.0 347.2 105 318 +1992 2 19 18 6 GORDON 58.0 222.0 13 863 +1950 11 23 6 3 HELENE 68.4 186.2 58 84 +1972 1 27 0 16 GORDON 52.7 260.1 83 409 +2004 12 2 12 9 ERNESTO 66.4 94.2 13 708 +2000 1 8 18 13 KIRK 27.5 160.2 150 673 +1998 7 6 6 20 ALBERTO 20.1 138.9 65 660 +1990 3 14 6 11 CHRIS 15.5 164.4 132 100 +1990 12 13 6 22 PATTY 18.8 66.7 157 569 +1982 11 17 6 2 GORDON 15.0 11.0 30 382 +1962 9 7 18 10 GORDON 69.9 155.2 11 315 +1975 10 22 12 2 ERNESTO 64.1 123.3 37 316 +1956 7 18 12 3 WILLIAM 37.0 300.4 68 306 +1990 2 15 12 2 GORDON 49.4 211.9 51 23 +1953 12 19 18 5 GORDON 11.9 149.2 47 843 +1954 5 25 6 16 DEBBY 53.9 210.3 95 815 +1984 9 13 0 24 VALERIE 47.4 133.9 88 475 +1983 7 6 0 4 ERNESTO 31.7 20.1 18 9 +1995 10 3 0 28 FLORENCE 36.0 65.9 15 785 +1992 5 1 18 22 HELENE 26.0 101.7 23 396 +1997 2 17 0 6 JOYCE 59.0 328.8 101 617 +1953 11 12 12 3 TONY 53.7 85.4 152 286 +1956 9 4 18 2 HELENE 65.2 253.0 129 451 +1996 5 22 18 9 ALBERTO 40.0 120.1 47 844 +1988 6 3 6 26 VALERIE 68.0 100.3 161 825 +1975 7 5 12 27 MICHAEL 54.8 211.6 121 279 +1982 8 1 6 20 GORDON 32.5 206.2 33 801 +1996 10 17 12 26 TONY 9.8 212.8 125 380 +1962 10 28 12 21 BERYL 30.7 298.0 39 328 +1953 6 21 0 17 BERYL 20.7 321.7 27 348 +1960 2 19 18 25 BERYL 40.4 155.6 139 244 +1965 3 8 0 1 RAFAEL 12.7 45.5 79 78 +1972 6 10 18 7 OSCAR 56.4 325.5 143 268 +1978 2 8 18 28 LESLIE 41.6 356.0 87 202 +1961 1 7 0 27 FLORENCE 53.0 335.1 66 26 +2001 5 10 6 18 TONY 28.4 9.6 65 385 +1961 8 17 12 26 BERYL 40.7 188.9 15 350 +1956 3 12 6 2 HELENE 12.0 170.3 59 465 +1992 1 15 12 13 ISAAC 19.9 81.0 25 211 +1985 5 28 18 12 WILLIAM 58.2 219.2 66 334 +1987 7 13 0 20 NADINE 48.4 32.4 91 161 +1953 3 6 0 7 CHRIS 44.2 111.0 122 832 +1986 10 6 12 18 BERYL 59.5 9.0 114 614 +1977 5 12 6 10 NADINE 13.8 140.2 78 30 +1983 9 20 6 19 ERNESTO 43.4 162.4 65 430 +1967 12 17 12 21 JOYCE 58.4 123.6 92 500 +1977 10 9 12 22 MICHAEL 42.2 292.9 118 387 +1963 12 24 0 11 LESLIE 25.6 132.2 52 356 +2001 8 14 18 20 GORDON 48.5 181.2 53 346 +1992 12 12 0 12 HELENE 21.0 71.6 46 175 +1994 1 21 0 27 NADINE 42.7 207.9 126 538 +1988 7 27 18 22 MICHAEL 17.6 204.6 137 151 +2004 2 6 0 1 DEBBY 24.0 30.3 114 299 +1973 2 3 18 21 ALBERTO 24.5 6.3 155 348 +1963 8 14 0 1 CHRIS 44.5 195.8 160 613 +1992 9 18 6 9 RAFAEL 22.3 165.1 11 523 +1960 5 14 6 21 RAFAEL 58.3 147.8 52 491 +1986 9 8 18 18 HELENE 20.7 239.4 16 751 +1971 9 25 12 12 NADINE 39.8 320.5 109 768 +2001 2 5 6 25 NADINE 48.6 108.8 67 219 +1993 7 3 6 1 KIRK 30.5 188.1 103 833 +1994 11 8 0 21 PATTY 23.5 350.7 106 716 +1991 1 23 0 24 DEBBY 43.3 103.7 114 43 +1950 5 7 12 2 VALERIE 24.0 311.7 163 565 +1951 12 17 12 1 ALBERTO 55.1 241.6 88 31 +1997 4 10 0 6 FLORENCE 64.7 63.2 105 266 +2002 4 13 6 4 OSCAR 20.1 320.0 52 266 +1985 11 17 12 12 FLORENCE 18.5 66.4 89 807 +1960 10 13 18 11 LESLIE 52.3 137.9 100 586 +1972 4 9 18 11 VALERIE 64.1 112.2 76 470 +1956 5 28 0 19 JOYCE 18.3 115.0 123 788 +1984 11 8 18 9 VALERIE 20.3 339.9 136 337 +1988 8 3 0 10 PATTY 17.4 342.0 93 23 +1957 7 9 18 11 MICHAEL 59.0 28.7 148 322 +1965 4 25 6 18 RAFAEL 10.1 339.1 147 770 +1966 11 17 0 9 ALBERTO 53.8 99.7 130 463 +1950 10 10 6 28 PATTY 28.4 68.9 127 699 +1977 6 16 18 3 VALERIE 26.2 229.1 136 817 +1997 1 16 12 8 MICHAEL 64.0 28.4 118 893 +1951 9 16 12 26 CHRIS 69.3 324.5 39 160 +1969 1 26 6 19 MICHAEL 43.7 225.3 120 705 +1980 11 21 6 13 WILLIAM 54.6 63.8 20 130 +1974 2 22 12 7 RAFAEL 57.2 73.0 17 811 +1966 4 8 12 18 ALBERTO 64.6 311.2 29 631 +1953 2 1 6 28 BERYL 21.5 283.9 34 788 +1970 8 15 12 4 ERNESTO 39.0 337.8 100 543 +2001 3 1 0 18 ERNESTO 64.2 158.6 132 47 +1966 10 19 6 23 ERNESTO 67.1 85.8 37 38 +1956 11 11 0 20 NADINE 15.4 212.8 146 88 +2002 8 6 6 16 PATTY 9.6 139.7 122 815 +1992 11 3 12 27 HELENE 60.1 108.8 44 657 +1967 9 18 6 4 MICHAEL 60.3 152.7 107 560 +1953 4 28 0 11 LESLIE 8.1 11.2 20 581 +1974 12 27 18 6 BERYL 58.5 137.9 51 554 +1950 11 8 18 21 ERNESTO 49.8 314.4 19 262 +1952 7 21 12 9 GORDON 64.5 257.9 11 312 +2004 11 21 6 4 DEBBY 38.9 275.3 65 168 +2003 1 28 18 11 TONY 23.7 10.8 67 561 +1992 4 4 0 18 VALERIE 69.2 270.1 142 50 +1967 8 25 12 14 MICHAEL 53.9 299.2 90 827 +1987 7 10 0 13 FLORENCE 34.8 137.6 158 524 +1964 12 4 18 18 RAFAEL 28.2 29.2 12 215 +1999 6 25 18 15 HELENE 60.4 253.5 13 543 +1973 12 6 18 12 ISAAC 66.1 23.9 83 244 +1956 12 1 18 9 JOYCE 45.8 30.6 28 238 +1970 5 25 6 28 SANDY 22.1 14.0 113 312 +1951 12 13 12 7 VALERIE 27.5 112.1 14 78 +1961 9 14 12 11 SANDY 56.5 226.1 68 482 +1991 12 18 6 15 CHRIS 66.9 7.8 57 341 +1991 10 6 12 25 GORDON 58.3 94.9 73 738 +1991 1 28 12 22 KIRK 41.5 325.6 27 147 +1989 12 17 12 14 HELENE 43.8 231.6 87 63 +1972 12 18 0 18 DEBBY 36.1 260.3 124 247 +1985 1 21 6 7 ERNESTO 25.3 58.3 83 319 +1963 7 1 18 7 ISAAC 16.0 334.7 133 163 +1963 6 5 18 4 MICHAEL 68.0 228.8 138 306 +1989 6 27 0 15 TONY 30.6 7.5 107 233 +1960 12 1 0 12 LESLIE 54.9 213.7 143 254 +1966 8 22 0 24 JOYCE 55.2 243.3 122 626 +1959 1 1 6 8 FLORENCE 35.5 210.4 127 652 +1994 10 10 0 3 FLORENCE 46.5 153.9 147 284 +1976 2 18 12 15 ISAAC 54.1 30.8 91 93 +1988 9 11 6 23 TONY 59.8 265.5 108 847 +2000 8 14 6 4 LESLIE 38.3 334.7 134 312 +1997 1 14 12 9 PATTY 68.4 110.5 30 233 +1972 9 9 6 15 MICHAEL 41.5 175.6 29 883 +1996 9 6 0 7 KIRK 48.8 23.9 148 373 +1995 4 3 18 13 HELENE 44.8 110.4 112 507 +1962 8 18 0 14 DEBBY 41.6 169.5 155 548 +1956 10 3 12 12 GORDON 40.0 188.9 136 147 +1985 11 21 12 28 LESLIE 43.4 317.6 99 758 +1964 1 15 6 5 LESLIE 38.1 183.8 123 606 +1950 5 14 6 22 LESLIE 26.1 310.8 37 761 +1957 12 26 0 19 ALBERTO 58.8 334.2 61 510 +1959 2 1 12 10 TONY 19.3 281.7 45 646 +2004 7 3 0 24 ERNESTO 34.7 223.2 114 570 +1970 6 1 18 19 ALBERTO 8.5 186.1 22 667 +1997 12 9 0 10 ERNESTO 26.8 152.2 132 83 +1950 9 18 0 8 LESLIE 61.7 167.5 38 652 +1988 1 18 6 27 SANDY 21.1 70.7 70 541 +1998 9 17 18 21 OSCAR 33.9 8.2 40 325 +1964 12 6 6 6 CHRIS 43.3 305.2 68 827 +1971 7 18 0 11 VALERIE 12.1 262.1 159 423 +1995 3 1 18 11 TONY 35.1 256.4 41 805 +1975 10 23 18 17 LESLIE 22.4 175.9 116 452 +1982 4 18 6 6 DEBBY 40.8 173.6 13 58 +1953 2 9 6 4 NADINE 63.2 112.7 95 362 +1988 9 28 6 5 ISAAC 29.7 157.5 51 644 +1954 12 22 18 28 WILLIAM 31.3 55.2 103 470 +1975 1 16 0 5 HELENE 7.2 96.9 25 256 +1988 12 4 0 19 ISAAC 12.4 320.7 82 49 +1956 2 11 18 12 OSCAR 52.6 63.9 36 708 +1990 8 17 6 18 OSCAR 16.9 52.5 144 256 +2000 12 26 6 2 FLORENCE 53.9 84.0 48 106 +2004 10 8 0 11 VALERIE 38.2 317.0 62 829 +2004 11 24 6 16 PATTY 32.4 268.6 23 507 +1993 12 2 0 17 ALBERTO 53.0 105.6 136 65 +1970 2 5 12 22 MICHAEL 50.9 235.1 14 546 +1975 3 18 18 18 ERNESTO 44.7 183.3 103 374 +1955 5 16 0 23 RAFAEL 21.1 356.0 96 662 +1951 11 20 6 18 TONY 7.8 47.2 33 304 +1984 2 22 0 10 PATTY 19.2 131.2 10 369 +1960 6 6 12 11 KIRK 53.1 91.1 103 596 +1995 2 24 18 19 ERNESTO 15.6 109.5 67 785 +1955 5 9 18 6 BERYL 68.2 234.5 22 287 +1973 7 28 6 19 PATTY 33.0 252.2 116 184 +1957 3 26 12 4 BERYL 40.8 316.8 41 644 +1983 3 24 18 24 LESLIE 54.7 191.7 108 537 +2002 1 21 6 22 ISAAC 10.3 25.8 109 308 +1992 11 12 18 2 ALBERTO 69.8 241.2 82 394 +1976 7 13 6 9 JOYCE 28.4 102.5 28 7 +1978 6 24 18 12 BERYL 49.7 308.6 10 485 +1970 11 23 12 17 ISAAC 22.7 308.3 83 545 +1991 12 17 12 27 WILLIAM 49.9 192.6 71 882 +1997 5 27 18 11 OSCAR 63.2 227.1 143 691 +1951 6 2 6 7 HELENE 35.5 154.6 32 655 +1957 10 25 12 19 OSCAR 13.4 334.0 15 883 +2000 2 10 12 8 VALERIE 30.6 83.9 164 673 +1973 4 24 0 26 DEBBY 47.5 225.1 100 67 +1990 12 10 0 2 ERNESTO 20.4 26.3 91 746 +1972 8 27 6 6 CHRIS 21.3 270.7 66 191 +1979 2 25 12 18 DEBBY 7.2 168.0 76 271 +1977 6 20 18 2 OSCAR 7.6 149.0 11 278 +1963 12 12 0 2 OSCAR 55.1 17.1 72 832 +2003 12 14 12 23 DEBBY 56.9 153.6 34 121 +1991 7 17 18 22 ALBERTO 62.5 154.3 26 713 +1994 12 12 6 24 OSCAR 58.4 257.1 162 93 +1954 3 5 0 4 PATTY 53.4 238.9 125 345 +1956 2 14 12 23 OSCAR 24.8 15.3 61 118 +1962 3 14 18 9 LESLIE 16.5 157.5 78 502 +1952 10 13 12 24 DEBBY 36.0 78.8 126 136 +1998 8 13 0 15 MICHAEL 64.3 123.0 122 383 +1991 10 20 6 3 TONY 41.1 63.9 76 356 +2004 10 19 12 3 LESLIE 14.5 9.6 15 240 +1962 7 19 12 11 LESLIE 64.3 96.4 19 722 +1959 9 3 12 9 WILLIAM 10.6 16.9 52 884 +1954 5 24 0 7 NADINE 63.0 351.1 161 783 +1952 9 4 6 25 CHRIS 7.4 141.1 93 329 +1980 2 22 6 3 KIRK 39.4 179.2 157 253 +1960 9 7 18 27 TONY 32.8 225.9 128 77 +1982 6 15 0 16 HELENE 19.5 239.3 15 105 +1983 9 18 0 13 HELENE 60.9 67.0 88 5 +1986 2 17 6 17 SANDY 19.4 122.1 112 274 +1957 5 9 6 27 NADINE 49.9 300.1 29 853 +1995 5 21 0 4 FLORENCE 8.8 286.9 121 92 +1961 11 16 12 12 ALBERTO 52.4 220.5 155 348 +1962 7 24 6 23 FLORENCE 27.1 323.0 138 453 +1956 11 1 0 21 OSCAR 55.9 74.8 115 774 +1965 2 13 18 15 SANDY 38.5 135.7 129 463 +1976 10 12 12 16 HELENE 35.7 328.4 48 58 +1981 6 18 12 25 VALERIE 7.1 152.0 142 246 +1959 10 6 6 20 TONY 66.9 144.7 138 865 +1986 11 2 12 22 ALBERTO 42.7 231.9 102 743 +1988 8 14 6 28 DEBBY 35.0 292.4 142 367 +1994 1 4 18 9 KIRK 18.8 290.6 101 561 +1955 3 19 18 8 OSCAR 37.5 92.5 112 483 +1961 5 19 18 26 OSCAR 65.8 107.3 155 881 +1952 6 14 0 28 FLORENCE 8.7 239.2 154 877 +1969 2 2 12 6 BERYL 25.2 160.7 128 51 +1996 10 2 12 24 ISAAC 68.8 24.6 25 167 +1959 6 20 12 10 ALBERTO 18.4 108.3 154 747 +1956 3 24 6 18 MICHAEL 68.8 328.8 86 779 +1979 6 8 0 27 KIRK 37.5 317.8 10 272 +1957 10 12 18 15 FLORENCE 45.5 251.0 152 135 +1986 7 18 0 21 BERYL 44.0 283.1 21 490 +1972 6 22 6 1 PATTY 28.4 345.3 109 259 +1996 3 20 6 21 ISAAC 10.3 101.2 27 239 +1959 2 12 0 21 NADINE 42.6 241.2 139 729 +1969 1 27 0 17 PATTY 20.1 267.8 91 835 +1957 11 13 12 17 RAFAEL 14.7 74.4 39 802 +1961 4 4 18 19 SANDY 63.7 182.2 63 746 +1955 9 4 18 16 RAFAEL 26.5 221.4 164 444 +1999 11 10 12 4 PATTY 63.8 183.6 18 227 +1965 5 2 18 20 TONY 23.2 233.9 145 642 +1955 10 21 6 21 PATTY 19.1 202.3 154 499 +1959 11 17 6 7 DEBBY 25.0 201.9 162 656 +1994 2 24 18 3 ALBERTO 8.0 84.0 33 491 +1977 4 21 18 22 DEBBY 36.2 249.4 60 787 +1964 5 23 6 11 MICHAEL 42.5 160.1 125 632 +1973 1 17 0 19 ISAAC 57.6 187.9 116 246 +1970 9 21 18 20 HELENE 33.9 260.2 42 635 +1988 2 7 6 10 CHRIS 34.0 14.2 51 78 +1977 12 13 6 24 KIRK 58.3 103.2 93 324 +1956 1 1 0 18 JOYCE 21.4 306.5 103 535 +1997 3 7 12 9 SANDY 13.1 195.4 132 509 +1990 1 8 18 1 ERNESTO 59.9 194.7 22 789 +2003 9 14 12 19 ERNESTO 31.1 105.8 85 887 +1965 4 28 18 9 ERNESTO 63.4 334.0 144 341 +1988 10 27 0 17 WILLIAM 41.6 205.0 122 61 +1961 5 26 0 17 DEBBY 26.3 175.4 88 556 +1997 7 19 0 10 RAFAEL 31.7 255.8 100 253 +1962 9 11 12 4 HELENE 55.1 150.1 133 544 +2004 8 15 6 7 JOYCE 12.2 62.6 41 179 +1998 9 2 0 9 RAFAEL 67.1 139.2 30 166 +1971 6 26 6 4 ERNESTO 67.5 212.2 84 822 +1967 3 4 12 7 OSCAR 22.4 31.1 44 237 +2004 5 25 0 1 ERNESTO 68.5 213.9 16 302 +1960 8 8 6 8 HELENE 16.2 253.5 115 225 +1955 11 15 6 6 OSCAR 63.8 96.7 42 340 +1987 6 10 6 22 BERYL 32.9 215.5 92 776 +1968 7 12 12 6 OSCAR 25.8 197.3 85 556 +1961 2 23 12 10 CHRIS 65.6 105.5 115 300 +1955 12 12 0 22 MICHAEL 27.9 137.9 23 62 +1977 10 16 18 16 GORDON 10.9 149.1 78 476 +1973 7 15 12 19 PATTY 34.8 205.5 114 490 +1983 10 12 6 22 DEBBY 19.5 61.5 151 254 +1992 6 16 18 16 WILLIAM 11.8 33.0 135 171 +1952 9 9 0 11 ALBERTO 8.5 285.1 34 608 +1992 4 27 12 7 OSCAR 50.0 13.3 40 708 +2002 1 11 18 10 NADINE 47.9 29.8 153 269 +1976 1 13 18 3 DEBBY 24.2 21.6 19 209 +1970 2 24 18 5 VALERIE 10.9 164.3 56 326 +1952 5 28 6 8 WILLIAM 54.0 283.3 108 295 +2001 12 26 12 2 HELENE 33.4 269.2 133 699 +1986 4 15 0 6 KIRK 42.6 112.0 142 450 +1988 7 15 12 13 ERNESTO 59.8 324.0 161 425 +1985 9 26 0 16 PATTY 63.4 218.7 26 601 +2000 10 12 6 7 FLORENCE 50.6 121.3 19 781 +1954 5 21 12 20 PATTY 53.8 106.9 26 513 +1964 2 5 12 8 NADINE 68.1 6.7 12 526 +1961 6 3 18 4 WILLIAM 43.9 162.1 28 791 +1955 5 21 0 18 CHRIS 32.1 89.2 47 551 +2003 11 13 12 6 FLORENCE 68.2 345.8 146 542 +1967 11 17 18 28 RAFAEL 33.5 214.6 46 293 +1961 1 14 0 8 DEBBY 64.2 312.9 141 699 +2001 1 15 6 16 NADINE 60.3 234.8 20 226 +2003 9 1 18 28 DEBBY 50.4 265.3 97 534 +1965 1 16 0 12 WILLIAM 16.4 167.4 41 254 +1996 6 2 0 26 ALBERTO 60.8 8.3 162 597 +1972 10 26 18 28 DEBBY 67.3 194.9 47 821 +1961 3 27 12 4 VALERIE 60.1 332.1 88 67 +1996 4 16 12 10 PATTY 52.4 162.3 53 772 +1973 3 19 6 8 HELENE 36.2 308.8 12 838 +1995 9 12 0 19 OSCAR 70.0 55.7 63 432 +1987 5 6 12 7 RAFAEL 22.4 111.2 155 600 +1993 11 11 18 28 PATTY 62.7 268.8 143 784 +1954 1 3 18 24 HELENE 27.9 349.7 144 417 +1966 11 4 0 7 JOYCE 67.9 230.9 157 803 +1996 9 22 18 2 PATTY 42.2 201.1 95 122 +1966 1 20 0 6 GORDON 18.1 346.4 105 739 +1995 11 23 18 25 NADINE 27.9 80.9 52 219 +1961 8 25 6 14 DEBBY 16.1 148.5 143 143 +1989 2 21 6 15 RAFAEL 10.9 20.3 15 428 +1960 7 26 12 27 CHRIS 44.8 188.0 48 358 +1957 5 10 6 3 HELENE 57.3 321.5 93 883 +1957 12 25 18 19 LESLIE 30.0 142.4 139 132 +1982 10 5 18 7 BERYL 57.2 141.3 20 323 +1991 4 27 0 5 VALERIE 48.9 75.1 37 647 +1973 2 14 6 3 HELENE 26.0 108.1 136 556 +2003 3 27 6 18 WILLIAM 14.5 167.3 64 367 +1973 12 15 6 15 CHRIS 50.6 302.9 164 448 +1981 2 14 0 20 BERYL 49.3 250.4 35 834 +1968 3 27 18 26 HELENE 56.8 172.7 40 845 +1966 12 11 18 18 ALBERTO 28.3 160.4 17 342 +1993 6 26 0 21 ISAAC 49.6 298.6 123 665 +1990 6 28 12 19 ERNESTO 45.2 199.9 54 218 +1993 12 19 6 5 FLORENCE 49.7 323.2 36 687 +1975 7 19 0 23 OSCAR 61.8 116.2 76 628 +1987 4 20 0 17 SANDY 52.5 311.4 81 374 +1958 1 19 6 26 CHRIS 43.5 129.0 38 342 +1986 7 21 12 16 ISAAC 14.7 131.5 160 260 +1955 1 8 6 21 SANDY 9.9 183.6 127 634 +1960 2 24 18 13 HELENE 27.8 129.1 23 258 +1950 1 16 12 6 WILLIAM 65.1 151.5 53 257 +1980 7 25 18 17 BERYL 24.1 168.2 89 138 +1966 6 27 6 16 VALERIE 47.2 244.2 112 37 +1969 12 8 0 18 CHRIS 46.6 160.8 85 356 +1970 10 9 6 28 RAFAEL 30.8 88.1 55 175 +1982 10 3 6 20 JOYCE 38.4 262.5 65 356 +1952 6 13 0 1 ERNESTO 30.2 5.8 143 104 +1984 1 18 0 7 WILLIAM 40.7 246.1 112 619 +1971 5 11 18 7 BERYL 33.9 63.8 70 31 +1952 8 4 18 13 SANDY 28.9 240.8 75 6 +1954 5 23 18 10 SANDY 51.8 71.6 33 557 +1995 9 24 18 28 TONY 14.3 233.2 50 177 +1970 9 15 12 18 VALERIE 60.6 164.9 101 564 +1958 12 15 0 25 HELENE 38.7 11.2 123 438 +1958 2 28 18 28 OSCAR 20.8 311.7 13 322 +2004 8 19 18 26 WILLIAM 56.7 305.3 107 430 +1972 2 3 18 22 ISAAC 22.2 14.5 161 49 +2003 8 3 12 22 FLORENCE 7.8 25.3 44 221 +1992 10 5 18 4 ERNESTO 32.0 96.2 117 86 +1957 5 14 12 2 FLORENCE 50.6 281.8 51 612 +2001 11 4 12 26 CHRIS 11.5 76.2 75 41 +1961 7 10 18 22 PATTY 66.4 104.8 125 702 +1961 1 10 6 15 HELENE 67.0 342.9 73 129 +1988 10 9 0 17 ALBERTO 9.5 264.5 12 677 +1967 12 28 18 2 JOYCE 45.2 17.1 120 577 +1984 8 18 6 5 KIRK 68.6 15.8 39 190 +1968 6 26 0 9 JOYCE 58.5 204.6 119 559 +1981 9 24 18 3 RAFAEL 55.5 182.9 53 109 +2002 9 12 18 16 ISAAC 50.1 104.0 18 843 +1974 3 11 18 16 GORDON 41.2 299.3 43 147 +1972 7 28 18 12 VALERIE 35.3 250.4 43 463 +1983 2 15 12 16 RAFAEL 13.8 134.3 130 623 +1957 2 27 12 20 SANDY 65.8 262.1 55 774 +1962 3 12 0 15 GORDON 62.4 216.9 124 331 +1952 1 4 6 9 RAFAEL 17.8 171.2 49 579 +1972 10 22 12 6 NADINE 33.4 68.6 94 420 +1977 7 10 12 21 SANDY 9.9 3.5 118 369 +1954 7 16 6 14 NADINE 65.0 263.3 28 765 +1994 3 16 18 22 RAFAEL 63.0 167.7 156 279 +1979 3 25 18 27 VALERIE 37.6 159.3 132 772 +1979 3 10 6 25 TONY 11.0 182.8 87 123 +1989 10 4 12 8 DEBBY 38.0 59.8 68 601 +1981 5 23 18 1 KIRK 19.3 144.2 56 779 +1957 3 26 12 12 PATTY 56.6 128.4 61 883 +1971 4 21 0 22 OSCAR 16.1 38.3 123 824 +1998 12 19 12 20 ISAAC 57.2 292.3 38 187 +1954 8 23 0 4 BERYL 10.8 168.5 120 535 +1965 3 8 0 2 TONY 10.7 60.1 84 273 +1991 1 22 6 27 SANDY 58.3 287.8 108 501 +1976 11 26 18 3 JOYCE 46.6 30.7 74 657 +1981 7 11 18 18 GORDON 65.1 39.1 134 443 +1957 12 27 6 11 MICHAEL 66.4 193.3 84 833 +1950 10 8 12 24 ALBERTO 68.8 284.6 141 19 +1951 1 14 6 6 MICHAEL 17.0 106.8 88 622 +1968 2 14 18 26 ISAAC 29.2 80.0 15 293 +1999 10 3 12 16 ERNESTO 48.7 81.5 71 91 +1970 1 17 0 15 WILLIAM 17.8 167.6 101 258 +1983 10 19 12 14 NADINE 66.0 262.2 109 235 +1997 6 15 0 10 CHRIS 51.5 299.9 128 60 +1999 4 15 0 15 VALERIE 27.2 22.5 47 341 +1977 5 15 6 14 MICHAEL 54.4 19.9 154 240 +1960 5 6 6 21 RAFAEL 60.8 207.7 131 232 +1988 4 11 18 13 MICHAEL 23.3 71.4 107 116 +2002 3 26 0 22 VALERIE 47.0 190.3 142 839 +2003 1 16 12 12 NADINE 15.5 349.8 22 195 +1979 6 5 18 13 JOYCE 42.9 107.1 150 294 +1988 8 4 6 18 TONY 43.9 95.3 111 305 +1990 8 8 6 25 CHRIS 12.6 329.0 29 334 +1981 4 4 6 16 ALBERTO 25.5 318.4 98 482 +1983 4 1 6 7 TONY 55.1 215.6 23 245 +1979 4 19 12 5 HELENE 20.3 316.6 21 300 +1978 9 19 12 15 RAFAEL 27.6 114.5 77 678 +2001 2 7 18 23 MICHAEL 48.3 49.6 15 504 +1964 7 9 0 7 FLORENCE 15.8 196.9 42 221 +1953 2 8 18 7 SANDY 44.6 11.8 131 753 +1983 12 21 0 15 JOYCE 61.2 113.0 130 861 +1977 4 2 6 10 BERYL 68.9 273.0 129 26 +1973 3 11 12 19 LESLIE 54.2 170.1 122 758 +1983 7 17 0 4 OSCAR 15.1 54.9 123 539 +1985 5 13 6 18 RAFAEL 19.3 223.3 103 25 +2003 6 28 12 21 OSCAR 38.5 208.2 16 563 +1966 7 2 12 18 KIRK 12.1 345.0 66 405 +1988 3 23 12 24 ALBERTO 69.4 106.6 86 174 +1992 5 24 6 15 DEBBY 66.6 283.5 112 732 +1980 12 15 6 18 ISAAC 27.7 75.8 134 318 +1951 4 20 18 3 KIRK 30.6 125.8 76 705 +2003 6 12 6 4 NADINE 12.2 215.3 101 701 +1999 6 19 18 3 OSCAR 11.3 199.0 58 538 +2001 10 6 6 8 GORDON 61.8 261.8 36 755 +1972 12 5 12 23 RAFAEL 46.2 272.6 117 378 +1972 3 23 18 3 TONY 11.4 99.9 133 422 +1989 1 4 0 11 SANDY 11.9 210.9 86 558 +1995 11 25 12 28 BERYL 23.2 11.7 91 309 +1991 3 15 0 6 WILLIAM 24.5 96.7 89 139 +1995 3 13 0 10 ISAAC 13.2 339.5 66 592 +1985 11 6 0 22 FLORENCE 23.9 206.6 152 841 +1978 10 16 0 21 ERNESTO 45.3 259.9 57 357 +1977 4 9 18 20 ERNESTO 48.7 180.8 160 593 +1981 11 12 18 11 ERNESTO 28.0 293.9 19 351 +1963 3 4 0 15 WILLIAM 50.9 173.9 93 722 +1983 4 11 18 13 GORDON 44.1 301.8 100 803 +2001 3 11 0 6 HELENE 8.9 111.4 49 885 +1977 12 3 0 25 HELENE 57.9 92.0 80 462 +1997 9 18 0 4 DEBBY 33.6 166.0 91 600 +1980 8 19 12 26 NADINE 25.5 323.4 148 135 +1950 7 24 6 19 ERNESTO 18.0 163.5 28 686 +1959 10 4 18 27 ALBERTO 40.7 328.0 38 256 +1981 3 8 0 9 KIRK 35.3 45.9 140 495 +1965 8 6 6 9 DEBBY 31.4 235.4 111 870 +1964 11 8 6 20 LESLIE 61.2 342.2 82 476 +1991 5 9 6 4 PATTY 8.9 266.3 155 688 +1991 6 25 18 21 ERNESTO 47.2 356.5 128 94 +2001 1 7 18 18 NADINE 21.0 197.6 137 762 +1994 8 16 0 9 GORDON 12.9 294.9 108 78 +1973 2 5 18 19 DEBBY 57.2 212.2 72 193 +1992 12 12 6 7 WILLIAM 45.8 250.1 34 681 +2004 3 6 12 4 MICHAEL 16.6 23.8 21 825 +1963 4 22 0 28 HELENE 8.4 230.4 137 230 +2000 3 18 18 26 ISAAC 35.0 150.2 145 541 +1950 8 13 12 17 ALBERTO 9.9 80.9 80 885 +1989 4 4 6 5 BERYL 43.2 0.8 64 217 +1959 10 11 12 4 LESLIE 7.6 323.9 128 391 +1978 8 25 6 24 SANDY 37.1 116.8 119 108 +1952 11 27 0 12 MICHAEL 67.0 345.1 31 40 +1974 11 11 0 15 OSCAR 62.3 23.1 25 121 +1995 10 3 0 12 DEBBY 20.3 75.0 124 450 +1960 11 19 0 7 FLORENCE 67.6 47.5 148 253 +1955 3 7 18 26 SANDY 9.5 162.5 40 353 +1971 12 18 12 3 HELENE 32.8 353.1 43 337 +2004 11 24 18 3 OSCAR 27.8 62.6 71 453 +1957 9 24 0 23 JOYCE 27.5 5.6 103 43 +1969 1 17 18 12 PATTY 18.3 48.4 108 850 +1976 3 19 6 21 RAFAEL 47.8 5.9 124 548 +1985 2 14 6 13 LESLIE 61.5 101.3 107 412 +1997 8 4 12 15 MICHAEL 14.0 223.5 159 783 +1980 5 14 6 20 KIRK 65.3 265.6 119 729 +1970 2 22 0 15 TONY 45.4 249.9 159 102 +1961 3 3 18 21 DEBBY 14.9 14.1 33 139 +1954 10 15 12 21 ISAAC 10.2 105.3 92 655 +1994 5 28 6 5 HELENE 47.5 169.8 124 307 +1975 7 3 0 15 RAFAEL 32.2 148.0 161 720 +2000 9 21 12 26 OSCAR 25.9 174.9 58 840 +1956 8 14 0 21 NADINE 29.5 25.6 55 882 +1958 9 6 6 19 TONY 60.6 68.3 109 36 +1950 1 24 12 17 SANDY 7.3 54.7 11 294 +1988 7 3 0 1 PATTY 40.9 68.5 37 278 +1962 9 15 0 23 NADINE 40.5 15.9 84 305 +1993 11 12 0 23 NADINE 7.0 172.5 54 240 +1974 7 26 0 26 RAFAEL 45.4 86.9 159 178 +1993 8 8 18 19 GORDON 69.3 342.2 136 590 +1981 7 2 12 19 DEBBY 52.5 292.9 41 736 +1951 10 16 12 23 ALBERTO 50.6 11.3 77 575 +1963 4 24 12 4 WILLIAM 40.7 247.2 55 215 +2000 6 11 0 21 KIRK 13.9 160.0 80 131 +1966 7 28 12 7 SANDY 41.9 114.9 105 225 +1987 11 12 0 20 ALBERTO 49.0 194.5 97 452 +1957 2 12 18 1 WILLIAM 40.3 75.3 135 750 +2004 9 23 18 9 MICHAEL 49.0 141.3 103 259 +1976 7 25 12 9 NADINE 50.6 136.6 148 554 +1997 1 8 12 28 SANDY 8.4 308.3 41 122 +1997 8 2 18 24 CHRIS 51.7 88.8 106 570 +1956 6 17 6 12 ISAAC 60.0 206.5 35 437 +1961 10 14 12 9 OSCAR 44.5 138.2 22 443 +1980 3 13 0 26 ERNESTO 34.9 36.9 82 276 +1986 10 23 18 1 JOYCE 21.7 149.5 14 477 +1950 12 23 18 2 NADINE 14.6 120.6 57 145 +1956 2 7 6 21 DEBBY 22.1 335.5 157 225 +1969 6 3 0 17 BERYL 56.3 80.1 103 365 +1977 9 17 12 27 KIRK 9.0 165.0 102 579 +1964 3 17 18 7 HELENE 38.7 278.2 160 602 +1967 9 26 0 21 TONY 60.7 7.6 109 737 +1951 5 13 0 23 OSCAR 35.8 193.2 23 865 +1954 6 3 18 21 ALBERTO 66.1 327.0 162 216 +1971 3 19 12 25 VALERIE 46.0 189.2 31 530 +2002 5 11 0 5 WILLIAM 64.0 309.0 115 663 +1996 8 8 6 11 PATTY 58.6 312.3 60 271 +1965 5 17 12 1 MICHAEL 29.3 263.8 148 358 +1986 1 8 6 1 JOYCE 57.0 195.8 10 734 +1971 8 28 12 20 TONY 52.0 5.1 160 172 +1977 10 3 0 13 ALBERTO 12.2 4.5 76 220 +1972 4 10 6 4 PATTY 51.3 112.0 164 324 +1984 8 11 12 5 LESLIE 61.4 296.7 125 216 +1989 10 10 6 3 ERNESTO 45.8 155.5 101 690 +1955 10 2 12 1 VALERIE 32.4 253.1 90 790 +1974 8 26 0 24 HELENE 29.8 161.2 70 739 +1967 3 21 6 9 WILLIAM 68.1 337.9 106 144 +1962 12 16 0 17 HELENE 47.7 249.4 69 766 +1957 3 26 0 5 LESLIE 68.4 278.2 17 616 +1950 3 19 6 23 TONY 28.9 289.2 119 149 +1958 3 23 18 26 ERNESTO 30.8 152.4 43 466 +1997 9 5 0 4 KIRK 46.3 77.7 130 447 +1951 12 8 12 28 ALBERTO 56.6 84.6 23 92 +1975 12 1 0 6 MICHAEL 63.2 153.3 21 280 +2004 4 6 12 11 KIRK 30.2 326.9 92 217 +1966 6 13 18 20 ISAAC 59.0 243.7 142 63 +1991 8 26 6 26 WILLIAM 62.4 299.0 123 253 +1967 6 6 6 18 LESLIE 13.7 199.7 69 530 +1971 9 20 18 12 HELENE 17.6 115.7 139 856 +1951 12 19 12 24 VALERIE 16.2 265.0 123 93 +1962 11 4 12 7 KIRK 67.0 210.3 13 631 +1990 3 4 0 22 TONY 62.6 346.6 76 579 +1973 11 22 6 23 MICHAEL 66.6 245.4 137 665 +2004 10 14 6 2 FLORENCE 20.9 229.1 75 312 +1991 1 22 12 8 ALBERTO 63.0 243.9 22 284 +1969 10 2 18 24 OSCAR 65.6 218.1 162 524 +1958 4 11 12 12 SANDY 44.5 229.8 131 361 +1961 5 19 6 16 DEBBY 34.6 309.4 75 650 +1998 1 23 12 23 WILLIAM 43.7 271.7 158 223 +1973 4 5 0 24 ISAAC 22.7 213.5 124 167 +1999 10 7 0 8 NADINE 47.7 7.0 152 744 +2001 9 11 0 6 MICHAEL 23.1 22.9 131 385 +1983 2 5 12 1 KIRK 27.9 291.6 160 133 +1955 12 9 12 17 TONY 10.7 139.5 158 344 +1953 10 25 6 17 TONY 59.7 139.8 87 418 +1988 7 8 6 14 OSCAR 15.6 54.5 67 156 +1968 4 18 0 21 NADINE 33.5 52.2 71 708 +2004 11 28 18 10 PATTY 25.4 28.4 97 416 +1966 1 3 12 7 OSCAR 27.6 49.2 101 155 +1960 9 7 18 26 ISAAC 67.8 356.4 134 298 +1958 7 4 12 20 BERYL 8.2 247.1 31 855 +1994 6 20 18 18 SANDY 69.9 332.0 133 315 +1959 7 19 12 14 HELENE 43.4 336.3 140 769 +1995 6 26 6 26 MICHAEL 67.7 339.7 48 498 +1959 7 19 6 3 ALBERTO 27.2 319.2 83 53 +1967 4 11 0 20 FLORENCE 66.7 230.8 129 747 +2000 3 14 18 11 NADINE 38.2 356.6 126 34 +1969 3 16 0 17 CHRIS 69.1 277.2 104 516 +1995 12 25 12 23 JOYCE 52.4 127.5 65 201 +1964 6 6 0 18 HELENE 68.7 96.0 43 661 +1951 7 21 6 26 OSCAR 54.6 242.9 116 732 +2003 2 17 6 24 MICHAEL 59.8 23.0 16 263 +1952 7 1 0 8 WILLIAM 13.8 190.9 115 446 +1989 2 28 12 4 OSCAR 23.0 250.3 28 535 +1959 6 20 6 18 RAFAEL 54.7 180.7 43 840 +1987 11 12 18 20 CHRIS 24.3 162.3 135 499 +1964 12 10 18 3 ALBERTO 45.3 229.9 91 159 +1982 7 28 6 11 ERNESTO 13.5 124.7 143 541 +2004 6 6 18 26 FLORENCE 13.3 314.9 122 804 +1960 12 14 6 18 NADINE 31.5 162.0 130 479 +1979 9 21 12 25 ALBERTO 16.9 179.2 116 799 +1959 2 13 6 2 WILLIAM 50.0 208.6 67 65 +2000 4 4 12 28 WILLIAM 64.5 148.6 138 254 +1953 6 2 0 2 HELENE 41.5 89.9 155 743 +1953 3 13 18 13 OSCAR 58.1 275.6 90 166 +1961 2 19 6 20 GORDON 59.2 10.7 35 14 +1987 1 3 18 20 TONY 51.7 150.8 51 83 +1988 4 25 6 13 KIRK 20.6 5.8 43 708 +1982 9 10 6 11 OSCAR 13.0 219.4 73 575 +1951 9 27 0 12 WILLIAM 15.5 200.2 66 868 +1959 10 20 6 8 RAFAEL 13.2 102.4 134 474 +1988 2 10 6 20 NADINE 56.0 176.8 101 159 +1956 6 17 12 6 GORDON 52.7 100.4 42 639 +1993 12 8 6 14 OSCAR 19.3 61.9 39 340 +1952 1 14 6 19 OSCAR 41.9 242.1 125 649 +1959 10 19 18 24 MICHAEL 45.7 195.7 12 852 +1967 5 16 18 5 RAFAEL 44.5 221.7 131 85 +1982 11 23 0 16 JOYCE 56.0 298.3 65 345 +1951 2 26 12 7 FLORENCE 28.7 220.7 40 739 +1987 9 17 6 6 TONY 65.2 154.1 74 732 +2000 1 24 0 4 DEBBY 45.4 72.9 106 669 +1967 1 6 0 20 ERNESTO 36.6 239.5 120 879 +1951 10 6 12 3 MICHAEL 57.6 123.6 69 603 +2001 4 11 6 27 NADINE 13.8 196.1 164 63 +1983 9 5 12 9 GORDON 45.6 66.8 120 106 +1987 10 4 6 19 ALBERTO 12.7 297.7 40 149 +1984 9 19 12 20 TONY 23.5 281.4 80 891 +1965 5 10 18 12 JOYCE 7.3 42.1 153 76 +1978 12 2 0 26 MICHAEL 35.3 266.0 163 859 +1985 7 28 12 28 ERNESTO 14.9 309.6 28 106 +1992 1 12 6 22 MICHAEL 50.7 168.2 138 182 +1982 5 22 12 16 SANDY 68.0 3.3 88 89 +2003 2 21 12 13 FLORENCE 17.3 225.8 52 120 +1988 2 20 6 13 KIRK 22.3 189.2 94 497 +1992 7 28 6 15 BERYL 37.7 318.8 126 368 +1968 8 8 18 3 PATTY 60.9 13.4 111 273 +1990 4 13 12 12 JOYCE 44.6 13.3 70 810 +1989 11 12 6 21 TONY 61.2 280.8 141 549 +2004 9 5 12 28 ISAAC 51.2 181.2 89 482 +1992 1 14 6 15 BERYL 10.8 97.2 135 371 +1993 4 17 0 13 LESLIE 7.2 320.6 107 243 +1995 8 3 0 8 OSCAR 40.6 164.0 75 399 +1970 9 15 6 28 LESLIE 62.9 54.2 66 827 +1974 2 21 0 11 VALERIE 14.5 65.2 129 42 +1957 3 27 12 23 SANDY 45.9 68.4 145 367 +1952 10 17 12 14 BERYL 21.9 322.0 75 244 +1954 7 1 0 9 ISAAC 48.2 263.9 16 231 +1965 3 12 6 3 TONY 52.7 138.1 78 486 +1976 1 9 18 19 ALBERTO 25.1 256.4 70 780 +1979 7 23 6 24 MICHAEL 57.2 309.3 105 131 +2001 8 17 18 9 TONY 45.1 206.8 142 270 +1953 10 27 0 14 MICHAEL 68.2 12.4 134 176 +1997 11 21 18 2 ISAAC 19.2 117.8 143 137 +1984 10 12 18 4 JOYCE 19.8 27.8 108 106 +1957 5 27 12 13 CHRIS 32.6 202.1 100 727 +1975 9 1 18 20 RAFAEL 29.7 107.2 77 492 +1983 9 20 0 9 GORDON 59.9 205.8 140 35 +1955 8 27 18 15 WILLIAM 16.5 68.9 140 44 +1953 4 11 0 3 ALBERTO 51.3 24.2 95 792 +1962 2 19 12 7 HELENE 56.6 214.4 78 400 +1977 5 28 0 20 TONY 49.5 139.1 68 23 +1976 3 10 0 23 ALBERTO 32.1 225.8 12 747 +1976 2 19 0 22 NADINE 50.2 344.0 129 431 +1988 7 22 12 14 WILLIAM 56.5 6.8 121 294 +1955 6 12 0 1 ERNESTO 39.5 48.0 46 670 +1961 7 8 12 23 ERNESTO 29.6 184.3 81 121 +1984 9 11 6 4 NADINE 48.9 355.1 69 281 +1971 4 21 18 9 JOYCE 27.0 11.3 61 3 +1966 2 15 12 5 FLORENCE 39.9 309.0 154 744 +1979 11 4 12 15 HELENE 30.2 265.5 67 254 +1984 9 17 18 2 FLORENCE 8.3 199.6 56 301 +1966 9 15 12 19 OSCAR 27.4 285.9 13 13 +1970 7 15 6 15 GORDON 26.4 292.3 38 319 +1981 4 23 0 5 CHRIS 10.7 263.6 126 794 +1980 6 23 6 15 VALERIE 53.2 111.0 155 29 +1956 7 15 0 6 MICHAEL 45.9 294.1 69 38 +1973 7 28 18 20 BERYL 63.5 324.4 21 839 +1989 5 2 18 13 KIRK 64.9 192.3 159 467 +1986 6 8 0 27 RAFAEL 63.0 72.9 49 581 +1990 4 2 6 4 HELENE 11.5 79.2 20 427 +1950 3 15 18 14 HELENE 65.0 44.7 119 456 +1962 6 16 12 20 LESLIE 18.7 192.6 16 891 +1977 1 15 18 26 VALERIE 41.2 131.6 83 204 +1994 8 1 18 25 ALBERTO 10.6 321.7 129 516 +1983 8 8 0 1 FLORENCE 69.4 114.1 91 114 +1951 6 9 6 19 KIRK 53.9 43.6 33 794 +1987 10 20 12 5 ISAAC 56.1 159.3 121 21 +1972 4 14 12 26 BERYL 46.8 224.0 103 668 +1977 8 16 0 26 TONY 59.7 98.8 64 426 +1951 4 27 6 26 TONY 8.2 172.3 43 45 +2001 7 14 18 4 TONY 14.9 187.4 144 30 +1972 6 13 6 4 KIRK 17.8 303.0 157 162 +1987 4 15 0 13 JOYCE 68.8 262.6 92 554 +1978 8 5 6 2 JOYCE 34.0 61.9 67 564 +2001 10 24 12 28 HELENE 54.2 103.9 62 139 +1961 5 5 6 12 RAFAEL 47.0 247.5 33 848 +1988 5 25 0 5 JOYCE 42.9 271.3 80 770 +1967 6 6 12 1 GORDON 28.2 309.9 106 352 +1972 5 28 0 1 ISAAC 47.4 85.7 164 357 +1997 6 24 0 25 RAFAEL 40.9 257.3 36 506 +1969 3 14 12 4 FLORENCE 64.6 37.8 155 843 +1981 9 20 18 9 DEBBY 29.4 239.9 26 137 +1993 10 6 6 11 ERNESTO 30.8 221.7 156 200 +1969 12 14 12 9 KIRK 38.8 228.8 127 94 +1988 11 9 12 7 OSCAR 14.8 354.2 53 734 +2000 4 14 0 9 GORDON 38.2 181.3 83 662 +1980 5 9 6 18 LESLIE 22.1 202.2 133 94 +1972 6 17 0 7 HELENE 58.4 244.8 122 158 +1997 4 27 0 6 GORDON 30.8 50.4 41 269 +1979 3 21 0 12 DEBBY 45.3 297.7 146 238 +1993 10 1 0 18 ALBERTO 35.9 158.3 116 751 +1972 6 21 6 9 JOYCE 68.6 275.4 116 88 +1982 12 4 0 3 DEBBY 12.2 12.3 149 779 +1973 4 20 18 25 RAFAEL 38.7 214.9 136 216 +1987 2 13 18 25 MICHAEL 28.6 64.0 157 251 +1957 5 16 18 27 ERNESTO 48.6 85.6 132 847 +1987 2 2 0 1 OSCAR 16.6 290.8 106 632 +1974 6 2 18 5 MICHAEL 15.1 347.1 32 849 +1970 7 10 18 9 PATTY 36.8 256.3 118 880 +1971 1 7 0 17 VALERIE 33.1 163.7 38 794 +1972 12 16 0 5 FLORENCE 9.2 265.7 162 365 +1976 12 14 12 28 WILLIAM 24.6 199.6 39 258 +1994 4 21 18 26 SANDY 53.5 85.5 107 346 +1955 9 16 0 7 TONY 66.3 185.8 55 794 +1961 3 18 12 8 LESLIE 57.4 118.5 155 657 +1950 6 13 0 10 TONY 8.2 314.4 135 329 +2004 3 6 0 27 CHRIS 66.5 0.1 61 70 +2003 7 8 0 8 CHRIS 21.9 198.9 155 301 +1989 10 26 18 27 FLORENCE 53.5 216.5 154 326 +1964 3 10 0 11 NADINE 53.7 66.3 29 35 +1960 2 6 0 8 ERNESTO 8.6 191.0 75 583 +1954 11 12 0 13 FLORENCE 35.6 80.4 34 714 +1978 10 14 12 3 KIRK 24.8 329.1 54 172 +1966 2 4 0 16 RAFAEL 41.2 52.7 138 716 +1953 2 23 6 5 ERNESTO 25.3 18.9 14 124 +1995 2 12 12 13 ISAAC 19.1 58.4 24 607 +2004 4 20 0 18 ALBERTO 62.6 346.3 113 108 +1990 5 3 12 26 ERNESTO 16.5 178.7 92 224 +1951 11 1 6 8 ERNESTO 65.1 134.6 14 184 +1978 8 3 12 19 ISAAC 19.9 104.0 94 715 +1978 4 22 18 10 ISAAC 42.5 171.2 73 407 +1978 12 23 12 12 RAFAEL 43.5 192.3 85 131 +2000 2 18 6 15 ALBERTO 40.3 7.9 126 866 +1960 10 9 18 10 JOYCE 40.0 340.7 19 157 +1953 2 11 12 2 GORDON 18.1 1.3 155 65 +1997 12 16 6 10 LESLIE 34.4 222.8 161 688 +1964 4 18 6 25 JOYCE 37.7 151.1 66 22 +1995 5 28 6 4 VALERIE 21.1 54.9 136 721 +1953 1 16 12 13 BERYL 19.5 121.7 79 895 +1969 7 2 0 4 GORDON 44.9 183.5 82 748 +1985 7 28 12 5 BERYL 40.2 175.2 49 253 +1996 5 24 12 28 ISAAC 31.9 319.5 51 884 +1984 1 22 0 19 VALERIE 15.6 94.3 69 463 +1953 12 8 6 19 TONY 44.1 271.6 125 492 +1954 2 7 12 9 ISAAC 68.9 108.4 154 498 +1989 2 8 12 22 RAFAEL 30.3 233.6 67 387 +2001 10 17 12 20 MICHAEL 44.6 68.0 37 321 +1960 5 22 6 5 OSCAR 69.7 299.2 85 436 +1984 9 26 6 9 ERNESTO 34.5 132.1 41 513 +1988 12 19 6 24 NADINE 26.1 235.6 102 641 +2002 1 14 6 24 RAFAEL 25.6 51.2 68 152 +1978 2 15 6 27 OSCAR 43.6 81.5 19 697 +1994 2 18 12 14 BERYL 17.1 98.3 25 413 +1964 6 18 18 25 ALBERTO 40.3 117.2 104 361 +1978 3 20 6 28 RAFAEL 45.2 10.5 18 609 +1958 6 26 18 10 MICHAEL 7.6 277.2 76 323 +1950 3 11 18 20 WILLIAM 12.4 320.4 133 567 +1995 7 20 18 18 GORDON 56.5 126.1 117 535 +1960 3 21 12 13 VALERIE 69.2 128.2 22 829 +1982 6 5 6 2 FLORENCE 23.8 2.0 45 887 +1966 5 10 0 26 VALERIE 13.2 313.9 156 898 +1959 12 27 6 11 MICHAEL 19.8 349.9 31 581 +1956 3 2 12 3 SANDY 64.2 357.3 146 806 +1956 6 12 0 18 PATTY 29.1 39.9 47 267 +1991 10 20 12 9 OSCAR 9.5 250.8 34 416 +1990 6 18 18 1 LESLIE 57.8 255.9 58 716 +1977 10 1 18 22 KIRK 40.6 299.7 140 508 +1970 3 13 18 8 ISAAC 41.0 72.5 48 817 +2001 11 21 12 19 ISAAC 20.6 342.0 50 77 +1990 11 19 0 24 MICHAEL 50.4 357.6 86 719 +1977 1 25 6 10 ISAAC 37.1 105.7 111 865 +2003 5 11 6 24 HELENE 37.3 247.9 83 523 +1976 10 21 6 3 CHRIS 46.8 317.3 148 42 +1972 10 20 0 8 KIRK 28.2 252.0 72 25 +1953 1 10 6 13 JOYCE 7.4 220.6 65 828 +1997 12 12 6 4 PATTY 13.7 332.8 105 203 +1962 4 25 12 16 DEBBY 31.0 263.7 92 162 +1962 3 17 0 23 FLORENCE 51.7 238.9 42 93 +1993 5 15 12 20 WILLIAM 37.9 51.6 79 459 +1971 2 1 6 15 TONY 43.2 295.0 151 174 +1999 2 13 0 22 NADINE 11.3 6.1 34 263 +1952 1 8 18 19 ALBERTO 54.6 236.6 85 868 +1960 11 25 0 14 KIRK 62.2 350.1 94 56 +1971 9 17 0 9 ISAAC 22.5 121.6 80 313 +1996 7 8 12 6 ERNESTO 65.4 92.7 62 509 +1970 5 17 12 20 DEBBY 56.8 126.7 107 200 +1982 3 6 0 14 PATTY 39.3 41.2 40 672 +1970 6 19 0 1 HELENE 61.6 54.6 34 460 +1958 2 4 6 12 BERYL 50.8 336.7 150 137 +1967 3 11 18 8 FLORENCE 46.0 89.9 27 777 +1998 5 26 12 19 SANDY 38.3 351.5 110 280 +1993 8 10 0 3 SANDY 10.9 77.3 142 302 +1975 6 26 6 28 DEBBY 65.5 61.4 46 653 +1978 11 2 6 17 DEBBY 19.9 225.6 86 855 +1951 2 26 0 25 OSCAR 38.5 298.8 157 15 +1986 6 14 12 10 CHRIS 21.0 78.0 149 139 +1960 6 8 0 17 RAFAEL 34.5 283.8 20 56 +2000 4 1 12 20 FLORENCE 31.2 323.6 51 697 +1980 1 8 18 2 GORDON 20.2 96.6 44 404 +2002 12 26 0 20 ALBERTO 44.2 324.0 44 785 +2002 11 28 12 18 SANDY 62.3 152.6 37 305 +1992 5 11 6 27 DEBBY 19.0 214.3 90 313 +1960 11 24 18 12 VALERIE 19.5 202.7 158 68 +1968 9 26 18 27 BERYL 67.8 62.6 162 796 +1996 3 20 18 18 ERNESTO 59.8 46.1 155 91 +1981 7 10 12 7 ISAAC 67.4 135.4 39 832 +1962 1 4 6 28 ALBERTO 11.7 104.1 129 191 +1995 8 18 12 20 ISAAC 59.6 226.8 40 72 +1990 1 2 6 1 WILLIAM 34.8 119.6 27 472 +1988 2 17 12 3 HELENE 15.2 63.8 40 158 +1953 4 3 0 2 HELENE 45.8 166.1 83 625 +1988 3 25 0 28 FLORENCE 63.2 23.3 126 131 +1977 4 12 18 15 LESLIE 16.4 50.2 39 205 +1998 11 11 0 8 GORDON 52.1 62.5 29 61 +1959 7 9 18 24 LESLIE 48.4 206.7 153 312 +1995 10 14 0 26 PATTY 37.0 59.5 55 888 +1968 8 19 6 14 MICHAEL 40.3 77.5 49 226 +1966 5 19 18 19 HELENE 45.8 261.1 30 749 +1998 12 3 6 11 CHRIS 55.8 330.9 145 885 +1985 5 8 18 28 FLORENCE 46.3 107.8 150 847 +1970 11 25 12 12 GORDON 54.6 271.4 164 11 +1968 3 6 12 7 ISAAC 28.9 342.1 56 807 +1978 5 12 0 5 ERNESTO 53.0 40.6 77 148 +1963 9 20 18 18 MICHAEL 25.0 164.1 160 588 +1964 5 11 18 8 TONY 59.6 272.5 11 717 +1984 3 1 18 15 OSCAR 8.5 188.0 87 350 +1957 11 3 6 26 BERYL 7.6 36.3 68 55 +1984 6 22 0 23 ISAAC 67.6 222.0 39 365 +1985 1 27 18 12 TONY 35.9 312.0 29 473 +1990 5 18 18 25 ERNESTO 57.7 2.6 14 733 +1987 7 23 18 4 PATTY 29.6 116.7 164 391 +1979 8 3 0 28 PATTY 14.3 282.9 99 734 +2000 10 23 12 18 RAFAEL 69.7 52.5 126 252 +1968 11 19 6 14 BERYL 14.1 53.8 111 682 +1963 11 10 12 6 JOYCE 28.9 24.0 55 194 +1992 6 16 6 8 RAFAEL 64.4 208.2 131 387 +1967 10 10 6 25 KIRK 17.3 3.7 96 254 +1976 7 19 12 1 DEBBY 68.6 138.1 55 589 +1953 3 6 18 14 MICHAEL 44.1 284.5 24 120 +1955 2 1 18 4 ISAAC 45.6 250.1 119 56 +1997 4 21 18 12 LESLIE 37.6 172.2 153 802 +1952 6 3 0 6 KIRK 48.0 233.5 58 696 +1977 8 25 12 27 LESLIE 16.5 73.3 117 446 +1992 7 15 6 25 JOYCE 65.2 147.5 59 672 +1985 7 8 12 7 ERNESTO 16.1 340.9 158 86 +1999 7 22 6 26 JOYCE 21.9 156.3 139 830 +1972 4 20 12 21 BERYL 55.9 233.5 18 773 +1971 6 7 12 13 WILLIAM 51.0 330.7 83 441 +1991 11 24 0 9 SANDY 62.0 305.7 162 402 +1978 6 28 12 7 WILLIAM 35.4 18.6 134 784 +1995 5 27 0 4 MICHAEL 18.7 158.2 103 588 +1967 8 9 0 6 GORDON 30.1 278.9 83 834 +1954 7 28 6 9 VALERIE 31.8 231.5 145 346 +1986 10 8 6 22 KIRK 29.4 30.9 148 201 +1998 10 23 6 12 KIRK 69.8 173.0 155 390 +1964 3 7 12 23 VALERIE 10.7 71.5 110 180 +1950 8 13 0 18 HELENE 33.3 293.0 36 324 +1987 9 8 18 5 KIRK 27.2 195.0 58 724 +1987 6 8 12 22 CHRIS 57.4 65.5 78 341 +1976 9 5 18 13 SANDY 32.1 341.5 127 749 +2003 10 8 0 2 ERNESTO 52.7 343.6 139 526 +1983 1 16 0 3 NADINE 37.4 67.9 101 469 +1982 7 15 12 10 NADINE 34.3 298.1 10 615 +1969 1 12 0 9 OSCAR 28.3 198.5 116 583 +1955 3 24 0 8 SANDY 36.5 283.5 109 50 +1983 11 7 0 6 ALBERTO 56.6 344.3 78 351 +1987 7 9 18 13 JOYCE 56.4 181.1 98 374 +1961 6 11 12 18 ERNESTO 69.4 26.6 79 397 +2004 11 27 18 18 KIRK 67.8 127.5 96 101 +1970 11 1 12 17 GORDON 55.0 155.1 72 58 +1985 5 18 0 28 PATTY 29.1 347.3 108 884 +1992 3 1 18 14 RAFAEL 48.6 39.1 36 337 +1975 4 3 6 7 FLORENCE 63.4 158.0 81 570 +1966 2 23 0 6 TONY 56.5 103.6 49 882 +2001 9 19 6 17 DEBBY 62.2 155.4 30 859 +2000 6 15 12 23 KIRK 59.8 291.3 58 465 +1978 1 6 12 2 FLORENCE 43.2 195.0 52 581 +1962 10 6 6 28 CHRIS 44.4 218.3 42 246 +1995 5 6 0 8 MICHAEL 51.1 150.4 85 571 +1964 3 13 18 25 ALBERTO 18.2 36.6 94 207 +1969 11 18 6 6 ALBERTO 24.5 306.4 152 149 +1953 1 10 0 19 PATTY 30.7 81.7 31 586 +1954 10 19 6 4 ERNESTO 33.3 290.2 133 171 +1979 5 10 12 2 SANDY 53.2 151.5 142 494 +2000 11 12 12 14 JOYCE 15.7 348.9 85 745 +1998 5 3 6 7 ALBERTO 68.9 156.1 31 617 +1961 10 13 0 3 LESLIE 61.8 57.6 46 442 +1997 3 21 0 6 DEBBY 7.7 293.3 66 687 +1963 2 27 18 23 TONY 9.7 130.2 13 390 +2004 2 15 0 11 HELENE 37.4 312.1 100 164 +1980 3 13 0 14 LESLIE 23.9 129.8 121 42 +1995 4 18 0 16 WILLIAM 17.6 159.8 45 847 +1977 5 21 18 20 NADINE 13.4 296.8 163 108 +1986 6 9 6 12 KIRK 28.5 193.8 27 759 +1986 4 10 6 5 NADINE 12.9 170.1 21 695 +1964 9 18 0 5 WILLIAM 31.5 161.5 31 352 +1972 12 12 0 28 TONY 40.5 226.6 69 494 +1962 11 20 6 4 OSCAR 46.7 263.0 15 884 +1997 6 27 12 18 HELENE 7.6 172.8 59 44 +1960 2 5 0 14 MICHAEL 50.6 66.0 142 371 +2000 8 9 12 6 WILLIAM 59.8 290.5 91 548 +1964 5 3 12 7 SANDY 42.8 55.0 85 186 +1980 11 25 18 9 HELENE 49.9 325.1 138 768 +1951 11 4 18 7 ERNESTO 44.6 69.5 162 439 +1980 12 23 12 10 FLORENCE 16.5 301.0 54 424 +1967 4 7 0 16 FLORENCE 22.0 76.9 153 306 +1964 12 6 12 25 GORDON 57.9 318.1 106 152 +1993 2 18 6 16 RAFAEL 22.5 251.1 155 816 +1997 1 21 6 5 CHRIS 29.1 296.5 17 335 +1962 9 22 12 17 CHRIS 57.8 101.8 96 742 +1961 5 19 12 1 GORDON 38.4 76.9 31 195 +1969 7 25 0 4 JOYCE 63.2 106.2 19 848 +1971 1 3 0 5 ERNESTO 34.7 35.4 164 437 +1981 10 4 6 16 CHRIS 49.8 38.7 144 28 +1966 12 24 6 12 OSCAR 23.7 150.9 40 130 +1969 5 12 12 21 LESLIE 39.1 151.5 100 562 +1966 1 27 6 17 ALBERTO 49.2 66.6 70 838 +1994 8 8 0 28 PATTY 8.1 266.0 42 297 +1998 2 1 12 24 KIRK 18.4 242.3 54 212 +1985 7 14 0 3 OSCAR 40.2 78.0 72 622 +1985 11 26 12 12 RAFAEL 59.0 48.1 150 255 +1999 1 8 18 4 TONY 28.6 96.9 126 209 +1968 11 2 18 20 RAFAEL 22.6 160.4 13 736 +1964 7 23 12 18 RAFAEL 14.1 213.8 46 90 +1971 7 20 0 20 CHRIS 45.4 332.6 125 513 +1967 6 3 6 25 FLORENCE 61.7 307.4 159 0 +2004 10 21 12 7 WILLIAM 40.0 32.9 106 73 +1993 2 10 6 24 MICHAEL 48.9 180.1 126 702 +1985 11 17 12 2 HELENE 56.4 76.0 25 400 +1999 3 5 0 11 BERYL 31.7 23.8 77 460 +1953 1 17 12 22 ISAAC 69.5 163.5 45 713 +1950 5 26 12 4 MICHAEL 62.2 303.1 64 871 +1985 5 25 18 9 TONY 15.4 105.7 22 396 +1992 4 13 6 21 MICHAEL 64.9 137.3 57 362 +1995 9 21 18 3 MICHAEL 65.4 261.2 70 459 +1977 8 5 6 4 LESLIE 12.6 144.0 99 374 +1995 4 24 18 3 GORDON 22.8 320.1 82 848 +1975 8 10 6 11 PATTY 58.1 339.1 53 226 +1982 8 12 12 6 ERNESTO 38.4 282.1 130 150 +1956 4 16 18 22 NADINE 8.7 236.8 105 352 +1971 1 6 0 2 CHRIS 57.6 193.9 139 374 +1958 8 25 12 11 HELENE 65.8 298.0 114 89 +1988 7 1 6 27 PATTY 69.9 119.1 92 114 +1952 12 7 12 6 DEBBY 55.1 132.6 150 302 +1982 12 15 12 24 JOYCE 41.1 258.6 24 169 +1973 5 17 6 28 KIRK 13.2 333.6 30 184 +1993 4 25 18 25 RAFAEL 21.3 59.3 131 456 +1974 5 11 12 1 ALBERTO 36.2 75.1 75 52 +1986 6 17 0 28 ERNESTO 8.7 184.8 69 719 +1980 8 6 18 7 BERYL 8.1 80.2 22 390 +1951 1 11 0 13 GORDON 18.1 52.5 116 17 +1986 6 21 12 7 PATTY 60.5 212.0 57 395 +1993 12 22 18 14 RAFAEL 25.6 181.6 77 71 +1964 9 5 12 14 NADINE 42.2 85.2 79 865 +1977 2 2 6 13 SANDY 17.6 235.2 57 850 +1956 2 2 18 9 SANDY 11.7 279.3 29 218 +2000 3 4 0 6 CHRIS 12.2 33.9 144 15 +1953 1 17 6 19 VALERIE 57.2 179.2 48 146 +1969 10 27 12 18 LESLIE 7.5 29.9 152 820 +1986 4 24 6 22 PATTY 64.2 71.6 18 818 +1991 10 14 18 27 VALERIE 44.2 173.6 71 102 +2004 11 25 0 11 GORDON 29.5 345.2 74 778 +1992 7 13 12 21 ISAAC 17.4 354.8 36 54 +1979 12 18 6 20 TONY 17.6 122.7 58 764 +1990 5 13 12 5 WILLIAM 14.6 305.0 45 492 +1999 5 23 18 14 LESLIE 40.7 94.3 137 573 +1991 4 22 0 3 PATTY 51.8 312.2 151 270 +1967 12 1 12 21 RAFAEL 44.7 71.9 137 719 +2003 10 22 12 5 KIRK 55.2 113.8 47 694 +1964 8 19 0 25 HELENE 30.7 196.2 87 532 +1956 11 25 6 27 RAFAEL 11.3 161.1 109 381 +1992 8 4 6 17 VALERIE 21.5 140.3 150 102 +1966 2 9 12 17 BERYL 50.0 214.9 114 640 +1992 3 22 0 17 HELENE 57.1 256.3 23 660 +1969 8 27 6 17 LESLIE 62.1 139.6 46 83 +1954 12 13 6 11 HELENE 27.2 186.7 125 408 +1970 3 27 18 7 DEBBY 14.8 142.0 92 313 +1966 6 1 12 17 VALERIE 35.1 149.7 152 237 +1989 6 11 0 25 JOYCE 51.2 267.2 102 460 +1972 11 22 12 21 ISAAC 62.5 75.6 112 462 +1970 5 22 0 3 ALBERTO 8.8 287.8 66 135 +1953 1 8 6 4 CHRIS 59.5 156.5 94 145 +1951 8 18 0 20 RAFAEL 63.4 36.5 65 690 +1997 10 18 6 26 LESLIE 43.7 116.3 150 767 +1992 8 9 12 14 LESLIE 38.8 145.9 71 163 +1964 11 16 18 26 NADINE 46.3 226.1 85 302 +1973 1 12 18 9 WILLIAM 31.3 108.9 10 793 +1958 11 14 12 7 SANDY 24.2 239.3 72 269 +1953 12 9 0 15 WILLIAM 61.2 316.4 48 576 +1990 12 27 12 15 GORDON 44.9 90.8 16 330 +1951 6 1 12 10 ERNESTO 53.2 69.6 107 276 +1980 8 6 18 17 WILLIAM 34.2 73.4 157 511 +1961 12 21 18 17 MICHAEL 31.2 48.4 138 894 +1981 5 16 12 16 MICHAEL 50.9 326.0 160 303 +1999 10 14 12 4 WILLIAM 45.2 136.6 29 13 +2001 5 21 6 12 CHRIS 9.1 241.4 25 556 +1990 12 15 6 24 JOYCE 11.3 229.7 31 868 +1997 1 16 18 23 SANDY 33.6 10.1 43 553 +1988 9 14 12 27 RAFAEL 61.9 200.2 15 157 +1982 8 2 0 17 ALBERTO 23.6 117.7 111 315 +1961 11 27 6 3 GORDON 13.0 52.9 93 573 +1974 12 15 0 8 VALERIE 23.3 199.3 88 284 +1954 1 4 0 25 FLORENCE 60.0 247.2 157 289 +1995 7 17 12 23 KIRK 67.8 234.1 68 871 +1962 10 2 6 8 ISAAC 30.3 86.7 68 397 +1969 10 5 0 27 CHRIS 23.4 334.4 105 812 +1995 4 25 12 13 VALERIE 34.4 119.2 22 529 +1997 4 4 18 16 ALBERTO 25.7 339.6 12 434 +1983 2 17 18 23 ISAAC 15.0 197.5 117 235 +1985 8 9 12 7 DEBBY 65.1 354.7 149 762 +1950 2 23 0 6 GORDON 38.1 318.1 92 27 +2000 9 8 6 5 ERNESTO 7.9 297.9 137 763 +1961 3 1 12 5 CHRIS 41.2 154.2 76 143 +1955 4 22 12 18 VALERIE 62.6 119.0 141 671 +1954 5 5 0 21 SANDY 19.7 127.2 55 562 +1985 11 28 18 5 SANDY 31.0 143.4 158 556 +1959 6 24 6 10 RAFAEL 19.8 231.4 78 323 +1983 12 28 12 16 PATTY 37.0 261.3 99 152 +1978 3 11 12 27 ERNESTO 16.8 22.0 125 792 +1970 12 21 18 21 MICHAEL 58.7 90.5 141 111 +1953 1 9 18 13 LESLIE 23.3 33.5 64 375 +1996 5 17 12 19 ISAAC 40.3 85.1 80 325 +2004 2 28 0 4 MICHAEL 51.8 207.4 40 839 +1967 3 4 0 25 JOYCE 36.0 291.4 38 635 +1992 2 27 18 17 FLORENCE 42.1 137.4 136 28 +1975 1 21 12 4 BERYL 53.7 139.7 131 285 +1991 8 2 18 12 DEBBY 28.7 180.4 115 560 +1951 5 6 6 25 NADINE 38.3 337.6 149 193 +1988 3 4 12 7 MICHAEL 60.4 297.6 163 92 +1961 2 22 0 19 MICHAEL 10.1 252.1 49 822 +1997 3 9 0 9 MICHAEL 66.6 225.4 32 161 +1961 8 18 6 5 FLORENCE 45.9 158.6 31 668 +1955 2 19 12 15 HELENE 7.3 154.3 111 144 +1980 4 22 6 21 ALBERTO 51.9 318.8 128 573 +2003 11 18 12 26 ISAAC 49.0 305.7 109 857 +1994 6 4 0 23 GORDON 38.2 110.2 68 65 +1950 12 2 18 24 CHRIS 18.0 314.2 132 462 +1998 6 13 18 7 DEBBY 25.8 3.3 154 107 +1980 11 8 6 5 PATTY 18.8 12.9 99 123 +1989 12 4 6 18 WILLIAM 25.4 103.9 76 765 +1963 5 11 6 13 JOYCE 39.3 316.2 121 662 +1967 8 2 6 23 JOYCE 44.7 256.4 88 891 +1988 9 21 6 13 SANDY 44.2 37.8 60 553 +1956 3 14 12 24 WILLIAM 65.3 304.8 139 797 +1979 10 25 18 6 SANDY 7.6 122.1 19 778 +2001 11 3 6 24 ERNESTO 22.9 182.6 36 769 +1960 3 6 6 17 GORDON 67.9 9.7 154 629 +1985 8 9 12 20 JOYCE 64.3 351.7 48 298 +1980 7 7 18 2 GORDON 66.1 16.6 138 894 +1992 4 4 18 14 GORDON 43.5 189.0 126 418 +1982 8 26 6 10 ISAAC 8.1 31.8 108 625 +1994 4 18 0 9 HELENE 12.0 281.4 103 842 +1961 8 1 6 16 HELENE 19.0 231.7 61 148 +1980 6 16 12 4 VALERIE 69.3 283.9 48 89 +1991 8 26 18 6 LESLIE 33.2 9.6 95 147 +2002 1 17 0 15 MICHAEL 30.8 305.0 45 202 +1991 4 12 18 16 ISAAC 16.1 272.3 158 467 +1998 12 15 12 22 LESLIE 40.6 245.4 164 473 +1978 5 27 18 22 OSCAR 44.7 149.3 13 752 +1988 5 27 12 23 FLORENCE 33.1 301.6 48 401 +1967 10 13 18 28 ISAAC 44.3 285.0 82 149 +1995 12 17 12 12 TONY 22.5 27.6 110 318 +1956 1 24 0 17 RAFAEL 46.4 141.8 65 304 +1980 4 15 6 15 ALBERTO 20.8 57.3 56 878 +2000 5 19 6 10 KIRK 7.0 332.2 49 844 +1959 1 25 18 22 CHRIS 28.0 189.8 55 649 +1988 6 10 6 13 RAFAEL 20.3 264.9 76 23 +1961 4 10 6 23 OSCAR 17.4 86.6 116 257 +1958 4 21 6 10 ALBERTO 43.7 121.3 127 297 +1970 9 12 0 14 KIRK 20.1 113.6 62 463 +2003 7 13 6 22 ISAAC 47.3 325.1 89 621 +1958 11 5 18 27 DEBBY 10.0 293.4 59 650 +1973 9 6 18 11 SANDY 32.2 172.8 65 557 +1989 5 9 12 4 KIRK 62.9 145.6 13 73 +1968 6 6 12 18 LESLIE 54.1 302.4 102 32 +1951 2 12 0 25 RAFAEL 57.3 180.3 136 823 +1956 6 18 12 22 WILLIAM 14.2 213.4 147 372 +1989 2 24 18 12 OSCAR 24.7 63.6 52 480 +1985 2 20 0 5 JOYCE 58.7 191.7 51 508 +1996 9 16 12 5 TONY 36.2 118.8 95 123 +1972 1 23 18 12 ERNESTO 39.4 115.9 115 799 +1972 3 11 18 12 NADINE 61.7 143.6 53 487 +1954 3 22 18 25 HELENE 49.8 248.7 97 842 +1962 8 22 12 27 JOYCE 7.1 40.8 60 473 +1965 3 24 18 22 PATTY 32.7 8.2 75 104 +1968 6 5 0 3 ERNESTO 62.4 229.5 101 217 +1992 2 16 12 23 ISAAC 48.3 225.6 61 796 +1978 1 1 6 13 KIRK 43.9 109.5 13 833 +1995 2 3 6 18 CHRIS 65.0 77.6 72 849 +1966 11 4 18 9 KIRK 7.1 39.4 43 502 +1999 6 9 6 12 FLORENCE 18.3 301.1 112 54 +1977 11 27 18 1 GORDON 28.1 65.0 16 735 +1955 11 14 12 10 NADINE 68.7 219.4 53 576 +1957 1 15 6 6 SANDY 66.1 24.8 76 124 +1967 5 20 12 13 ERNESTO 27.3 106.8 154 653 +1996 9 10 18 26 ISAAC 43.6 70.6 131 287 +1987 12 25 0 14 BERYL 19.5 335.8 129 705 +1979 4 20 12 15 TONY 11.3 215.8 151 301 +1976 1 4 0 23 ALBERTO 13.6 53.8 136 22 +1978 5 23 12 13 FLORENCE 32.9 280.9 86 866 +2002 4 27 6 17 ERNESTO 20.3 140.9 69 321 +1959 12 21 6 28 SANDY 63.9 341.4 79 95 +1987 7 14 12 25 TONY 15.4 51.5 132 17 +2000 2 20 0 3 HELENE 56.3 49.7 68 773 +1962 11 6 0 13 GORDON 16.4 334.7 94 686 +1996 2 5 18 5 OSCAR 42.7 323.0 131 118 +1993 8 13 0 25 ALBERTO 12.1 270.5 148 872 +1991 9 20 0 10 KIRK 25.6 221.1 65 826 +1957 8 25 18 24 LESLIE 59.9 323.5 49 69 +1982 12 22 6 6 RAFAEL 62.3 146.4 60 715 +1958 12 23 12 28 WILLIAM 42.0 267.1 136 172 +1989 4 23 18 2 FLORENCE 49.5 59.8 95 609 +1951 5 14 6 26 DEBBY 50.7 137.2 161 52 +1969 12 19 6 24 BERYL 28.5 255.0 79 564 +1981 5 15 0 23 TONY 40.4 50.5 129 651 +1980 8 25 6 23 VALERIE 68.1 183.8 26 599 +1995 7 13 6 4 ISAAC 63.0 41.2 163 303 +1952 3 26 0 25 ISAAC 42.9 189.7 54 294 +1970 11 18 18 6 NADINE 44.0 160.2 74 595 +1961 8 4 6 9 VALERIE 29.6 247.3 15 350 +1951 10 23 6 21 HELENE 43.5 118.2 124 480 +1957 2 1 6 8 TONY 20.3 285.3 66 841 +2000 1 16 0 15 LESLIE 44.5 232.4 157 766 +1978 8 27 18 20 HELENE 28.4 74.3 78 58 +1960 8 14 12 15 PATTY 58.2 223.5 138 415 +1968 1 20 6 6 OSCAR 62.4 227.1 97 410 +1999 6 4 12 14 LESLIE 10.9 263.5 26 347 +1974 12 22 18 18 PATTY 59.2 205.4 90 93 +1995 1 28 0 19 PATTY 21.1 209.2 51 720 +1974 9 23 6 14 DEBBY 11.2 189.5 58 714 +1999 2 27 0 20 CHRIS 31.5 97.2 36 486 +1958 5 13 6 24 NADINE 66.7 32.8 31 821 +1966 7 17 12 9 FLORENCE 42.9 264.5 96 251 +1982 8 5 6 17 FLORENCE 60.4 172.7 39 29 +1994 2 26 18 26 TONY 39.0 334.5 83 220 +1962 2 14 12 5 KIRK 58.3 351.3 135 757 +1950 2 13 18 1 KIRK 69.6 35.6 82 358 +1992 7 22 18 15 RAFAEL 55.3 75.9 96 511 +1956 6 2 0 5 JOYCE 28.6 253.7 121 345 +1989 9 14 18 26 MICHAEL 22.9 174.7 150 808 +1975 9 2 0 21 PATTY 51.6 146.7 75 862 +1956 11 24 6 13 HELENE 60.8 250.7 18 460 +1970 8 6 6 27 BERYL 9.5 357.0 104 418 +1983 5 14 18 22 BERYL 59.3 345.7 109 551 +1992 7 14 6 7 KIRK 65.0 292.5 145 413 +1977 2 9 6 25 DEBBY 35.6 172.6 111 469 +1960 2 12 12 27 MICHAEL 62.5 12.4 55 426 +1988 5 20 0 3 MICHAEL 32.9 278.0 57 152 +1996 8 10 18 1 MICHAEL 50.0 228.8 49 284 +1961 3 22 6 18 CHRIS 28.7 246.0 107 362 +1969 2 22 6 8 ERNESTO 32.1 302.1 43 429 +1983 2 25 6 6 ISAAC 68.1 135.4 33 301 +2000 4 1 18 3 LESLIE 32.5 190.1 46 493 +1959 3 4 0 21 KIRK 45.6 200.7 25 79 +1998 11 6 12 27 DEBBY 53.1 189.4 163 95 +1972 12 4 12 11 DEBBY 8.9 269.0 28 86 +1990 1 18 6 10 RAFAEL 59.5 40.3 158 458 +1973 2 9 0 13 MICHAEL 46.7 34.1 38 382 +1962 3 17 12 18 FLORENCE 45.2 318.1 40 392 +1959 7 25 6 5 CHRIS 19.7 89.0 95 419 +1967 1 13 0 9 SANDY 8.2 64.5 84 93 +1980 12 11 18 27 TONY 33.3 336.9 43 707 +1965 4 16 0 19 WILLIAM 8.1 342.6 88 704 +1961 11 17 18 13 BERYL 21.6 290.4 113 600 +1967 9 15 6 9 OSCAR 28.8 37.4 158 111 +1980 3 15 12 11 FLORENCE 27.2 212.2 121 412 +1950 9 3 18 19 FLORENCE 32.5 59.5 118 131 +1977 3 14 0 2 ERNESTO 50.4 335.9 45 543 +1978 1 4 0 8 ISAAC 66.8 183.6 140 17 +1998 3 15 6 8 RAFAEL 37.9 46.7 65 167 +1997 8 16 12 3 RAFAEL 29.2 51.3 22 536 +1951 1 5 12 16 ALBERTO 63.5 291.6 147 865 +1968 12 17 6 26 ISAAC 7.7 311.3 89 317 +1953 6 16 12 7 KIRK 25.2 74.9 45 601 +1979 9 15 0 19 NADINE 40.0 344.9 48 854 +1984 5 13 0 9 NADINE 54.9 152.1 151 776 +2004 7 15 6 3 ISAAC 18.6 98.5 69 700 +1996 4 23 18 17 BERYL 55.8 153.5 31 453 +1970 3 19 6 4 JOYCE 58.6 10.7 128 250 +1997 3 20 18 13 KIRK 32.0 319.7 107 124 +1965 7 2 0 13 CHRIS 61.7 17.0 90 392 +1996 6 11 0 9 HELENE 28.0 344.1 105 464 +1970 2 8 6 20 DEBBY 42.5 321.5 108 319 +1978 4 2 18 11 HELENE 18.1 86.1 70 174 +1977 3 21 6 24 FLORENCE 62.1 87.1 52 563 +1989 1 14 0 20 MICHAEL 60.5 353.3 153 462 +1994 2 22 18 8 ALBERTO 26.1 236.9 92 850 +1960 3 20 0 8 KIRK 31.3 251.6 91 530 +1966 4 9 0 16 LESLIE 55.5 134.8 14 41 +2001 11 14 12 14 CHRIS 21.1 33.6 116 396 +2002 9 21 6 6 HELENE 47.9 111.0 66 194 +1960 4 4 12 27 RAFAEL 50.1 76.9 93 511 +1956 1 6 6 8 ISAAC 39.9 247.0 20 740 +1968 7 18 0 2 TONY 65.9 312.4 102 241 +1975 1 4 0 7 FLORENCE 10.8 155.7 129 350 +1969 9 5 12 10 JOYCE 57.3 156.9 53 498 +1985 5 1 6 3 LESLIE 45.5 225.4 36 463 +2002 6 22 18 7 ERNESTO 32.9 352.1 75 657 +1957 3 28 6 19 HELENE 17.6 65.7 139 260 +1951 2 25 0 4 OSCAR 13.8 160.9 107 108 +1982 6 15 0 4 ERNESTO 44.1 228.1 13 43 +1996 12 25 18 23 MICHAEL 45.6 39.2 123 768 +1960 11 28 18 23 ISAAC 34.0 77.9 155 710 +1987 10 3 0 6 ISAAC 12.8 18.7 110 568 +1973 2 19 0 21 RAFAEL 35.3 145.9 61 597 +2002 2 21 12 24 KIRK 14.3 285.8 76 682 +1991 4 18 18 12 ALBERTO 45.2 10.1 110 825 +1992 12 3 12 16 BERYL 43.2 40.8 64 763 +1966 5 15 6 16 TONY 49.6 133.7 109 444 +1979 3 27 6 25 KIRK 55.8 139.7 121 852 +1997 6 11 0 26 SANDY 54.9 193.4 40 382 +1990 10 23 6 7 LESLIE 51.8 45.6 112 568 +1956 3 8 12 23 NADINE 45.9 162.2 23 231 +1976 4 9 18 22 FLORENCE 17.5 331.1 41 626 +1992 2 22 18 22 ISAAC 36.3 289.6 46 889 +1966 1 9 12 2 ALBERTO 47.9 154.4 76 311 +1964 10 14 12 1 DEBBY 42.9 210.6 106 151 +1959 8 22 6 27 WILLIAM 53.8 98.9 31 260 +1964 10 18 0 11 TONY 54.6 239.7 10 436 +1983 1 9 0 11 CHRIS 50.9 324.5 13 674 +1990 10 6 6 17 NADINE 23.5 109.8 149 233 +1970 10 12 0 19 KIRK 33.7 15.8 157 777 +1994 8 15 6 9 CHRIS 53.2 265.8 28 273 +1966 7 28 6 10 OSCAR 28.1 240.9 44 573 +2003 12 6 0 1 ISAAC 17.9 241.1 33 489 +1971 8 5 6 17 CHRIS 68.5 277.1 121 404 +1981 12 7 0 18 RAFAEL 26.8 69.4 66 71 +1978 5 18 12 17 WILLIAM 17.9 83.3 129 719 +1966 8 18 0 13 TONY 66.2 40.7 73 821 +2002 1 16 12 20 ALBERTO 55.9 231.0 112 143 +1996 8 8 12 8 WILLIAM 31.0 218.9 141 549 +1971 11 24 0 17 HELENE 47.8 17.0 29 422 +1958 1 20 12 28 LESLIE 43.2 153.7 13 248 +1962 10 2 12 24 JOYCE 48.8 131.7 50 821 +1996 7 2 0 12 GORDON 56.4 113.4 53 806 +1967 7 25 0 19 CHRIS 67.4 211.9 149 600 +1969 6 13 12 24 OSCAR 35.1 133.0 119 797 +1988 8 21 18 21 FLORENCE 46.7 293.1 15 486 +1968 10 11 12 1 TONY 28.8 275.3 148 536 +1998 1 18 0 2 KIRK 15.3 94.3 142 633 +1957 2 14 18 7 FLORENCE 23.1 185.2 141 227 +1969 8 25 0 27 ALBERTO 69.1 332.7 107 201 +1978 12 27 0 22 JOYCE 61.0 15.9 14 77 +1956 3 21 12 23 HELENE 61.3 333.1 68 639 +1999 12 12 18 26 FLORENCE 27.4 280.0 44 833 +1989 6 7 6 16 DEBBY 51.9 142.9 94 616 +1961 12 14 6 26 JOYCE 17.9 28.7 71 807 +1956 12 17 0 23 SANDY 16.5 90.5 27 461 +1984 12 17 6 3 BERYL 21.8 9.7 54 354 +2003 9 10 0 13 PATTY 64.0 211.3 150 805 +1987 11 5 12 18 ERNESTO 39.8 202.7 91 574 +1951 11 9 18 22 OSCAR 51.0 75.8 85 765 +1995 8 3 12 28 NADINE 35.7 45.0 126 487 +1968 3 24 0 20 FLORENCE 58.1 321.3 51 412 +1970 3 8 0 2 VALERIE 23.2 44.0 79 426 +1966 10 11 6 22 LESLIE 33.0 353.9 68 382 +1986 1 28 0 4 ERNESTO 66.6 67.7 30 484 +1974 6 2 12 15 MICHAEL 44.3 215.9 38 666 +1962 9 20 6 25 GORDON 11.5 168.6 85 161 +1981 11 9 12 4 GORDON 54.3 125.8 66 151 +2001 1 6 0 3 ERNESTO 25.9 23.3 50 489 +1979 11 3 6 10 JOYCE 18.4 10.4 74 97 +1980 3 8 6 27 OSCAR 35.7 75.0 112 419 +1961 9 9 0 19 ISAAC 37.7 154.4 41 421 +1977 11 11 0 15 WILLIAM 8.7 283.2 55 177 +1984 8 7 6 26 KIRK 43.7 285.2 83 675 +1985 6 18 18 13 NADINE 59.9 161.7 152 633 +1968 1 16 18 6 OSCAR 47.8 266.5 81 897 +1972 1 6 0 10 BERYL 21.1 52.9 85 558 +1951 5 6 6 4 FLORENCE 19.2 346.1 33 302 +1982 11 13 0 26 MICHAEL 10.8 357.6 135 726 +1965 4 3 18 9 DEBBY 52.4 169.0 164 761 +1968 12 10 18 22 KIRK 9.1 52.6 125 631 +1959 7 16 18 22 JOYCE 54.3 24.7 46 367 +1955 7 18 12 21 PATTY 17.3 195.0 108 73 +1957 12 15 12 17 DEBBY 49.9 188.6 105 645 +1961 11 16 12 2 ISAAC 11.5 25.7 11 886 +1950 1 18 0 22 HELENE 51.5 344.3 143 34 +1995 3 10 18 23 RAFAEL 65.1 185.4 84 590 +1990 6 9 0 28 ISAAC 33.7 262.0 10 559 +1951 3 22 0 3 DEBBY 24.1 267.6 92 358 +1968 5 9 18 28 SANDY 7.3 271.9 142 804 +1982 2 21 6 2 PATTY 11.0 272.9 41 832 +2004 4 9 12 16 NADINE 67.7 280.5 24 827 +1976 4 19 6 6 OSCAR 51.8 170.5 15 539 +1996 3 12 18 8 NADINE 50.9 326.4 114 897 +2004 8 19 0 8 SANDY 37.7 90.9 114 672 +1969 7 18 6 28 TONY 63.7 133.5 40 424 +1986 9 18 0 21 CHRIS 22.6 244.4 157 648 +1977 4 28 12 6 JOYCE 12.8 302.1 92 685 +1952 6 3 18 1 TONY 20.2 41.4 79 291 +1967 6 8 12 10 VALERIE 19.3 65.0 36 475 +1958 5 24 18 16 LESLIE 59.2 354.2 117 857 +1985 8 25 6 9 BERYL 11.0 116.1 47 809 +2000 2 3 6 24 PATTY 8.6 201.7 124 144 +2002 6 7 18 17 OSCAR 65.9 127.0 128 438 +1982 2 4 0 21 SANDY 27.2 356.9 55 507 +1983 5 13 6 25 PATTY 53.3 289.3 35 553 +1982 4 25 0 8 SANDY 30.7 306.3 127 754 +1975 7 26 6 7 JOYCE 59.3 206.1 111 882 +1966 11 22 12 23 ISAAC 24.0 130.8 60 750 +1978 8 22 18 22 ISAAC 48.2 317.4 41 773 +1954 2 25 12 7 ISAAC 69.4 158.1 50 276 +1981 9 17 18 13 KIRK 62.8 327.9 12 198 +1988 3 10 0 22 BERYL 69.5 164.9 34 260 +1954 5 12 18 11 PATTY 56.9 84.2 35 361 +2000 1 15 12 20 GORDON 25.1 143.8 160 386 +1959 6 28 6 11 MICHAEL 37.9 308.7 141 266 +1989 4 22 0 20 OSCAR 12.7 355.6 152 738 +1978 5 11 0 15 NADINE 45.0 238.4 134 533 +1954 12 10 6 24 VALERIE 31.3 257.5 134 214 +1995 6 12 18 26 KIRK 54.5 208.8 75 52 +2000 3 22 18 12 MICHAEL 47.7 232.2 69 305 +1978 1 25 6 25 JOYCE 20.4 18.7 40 581 +1989 1 6 18 9 NADINE 24.6 170.0 70 227 +1985 6 24 6 11 CHRIS 58.9 89.2 113 858 +1962 11 3 12 14 MICHAEL 48.3 303.8 142 341 +1977 1 11 12 21 KIRK 13.4 140.7 133 762 +1996 11 12 18 25 SANDY 26.2 123.7 135 396 +1954 8 23 6 21 SANDY 58.5 265.8 61 15 +1993 10 27 0 15 DEBBY 39.4 140.9 53 320 +2001 4 18 12 11 ALBERTO 34.9 250.6 133 556 +1968 8 3 12 9 RAFAEL 16.7 312.3 66 854 +1955 1 15 6 27 HELENE 41.6 34.1 59 454 +1998 10 6 18 6 PATTY 9.6 14.2 66 204 +1970 4 14 6 7 CHRIS 32.8 107.3 64 230 +1988 2 20 12 1 VALERIE 56.8 130.5 160 34 +1976 11 19 18 21 NADINE 64.1 218.4 86 507 +1962 12 27 18 22 NADINE 51.8 84.3 102 808 +1961 12 13 0 22 PATTY 12.4 181.9 82 806 +1964 7 24 12 8 DEBBY 66.8 205.5 151 738 +1993 1 3 18 18 LESLIE 49.8 3.5 29 536 +1996 1 9 0 18 BERYL 39.4 101.5 160 125 +1970 4 17 12 16 GORDON 33.3 168.3 70 1 +1999 11 28 6 27 SANDY 26.5 81.3 159 127 +1995 5 7 12 19 LESLIE 26.3 180.3 107 179 +1960 2 3 18 12 RAFAEL 47.2 40.7 34 772 +1965 10 10 12 3 PATTY 56.5 34.3 129 660 +2003 5 24 0 28 JOYCE 44.3 248.9 125 376 +1964 3 3 18 18 NADINE 15.9 342.4 24 205 +1963 2 5 6 5 JOYCE 38.0 147.5 108 680 +1979 9 20 6 2 MICHAEL 53.4 210.2 33 428 +1991 7 7 18 7 PATTY 46.8 181.1 39 651 +1996 2 9 12 15 TONY 46.4 134.2 93 454 +1989 5 10 0 8 VALERIE 33.7 275.7 97 16 +1985 12 2 18 24 ERNESTO 62.6 108.0 78 467 +1977 9 23 0 23 RAFAEL 55.0 314.4 122 13 +1964 6 14 0 8 HELENE 43.4 73.6 61 354 +1994 11 23 18 14 ERNESTO 16.3 137.5 113 379 +1953 8 12 0 11 KIRK 47.6 126.3 63 628 +2002 5 13 18 7 JOYCE 54.5 283.0 116 655 +1974 11 21 12 12 BERYL 34.6 348.1 86 774 +1979 9 8 0 17 LESLIE 19.5 308.8 123 414 +1996 6 19 6 3 DEBBY 66.5 158.8 97 335 +2001 11 2 18 3 KIRK 58.9 178.2 21 348 +1971 6 1 0 2 HELENE 7.1 211.5 58 799 +1983 8 11 12 18 KIRK 27.1 159.5 31 23 +1985 2 22 18 7 CHRIS 15.5 65.0 22 25 +1955 11 27 12 3 MICHAEL 50.2 236.2 19 37 +1978 1 10 6 24 VALERIE 13.2 158.5 86 97 +2000 2 9 0 19 MICHAEL 43.4 339.2 53 640 +1973 3 13 6 11 ERNESTO 60.9 49.8 148 290 +1951 8 1 0 5 MICHAEL 56.5 309.4 150 77 +1968 7 16 12 7 ALBERTO 17.7 148.6 75 232 +1966 12 2 0 21 ERNESTO 38.0 151.2 69 159 +2004 11 17 18 16 PATTY 18.2 66.5 154 116 +1970 5 28 6 27 JOYCE 37.6 205.4 34 375 +1993 8 6 12 14 RAFAEL 64.0 45.8 160 616 +1983 5 25 0 21 ERNESTO 25.6 124.7 75 289 +1964 11 22 0 14 SANDY 11.0 22.8 102 506 +1987 1 20 0 18 JOYCE 53.6 204.9 89 0 +1967 2 17 12 2 TONY 29.8 339.9 15 273 +1974 6 22 12 19 BERYL 8.6 334.0 134 417 +1952 2 10 0 15 DEBBY 13.7 206.8 65 359 +1992 4 6 6 8 TONY 16.5 159.5 163 515 +1967 11 25 18 7 ALBERTO 21.9 293.0 41 341 +1998 3 24 6 3 RAFAEL 18.2 12.8 92 269 +1954 4 14 12 3 HELENE 30.1 248.1 73 600 +1977 11 3 6 25 VALERIE 43.8 124.0 71 864 +1958 2 9 12 4 KIRK 44.0 156.6 13 402 +1974 5 17 18 3 NADINE 29.9 338.9 49 73 +1966 12 9 12 21 SANDY 43.6 40.1 117 541 +1963 2 22 18 27 FLORENCE 60.1 207.1 45 467 +1955 6 24 6 11 ALBERTO 29.5 294.9 15 645 +1970 9 2 6 8 JOYCE 23.0 255.7 124 131 +1967 9 19 6 7 ERNESTO 56.5 309.0 46 371 +1961 7 19 6 21 HELENE 48.0 41.2 150 16 +1950 5 19 6 21 LESLIE 9.2 66.4 88 799 +1984 11 3 18 7 HELENE 15.0 356.3 33 572 +1984 12 5 12 12 MICHAEL 49.5 224.6 149 866 +1981 11 23 6 26 WILLIAM 40.2 230.0 51 369 +1951 5 2 0 6 RAFAEL 7.1 271.8 29 285 +1976 7 21 6 3 TONY 41.8 3.2 44 588 +1992 2 13 6 1 VALERIE 30.1 203.1 59 760 +2001 7 27 18 24 MICHAEL 48.4 258.9 47 774 +1967 9 19 12 23 CHRIS 35.6 296.9 158 360 +1973 2 22 0 22 FLORENCE 36.3 226.4 164 519 +2004 12 23 0 1 LESLIE 25.5 101.1 27 81 +1990 8 22 0 22 TONY 52.2 82.1 84 169 +1975 11 1 18 17 CHRIS 45.9 270.0 27 282 +1969 4 5 18 8 DEBBY 36.2 195.0 117 157 +1966 8 5 12 6 WILLIAM 17.4 349.4 63 857 +1998 12 23 6 25 TONY 66.2 325.2 67 257 +1997 10 9 0 16 PATTY 12.5 82.7 50 475 +1972 3 1 6 19 HELENE 20.3 97.2 134 723 +1988 10 1 6 13 MICHAEL 7.1 154.0 54 441 +1955 8 14 18 10 VALERIE 49.4 282.7 19 4 +1984 7 2 12 28 OSCAR 15.9 44.9 100 228 +1995 3 2 6 13 WILLIAM 66.3 54.7 134 444 +1969 8 3 6 15 ERNESTO 63.6 78.7 29 95 +1950 6 27 6 8 TONY 34.8 114.7 25 684 +1963 10 26 6 21 ALBERTO 60.8 333.9 160 136 +1991 4 14 0 2 ALBERTO 18.7 286.5 104 281 +1968 7 16 6 23 JOYCE 41.8 215.6 127 135 +1950 3 28 18 14 PATTY 17.3 108.0 10 221 +1999 11 25 18 26 MICHAEL 12.9 11.5 150 641 +1965 6 27 0 6 DEBBY 10.7 164.7 59 803 +1955 1 26 0 6 ERNESTO 12.6 202.0 155 435 +1968 11 22 18 11 DEBBY 30.0 202.2 116 283 +1995 5 15 0 4 WILLIAM 63.4 104.8 154 749 +1953 7 16 18 18 BERYL 50.3 266.4 54 518 +1972 1 14 0 19 WILLIAM 21.5 253.2 145 773 +1998 9 10 6 6 CHRIS 12.6 352.2 68 669 +1997 1 22 18 10 ERNESTO 68.4 239.5 60 743 +1979 7 9 6 12 CHRIS 64.4 90.9 122 153 +1994 12 4 6 18 HELENE 29.1 93.3 57 474 +2001 5 18 6 7 KIRK 64.7 24.0 87 201 +1980 7 10 12 27 DEBBY 59.9 62.2 17 455 +1991 3 8 6 14 ERNESTO 43.8 326.0 52 681 +1990 5 20 12 10 HELENE 41.0 214.5 113 132 +1958 9 24 12 24 SANDY 7.1 333.6 126 862 +1950 2 5 12 3 NADINE 23.3 220.2 64 594 +1988 4 6 0 9 TONY 26.7 210.6 16 434 +1968 12 10 18 21 BERYL 26.3 289.1 148 645 +1980 5 1 12 12 CHRIS 64.3 166.6 132 868 +1958 6 12 12 17 LESLIE 47.4 338.9 81 0 +1992 3 16 18 13 ALBERTO 36.7 65.7 51 608 +1958 10 6 6 12 CHRIS 50.5 103.5 26 7 +1969 9 18 12 11 LESLIE 44.9 223.2 135 193 +1955 11 5 0 20 ALBERTO 14.3 144.5 69 309 +1968 1 25 0 10 RAFAEL 61.0 357.4 43 659 +1962 8 22 0 13 OSCAR 25.1 53.8 60 348 +1961 12 18 6 6 MICHAEL 60.4 6.9 153 761 +1972 10 1 0 23 LESLIE 37.9 58.3 32 60 +1966 9 24 0 15 MICHAEL 15.1 208.1 98 627 +1972 3 14 12 26 RAFAEL 67.4 7.6 137 119 +1989 9 6 0 26 FLORENCE 65.1 206.0 157 79 +1994 9 17 0 11 CHRIS 66.9 259.8 129 258 +1985 10 12 12 9 DEBBY 41.3 106.5 10 129 +1982 6 26 18 22 SANDY 13.0 346.6 45 824 +1968 9 18 0 10 SANDY 25.8 249.3 155 793 +2003 7 7 12 2 ALBERTO 44.1 93.8 107 420 +1964 3 5 0 6 SANDY 53.2 328.9 22 641 +1950 7 17 12 24 CHRIS 66.3 234.5 56 715 +2000 11 28 0 24 VALERIE 56.2 21.2 97 606 +2002 12 14 0 6 HELENE 10.2 129.9 36 342 +2002 2 28 6 16 MICHAEL 57.8 328.0 39 24 +1984 1 15 0 14 GORDON 13.7 44.5 108 706 +1988 1 18 18 3 MICHAEL 27.1 302.4 50 179 +1967 3 16 6 19 LESLIE 66.4 244.8 32 801 +1969 4 27 6 15 MICHAEL 29.9 244.1 122 598 +1995 9 4 18 15 PATTY 61.5 60.7 17 840 +1997 5 22 6 13 OSCAR 8.6 125.0 47 840 +1971 3 4 12 4 FLORENCE 56.4 13.0 144 260 +1950 12 15 12 10 VALERIE 12.1 329.3 80 478 +1983 8 8 0 21 GORDON 58.4 333.9 43 76 +1997 5 11 18 16 CHRIS 63.5 152.1 13 48 +1982 6 20 18 10 WILLIAM 61.3 40.9 120 286 +1951 10 25 0 6 CHRIS 65.1 121.6 44 571 +1994 5 3 0 18 ISAAC 26.0 305.7 123 119 +1974 9 8 6 6 LESLIE 34.6 312.3 38 395 +1968 6 22 12 13 MICHAEL 18.0 280.2 142 491 +1968 6 23 12 13 VALERIE 10.6 281.0 74 461 +2002 12 3 18 16 ISAAC 12.5 272.0 41 580 +1990 7 3 18 3 SANDY 67.1 7.4 97 781 +1962 6 25 6 15 TONY 59.9 132.8 50 23 +1953 5 14 0 16 ALBERTO 52.8 183.2 142 89 +1996 2 5 18 22 LESLIE 26.1 70.4 127 132 +1988 2 4 6 6 KIRK 9.7 12.0 21 208 +1993 10 17 12 9 TONY 44.9 170.6 155 17 +1990 6 15 12 27 TONY 20.8 166.9 115 183 +1954 7 13 18 16 LESLIE 27.0 339.2 91 515 +1966 2 10 12 22 RAFAEL 16.0 207.4 64 446 +1957 3 11 6 25 HELENE 24.9 35.4 42 425 +1968 6 16 6 2 ERNESTO 64.2 49.2 57 170 +1989 6 25 18 12 WILLIAM 32.1 70.2 94 134 +1981 9 26 0 10 ISAAC 57.3 30.2 85 741 +1965 10 26 12 16 JOYCE 63.5 230.8 84 756 +1991 3 23 18 26 HELENE 60.2 120.4 62 267 +2002 10 23 12 14 NADINE 37.5 75.3 152 776 +1953 11 27 0 16 BERYL 12.4 240.7 44 120 +1962 10 5 18 5 RAFAEL 46.1 232.0 84 802 +1954 10 2 6 3 SANDY 59.5 234.6 153 79 +1975 8 4 12 19 GORDON 23.2 4.9 79 888 +1951 5 20 18 13 GORDON 9.0 347.2 144 603 +1962 11 18 0 3 OSCAR 10.3 350.3 90 616 +1993 6 16 6 4 GORDON 65.6 237.3 109 534 +1955 7 19 6 25 TONY 65.0 32.6 59 512 +1965 10 3 18 4 PATTY 11.1 249.9 68 98 +1962 12 13 12 12 WILLIAM 16.6 0.8 94 503 +1957 11 5 6 4 GORDON 55.9 244.2 29 730 +1992 1 15 0 20 NADINE 50.5 15.5 149 674 +1991 12 3 12 19 RAFAEL 47.7 226.1 159 653 +2000 10 15 6 10 VALERIE 41.0 268.9 145 69 +1978 7 27 0 10 JOYCE 48.9 76.9 76 79 +2000 12 9 18 17 MICHAEL 43.5 16.5 57 481 +1968 12 17 18 21 TONY 63.0 217.8 60 22 +1983 6 15 0 24 FLORENCE 52.9 192.8 141 25 +1992 6 25 18 17 BERYL 28.1 99.2 14 531 +1973 9 18 6 4 OSCAR 53.1 357.1 150 364 +2001 10 14 18 26 PATTY 30.7 30.3 121 558 +1975 9 16 18 18 LESLIE 46.3 17.6 144 406 +1970 10 10 6 20 OSCAR 69.4 291.6 161 642 +1999 9 15 12 5 KIRK 28.0 322.8 56 695 +1963 7 22 6 23 FLORENCE 36.3 31.4 89 364 +1976 2 26 6 25 CHRIS 33.8 166.3 96 519 +2000 3 20 6 14 DEBBY 50.4 153.2 64 383 +1974 2 10 12 27 DEBBY 68.3 242.5 77 2 +1968 4 12 0 6 NADINE 29.2 264.1 128 689 +1985 10 18 12 12 LESLIE 29.3 185.1 59 361 +1987 5 4 6 28 RAFAEL 15.0 313.1 96 332 +1964 5 25 0 3 BERYL 66.6 228.2 104 149 +1995 9 10 18 11 KIRK 47.0 37.8 121 551 +1974 3 17 12 13 ISAAC 21.0 117.2 16 71 +1962 3 20 12 13 LESLIE 19.5 138.8 62 364 +1991 6 27 0 22 MICHAEL 38.5 56.8 98 135 +1969 6 20 6 21 KIRK 66.8 272.8 94 118 +1997 2 24 0 19 ISAAC 43.7 113.9 151 398 +1978 10 19 6 21 MICHAEL 47.3 19.3 111 711 +1975 11 19 12 19 LESLIE 46.4 107.4 38 35 +1962 9 2 6 12 CHRIS 32.8 179.7 107 270 +1965 9 19 12 25 KIRK 40.0 328.3 110 392 +1961 7 25 0 23 DEBBY 68.7 130.9 134 491 +1964 11 26 12 5 OSCAR 20.7 291.6 54 77 +1969 7 2 6 2 RAFAEL 68.4 239.6 133 216 +1975 6 23 6 7 ERNESTO 32.2 352.8 28 400 +1993 7 20 0 10 DEBBY 7.6 180.7 76 96 +2002 7 2 18 16 DEBBY 17.6 49.7 151 393 +2002 7 17 0 10 KIRK 16.1 78.7 153 429 +2001 3 2 18 6 OSCAR 23.6 334.6 105 39 +2001 5 8 12 23 KIRK 53.0 213.8 140 312 +1971 3 25 12 3 ISAAC 53.7 327.0 51 857 +1951 5 24 12 13 FLORENCE 16.5 253.5 13 51 +1965 9 2 18 5 DEBBY 65.5 220.1 123 894 +1961 8 26 6 16 BERYL 69.0 181.7 68 439 +1959 9 11 0 25 RAFAEL 14.5 122.1 57 124 +1975 1 16 0 9 JOYCE 31.2 257.6 101 234 +1980 6 13 6 8 PATTY 62.6 46.2 151 312 +1952 8 26 12 17 NADINE 24.9 43.0 94 14 +1993 11 12 0 2 MICHAEL 41.0 218.6 33 179 +1978 6 23 12 5 TONY 32.4 244.3 153 520 +1996 1 24 0 7 ALBERTO 18.5 237.4 50 674 +1972 4 15 0 19 DEBBY 42.4 287.8 131 607 +1957 11 15 18 19 ISAAC 43.5 275.4 58 113 +1963 1 1 12 11 VALERIE 38.5 215.6 96 469 +1971 8 11 6 2 TONY 61.2 221.3 140 441 +1983 7 27 0 17 CHRIS 67.5 356.4 10 26 +1983 10 13 12 25 CHRIS 11.5 34.4 15 347 +1957 8 8 6 11 MICHAEL 53.7 60.7 97 409 +1985 10 8 6 28 GORDON 67.6 62.0 93 717 +1954 4 24 12 10 FLORENCE 35.5 86.7 163 88 +1990 1 28 0 2 FLORENCE 19.2 135.8 51 220 +1968 9 27 0 9 RAFAEL 16.7 6.8 100 575 +1996 4 27 12 21 JOYCE 57.8 35.7 32 137 +1985 1 1 12 17 JOYCE 28.1 206.4 70 804 +1959 3 1 6 21 WILLIAM 25.7 148.9 164 76 +1992 1 25 12 10 TONY 9.0 106.5 125 416 +1983 12 1 0 9 TONY 11.9 68.0 150 35 +1969 10 20 12 1 BERYL 55.1 65.9 129 503 +1991 1 6 12 20 ERNESTO 69.1 139.3 123 161 +1951 8 1 0 8 JOYCE 51.5 151.1 157 387 +1957 4 15 12 3 ALBERTO 36.9 233.4 93 878 +1984 3 18 6 22 KIRK 46.7 114.7 74 638 +1951 10 8 18 5 DEBBY 15.0 344.5 93 412 +1969 1 2 0 27 VALERIE 32.2 224.4 122 691 +1976 12 26 12 7 CHRIS 38.5 311.2 162 641 +1992 2 7 6 3 TONY 13.6 65.9 37 632 +1982 8 24 6 8 ERNESTO 36.4 330.9 103 348 +1957 1 24 12 1 ERNESTO 58.3 126.2 132 152 +1966 11 25 6 26 NADINE 16.8 189.3 43 542 +1966 3 25 12 21 GORDON 68.2 183.5 19 285 +2003 5 1 6 7 NADINE 28.3 231.2 107 217 +1970 2 14 0 15 OSCAR 25.9 145.6 145 409 +1997 4 3 6 10 RAFAEL 68.3 246.9 147 389 +1972 12 20 0 25 HELENE 51.6 31.1 71 823 +1969 2 2 12 5 HELENE 7.6 294.0 42 898 +1952 9 16 18 17 RAFAEL 43.8 183.0 72 320 +1977 10 23 0 25 SANDY 48.7 129.2 102 645 +1983 12 2 12 18 RAFAEL 9.1 207.5 142 215 +1967 2 9 0 1 JOYCE 65.1 322.4 106 362 +1979 3 24 0 11 JOYCE 26.5 135.1 135 72 +1965 12 3 6 28 NADINE 28.7 82.1 164 61 +1998 5 9 18 27 PATTY 28.1 56.6 136 309 +2000 7 6 18 26 PATTY 11.2 134.0 42 522 +1953 2 15 12 3 GORDON 7.1 90.5 128 252 +1975 10 10 12 15 LESLIE 31.0 101.2 48 672 +1975 7 24 18 1 ERNESTO 29.1 39.4 159 80 +1973 9 25 6 13 KIRK 11.3 216.4 140 434 +1980 3 26 18 28 SANDY 37.6 3.3 93 701 +1981 4 1 6 4 MICHAEL 13.6 143.8 104 602 +1987 5 19 6 12 OSCAR 60.5 213.0 46 307 +1975 3 15 0 11 CHRIS 37.2 177.9 126 459 +2001 12 2 0 1 FLORENCE 50.5 42.4 136 735 +1959 6 11 12 23 HELENE 36.0 155.1 131 453 +1978 1 24 18 11 OSCAR 50.6 111.5 86 237 +1989 9 11 18 2 VALERIE 68.2 162.3 150 20 +1981 12 22 12 24 ISAAC 48.5 37.9 159 449 +2003 4 19 6 23 FLORENCE 21.7 222.1 13 509 +1985 9 5 18 6 OSCAR 28.0 348.2 17 141 +1967 2 24 0 1 VALERIE 39.0 284.7 50 675 +1957 4 25 0 21 ERNESTO 17.6 183.6 11 495 +1969 10 4 12 23 LESLIE 43.4 340.2 121 498 +1950 1 3 0 9 GORDON 45.5 249.1 81 879 +1988 2 14 12 23 FLORENCE 50.5 54.8 91 102 +1970 12 13 0 7 JOYCE 34.9 161.3 46 623 +1978 5 28 18 9 NADINE 13.5 254.4 11 498 +1963 6 10 18 14 OSCAR 63.5 39.6 133 687 +1952 10 1 0 27 BERYL 28.4 339.0 156 306 +1983 10 8 6 27 KIRK 67.2 114.1 133 757 +1988 7 25 18 17 LESLIE 52.9 259.7 97 367 +1979 5 3 18 15 SANDY 60.3 302.6 27 708 +1975 1 15 0 5 OSCAR 59.3 283.8 20 890 +1983 12 4 12 7 OSCAR 24.1 51.9 126 732 +1975 12 16 0 25 ERNESTO 67.4 186.7 140 228 +1967 10 8 18 24 NADINE 35.6 314.1 135 106 +2002 2 1 18 19 ISAAC 50.2 217.4 73 29 +1982 9 26 12 27 DEBBY 41.5 234.2 120 862 +1955 5 8 12 21 ERNESTO 39.0 190.4 33 18 +1988 9 18 0 7 JOYCE 52.2 209.3 77 263 +1999 3 24 6 17 HELENE 69.3 166.7 70 375 +2001 7 26 6 16 ERNESTO 33.6 98.6 74 416 +1992 12 10 12 6 RAFAEL 20.1 5.1 14 208 +1987 10 20 12 16 MICHAEL 38.3 294.3 104 433 +1992 5 4 12 14 FLORENCE 19.0 20.2 156 633 +1998 8 18 6 25 PATTY 34.3 176.6 119 591 +1966 5 9 18 24 HELENE 61.8 148.8 122 435 +1999 10 14 12 18 ERNESTO 52.3 89.1 110 502 +1996 2 7 0 6 BERYL 25.2 46.6 29 317 +1958 6 13 18 22 ALBERTO 57.8 41.5 63 605 +1956 1 1 18 10 GORDON 12.9 74.0 82 346 +1964 9 28 18 25 WILLIAM 24.5 129.6 56 682 +1988 7 13 18 10 LESLIE 51.0 221.8 11 514 +1957 5 20 6 13 JOYCE 65.3 126.3 58 416 +1987 3 6 18 16 SANDY 62.0 216.0 117 77 +1954 10 9 0 10 VALERIE 13.1 216.1 88 680 +1979 6 27 6 13 FLORENCE 51.8 254.4 82 25 +1960 8 24 0 6 ISAAC 30.4 142.5 79 532 +1993 3 18 6 16 HELENE 58.0 171.7 126 269 +1996 9 25 12 8 PATTY 17.2 117.7 41 871 +1999 11 20 12 17 WILLIAM 64.5 162.8 129 270 +1965 2 11 6 7 BERYL 31.7 276.2 143 160 +1998 1 17 12 28 CHRIS 41.9 142.8 45 672 +1982 5 19 18 5 BERYL 40.8 271.8 14 209 +1950 6 9 6 1 CHRIS 31.9 347.2 125 501 +1957 10 17 18 15 HELENE 15.8 113.9 62 463 +1972 9 9 18 17 PATTY 63.6 163.2 114 483 +1958 11 25 18 17 OSCAR 59.3 328.6 146 266 +1992 6 10 12 12 WILLIAM 69.0 18.0 100 556 +1997 5 17 18 26 ALBERTO 49.7 108.4 57 624 +1988 8 12 12 25 BERYL 29.1 37.5 139 698 +1958 5 11 12 7 PATTY 34.1 261.8 131 142 +1950 4 26 6 2 GORDON 64.9 90.7 164 854 +2004 6 11 6 20 OSCAR 33.0 216.7 157 894 +1951 6 21 0 24 BERYL 46.1 12.6 28 717 +1955 3 8 6 12 ALBERTO 48.8 253.7 49 364 +2000 8 2 6 22 SANDY 56.6 343.2 23 688 +1988 3 21 0 14 HELENE 11.4 298.8 19 503 +1962 1 26 12 23 MICHAEL 32.3 274.8 89 786 +1992 1 11 0 9 JOYCE 58.5 59.9 64 267 +1971 11 4 12 12 NADINE 35.3 130.1 147 602 +1973 9 11 6 8 JOYCE 23.7 21.1 164 163 +1963 4 17 12 13 WILLIAM 8.9 171.1 164 79 +1991 4 12 0 3 MICHAEL 46.4 98.9 152 38 +1989 4 25 18 5 GORDON 39.3 354.7 60 54 +1960 1 9 18 9 NADINE 12.3 264.7 134 83 +1955 4 27 12 25 LESLIE 24.5 303.1 138 401 +1950 8 23 12 19 NADINE 14.6 71.1 119 65 +1961 5 26 12 3 ISAAC 8.0 295.5 81 522 +1967 2 3 12 11 KIRK 64.4 47.1 42 291 +2004 1 2 6 21 JOYCE 48.4 319.1 105 269 +2003 3 24 0 3 FLORENCE 24.8 132.7 151 42 +1980 3 17 0 25 HELENE 26.9 153.0 156 525 +2000 10 28 18 6 ERNESTO 10.2 87.6 63 685 +1985 11 25 18 27 SANDY 36.2 110.7 29 553 +1992 7 26 18 24 RAFAEL 10.5 112.4 42 2 +2002 5 15 18 24 NADINE 58.7 184.4 24 762 +1973 1 25 0 7 KIRK 8.5 148.8 160 151 +2004 2 16 12 26 LESLIE 58.9 23.4 82 218 +1950 11 14 0 28 MICHAEL 45.9 37.8 128 577 +1995 4 8 6 3 KIRK 68.4 275.9 83 811 +1996 8 2 12 18 JOYCE 11.9 256.2 37 355 +1968 6 23 18 25 KIRK 36.2 312.5 123 166 +1986 8 7 6 24 ERNESTO 32.3 305.7 144 505 +1967 5 8 0 15 ISAAC 66.9 25.2 53 607 +1971 12 10 12 4 HELENE 17.8 350.8 123 269 +1969 6 28 12 11 OSCAR 63.2 220.2 48 826 +1959 4 25 18 22 PATTY 55.4 329.8 152 644 +1986 7 12 6 26 MICHAEL 63.4 157.7 134 343 +1974 3 27 12 5 ALBERTO 15.3 74.0 80 849 +1963 3 5 0 6 TONY 9.7 203.5 120 42 +1951 2 11 6 16 PATTY 50.2 284.5 148 691 +1955 12 4 0 9 DEBBY 32.8 335.6 125 518 +1994 1 24 6 2 BERYL 44.2 282.2 116 81 +2004 1 12 0 28 SANDY 67.6 140.8 14 830 +1976 1 14 12 22 CHRIS 63.9 91.9 157 146 +1978 2 16 18 23 LESLIE 28.7 20.3 113 785 +1980 4 21 0 6 PATTY 58.2 271.6 82 893 +1976 7 11 0 23 OSCAR 56.8 62.8 69 801 +1971 4 22 18 7 KIRK 49.7 38.8 149 537 +1966 3 21 0 22 ISAAC 22.8 116.0 123 463 +1957 9 3 18 7 RAFAEL 25.2 203.0 100 98 +1989 3 20 12 8 RAFAEL 63.4 191.0 23 35 +1978 5 1 12 13 ISAAC 62.6 202.6 33 448 +1980 4 11 6 1 MICHAEL 10.5 354.0 135 185 +1962 9 17 0 9 RAFAEL 16.6 286.8 22 24 +1996 7 14 0 3 RAFAEL 46.2 180.7 149 512 +1959 8 20 0 15 HELENE 52.0 279.8 134 199 +1983 4 10 12 20 ALBERTO 16.7 128.1 144 590 +1956 10 26 0 25 BERYL 14.8 332.6 65 610 +1977 1 23 18 3 BERYL 63.7 42.5 42 793 +1980 11 16 12 15 BERYL 17.4 84.1 127 834 +1968 9 21 12 25 DEBBY 63.9 312.5 163 542 +1960 10 24 0 6 GORDON 49.4 190.8 60 730 +1963 2 5 18 3 ERNESTO 68.7 315.2 108 463 +1997 12 16 6 12 GORDON 30.0 148.7 106 195 +1999 11 27 0 16 PATTY 8.1 40.0 74 758 +1974 5 19 12 6 ERNESTO 65.8 252.6 76 95 +1959 8 9 0 2 JOYCE 38.6 247.0 152 483 +1992 10 16 12 5 TONY 11.0 184.8 86 439 +1968 7 19 6 7 RAFAEL 15.0 176.6 124 82 +1961 6 17 12 8 VALERIE 29.6 129.4 99 119 +1955 6 19 0 13 ERNESTO 67.8 84.8 113 443 +1953 7 8 0 20 HELENE 35.4 246.0 52 601 +1983 11 23 0 23 MICHAEL 23.5 329.3 14 584 +1956 10 2 0 8 CHRIS 21.7 137.5 49 549 +1957 10 16 6 24 ALBERTO 13.9 233.7 126 584 +1998 12 18 12 1 OSCAR 21.2 344.7 58 255 +1991 5 3 0 24 JOYCE 42.7 158.7 37 41 +1972 3 18 6 27 KIRK 7.7 221.8 164 764 +1953 7 13 0 19 WILLIAM 11.9 192.4 70 481 +1993 2 9 12 10 RAFAEL 36.0 30.4 137 537 +1970 12 7 6 22 MICHAEL 40.4 129.9 92 587 +1987 3 20 6 21 OSCAR 67.8 135.2 124 3 +1963 4 17 12 25 PATTY 60.2 21.8 59 422 +2001 12 12 6 2 CHRIS 47.2 45.8 59 872 +1954 8 9 6 19 SANDY 24.2 130.7 152 462 +1977 8 1 18 17 MICHAEL 26.7 58.1 44 555 +1953 10 12 6 6 MICHAEL 68.5 349.7 119 18 +1968 10 13 0 14 HELENE 22.7 109.9 61 643 +1984 8 17 18 5 HELENE 28.1 121.9 77 656 +1986 2 5 0 20 VALERIE 30.3 201.6 101 109 +1972 8 1 6 18 TONY 51.2 196.2 101 430 +2003 4 17 6 17 GORDON 16.4 9.9 86 84 +1987 9 21 18 4 CHRIS 13.6 308.2 127 701 +2001 1 1 12 26 ALBERTO 36.8 351.6 131 529 +1971 7 12 12 13 PATTY 20.3 27.6 41 444 +1966 5 7 0 26 NADINE 10.7 91.7 119 70 +1962 10 6 0 23 SANDY 18.7 149.9 43 129 +1958 10 27 18 21 KIRK 68.3 136.5 92 308 +1998 6 22 18 16 PATTY 69.0 174.8 43 285 +1995 4 2 12 21 PATTY 12.1 268.7 144 392 +1983 1 13 12 22 WILLIAM 28.6 266.0 66 441 +2000 10 12 0 9 NADINE 57.4 184.8 145 372 +1999 5 15 12 3 BERYL 66.7 134.6 115 604 +1950 4 13 6 28 PATTY 48.4 266.3 132 0 +1983 10 3 18 20 FLORENCE 60.2 304.7 41 556 +1983 2 23 12 9 OSCAR 11.6 73.9 128 416 +1962 5 22 12 2 CHRIS 36.1 143.6 71 374 +1969 3 12 6 1 OSCAR 22.0 193.0 141 212 +1954 2 1 18 12 DEBBY 19.7 106.6 138 111 +1989 12 24 6 5 ERNESTO 42.0 231.9 76 825 +1969 10 10 6 21 BERYL 59.1 208.6 102 748 +1960 1 6 12 21 OSCAR 68.0 298.6 128 172 +1970 8 12 6 23 LESLIE 53.7 6.6 37 572 +1980 12 27 18 14 LESLIE 57.6 46.5 150 383 +1955 7 14 12 1 LESLIE 21.9 184.7 40 866 +1967 12 6 12 15 JOYCE 62.3 307.4 37 635 +1990 3 10 12 12 KIRK 45.2 242.7 33 20 +1971 3 5 18 14 NADINE 8.9 199.0 117 198 +1957 1 23 18 26 MICHAEL 34.9 266.9 53 367 +1991 9 21 0 11 SANDY 64.7 140.3 145 639 +1996 12 3 6 7 WILLIAM 12.9 178.4 49 286 +1951 5 16 0 4 MICHAEL 53.7 84.9 102 228 +2003 6 23 18 2 PATTY 31.1 259.9 26 469 +1963 5 25 6 19 OSCAR 41.5 205.2 10 823 +1991 3 20 12 20 BERYL 19.7 350.6 120 754 +1986 6 2 0 7 KIRK 54.0 323.1 116 35 +1974 5 10 0 27 ALBERTO 8.0 156.1 15 549 +1972 9 6 12 11 DEBBY 34.8 154.7 26 18 +1988 2 10 0 28 MICHAEL 61.3 300.7 80 736 +2004 5 12 0 12 FLORENCE 69.5 187.6 122 483 +2004 9 24 12 2 HELENE 50.0 180.2 122 576 +1973 10 13 12 11 FLORENCE 18.2 36.5 20 293 +1990 2 7 6 5 NADINE 27.9 171.8 78 699 +1965 2 4 18 21 BERYL 26.7 49.9 70 208 +2000 11 25 18 13 MICHAEL 24.4 71.3 142 713 +1980 2 28 6 10 FLORENCE 16.5 311.5 162 641 +1968 10 23 6 14 BERYL 10.1 205.5 10 15 +2000 6 8 18 8 KIRK 15.8 164.6 73 451 +2001 8 15 6 28 ALBERTO 60.6 76.3 135 31 +1963 7 22 6 16 TONY 15.7 291.3 112 690 +1950 1 22 12 25 OSCAR 62.9 260.6 114 215 +1990 2 15 18 19 CHRIS 46.9 248.7 92 84 +1961 6 2 12 10 NADINE 40.6 171.4 50 694 +1951 7 15 12 3 RAFAEL 58.8 75.2 100 195 +1982 6 28 12 11 CHRIS 50.1 106.1 164 39 +1970 9 6 12 3 SANDY 66.3 8.3 134 262 +1996 6 18 0 5 DEBBY 9.6 239.2 119 771 +1981 12 9 12 8 TONY 20.6 254.1 89 2 +1955 10 16 6 25 PATTY 14.7 226.3 71 448 +1963 4 16 0 20 ERNESTO 36.9 265.2 123 22 +2002 3 19 18 22 JOYCE 65.7 53.7 29 821 +1953 11 21 0 19 HELENE 35.1 291.6 78 370 +1971 11 18 18 2 GORDON 41.5 262.4 130 158 +1973 10 5 0 11 LESLIE 33.7 206.2 153 633 +1975 7 16 18 7 OSCAR 9.4 335.4 141 181 +1957 7 6 6 14 WILLIAM 51.6 356.5 144 204 +1993 2 15 12 27 LESLIE 18.3 230.9 158 520 +1974 1 23 18 19 PATTY 34.0 302.9 23 22 +1995 12 27 0 7 RAFAEL 55.1 100.3 163 619 +1973 4 23 6 26 ERNESTO 48.4 14.3 92 395 +1977 4 23 12 6 DEBBY 8.7 125.1 73 583 +1993 5 18 12 4 MICHAEL 20.4 248.6 139 697 +2002 1 3 0 28 VALERIE 40.9 93.2 61 674 +1997 1 2 0 12 CHRIS 52.2 24.4 109 77 +1951 10 19 18 6 NADINE 65.5 155.6 118 356 +1965 6 27 6 23 FLORENCE 10.0 296.3 50 806 +1962 11 24 0 9 LESLIE 18.2 107.3 12 558 +1966 8 28 12 7 OSCAR 53.5 269.5 96 792 +1998 1 19 6 26 GORDON 16.0 211.4 140 775 +1955 10 19 18 6 ERNESTO 59.8 144.3 39 26 +1989 4 26 12 8 LESLIE 17.1 110.2 31 563 +1961 11 11 18 5 TONY 49.3 2.1 144 585 +1953 11 1 6 15 RAFAEL 55.2 38.0 99 711 +2003 12 22 6 4 ALBERTO 26.2 284.7 98 642 +1994 6 10 6 3 WILLIAM 53.9 169.7 62 627 +1979 8 3 12 21 RAFAEL 55.0 174.6 102 729 +1976 9 22 0 16 GORDON 11.2 119.3 77 895 +1999 12 27 18 27 MICHAEL 17.9 28.9 155 258 +2002 2 19 18 4 HELENE 46.0 273.7 76 126 +1994 6 7 18 22 RAFAEL 17.8 94.4 151 736 +1955 11 2 12 21 GORDON 13.9 20.2 74 261 +1983 6 24 0 12 JOYCE 27.0 141.2 114 29 +1995 1 26 6 22 KIRK 61.2 21.3 89 195 +1992 1 5 12 15 ERNESTO 36.1 142.8 124 165 +1975 10 16 6 26 ERNESTO 63.9 26.8 56 249 +1979 5 12 12 28 GORDON 48.4 348.8 67 461 +1951 3 5 0 11 ERNESTO 60.6 285.1 67 335 +1966 3 16 0 18 VALERIE 51.2 315.7 88 305 +1997 10 18 12 3 DEBBY 14.9 66.8 108 656 +1993 3 16 6 3 VALERIE 39.0 98.4 155 636 +1995 2 2 12 23 JOYCE 56.2 257.7 131 244 +1981 12 13 12 11 HELENE 30.5 355.4 48 17 +1977 4 13 0 23 DEBBY 35.1 147.2 12 740 +1993 2 27 18 7 ERNESTO 28.4 139.8 145 515 +1968 11 6 18 16 PATTY 24.4 354.0 98 370 +1983 10 22 18 15 JOYCE 12.6 71.1 147 583 +1956 10 14 0 2 NADINE 47.2 229.5 137 82 +1997 7 12 6 4 FLORENCE 49.1 285.8 159 339 +1987 12 16 6 5 KIRK 24.3 305.9 126 568 +2002 3 22 6 4 PATTY 36.3 4.0 112 804 +1995 6 10 6 14 RAFAEL 22.6 264.7 87 224 +1965 11 24 0 8 VALERIE 30.0 309.0 120 880 +2004 11 2 0 14 JOYCE 37.6 243.0 93 321 +1973 11 28 12 6 DEBBY 63.6 224.6 98 787 +1973 3 22 0 5 ALBERTO 11.4 79.2 18 234 +1971 10 28 0 11 CHRIS 44.7 238.8 87 28 +1964 3 19 12 20 ISAAC 61.2 287.2 62 83 +1954 4 28 18 13 FLORENCE 51.7 67.2 106 563 +1967 6 17 0 23 OSCAR 49.5 344.3 144 453 +1982 4 1 18 27 MICHAEL 8.7 222.2 123 274 +1976 2 3 18 23 MICHAEL 53.0 154.7 34 626 +1974 1 11 6 3 WILLIAM 25.2 129.1 157 769 +1951 8 2 6 6 CHRIS 31.1 138.9 24 401 +1972 6 18 0 17 MICHAEL 24.6 157.4 43 115 +1985 3 26 0 2 TONY 38.9 192.3 146 607 +1987 5 16 12 23 ALBERTO 53.4 282.4 17 470 +1971 11 10 12 11 DEBBY 9.8 244.3 54 165 +1969 9 10 0 24 KIRK 46.1 153.1 69 129 +1980 6 13 12 15 PATTY 28.3 110.8 114 728 +1981 5 12 0 20 HELENE 45.3 117.5 103 858 +1950 10 7 0 16 VALERIE 45.5 261.3 38 783 +1960 12 28 0 2 HELENE 49.3 171.1 10 752 +1999 8 11 12 23 KIRK 59.9 334.9 44 236 +1965 3 24 0 5 PATTY 49.8 26.9 17 506 +1985 1 4 0 26 RAFAEL 36.9 338.5 88 850 +1996 7 26 18 6 PATTY 58.4 287.3 153 676 +1987 4 20 0 10 PATTY 60.3 151.9 120 32 +1969 11 9 18 22 JOYCE 57.2 4.0 156 433 +1971 3 22 18 17 ERNESTO 44.1 141.2 84 773 +1967 4 7 0 18 MICHAEL 58.5 314.3 98 379 +1967 1 16 12 8 GORDON 34.8 2.6 92 681 +1977 11 14 6 5 NADINE 29.3 232.7 151 891 +1958 10 9 6 2 ISAAC 12.4 215.0 46 531 +1951 11 19 18 28 MICHAEL 66.4 57.0 91 223 +1956 12 5 12 16 BERYL 20.0 25.1 51 881 +1983 6 25 6 7 WILLIAM 10.8 356.8 64 887 +1982 6 5 6 24 ERNESTO 25.0 223.8 17 408 +2001 9 16 12 27 VALERIE 9.1 10.0 114 827 +1972 10 5 18 17 JOYCE 32.2 136.2 10 849 +1980 10 25 6 23 ERNESTO 15.3 112.5 30 840 +2003 4 9 12 4 NADINE 27.0 137.0 43 426 +1995 8 10 6 26 WILLIAM 46.4 321.2 31 309 +1967 9 19 0 10 ALBERTO 16.9 308.3 42 467 +1978 7 22 0 2 KIRK 9.3 156.3 53 582 +1990 9 16 6 12 NADINE 58.1 182.2 45 159 +1986 2 26 12 27 NADINE 37.6 180.9 11 705 +1972 7 22 0 7 ISAAC 17.4 87.0 68 39 +1987 12 25 12 4 ERNESTO 32.0 107.3 59 481 +1992 5 1 18 11 ISAAC 69.6 132.6 75 672 +1953 6 1 6 28 WILLIAM 44.7 126.3 19 879 +1989 7 28 12 13 FLORENCE 13.8 164.5 146 340 +2001 1 16 6 3 KIRK 46.3 179.6 53 755 +2001 7 11 12 8 SANDY 40.8 94.8 71 743 +1952 12 12 6 20 DEBBY 35.7 340.6 74 684 +1998 2 17 12 24 TONY 60.2 131.7 104 14 +1989 6 2 12 11 JOYCE 69.1 357.5 25 528 +2003 1 15 6 1 OSCAR 23.4 220.5 79 79 +1984 3 21 6 6 DEBBY 35.5 188.3 77 764 +1979 9 27 18 21 FLORENCE 68.0 262.1 120 157 +1980 10 9 0 7 JOYCE 16.5 57.3 124 420 +1976 3 14 12 28 VALERIE 38.6 112.5 152 832 +2000 10 24 12 4 VALERIE 52.9 21.0 133 102 +2004 12 24 18 28 TONY 24.5 262.4 101 303 +1989 2 2 12 7 ERNESTO 53.4 160.1 65 667 +1998 6 19 18 13 PATTY 17.8 298.9 102 361 +1951 3 26 12 16 TONY 20.9 223.7 154 200 +1993 6 21 12 24 NADINE 54.7 227.1 76 811 +1992 5 8 0 25 ALBERTO 51.4 38.8 72 624 +1983 3 14 0 2 ERNESTO 15.5 73.3 108 820 +1964 3 28 6 18 DEBBY 51.5 200.8 67 878 +1989 6 6 12 21 CHRIS 25.8 317.6 52 792 +1950 1 20 0 21 VALERIE 16.3 42.4 66 500 +1986 10 12 0 13 MICHAEL 64.6 174.2 64 0 +1976 3 24 6 10 KIRK 7.3 92.4 91 259 +1982 10 19 0 3 PATTY 38.4 339.9 75 840 +1998 5 15 12 5 GORDON 42.0 132.3 123 605 +1959 5 22 12 18 FLORENCE 38.4 13.5 153 581 +1977 11 18 6 15 ERNESTO 65.5 4.0 19 874 +1984 6 9 6 24 ERNESTO 45.6 286.1 124 155 +1959 8 11 0 3 BERYL 47.5 75.2 36 893 +1999 4 10 18 4 PATTY 37.4 356.8 90 797 +1964 9 13 18 13 KIRK 60.8 30.3 56 651 +1976 7 16 0 5 BERYL 54.7 91.1 24 12 +1965 12 17 6 22 RAFAEL 56.9 127.2 125 67 +1977 12 1 0 12 HELENE 37.2 9.9 61 695 +1976 10 6 6 1 ALBERTO 56.3 281.7 86 782 +1966 11 20 18 17 RAFAEL 9.5 69.4 153 482 +1976 7 16 12 14 JOYCE 57.1 129.7 75 789 +1978 4 13 6 25 ERNESTO 66.2 302.7 70 150 +1984 6 22 12 12 PATTY 43.4 225.5 87 340 +1958 3 22 0 17 MICHAEL 20.2 136.9 29 695 +1974 7 24 18 6 HELENE 29.8 76.7 113 816 +1987 3 3 18 27 TONY 44.9 177.2 86 29 +2000 11 3 18 16 LESLIE 57.7 225.0 106 616 +1983 1 9 6 23 JOYCE 51.3 204.3 44 522 +1973 5 16 18 18 ISAAC 39.1 298.9 43 205 +1964 8 14 18 5 LESLIE 28.8 336.5 122 51 +1986 8 14 12 23 ISAAC 36.7 18.8 163 788 +1982 10 19 18 22 GORDON 52.0 212.7 30 62 +1961 5 28 18 9 SANDY 50.7 72.1 46 807 +1966 9 25 18 5 PATTY 38.7 122.4 121 563 +1962 9 9 12 11 OSCAR 23.0 228.7 110 754 +1991 2 28 12 9 GORDON 47.3 213.9 33 311 +1958 5 16 0 11 RAFAEL 19.8 53.7 14 101 +1983 7 26 18 19 KIRK 49.3 133.0 145 815 +1978 5 5 12 27 MICHAEL 61.5 202.5 126 224 +2001 4 19 18 19 LESLIE 42.9 35.6 163 120 +1974 4 13 6 9 VALERIE 28.6 46.0 14 634 +1994 12 19 0 5 NADINE 18.8 61.7 41 623 +1960 4 8 18 26 SANDY 19.0 309.8 86 797 +1958 5 25 18 10 JOYCE 34.7 290.4 17 670 +1959 8 8 12 14 DEBBY 39.1 34.7 152 543 +1997 7 3 18 7 TONY 44.4 149.0 111 160 +1977 4 28 6 7 HELENE 57.0 321.5 42 879 +1981 9 7 18 14 FLORENCE 62.1 186.4 45 314 +1988 1 25 18 18 MICHAEL 65.8 51.2 52 419 +1996 7 4 18 14 TONY 66.5 56.5 49 196 +1956 9 21 12 6 ALBERTO 25.5 185.1 119 452 +2002 10 26 0 25 HELENE 29.4 323.9 119 358 +1952 1 25 0 26 ERNESTO 29.0 185.8 75 796 +1983 12 15 18 10 ERNESTO 47.0 64.6 44 228 +1975 2 16 0 17 ISAAC 55.2 81.2 144 727 +1962 3 20 0 15 TONY 17.0 185.3 112 566 +1956 9 3 12 25 PATTY 59.8 104.6 94 493 +1969 12 28 0 7 OSCAR 9.7 273.5 78 697 +1974 10 23 0 1 WILLIAM 14.4 153.2 82 823 +1970 3 4 6 9 ALBERTO 41.9 87.0 26 465 +1977 2 5 6 12 FLORENCE 50.4 291.2 98 717 +1993 7 20 12 28 ALBERTO 39.5 234.7 28 718 +1981 3 13 0 20 SANDY 62.1 208.8 78 784 +1971 9 20 0 6 TONY 64.4 60.5 50 143 +1976 6 16 12 19 TONY 49.8 310.9 156 709 +1975 7 4 0 17 ERNESTO 52.0 304.9 54 487 +1952 5 8 12 14 CHRIS 53.2 243.2 22 354 +1990 1 27 12 22 SANDY 65.8 162.7 164 372 +1997 11 12 12 3 VALERIE 8.0 53.4 91 534 +1977 9 9 18 18 TONY 16.1 78.8 145 690 +1955 1 12 12 24 DEBBY 62.6 326.5 64 422 +1988 5 11 0 18 ERNESTO 57.7 163.7 58 130 +1982 1 9 18 20 TONY 25.4 271.3 152 73 +1972 2 22 12 24 NADINE 13.9 177.8 95 384 +1986 2 22 0 21 KIRK 8.7 319.2 74 316 +1956 5 22 6 14 BERYL 38.4 244.8 67 361 +2004 12 24 12 18 RAFAEL 36.2 33.4 68 423 +2000 4 4 6 7 SANDY 40.2 40.7 148 276 +1967 3 21 12 10 CHRIS 16.0 221.3 40 195 +1987 3 12 12 3 BERYL 24.9 135.8 108 673 +1965 3 20 6 14 TONY 57.6 174.9 35 886 +1997 7 15 6 21 LESLIE 44.1 51.7 138 665 +1995 5 13 18 6 MICHAEL 37.0 350.8 66 63 +1955 12 14 12 22 GORDON 17.9 93.2 62 871 +1997 4 4 18 12 CHRIS 56.7 226.1 117 124 +1994 3 25 18 26 KIRK 45.3 144.1 142 63 +1958 5 17 18 16 MICHAEL 39.7 283.0 98 780 +1997 12 20 12 13 ERNESTO 63.3 29.7 134 564 +1978 12 4 18 19 BERYL 61.0 184.7 53 35 +1977 9 3 12 19 LESLIE 56.0 104.6 43 24 +1954 4 23 18 7 KIRK 33.1 149.6 51 204 +1980 2 15 12 9 BERYL 26.8 12.7 48 710 +1954 11 21 0 18 RAFAEL 65.6 231.6 66 865 +1963 5 2 12 4 ALBERTO 34.2 85.1 25 829 +1976 11 7 6 22 DEBBY 18.8 14.9 29 481 +1991 7 15 18 5 MICHAEL 65.9 257.2 29 407 +1983 11 2 18 16 GORDON 24.9 273.1 52 449 +1986 5 23 6 28 ALBERTO 26.1 304.4 69 130 +1999 11 21 0 5 BERYL 32.9 238.3 66 538 +1966 6 19 6 1 NADINE 44.9 176.1 98 540 +2001 2 4 12 24 DEBBY 67.4 167.2 150 143 +2003 3 22 18 23 JOYCE 68.9 278.3 114 258 +1975 8 4 0 20 LESLIE 58.6 49.3 18 106 +1977 4 19 0 9 FLORENCE 20.5 204.3 45 174 +1962 11 23 0 27 SANDY 17.0 216.2 159 870 +1979 8 15 0 16 KIRK 20.3 146.5 99 440 +1984 12 15 12 28 ISAAC 48.5 163.5 114 272 +1964 6 15 6 12 TONY 59.6 357.9 125 496 +2002 3 16 6 8 WILLIAM 43.1 352.5 157 473 +1991 4 8 0 23 LESLIE 11.7 302.0 10 813 +2004 10 6 6 11 GORDON 61.7 162.4 43 619 +1962 8 21 12 4 HELENE 17.4 98.6 32 257 +2004 12 19 6 15 MICHAEL 51.5 91.4 95 595 +1986 2 23 12 3 JOYCE 67.0 104.8 124 689 +1987 1 16 12 11 KIRK 13.2 320.0 80 431 +1987 3 6 12 4 GORDON 53.6 301.6 120 785 +1969 9 5 12 10 TONY 58.4 310.1 95 523 +1968 8 2 12 9 PATTY 48.7 243.1 102 810 +1977 3 12 18 3 GORDON 9.0 174.7 27 275 +1950 12 21 6 11 LESLIE 69.9 64.7 10 779 +1959 11 18 6 21 DEBBY 21.2 178.0 114 817 +1951 5 4 12 9 PATTY 37.8 109.8 136 747 +1958 4 15 18 26 MICHAEL 45.3 187.4 91 798 +1969 8 7 0 2 ISAAC 17.3 66.9 91 150 +1994 5 9 0 22 ALBERTO 22.8 112.5 135 779 +1982 3 19 18 10 PATTY 10.0 262.0 148 474 +1984 12 12 0 5 BERYL 69.7 42.6 64 347 +2001 4 10 0 7 RAFAEL 12.5 114.2 64 493 +1967 2 12 12 2 OSCAR 68.3 267.8 94 829 +1970 6 25 6 13 SANDY 43.0 211.5 103 533 +1978 2 26 18 12 HELENE 42.8 201.7 11 718 +1955 7 10 12 27 ERNESTO 66.3 28.2 98 278 +1973 11 16 12 12 WILLIAM 67.8 131.0 30 829 +1950 11 9 12 3 ALBERTO 60.3 12.9 152 694 +1966 10 15 0 14 JOYCE 48.2 106.2 145 553 +1997 2 13 6 18 KIRK 28.7 289.8 23 845 +1975 9 2 0 23 ISAAC 50.9 200.1 66 201 +1959 8 26 18 26 ERNESTO 33.0 138.1 35 657 +1984 4 20 6 11 DEBBY 26.5 20.1 40 676 +1992 6 22 18 11 NADINE 24.6 201.0 62 247 +1964 8 24 12 20 GORDON 40.3 284.3 155 214 +1952 7 14 0 19 ISAAC 52.3 185.3 55 84 +1962 6 12 6 13 PATTY 61.2 347.9 80 888 +1983 1 23 6 20 VALERIE 22.9 135.6 69 69 +1973 10 15 12 24 PATTY 19.1 84.0 25 612 +1969 4 11 0 8 TONY 19.8 277.9 44 14 +1985 9 12 18 17 ISAAC 66.5 180.7 96 757 +1951 10 24 0 3 GORDON 26.3 64.4 32 809 +1990 12 2 0 19 SANDY 42.7 177.7 156 666 +1991 6 28 0 8 FLORENCE 21.0 55.2 35 209 +1977 6 8 12 24 DEBBY 52.3 355.6 75 776 +1984 8 7 0 2 VALERIE 13.7 353.4 119 825 +1981 10 2 12 11 DEBBY 25.1 143.0 162 786 +1968 10 15 12 11 OSCAR 50.0 192.5 18 555 +1960 3 15 12 4 LESLIE 16.3 128.3 26 798 +1968 9 18 0 24 NADINE 41.5 118.0 16 317 +1962 10 22 12 11 CHRIS 54.2 50.8 70 576 +1983 6 23 12 18 SANDY 23.3 291.9 36 394 +1967 11 7 12 8 BERYL 8.8 321.8 57 267 +1975 11 19 18 23 ERNESTO 33.0 347.8 112 410 +1977 11 3 0 9 VALERIE 38.9 10.6 105 232 +1998 6 12 12 27 CHRIS 47.7 223.2 47 389 +1950 1 18 6 11 KIRK 27.6 18.6 19 18 +2003 2 2 0 2 SANDY 34.0 173.7 78 51 +1990 10 22 0 22 TONY 60.2 166.0 44 265 +2000 11 5 0 28 SANDY 41.1 91.0 86 227 +1984 8 6 0 3 ERNESTO 51.5 308.6 53 424 +1958 5 6 6 16 LESLIE 38.7 338.1 150 81 +1967 2 13 0 10 ALBERTO 12.8 328.3 137 137 +1995 10 21 0 15 LESLIE 37.1 185.4 111 491 +1983 10 2 18 13 TONY 62.2 52.4 96 381 +1985 8 27 18 24 ISAAC 14.6 268.5 48 426 +1989 6 28 18 7 NADINE 10.2 186.9 96 834 +1989 9 26 18 3 OSCAR 65.5 92.0 103 167 +1985 12 5 6 12 DEBBY 42.6 160.4 164 417 +1978 1 10 12 12 ERNESTO 54.2 59.6 111 307 +1995 6 15 18 24 PATTY 31.9 154.0 154 23 +2003 3 23 18 9 ALBERTO 34.8 64.7 160 59 +1990 1 17 18 11 ERNESTO 24.2 288.6 103 381 +1967 1 23 0 13 MICHAEL 43.5 341.6 25 655 +1950 10 25 6 19 DEBBY 18.9 164.0 13 709 +1956 2 24 18 22 ERNESTO 16.7 21.3 57 81 +1956 10 3 18 19 KIRK 64.8 180.9 136 170 +1986 9 4 6 9 LESLIE 59.0 206.6 128 477 +1965 3 16 0 11 GORDON 48.0 36.6 149 524 +1991 6 20 18 25 MICHAEL 56.1 87.5 140 108 +1958 2 11 18 16 TONY 39.6 74.3 144 284 +1963 2 15 0 2 HELENE 12.4 73.3 106 797 +1990 3 28 18 28 OSCAR 65.1 60.5 22 615 +1989 12 11 18 1 NADINE 10.1 172.8 76 215 +1975 4 17 18 5 DEBBY 35.6 43.4 57 483 +1975 8 27 18 13 CHRIS 65.0 18.3 61 888 +1977 8 27 18 22 ALBERTO 48.0 94.2 51 152 +1971 12 13 0 17 JOYCE 34.8 8.4 127 319 +1976 5 25 6 10 NADINE 57.9 138.2 35 5 +1960 2 17 0 17 ALBERTO 12.9 16.1 68 102 +1960 5 22 12 26 DEBBY 43.5 154.8 149 881 +1961 2 8 18 2 RAFAEL 39.9 98.5 94 87 +2004 6 1 12 6 MICHAEL 40.4 327.8 89 330 +1985 8 21 12 6 KIRK 40.7 98.1 126 855 +1963 8 13 12 1 WILLIAM 58.7 28.6 126 795 +1981 4 4 18 27 VALERIE 38.8 219.7 101 382 +1957 6 11 0 16 RAFAEL 26.2 42.0 85 183 +1995 12 24 12 10 WILLIAM 60.0 37.8 147 319 +1985 1 28 0 7 ISAAC 63.9 346.9 163 854 +1979 10 10 6 21 BERYL 63.8 89.9 126 508 +1968 10 28 18 19 ISAAC 58.8 307.2 38 449 +1986 9 19 12 22 ERNESTO 42.2 117.5 115 353 +1976 9 15 0 21 KIRK 51.8 305.3 102 889 +1983 10 3 6 24 BERYL 21.3 314.1 105 885 +1975 3 13 12 21 KIRK 56.9 302.0 25 177 +1963 12 5 18 28 ALBERTO 29.4 3.9 72 470 +1974 7 27 12 8 SANDY 17.8 64.3 52 331 +2000 4 26 6 7 VALERIE 41.7 220.9 148 501 +1957 7 8 0 2 VALERIE 68.1 224.7 136 259 +1956 1 5 18 1 KIRK 46.8 263.6 21 785 +1995 10 5 6 9 OSCAR 34.4 194.4 158 809 +1983 9 13 18 17 CHRIS 19.7 110.3 137 782 +1987 3 4 18 2 SANDY 17.1 147.2 37 364 +1952 4 2 18 17 RAFAEL 32.9 268.0 36 547 +1965 3 21 0 15 RAFAEL 57.0 228.9 148 347 +1968 10 12 0 14 ALBERTO 41.9 238.3 108 459 +1964 4 12 18 17 MICHAEL 68.9 255.8 27 431 +1950 7 5 12 18 ERNESTO 32.6 328.4 27 623 +1989 2 8 18 24 ERNESTO 21.5 354.0 145 766 +1975 3 2 0 26 MICHAEL 22.8 271.9 111 412 +1957 7 7 18 8 ISAAC 50.4 149.8 113 708 +1983 9 24 12 6 BERYL 65.3 356.6 119 870 +1969 6 12 18 2 DEBBY 61.0 15.5 52 644 +1989 4 1 12 18 DEBBY 27.5 135.8 151 612 +1975 10 26 0 13 HELENE 25.2 288.8 31 713 +1986 11 4 18 28 OSCAR 15.2 331.6 19 753 +1963 5 8 6 27 WILLIAM 27.3 105.3 63 77 +1984 6 21 12 20 VALERIE 33.9 333.6 105 372 +1952 8 2 6 19 LESLIE 15.8 348.6 51 894 +1981 4 9 12 7 ISAAC 39.6 131.7 119 610 +1980 6 24 18 16 OSCAR 41.6 253.5 156 624 +1999 2 5 0 19 CHRIS 9.6 329.9 13 363 +1962 9 8 0 17 ISAAC 66.7 118.8 136 45 +1957 11 15 12 28 BERYL 41.3 115.1 156 340 +1968 3 4 6 22 MICHAEL 64.1 331.8 104 477 +1953 10 13 12 20 MICHAEL 61.6 41.0 55 435 +1955 1 27 18 15 PATTY 16.3 57.6 136 438 +1951 6 5 6 9 KIRK 63.1 316.6 93 535 +1983 8 12 6 9 LESLIE 49.1 303.3 152 316 +1987 10 12 12 5 TONY 51.2 128.7 148 409 +1975 2 7 12 1 OSCAR 18.5 338.9 146 273 +1980 3 28 0 21 WILLIAM 58.5 73.8 160 779 +1990 8 17 18 8 DEBBY 54.1 187.9 14 343 +1961 7 5 0 5 JOYCE 39.9 30.3 62 188 +1969 5 27 6 28 GORDON 11.9 355.2 161 580 +1976 11 3 12 22 SANDY 33.1 95.5 37 177 +1994 8 21 18 13 TONY 25.2 182.1 143 363 +1991 6 11 0 15 JOYCE 42.5 154.7 74 727 +1985 1 13 18 6 ERNESTO 13.8 355.0 94 29 +2000 3 24 6 9 WILLIAM 55.6 30.8 137 394 +1962 4 20 6 23 PATTY 23.6 112.5 105 155 +1996 10 20 0 7 SANDY 47.4 353.7 96 849 +1984 7 5 6 18 LESLIE 40.2 182.9 100 89 +1967 4 10 0 21 GORDON 41.1 320.4 148 465 +1998 7 22 12 4 RAFAEL 57.6 27.6 103 890 +2000 1 12 6 20 VALERIE 58.2 112.2 142 732 +1975 1 13 18 20 TONY 65.9 325.8 71 14 +1953 11 26 6 7 JOYCE 7.1 160.5 103 393 +1988 8 10 18 8 MICHAEL 22.4 106.5 135 229 +1957 1 22 0 6 ISAAC 9.9 189.5 163 569 +1965 3 22 0 1 KIRK 27.5 232.3 160 633 +1985 4 18 12 13 TONY 59.4 37.2 84 422 +1989 1 25 0 13 RAFAEL 32.3 142.7 143 61 +1953 12 22 0 3 BERYL 55.4 340.5 33 540 +1961 5 22 6 6 FLORENCE 57.7 6.2 56 540 +1953 6 28 18 1 HELENE 31.1 337.0 149 663 +1959 5 10 6 5 CHRIS 33.8 277.0 69 868 +2004 11 8 12 7 HELENE 47.1 6.0 119 184 +1951 4 19 0 1 PATTY 48.8 281.7 125 313 +1969 10 2 12 17 JOYCE 24.2 25.4 153 867 +1955 5 25 6 27 WILLIAM 7.4 49.6 10 407 +1954 10 2 6 7 DEBBY 40.1 284.5 82 150 +1972 12 9 0 11 BERYL 35.6 63.5 138 147 +1988 3 2 12 19 SANDY 56.6 78.2 164 263 +1999 10 22 12 25 OSCAR 33.4 203.8 78 427 +1953 5 15 0 13 PATTY 30.8 118.2 124 196 +2002 1 19 0 20 DEBBY 56.7 242.6 111 698 +1995 12 23 12 1 DEBBY 24.3 320.0 129 30 +1966 11 26 6 23 MICHAEL 63.1 183.4 146 619 +1995 10 20 12 10 BERYL 26.7 254.5 91 4 +1950 7 12 18 3 BERYL 53.0 121.4 90 714 +1963 10 13 6 5 HELENE 50.1 315.2 151 828 +2001 1 19 6 4 ISAAC 28.6 224.5 81 780 +1996 9 7 12 20 WILLIAM 48.6 39.3 48 567 +1999 8 21 6 19 NADINE 8.3 282.9 43 712 +2004 4 9 6 9 NADINE 33.4 306.7 24 355 +1951 5 9 6 21 MICHAEL 67.4 102.5 109 808 +1985 5 1 6 25 TONY 14.1 296.7 162 472 +1961 10 19 12 6 MICHAEL 12.3 97.7 161 516 +1993 10 11 18 7 HELENE 12.7 138.7 11 854 +1958 3 14 6 5 BERYL 69.4 349.3 123 52 +1961 7 9 12 18 OSCAR 44.1 15.7 123 99 +1999 3 7 6 7 KIRK 32.4 41.0 98 77 +1975 6 4 6 25 SANDY 69.1 64.3 122 287 +1958 12 14 0 24 ERNESTO 16.2 235.9 70 519 +1980 11 11 12 24 GORDON 21.1 325.9 45 868 +2002 6 28 18 12 ALBERTO 26.9 173.9 61 658 +1978 6 13 6 28 NADINE 36.6 112.3 158 289 +1954 6 12 6 12 VALERIE 30.9 96.8 127 576 +1977 12 11 18 28 MICHAEL 60.3 11.7 106 625 +1958 9 10 18 12 WILLIAM 29.6 213.3 124 189 +1951 11 15 0 3 CHRIS 27.9 57.3 143 476 +1982 12 8 18 18 GORDON 63.2 254.7 29 633 +1954 8 19 18 28 MICHAEL 63.3 220.4 129 688 +1975 4 24 12 10 ERNESTO 68.6 193.5 102 664 +1963 12 12 18 6 NADINE 26.2 230.8 149 463 +1969 12 11 0 26 NADINE 13.4 90.5 122 353 +1954 9 5 18 27 BERYL 21.2 284.3 159 839 +1954 3 19 0 1 TONY 19.5 45.3 80 793 +2003 8 24 0 27 WILLIAM 40.7 86.0 15 598 +1966 7 6 18 22 ERNESTO 58.2 224.3 82 561 +1962 9 4 0 23 VALERIE 30.1 305.2 144 583 +1965 8 7 6 10 LESLIE 36.1 170.2 118 187 +1979 4 21 0 28 TONY 57.8 19.3 100 748 +1997 2 7 18 14 CHRIS 48.9 101.9 97 189 +1997 5 28 18 14 VALERIE 33.1 284.4 90 740 +1982 6 17 0 15 LESLIE 60.9 117.4 52 566 +1996 4 22 12 17 BERYL 51.7 121.3 161 894 +1991 7 15 0 13 GORDON 66.0 2.7 127 298 +1971 9 20 6 27 RAFAEL 16.0 212.9 62 190 +1983 3 16 12 17 ERNESTO 13.0 21.3 16 361 +1955 1 1 12 21 BERYL 11.9 33.8 52 570 +1987 5 12 6 22 ALBERTO 39.2 118.2 162 740 +1990 10 13 12 1 RAFAEL 20.0 28.7 58 756 +1990 3 5 12 23 PATTY 51.0 30.8 45 3 +1997 2 18 6 8 VALERIE 20.5 150.3 41 584 +1971 6 12 18 21 ISAAC 39.3 32.8 101 820 +1988 9 10 18 4 ERNESTO 48.9 210.2 111 235 +1969 4 16 12 2 TONY 23.4 10.7 77 402 +1951 7 1 0 11 HELENE 48.8 247.1 101 785 +2004 2 18 0 14 ERNESTO 28.2 170.2 16 783 +1972 2 27 6 28 LESLIE 50.8 119.2 17 425 +1997 10 9 12 15 VALERIE 58.3 283.9 115 2 +1950 2 15 6 16 NADINE 50.2 100.2 129 698 +1964 3 16 18 7 JOYCE 65.7 160.1 29 457 +1977 12 8 6 1 KIRK 68.9 173.2 36 67 +1990 10 10 6 25 ISAAC 34.5 301.2 134 533 +1997 12 25 12 13 WILLIAM 51.6 10.8 17 420 +1993 1 28 0 19 MICHAEL 23.3 178.2 100 870 +1955 3 8 6 26 WILLIAM 44.5 254.6 123 170 +1973 12 19 18 15 NADINE 39.2 171.8 109 290 +1968 12 20 6 25 LESLIE 22.6 24.0 84 730 +1979 12 1 18 23 ERNESTO 10.7 69.4 72 322 +1999 9 14 12 12 TONY 44.3 327.9 80 205 +1980 1 17 18 4 DEBBY 59.0 97.8 116 65 +1967 11 11 6 26 GORDON 65.0 356.4 145 431 +1965 3 27 18 22 JOYCE 51.8 55.5 147 259 +1995 5 9 18 2 MICHAEL 25.4 240.6 52 752 +1958 8 17 12 24 CHRIS 55.4 4.5 106 669 +1959 10 26 18 22 CHRIS 10.7 230.0 97 505 +1990 3 5 6 2 ALBERTO 7.6 265.8 10 270 +1962 9 21 18 17 ISAAC 13.8 17.1 116 119 +1998 2 22 0 24 NADINE 24.2 198.2 42 461 +2002 4 18 0 25 ERNESTO 22.1 265.8 33 867 +1978 8 12 6 25 BERYL 7.9 57.6 131 258 +2002 12 1 0 14 SANDY 51.1 16.6 164 762 +2003 7 15 0 22 RAFAEL 19.5 353.2 44 860 +1969 6 26 6 23 KIRK 25.8 166.0 47 548 +2002 8 27 0 15 TONY 26.7 43.4 38 228 +1984 9 26 6 12 VALERIE 58.9 56.2 160 206 +1975 12 1 6 28 BERYL 55.5 34.6 113 620 +1969 2 16 0 3 ALBERTO 44.9 205.5 127 811 +1955 6 17 0 13 ERNESTO 55.1 42.0 140 489 +1990 1 4 0 4 CHRIS 50.6 194.7 45 468 +1950 11 16 12 13 VALERIE 26.2 295.9 82 265 +1999 5 20 0 16 JOYCE 62.9 226.8 25 354 +1965 2 19 18 6 ISAAC 56.3 11.5 81 745 +1999 1 19 0 15 RAFAEL 55.2 83.0 68 254 +2002 6 1 12 10 PATTY 63.2 111.8 10 632 +1979 11 9 0 18 DEBBY 48.3 32.7 101 388 +1975 3 5 6 25 NADINE 49.1 246.9 54 238 +1959 12 22 0 15 NADINE 12.5 53.6 40 510 +1979 3 19 6 2 ISAAC 11.4 15.6 48 409 +1956 6 2 12 26 VALERIE 44.3 21.6 77 413 +1982 5 26 12 9 SANDY 34.0 58.1 101 577 +1990 4 6 18 6 MICHAEL 30.8 281.0 41 822 +1972 9 26 6 18 RAFAEL 55.3 214.6 68 505 +1990 1 5 12 8 LESLIE 57.6 328.0 140 521 +1951 7 9 0 9 HELENE 24.8 337.8 26 758 +1956 4 5 0 24 FLORENCE 69.4 67.1 113 372 +1951 7 3 0 8 HELENE 35.9 161.4 89 33 +1997 7 1 18 15 NADINE 64.8 284.7 101 155 +1970 1 17 18 11 ISAAC 33.2 75.9 48 717 +1950 12 21 12 13 ERNESTO 16.3 207.1 103 469 +1965 8 16 6 10 HELENE 37.3 21.8 147 111 +1994 12 22 0 10 VALERIE 25.8 201.6 100 530 +1968 8 5 6 12 WILLIAM 15.4 63.8 141 14 +1991 6 26 0 14 KIRK 62.9 177.5 44 708 +1953 11 27 6 5 WILLIAM 34.9 248.8 98 273 +1967 4 23 12 9 PATTY 55.7 81.1 17 638 +1955 5 12 12 6 OSCAR 23.8 236.1 147 819 +1966 5 3 6 17 DEBBY 40.4 61.8 149 738 +1992 1 26 6 19 BERYL 69.1 75.8 149 470 +1965 6 8 6 19 ISAAC 55.2 347.5 65 665 +1955 11 19 12 24 OSCAR 32.5 85.8 90 121 +1977 6 7 6 21 CHRIS 59.1 33.4 71 525 +1964 6 12 0 5 VALERIE 28.9 165.4 150 269 +1959 9 18 6 7 LESLIE 30.3 152.3 60 312 +1965 7 9 6 25 GORDON 56.9 254.6 37 346 +1997 9 4 18 13 KIRK 58.1 251.4 73 713 +1981 2 19 18 15 BERYL 11.9 231.7 69 299 +1978 6 28 18 5 BERYL 53.4 233.0 37 347 +1994 9 14 18 6 CHRIS 63.5 169.9 128 16 +1960 5 10 18 13 PATTY 67.1 289.9 63 613 +1998 12 27 18 9 NADINE 40.4 143.2 34 757 +2002 10 3 0 9 HELENE 36.4 89.3 144 731 +1982 6 22 12 20 TONY 14.5 23.8 159 521 +1980 5 3 0 8 HELENE 58.9 324.1 100 712 +2000 8 17 0 17 VALERIE 34.0 47.0 136 167 +2002 3 11 0 9 VALERIE 14.6 36.2 107 95 +1965 2 6 18 17 BERYL 10.7 351.3 72 35 +1956 3 14 12 20 DEBBY 33.1 127.1 109 395 +1964 1 16 6 5 OSCAR 45.4 291.1 153 390 +1984 10 1 6 9 CHRIS 51.0 351.5 126 312 +1981 3 24 6 20 ALBERTO 26.2 145.7 13 777 +1998 11 21 6 22 DEBBY 33.5 89.1 20 303 +1955 7 18 18 1 JOYCE 52.1 286.2 35 807 +1996 5 24 6 21 HELENE 63.3 15.1 124 840 +1980 10 21 6 22 FLORENCE 39.0 271.9 13 675 +1953 6 10 6 13 OSCAR 38.9 288.6 121 62 +1963 5 8 0 21 VALERIE 45.3 312.2 64 795 +1981 5 11 6 12 BERYL 16.1 324.2 106 514 +2004 2 7 6 6 CHRIS 7.0 268.8 74 868 +1996 12 7 12 1 HELENE 9.2 172.4 139 641 +2004 12 25 12 22 PATTY 47.5 290.0 16 723 +1954 9 10 12 16 NADINE 10.3 305.9 127 271 +1973 7 3 18 27 TONY 28.5 284.0 112 486 +1997 6 23 0 13 KIRK 59.4 243.3 22 442 +1973 11 10 12 7 CHRIS 27.9 253.5 62 225 +1955 12 9 12 5 FLORENCE 35.2 198.7 118 366 +1971 6 9 6 10 ERNESTO 54.4 200.0 148 252 +1989 2 25 6 2 FLORENCE 21.0 210.0 63 39 +1986 11 6 12 25 CHRIS 45.1 265.6 125 347 +1953 3 13 0 24 NADINE 45.5 65.7 21 462 +1987 2 14 12 1 KIRK 28.2 250.0 65 125 +1987 5 8 0 22 VALERIE 42.5 95.6 107 344 +1982 6 2 0 15 JOYCE 28.1 33.6 67 741 +1988 3 15 0 10 RAFAEL 27.0 351.0 15 886 +1975 5 7 0 28 TONY 65.9 69.5 51 209 +1974 6 8 12 18 ERNESTO 17.1 175.4 79 506 +1985 10 23 18 19 GORDON 23.7 119.7 103 226 +1961 12 6 6 21 TONY 36.8 346.7 123 53 +1970 12 18 12 1 MICHAEL 69.9 225.2 91 741 +1996 9 16 6 9 CHRIS 44.1 129.7 140 73 +1983 10 12 0 15 LESLIE 68.7 307.1 161 486 +1951 6 14 0 5 NADINE 52.5 78.6 48 584 +2000 12 28 0 12 TONY 13.9 260.6 118 446 +1960 11 14 0 14 FLORENCE 65.5 272.9 94 512 +1974 9 20 18 22 BERYL 61.9 279.9 106 849 +1973 2 9 6 19 SANDY 48.0 296.2 124 136 +2003 1 7 18 16 RAFAEL 58.8 217.2 90 550 +1977 6 3 18 20 OSCAR 36.6 183.4 28 813 +2001 2 15 18 19 HELENE 9.4 284.5 48 163 +2000 6 15 0 17 ERNESTO 30.9 84.3 78 60 +1963 1 1 6 18 BERYL 58.4 319.5 143 409 +1992 10 27 6 28 FLORENCE 7.7 194.9 83 400 +1977 5 19 18 9 KIRK 66.6 33.9 84 608 +1961 7 15 0 17 SANDY 9.2 328.4 104 687 +1965 1 22 0 12 TONY 7.1 285.4 16 235 +1994 12 18 0 16 FLORENCE 24.9 225.7 87 83 +1980 7 22 12 7 WILLIAM 58.3 120.3 21 255 +1965 11 5 12 18 PATTY 46.1 76.7 115 299 +1951 7 9 18 1 ERNESTO 8.8 91.2 68 582 +1957 2 5 0 21 LESLIE 33.0 153.0 109 593 +1959 3 8 6 6 PATTY 27.2 281.2 45 699 +1967 4 2 6 4 ISAAC 19.8 151.7 105 325 +1969 11 21 6 11 VALERIE 21.7 170.9 152 19 +1957 1 13 18 5 ALBERTO 49.2 230.7 37 272 +1964 3 20 12 2 VALERIE 58.8 231.9 53 791 +1986 7 19 12 26 NADINE 46.1 33.7 92 854 +1999 8 21 0 24 ERNESTO 54.0 333.8 63 138 +1976 10 25 0 14 KIRK 65.2 55.0 75 755 +1953 6 5 0 13 ALBERTO 60.4 214.7 60 383 +1953 12 4 12 28 HELENE 43.6 107.1 43 68 +1950 10 26 12 7 LESLIE 14.1 158.5 39 874 +1964 5 18 6 16 BERYL 14.5 199.0 72 635 +2000 8 10 6 25 BERYL 42.8 343.0 33 774 +1959 8 8 0 1 ALBERTO 65.3 294.7 63 373 +1982 5 14 6 21 DEBBY 65.7 44.0 114 648 +1982 5 13 0 19 KIRK 23.3 347.4 21 425 +1967 5 23 6 9 WILLIAM 13.9 311.4 62 418 +1988 12 5 0 22 ALBERTO 38.2 124.3 127 595 +1998 1 1 18 6 WILLIAM 26.7 321.9 96 241 +1985 8 19 6 12 ISAAC 11.9 50.5 128 90 +1985 12 17 0 12 FLORENCE 63.9 282.9 108 711 +1974 9 17 12 11 ISAAC 61.7 191.6 163 412 +1954 8 24 0 24 LESLIE 13.1 357.5 130 304 +1983 8 14 12 12 HELENE 65.0 147.8 136 883 +1977 3 7 0 2 TONY 35.3 337.2 32 657 +1966 3 1 12 17 FLORENCE 57.3 232.8 133 767 +1980 9 12 6 6 PATTY 56.7 62.6 42 473 +1998 1 2 12 12 WILLIAM 37.2 348.6 82 517 +1980 1 10 0 28 KIRK 51.0 14.7 147 549 +1994 2 11 18 24 MICHAEL 68.3 105.2 45 874 +1952 10 4 0 5 SANDY 46.8 78.9 37 577 +1953 12 6 18 22 ALBERTO 14.5 268.8 85 246 +1957 10 7 0 12 SANDY 21.4 345.2 47 353 +1996 6 7 6 25 CHRIS 31.2 330.5 40 27 +1997 3 9 18 22 LESLIE 56.7 84.4 117 485 +1963 5 9 0 23 GORDON 21.2 32.3 97 304 +1998 9 20 0 13 VALERIE 55.3 224.5 91 893 +1995 11 8 18 2 TONY 52.1 3.0 114 823 +1997 4 21 0 16 GORDON 43.2 193.9 48 599 +2004 7 5 12 12 FLORENCE 38.9 216.6 135 768 +1950 1 22 0 10 ERNESTO 26.0 100.1 129 617 +1992 3 4 12 4 BERYL 44.1 222.4 27 279 +1965 7 5 0 21 ALBERTO 60.2 78.6 68 133 +1952 4 23 6 1 WILLIAM 62.6 32.5 23 769 +1988 11 3 6 20 RAFAEL 12.7 27.3 30 451 +1977 5 3 6 2 CHRIS 25.6 143.7 97 761 +1977 10 17 18 1 SANDY 30.2 65.6 99 290 +1956 11 28 0 23 PATTY 16.7 92.1 143 809 +1954 11 7 12 12 FLORENCE 62.7 194.5 138 422 +1993 6 24 6 21 JOYCE 20.9 318.6 124 633 +1968 7 9 12 25 GORDON 12.7 148.7 73 798 +2003 7 15 6 3 RAFAEL 50.0 139.1 62 560 +1987 1 26 12 8 BERYL 69.2 247.7 77 170 +1999 3 20 6 13 ISAAC 35.6 190.9 32 251 +1983 6 16 0 17 HELENE 39.5 168.9 24 395 +1997 1 17 12 28 BERYL 27.6 96.5 127 850 +1960 12 15 12 26 CHRIS 39.4 156.5 162 580 +1984 7 28 0 20 HELENE 11.1 111.7 83 53 +1953 12 8 12 8 FLORENCE 23.5 251.3 75 568 +1968 12 11 6 12 RAFAEL 8.8 201.0 102 698 +1994 1 3 18 17 ALBERTO 25.4 335.0 61 676 +1969 12 17 12 22 JOYCE 61.3 304.3 18 646 +1982 7 10 0 28 KIRK 21.0 357.2 116 310 +1990 2 23 18 22 NADINE 46.3 204.1 76 293 +1981 7 25 6 8 JOYCE 7.4 353.9 141 302 +1972 1 24 0 14 PATTY 23.5 110.5 138 797 +1979 12 15 6 8 MICHAEL 42.9 257.6 47 706 +1958 8 13 18 21 VALERIE 45.0 344.7 38 552 +1963 5 13 0 6 ERNESTO 48.6 70.8 50 221 +1955 8 12 0 15 LESLIE 15.7 10.4 47 642 +1960 1 1 18 9 LESLIE 49.4 291.9 91 512 +1965 8 20 6 4 LESLIE 11.9 36.3 39 304 +1993 7 4 18 14 RAFAEL 24.7 254.4 88 234 +1995 4 12 0 15 NADINE 17.5 67.5 107 574 +1967 5 19 0 27 JOYCE 51.7 146.9 120 205 +1966 4 20 0 22 RAFAEL 68.8 105.7 151 33 +1985 7 7 6 14 FLORENCE 9.3 289.4 135 583 +1980 10 28 18 19 DEBBY 28.7 347.5 136 826 +1984 1 4 12 27 ALBERTO 30.4 114.0 164 240 +1973 1 1 0 22 GORDON 45.1 60.2 87 724 +1973 9 26 6 21 RAFAEL 20.7 190.3 12 104 +1956 7 13 12 14 WILLIAM 22.5 197.6 43 290 +1976 8 3 18 15 VALERIE 37.6 244.3 136 594 +1958 7 15 0 13 MICHAEL 66.5 315.6 102 776 +1982 6 9 12 26 NADINE 53.0 108.3 144 634 +1999 5 9 12 5 RAFAEL 29.0 212.0 31 269 +1999 1 22 18 25 NADINE 40.0 13.7 163 470 +1987 10 5 6 4 KIRK 63.4 284.5 18 225 +1977 11 25 18 6 FLORENCE 9.2 271.7 135 848 +1998 1 23 18 26 LESLIE 42.0 331.6 74 271 +1979 11 3 18 2 NADINE 9.0 61.0 128 555 +2002 7 21 18 5 FLORENCE 46.1 69.8 35 834 +1985 9 5 18 7 TONY 47.5 277.9 119 818 +1990 8 18 12 8 JOYCE 9.8 209.4 35 550 +1968 6 25 18 15 HELENE 25.0 254.0 58 100 +1963 7 26 6 16 ISAAC 24.2 332.0 134 103 +1953 4 19 0 2 NADINE 52.7 347.4 135 143 +1979 12 22 0 5 LESLIE 40.1 16.8 68 371 +1956 5 3 12 25 PATTY 40.7 136.9 161 733 +1981 11 5 12 26 FLORENCE 9.0 249.2 162 85 +1992 8 2 0 9 ERNESTO 33.5 52.1 40 566 +2003 3 26 12 23 ISAAC 8.3 112.6 44 755 +1997 7 23 12 21 KIRK 68.3 115.1 30 572 +1971 4 27 0 22 CHRIS 20.4 71.4 35 791 +1956 9 10 12 4 ISAAC 64.9 326.4 113 272 +1981 10 22 18 26 BERYL 27.3 163.2 78 822 +1995 2 14 12 1 SANDY 69.4 44.9 113 237 +1992 4 26 0 11 NADINE 34.8 310.5 20 121 +1968 2 9 0 20 ISAAC 58.5 324.5 126 892 +2001 4 20 18 11 CHRIS 62.6 128.8 17 830 +1998 1 18 0 19 ERNESTO 63.5 306.1 73 540 +2002 9 17 0 21 LESLIE 48.4 20.4 22 556 +2001 10 28 6 16 OSCAR 61.7 326.8 79 324 +1967 10 14 0 24 SANDY 61.6 152.4 127 104 +1995 1 10 12 21 ERNESTO 65.1 54.7 69 143 +1961 3 3 6 23 LESLIE 13.1 90.5 47 187 +1971 3 15 12 27 RAFAEL 62.8 41.5 156 43 +1955 11 10 12 17 VALERIE 27.6 45.6 101 572 +1950 3 23 12 6 PATTY 60.4 280.4 109 260 +1971 10 11 6 18 SANDY 54.9 112.0 93 245 +2000 8 1 18 4 ERNESTO 40.3 116.8 53 605 +1980 11 14 18 19 DEBBY 64.1 215.3 127 6 +1970 8 22 6 6 CHRIS 65.3 5.4 147 530 +1980 9 9 0 14 MICHAEL 17.0 279.4 71 409 +1964 6 14 0 12 PATTY 52.9 206.5 129 735 +1953 8 19 12 7 PATTY 49.0 84.4 140 757 +1986 6 9 6 18 HELENE 28.5 256.5 26 269 +1994 8 22 12 12 VALERIE 50.6 233.7 79 4 +1981 11 24 18 5 SANDY 69.2 34.9 59 433 +1978 3 26 0 3 VALERIE 48.3 238.5 152 837 +1955 6 9 12 22 BERYL 53.3 294.4 49 480 +1954 12 8 0 5 WILLIAM 19.3 209.1 79 132 +1994 5 7 18 28 PATTY 32.2 341.7 65 108 +1954 10 25 18 20 HELENE 30.9 285.0 51 519 +1982 9 26 18 11 ALBERTO 48.7 300.1 28 135 +1995 12 17 18 13 OSCAR 16.2 62.2 39 331 +1977 7 27 12 10 DEBBY 60.9 123.8 24 164 +1999 11 28 12 25 ISAAC 36.4 239.9 32 357 +1967 3 21 6 15 GORDON 43.4 31.7 33 506 +1988 2 19 12 9 LESLIE 28.1 67.9 103 411 +1961 2 8 0 4 VALERIE 45.5 147.2 148 113 +1984 2 8 6 1 LESLIE 23.0 272.5 69 524 +1968 9 23 12 27 WILLIAM 35.4 282.2 86 59 +1961 4 3 6 26 SANDY 15.7 218.8 58 628 +1962 6 21 6 8 OSCAR 35.4 155.1 138 297 +1986 10 20 18 14 HELENE 19.0 170.4 158 177 +1971 1 3 18 27 TONY 37.1 172.9 151 825 +1989 3 7 12 4 OSCAR 11.0 125.4 76 376 +1954 4 1 0 4 DEBBY 45.5 179.6 84 283 +1952 11 19 18 23 OSCAR 31.0 13.5 37 680 +1996 4 11 18 3 ISAAC 31.7 344.1 61 501 +1988 2 25 12 2 NADINE 24.0 285.1 25 591 +1959 2 13 12 13 SANDY 21.2 55.6 131 97 +1970 5 13 6 13 HELENE 34.0 248.5 27 833 +1965 5 17 18 4 VALERIE 48.5 31.1 38 335 +1988 6 12 6 27 FLORENCE 33.6 189.3 162 287 +1978 3 25 6 26 OSCAR 65.6 57.4 55 134 +1980 7 12 18 21 FLORENCE 44.8 237.5 47 69 +1997 11 4 6 25 BERYL 31.4 80.1 129 301 +1995 11 10 0 10 JOYCE 57.7 317.8 14 595 +1968 5 19 18 7 OSCAR 10.8 299.7 15 656 +1960 2 27 6 15 VALERIE 60.2 232.9 54 653 +1972 10 20 0 3 NADINE 18.2 19.3 69 31 +1986 2 3 18 14 DEBBY 9.3 14.8 54 206 +1998 4 5 12 28 JOYCE 24.2 5.0 116 630 +1990 2 13 6 20 SANDY 35.1 51.9 125 704 +1963 2 7 12 23 LESLIE 69.4 83.7 99 497 +1976 4 27 18 11 HELENE 55.5 175.1 164 360 +1974 6 16 18 25 CHRIS 44.6 56.9 66 472 +1951 1 6 6 25 WILLIAM 23.8 247.3 57 269 +1965 6 17 6 17 LESLIE 53.3 96.3 10 326 +1968 3 9 6 8 TONY 63.5 114.2 138 576 +1971 8 25 6 1 ERNESTO 55.2 177.1 149 117 +1951 7 10 12 28 PATTY 29.3 298.1 23 318 +1964 5 18 0 16 JOYCE 59.8 111.0 78 338 +1950 11 7 18 6 JOYCE 34.4 15.2 73 545 +1955 10 12 6 22 NADINE 41.1 88.7 89 741 +1975 4 16 6 6 WILLIAM 44.8 74.4 13 57 +1980 11 16 6 1 VALERIE 51.7 159.6 24 166 +1999 7 9 12 12 GORDON 49.9 321.0 84 647 +1969 11 15 0 28 CHRIS 33.7 275.8 130 489 +1994 12 13 12 17 CHRIS 16.8 290.7 118 385 +1955 6 16 18 21 PATTY 63.8 254.4 163 611 +2000 12 11 6 9 SANDY 49.4 13.4 163 206 +1951 6 11 0 28 OSCAR 64.0 241.2 153 285 +1996 6 7 12 18 MICHAEL 47.3 24.1 11 198 +1982 3 25 18 18 ERNESTO 69.4 64.1 49 93 +1977 10 18 6 27 DEBBY 48.9 144.9 84 26 +1981 5 4 6 25 KIRK 13.1 66.7 132 473 +1960 12 14 0 7 LESLIE 44.9 242.6 161 136 +1966 2 26 12 7 JOYCE 54.4 80.9 123 300 +1964 4 23 18 12 ISAAC 41.6 343.6 149 693 +1967 3 24 6 18 MICHAEL 55.3 30.3 75 386 +2001 11 16 18 15 TONY 30.4 127.9 30 29 +1978 9 19 6 22 SANDY 68.9 225.3 140 646 +1993 7 26 0 18 PATTY 43.6 301.2 135 135 +1987 11 24 12 13 ALBERTO 49.5 129.9 142 240 +1988 6 21 6 16 SANDY 56.6 354.2 65 261 +1962 8 9 6 18 TONY 54.2 299.8 154 693 +1950 7 24 12 28 LESLIE 10.7 77.7 18 875 +1969 2 16 0 23 BERYL 69.6 234.6 58 891 +1962 2 10 12 2 WILLIAM 58.8 11.3 37 674 +1989 1 15 18 16 NADINE 31.4 324.1 119 770 +1974 11 6 18 13 TONY 22.2 3.1 13 732 +1975 3 9 18 1 MICHAEL 58.5 356.6 99 550 +1995 7 19 18 8 PATTY 39.6 46.4 43 176 +1971 12 22 0 8 VALERIE 17.6 52.0 88 878 +1987 6 27 0 18 OSCAR 69.1 208.6 69 175 +1988 5 9 18 8 JOYCE 36.7 19.7 148 434 +1976 5 10 0 21 KIRK 64.1 115.9 40 346 +1956 2 3 18 27 NADINE 41.6 284.9 108 889 +1954 9 23 12 19 CHRIS 20.4 45.8 52 587 +1988 12 3 18 22 ISAAC 29.6 134.2 20 328 +1976 4 14 0 3 PATTY 67.7 178.8 140 368 +1966 12 17 6 5 LESLIE 27.2 32.8 147 677 +1954 1 3 12 25 FLORENCE 31.4 93.8 14 515 +2004 5 17 0 20 WILLIAM 20.1 176.8 155 270 +1970 8 18 18 6 RAFAEL 54.3 273.5 111 39 +1976 6 7 6 22 KIRK 38.3 160.3 152 764 +1955 2 10 6 3 RAFAEL 11.5 293.6 54 164 +1963 1 14 18 8 TONY 15.7 44.6 63 571 +1975 10 20 6 15 JOYCE 19.8 278.9 91 598 +1966 4 12 12 5 CHRIS 21.3 10.3 67 635 +1965 2 15 12 19 MICHAEL 37.1 339.2 118 59 +1971 3 1 0 6 JOYCE 48.5 353.0 29 218 +1965 1 15 6 13 RAFAEL 68.6 39.2 97 453 +1956 2 13 18 11 VALERIE 10.9 164.5 153 695 +2000 10 24 6 24 JOYCE 10.6 64.0 109 830 +1960 3 4 12 2 FLORENCE 58.9 58.9 158 487 +1983 11 26 12 10 VALERIE 47.9 93.4 64 564 +1951 5 5 6 11 SANDY 32.3 289.8 110 390 +1958 8 15 12 16 NADINE 31.2 58.0 31 409 +1999 4 9 0 26 TONY 40.9 337.6 130 427 +1982 1 5 0 12 RAFAEL 43.9 70.0 105 75 +1951 6 27 18 17 FLORENCE 28.6 15.4 32 120 +1992 8 1 12 13 ISAAC 33.6 133.6 43 141 +2004 10 27 12 19 FLORENCE 34.0 82.6 48 452 +1971 5 17 12 22 BERYL 7.8 25.7 150 543 +1974 7 15 0 13 MICHAEL 38.5 260.6 113 209 +1983 12 7 12 3 JOYCE 22.5 9.3 132 316 +2002 2 12 6 4 FLORENCE 11.5 356.8 144 87 +1968 9 7 12 9 ISAAC 62.4 6.8 56 385 +1972 9 11 0 20 GORDON 17.7 197.6 87 675 +1980 1 2 12 11 ISAAC 68.1 281.5 139 293 +1956 4 1 6 25 CHRIS 16.2 278.1 44 238 +1963 6 17 12 6 FLORENCE 62.1 15.7 56 106 +1996 4 25 0 15 LESLIE 20.0 147.7 97 807 +1985 1 1 12 13 SANDY 70.0 301.5 99 564 +1960 11 1 18 25 ISAAC 64.2 246.1 128 49 +1969 10 3 0 20 TONY 56.2 342.9 35 48 +1979 7 4 6 19 OSCAR 58.8 276.8 133 323 +1969 8 7 18 18 JOYCE 51.1 71.7 96 158 +1973 8 2 12 20 PATTY 21.4 48.4 107 831 +1996 12 22 6 26 WILLIAM 14.8 344.0 46 356 +1964 9 23 18 7 SANDY 23.9 100.2 150 440 +1953 2 22 6 24 RAFAEL 30.8 55.7 47 408 +1994 11 7 0 10 WILLIAM 8.6 233.1 83 633 +1971 11 27 6 10 ERNESTO 20.9 295.1 88 652 +1995 5 27 12 19 RAFAEL 19.4 141.1 164 780 +1951 1 26 12 20 OSCAR 52.9 33.8 67 490 +1987 3 21 18 21 TONY 18.9 141.4 12 449 +1964 12 22 6 9 DEBBY 38.7 27.1 111 69 +1995 5 2 12 23 TONY 51.3 170.2 36 344 +1959 12 8 18 18 CHRIS 47.9 58.7 158 344 +1965 12 16 0 15 WILLIAM 37.6 74.3 150 258 +2002 3 19 0 16 CHRIS 13.3 93.3 41 515 +1991 8 24 6 10 WILLIAM 20.1 193.9 14 801 +1965 3 2 0 22 MICHAEL 9.7 163.3 162 544 +2003 4 16 6 12 MICHAEL 8.5 203.2 39 467 +1984 11 24 18 27 ALBERTO 12.4 49.1 104 836 +1994 7 10 12 28 DEBBY 68.5 343.0 121 90 +1999 9 23 6 8 KIRK 47.2 202.9 148 301 +1985 11 24 18 4 ALBERTO 11.4 62.9 41 432 +1971 5 24 18 3 CHRIS 38.9 167.8 31 786 +1951 5 21 12 21 HELENE 9.9 348.3 28 335 +1974 1 28 6 6 MICHAEL 51.6 154.9 151 408 +1963 6 8 12 10 RAFAEL 46.4 217.8 100 540 +1996 10 26 18 25 GORDON 69.9 173.5 96 642 +1964 5 25 6 21 GORDON 43.0 288.3 105 803 +1970 8 28 18 1 ALBERTO 65.2 201.5 88 467 +1996 1 9 18 3 DEBBY 40.2 215.1 33 511 +1972 10 28 0 23 VALERIE 50.9 327.3 88 53 +1997 10 9 0 3 MICHAEL 46.0 86.6 50 201 +1962 10 24 12 16 KIRK 58.7 110.7 118 606 +1959 3 14 0 18 KIRK 34.5 256.4 113 310 +1974 7 3 12 20 RAFAEL 44.1 189.1 125 539 +1965 8 26 0 13 ERNESTO 20.7 121.5 156 558 +1953 3 5 18 12 JOYCE 28.1 107.2 23 304 +1997 9 12 12 7 WILLIAM 41.2 139.6 28 847 +1982 11 8 18 7 ALBERTO 42.2 110.3 88 489 +1955 11 17 12 8 TONY 9.2 251.0 89 703 +1955 1 23 6 3 SANDY 43.7 97.8 25 889 +1966 9 23 12 3 DEBBY 9.2 309.3 87 168 +1964 2 2 18 8 TONY 52.8 177.1 51 680 +1955 11 17 0 7 BERYL 40.4 79.4 106 182 +1960 1 2 18 17 CHRIS 14.0 127.0 93 323 +1951 12 21 18 12 TONY 10.3 315.9 26 487 +1980 8 7 6 7 ERNESTO 21.2 22.3 156 582 +1968 11 21 6 4 ERNESTO 53.3 65.9 43 95 +1986 7 1 0 14 SANDY 15.4 224.7 150 695 +1971 5 16 18 14 OSCAR 58.6 17.0 34 320 +1971 12 22 6 25 OSCAR 19.5 117.1 126 268 +1977 5 1 12 23 NADINE 14.0 5.1 149 343 +2000 8 14 6 18 MICHAEL 52.3 267.8 13 856 +1968 10 5 12 2 NADINE 21.0 321.3 20 587 +1978 4 3 6 9 PATTY 67.7 242.2 123 693 +1978 1 17 6 8 BERYL 28.5 109.8 137 627 +1960 9 27 12 4 BERYL 64.8 198.2 104 828 +1959 6 22 6 3 SANDY 59.8 17.5 19 390 +1996 7 21 0 16 TONY 15.3 57.8 73 388 +1976 10 28 12 2 PATTY 58.7 85.8 106 339 +1981 2 20 6 24 LESLIE 39.7 320.3 50 869 +1985 6 23 18 15 HELENE 52.2 86.7 128 607 +1963 10 19 0 5 VALERIE 59.5 241.1 67 398 +1985 5 9 12 25 ISAAC 58.8 61.9 160 390 +1993 9 15 0 24 ERNESTO 20.9 192.3 89 118 +1959 6 6 12 25 RAFAEL 35.8 78.7 17 146 +1979 6 28 18 20 ERNESTO 69.7 322.2 92 675 +1958 11 22 18 5 HELENE 9.5 350.8 71 49 +1985 4 22 18 21 ISAAC 32.5 176.5 112 451 +1999 2 6 0 2 ERNESTO 9.9 9.0 127 213 +1957 4 25 0 15 PATTY 46.0 259.8 119 178 +1950 4 19 6 24 ISAAC 19.0 68.7 91 33 +1964 9 17 12 5 CHRIS 33.9 82.6 161 258 +1955 6 22 18 8 ERNESTO 67.0 146.5 124 778 +1963 11 11 12 25 WILLIAM 10.1 340.2 37 827 +1972 3 24 12 3 VALERIE 28.0 22.5 99 735 +1958 2 8 0 26 TONY 12.1 165.4 123 27 +1972 7 14 6 11 PATTY 69.5 279.3 69 853 +1956 3 18 12 17 RAFAEL 18.1 333.2 140 306 +1991 12 11 18 8 VALERIE 46.1 34.6 44 436 +1994 8 20 18 15 FLORENCE 65.8 51.1 13 551 +1981 4 21 18 20 GORDON 19.1 47.5 69 753 +1962 3 16 12 15 FLORENCE 27.2 65.2 77 367 +1955 3 24 6 5 WILLIAM 65.0 236.1 14 571 +1958 12 15 0 4 JOYCE 22.4 147.1 35 293 +1986 8 17 18 22 ALBERTO 44.4 46.1 132 768 +2003 10 11 12 23 PATTY 26.0 313.9 150 739 +1989 12 11 6 25 ERNESTO 13.4 209.9 25 52 +1968 5 25 6 11 CHRIS 35.1 306.4 120 537 +2000 9 7 18 17 RAFAEL 42.9 22.5 136 556 +1951 6 11 0 25 PATTY 40.9 295.1 13 179 +1964 8 20 0 28 ALBERTO 50.3 143.8 39 427 +1973 2 26 0 27 BERYL 15.2 216.2 42 518 +1956 5 7 0 26 MICHAEL 49.3 229.6 163 574 +2002 2 14 0 10 PATTY 39.8 80.2 93 678 +1956 12 26 0 3 ALBERTO 26.3 333.7 78 203 +1985 6 3 18 5 WILLIAM 64.3 244.8 99 585 +1983 11 8 12 17 MICHAEL 38.8 193.8 75 487 +1981 5 21 6 5 WILLIAM 50.1 30.8 65 188 +1992 7 27 6 25 CHRIS 68.3 329.5 145 379 +1966 7 6 6 1 RAFAEL 16.3 251.4 138 4 +1995 2 14 18 28 LESLIE 32.2 307.4 25 664 +1991 5 15 12 23 LESLIE 43.1 69.7 56 290 +1978 12 15 12 8 ERNESTO 10.6 40.1 138 855 +1955 10 25 0 8 DEBBY 56.6 151.5 113 207 +1999 11 6 0 27 LESLIE 39.1 353.7 48 519 +1989 7 14 18 6 WILLIAM 54.9 245.0 26 743 +1982 12 10 6 15 ISAAC 20.3 268.2 24 70 +1969 8 16 12 8 CHRIS 52.3 352.7 164 46 +1976 4 9 12 23 LESLIE 49.0 205.5 53 741 +1983 9 7 18 4 HELENE 37.1 8.1 114 521 +1970 3 24 18 10 VALERIE 16.4 114.2 24 482 +1959 11 25 0 5 ERNESTO 8.4 28.7 120 603 +1999 1 10 12 6 RAFAEL 13.3 319.4 122 412 +1981 6 16 0 4 HELENE 37.7 136.1 150 742 +1987 12 11 6 16 TONY 30.2 139.2 34 458 +1991 3 11 12 14 LESLIE 41.2 163.2 147 230 +1986 8 3 6 18 TONY 57.5 110.9 129 762 +1978 6 22 0 23 SANDY 38.6 311.7 114 375 +1969 4 25 12 14 JOYCE 41.2 125.1 29 255 +1993 3 19 6 21 OSCAR 17.4 257.4 28 798 +1977 11 20 6 25 CHRIS 23.8 194.1 47 136 +1966 7 21 0 10 JOYCE 41.5 163.0 54 80 +1973 9 28 18 1 JOYCE 56.4 344.0 164 33 +1989 3 14 18 12 LESLIE 37.5 343.1 26 55 +2002 7 24 12 13 SANDY 64.5 245.0 87 468 +1970 10 26 18 3 JOYCE 16.9 57.5 78 313 +1952 5 12 12 17 PATTY 54.6 45.5 105 709 +1965 8 18 0 19 HELENE 39.7 293.4 128 187 +1969 4 15 12 1 ALBERTO 12.8 312.0 154 192 +2000 9 11 12 21 RAFAEL 17.3 124.1 124 760 +1955 5 20 12 19 HELENE 31.1 81.0 63 629 +1958 12 7 18 3 ERNESTO 62.9 83.1 131 545 +1976 9 10 6 17 TONY 57.0 112.9 50 320 +1968 1 3 0 4 WILLIAM 12.8 137.0 51 424 +1984 9 8 18 18 JOYCE 38.4 144.5 131 832 +1997 9 6 6 26 DEBBY 37.8 15.8 48 751 +1994 10 6 6 26 VALERIE 51.3 169.8 84 685 +1996 7 15 12 5 PATTY 9.0 144.3 40 601 +1954 4 22 18 12 VALERIE 35.7 309.2 28 328 +1986 2 8 12 16 ERNESTO 23.4 342.4 123 160 +2000 11 21 12 11 JOYCE 37.0 285.6 62 712 +1950 4 19 6 19 CHRIS 37.9 357.8 10 258 +1992 7 28 18 16 JOYCE 22.1 95.1 61 475 +1961 7 22 18 20 ISAAC 46.0 63.7 68 496 +1970 10 6 6 3 WILLIAM 18.8 30.8 110 281 +1973 5 18 12 13 SANDY 7.8 25.0 115 173 +1988 1 11 18 26 DEBBY 65.3 252.3 131 773 +1958 1 19 12 8 LESLIE 51.1 187.8 47 419 +1982 12 6 12 23 ERNESTO 27.1 52.4 83 203 +1973 3 19 12 23 SANDY 59.1 250.9 131 263 +1987 8 12 18 8 GORDON 16.6 202.4 79 407 +1959 11 16 18 1 HELENE 43.4 20.5 19 694 +1989 9 13 0 23 JOYCE 42.0 283.3 93 542 +1953 9 27 0 5 ALBERTO 26.0 183.6 27 515 +1972 4 7 0 13 NADINE 65.7 244.6 50 310 +1978 6 26 6 8 ALBERTO 13.4 187.6 152 487 +1955 7 6 12 13 MICHAEL 48.7 1.5 62 214 +1989 7 20 0 25 ALBERTO 67.4 335.9 125 45 +1953 3 5 12 17 HELENE 38.6 215.3 55 449 +1998 11 10 12 23 VALERIE 35.9 75.4 126 795 +1986 1 22 6 12 FLORENCE 16.4 20.7 12 599 +1978 10 23 12 13 OSCAR 66.1 320.5 101 104 +1952 3 15 0 26 ALBERTO 23.3 231.0 97 447 +1971 1 6 12 11 FLORENCE 45.4 234.2 19 374 +2001 5 20 12 1 TONY 56.6 324.4 81 745 +1969 5 15 0 21 PATTY 8.1 136.4 56 826 +1952 1 2 18 13 ERNESTO 19.0 120.4 163 370 +1990 2 8 12 27 RAFAEL 17.6 278.8 60 404 +1959 11 1 18 15 PATTY 54.2 287.9 77 563 +1995 5 8 0 22 CHRIS 61.8 165.7 56 429 +1983 7 9 18 26 BERYL 25.7 313.8 66 411 +1982 2 19 12 26 WILLIAM 26.7 291.5 92 827 +1956 11 4 6 22 TONY 22.5 116.7 139 65 +1979 4 10 0 1 ISAAC 12.6 120.0 80 579 +1950 12 3 0 13 JOYCE 44.7 94.5 48 593 +1954 6 2 0 17 BERYL 52.2 218.6 58 580 +1997 3 20 0 25 NADINE 62.2 71.1 46 81 +1997 8 28 18 15 ISAAC 64.4 69.4 74 808 +1958 8 16 6 26 ALBERTO 19.6 70.7 81 129 +2004 12 17 18 22 DEBBY 23.3 278.8 46 174 +1956 12 5 6 25 TONY 64.4 259.9 146 286 +1975 1 26 12 26 MICHAEL 48.3 252.0 74 842 +1962 5 19 0 12 ISAAC 37.8 160.7 34 460 +1953 8 10 6 4 NADINE 65.8 77.0 19 110 +1981 12 11 18 4 ISAAC 60.9 44.9 71 285 +1979 7 19 0 10 WILLIAM 37.9 55.7 70 306 +1971 7 12 18 28 WILLIAM 35.2 96.7 41 681 +1968 8 25 0 11 MICHAEL 20.5 145.0 73 308 +1954 5 26 0 15 ISAAC 52.3 319.6 130 618 +1999 7 9 18 15 ISAAC 38.9 343.3 15 91 +2001 12 27 0 21 KIRK 68.7 14.4 79 156 +1978 5 25 6 24 ERNESTO 67.3 352.1 70 713 +1952 5 11 18 2 GORDON 19.0 173.7 117 839 +1997 1 5 6 15 NADINE 16.6 196.5 112 57 +1995 3 22 6 19 OSCAR 65.8 100.7 62 315 +1975 12 23 12 18 ERNESTO 52.8 250.1 89 512 +1956 8 25 6 6 ALBERTO 16.1 100.3 150 159 +1977 12 21 18 20 WILLIAM 69.8 20.2 109 605 +1986 11 26 6 13 ISAAC 28.1 45.2 126 688 +1962 7 21 0 26 ERNESTO 28.7 142.5 142 611 +1952 9 7 0 6 JOYCE 27.8 1.2 128 793 +1954 11 1 6 8 MICHAEL 8.6 108.9 143 652 +1983 12 7 0 8 NADINE 48.2 276.4 153 765 +1967 5 6 12 7 WILLIAM 48.6 164.4 140 379 +1994 4 28 18 6 BERYL 61.6 182.6 121 369 +2001 5 13 6 18 TONY 68.7 217.7 134 54 +2000 4 3 6 28 HELENE 28.1 49.6 33 736 +1964 11 25 6 4 JOYCE 31.0 131.8 90 386 +1959 10 1 12 5 CHRIS 48.7 276.8 65 543 +1951 5 24 12 9 VALERIE 29.1 334.4 74 436 +1983 5 14 0 12 DEBBY 50.8 42.5 41 729 +1976 6 20 0 9 ERNESTO 33.7 15.2 110 507 +1961 12 16 12 15 MICHAEL 38.1 192.7 28 151 +1999 10 11 18 13 FLORENCE 50.5 258.4 147 461 +1957 9 13 0 8 ERNESTO 19.5 65.8 111 195 +1993 9 10 18 12 OSCAR 8.7 82.5 49 252 +1960 6 2 0 9 ISAAC 19.0 287.2 107 632 +1968 7 22 6 26 ISAAC 52.5 311.0 112 411 +1961 2 10 0 7 ALBERTO 20.0 247.2 13 611 +1997 11 14 6 21 PATTY 62.7 135.7 31 766 +1959 10 3 12 15 CHRIS 64.0 328.0 71 652 +1995 1 3 6 19 WILLIAM 64.0 232.4 61 366 +1965 9 2 6 8 JOYCE 61.8 199.4 28 813 +1959 1 5 6 12 JOYCE 60.6 250.1 163 419 +1994 11 14 6 5 ERNESTO 47.2 82.3 24 91 +1995 6 25 6 4 TONY 20.1 99.0 39 755 +1986 9 13 0 20 ERNESTO 56.9 357.7 112 565 +1971 3 10 0 4 DEBBY 58.0 279.4 44 216 +1958 11 18 0 14 HELENE 57.8 154.1 138 623 +1988 1 27 18 1 DEBBY 22.5 160.3 68 147 +1957 1 13 12 6 TONY 25.9 322.0 139 263 +1950 5 5 0 9 MICHAEL 42.2 331.8 68 549 +1994 12 22 18 14 GORDON 31.4 236.7 147 851 +1992 12 13 18 16 ALBERTO 14.4 345.9 147 574 +1951 4 18 0 13 HELENE 61.2 238.4 90 191 +1975 1 7 12 16 CHRIS 52.7 141.0 24 106 +1999 12 22 18 2 CHRIS 22.1 44.6 18 484 +1983 12 21 0 18 LESLIE 32.1 38.0 137 514 +1977 5 16 18 18 WILLIAM 7.0 76.7 11 48 +1973 1 10 0 4 SANDY 50.8 135.6 81 551 +1961 10 17 12 28 LESLIE 59.1 90.8 67 698 +1972 6 18 6 9 CHRIS 21.9 33.9 136 308 +1962 10 6 12 14 ERNESTO 45.5 214.3 125 623 +1968 7 9 12 6 MICHAEL 53.0 163.9 119 10 +1969 7 15 18 1 HELENE 28.9 64.3 127 349 +1990 4 7 12 18 GORDON 56.8 213.1 148 600 +1984 5 21 18 3 GORDON 60.3 65.4 41 599 +1970 7 21 18 19 VALERIE 43.8 272.7 163 363 +1994 10 6 12 4 OSCAR 54.2 170.5 76 692 +1977 3 9 0 7 ERNESTO 53.5 31.5 147 147 +1963 5 25 0 20 HELENE 63.7 332.5 108 647 +1996 7 25 6 18 SANDY 19.6 8.2 21 144 +1986 10 18 18 22 NADINE 67.5 70.2 77 605 +1959 7 20 6 3 VALERIE 33.1 81.9 72 711 +1993 4 22 18 14 GORDON 54.0 84.4 58 857 +1966 5 13 6 8 WILLIAM 68.0 93.6 53 92 +1952 11 6 6 28 BERYL 19.5 248.4 146 180 +1994 4 20 6 14 OSCAR 10.1 247.2 133 812 +1999 12 18 0 21 ERNESTO 29.6 327.5 129 180 +1964 7 13 12 12 LESLIE 40.1 79.8 141 776 +1969 8 25 0 11 VALERIE 20.9 146.4 122 868 +1967 10 21 12 11 CHRIS 46.5 116.6 153 691 +1959 12 4 12 17 PATTY 21.9 324.6 38 714 +1953 12 8 6 14 SANDY 32.1 17.9 101 599 +1962 3 22 0 10 HELENE 34.1 45.6 46 453 +1997 2 25 0 14 HELENE 54.0 143.5 100 288 +1996 6 22 0 25 WILLIAM 45.5 345.2 71 484 +1955 10 13 0 9 LESLIE 34.2 22.5 86 37 +1981 4 20 0 6 LESLIE 30.1 2.7 149 736 +1986 12 21 12 21 WILLIAM 16.7 292.8 138 63 +1978 5 28 0 19 CHRIS 57.6 141.6 77 604 +1957 2 8 18 12 LESLIE 62.1 103.0 78 166 +1976 3 10 18 17 NADINE 11.3 106.7 109 635 +1984 7 24 6 24 RAFAEL 53.1 114.0 88 179 +1971 9 26 6 4 ISAAC 27.2 271.6 15 635 +1986 3 5 6 13 VALERIE 21.8 13.5 125 858 +1953 1 17 18 22 KIRK 60.1 231.5 72 21 +1962 6 22 6 3 TONY 29.4 248.1 150 720 +1956 8 4 6 15 DEBBY 38.0 341.4 99 136 +1988 3 9 18 27 CHRIS 60.2 136.4 16 806 +1964 10 21 18 3 JOYCE 60.7 263.5 113 502 +1998 5 8 0 17 PATTY 31.0 241.6 160 92 +1987 9 5 18 15 DEBBY 51.8 28.1 10 652 +1995 8 13 6 11 GORDON 64.4 130.7 60 148 +2000 8 3 12 12 CHRIS 68.1 207.8 41 317 +1981 7 23 12 21 ISAAC 56.0 9.6 72 43 +1986 2 19 18 4 WILLIAM 25.7 16.8 73 224 +2002 2 3 18 9 CHRIS 67.3 60.7 115 810 +1964 9 21 12 15 ERNESTO 49.2 242.8 146 827 +1985 10 13 12 8 OSCAR 51.3 132.1 65 40 +1958 12 5 18 27 ERNESTO 32.3 197.2 100 528 +1966 3 2 0 11 OSCAR 51.2 159.6 125 174 +1998 4 7 18 21 FLORENCE 36.6 9.0 64 102 +1987 6 6 12 19 GORDON 44.3 349.5 148 137 +2004 11 14 12 14 CHRIS 32.0 76.5 27 774 +1960 11 7 0 23 MICHAEL 68.3 101.2 136 781 +1989 9 13 0 21 DEBBY 66.3 248.7 106 714 +1975 10 11 18 24 GORDON 39.2 135.1 35 421 +2002 2 1 6 22 CHRIS 25.1 306.2 95 590 +1980 5 5 6 24 ISAAC 46.0 344.8 111 793 +1987 7 1 12 15 OSCAR 42.3 127.9 147 854 +1983 8 25 0 19 OSCAR 22.3 355.4 164 838 +1997 5 28 6 14 JOYCE 7.4 74.8 114 116 +1982 6 1 0 6 NADINE 31.7 312.8 113 54 +1998 10 2 18 17 ALBERTO 16.3 195.0 136 19 +1952 9 24 18 28 ISAAC 41.9 265.8 65 196 +1980 9 17 6 3 LESLIE 35.1 113.1 128 369 +1992 1 1 6 15 VALERIE 60.2 347.8 79 141 +1973 11 20 0 23 ISAAC 15.7 124.6 107 793 +1995 4 17 6 21 ALBERTO 44.3 33.1 146 637 +1981 5 25 12 1 CHRIS 29.7 120.9 64 568 +1991 4 11 18 9 JOYCE 47.8 137.4 97 197 +1979 2 17 6 25 RAFAEL 11.7 8.7 149 849 +1963 2 4 0 21 RAFAEL 57.5 253.4 62 65 +1957 8 3 12 16 LESLIE 7.5 88.5 12 138 +1983 11 27 18 10 NADINE 53.0 340.8 85 278 +1995 1 24 12 24 RAFAEL 42.9 342.7 105 5 +2004 2 4 6 25 CHRIS 54.1 37.4 76 686 +1990 12 14 6 24 ERNESTO 45.2 36.5 87 797 +2004 6 23 18 20 NADINE 17.1 94.2 97 810 +2001 3 11 0 21 TONY 69.9 109.0 77 651 +1971 12 16 18 6 KIRK 35.1 103.2 81 314 +1974 7 14 6 19 HELENE 34.8 265.2 37 795 +1955 8 2 18 15 MICHAEL 33.6 178.5 14 585 +1951 12 17 12 10 CHRIS 26.5 185.6 19 341 +1973 6 23 6 19 NADINE 65.9 254.7 12 733 +1958 3 13 18 21 ISAAC 37.4 246.7 119 811 +1952 8 17 6 14 GORDON 49.9 280.5 121 605 +1970 3 23 18 13 TONY 27.8 54.2 127 313 +1984 7 10 12 12 WILLIAM 48.2 271.8 93 32 +1975 10 21 6 8 ALBERTO 55.7 238.8 149 312 +1983 1 24 18 26 GORDON 58.2 211.2 109 346 +1988 1 8 18 27 PATTY 50.7 99.0 144 742 +1988 2 15 12 6 JOYCE 36.4 162.3 120 451 +1972 4 21 12 5 HELENE 13.6 259.4 68 153 +1987 5 11 6 17 ERNESTO 56.6 196.7 28 503 +1983 9 16 12 26 PATTY 66.1 41.8 49 545 +1951 11 15 0 7 DEBBY 44.1 32.1 126 638 +1969 3 10 18 5 LESLIE 10.3 189.0 78 624 +1954 2 13 12 27 OSCAR 35.5 297.3 83 711 +1974 1 25 6 17 OSCAR 43.1 114.5 57 400 +1996 12 14 18 10 NADINE 8.9 232.6 119 528 +1981 12 28 0 1 JOYCE 68.9 291.8 96 335 +1984 10 18 6 20 OSCAR 54.3 97.0 161 221 +1987 8 28 12 8 HELENE 13.9 245.0 124 786 +1962 7 7 0 26 GORDON 43.4 357.0 58 260 +1950 7 8 12 23 OSCAR 7.6 61.1 66 673 +1997 5 20 18 23 VALERIE 46.9 168.6 11 553 +1992 8 1 18 8 ISAAC 22.2 29.6 140 593 +1975 1 21 18 12 MICHAEL 20.9 89.6 52 219 +1991 1 28 6 20 ERNESTO 49.6 131.1 157 101 +1985 2 3 0 25 RAFAEL 14.7 64.6 164 501 +1953 5 7 18 17 BERYL 11.4 276.6 99 893 +1999 3 28 12 22 PATTY 21.2 306.3 120 330 +1954 12 4 12 22 RAFAEL 10.8 0.5 92 320 +1978 11 19 6 17 BERYL 61.7 51.7 47 92 +2003 2 19 6 20 LESLIE 24.5 58.7 95 453 +1968 10 13 18 20 OSCAR 15.9 311.4 45 225 +1971 4 15 12 11 LESLIE 9.4 276.7 149 797 +1983 11 17 6 22 ERNESTO 53.0 185.7 14 62 +1965 9 14 0 19 ALBERTO 69.1 99.6 126 895 +1982 6 26 12 19 FLORENCE 59.0 112.1 62 496 +1983 9 21 18 20 LESLIE 69.0 112.6 44 334 +1982 12 24 18 27 ERNESTO 7.6 121.0 38 854 +2003 4 3 12 7 PATTY 35.8 196.8 124 143 +1973 1 17 18 13 LESLIE 21.2 321.9 69 7 +2003 4 19 0 19 PATTY 25.9 306.2 157 212 +1986 7 24 6 9 FLORENCE 46.3 284.4 35 626 +1968 2 5 18 11 DEBBY 53.6 209.6 100 542 +1974 6 21 6 19 ISAAC 21.0 138.6 53 614 +1967 5 19 12 17 OSCAR 27.6 158.8 157 168 +1980 10 12 0 11 SANDY 9.9 132.1 79 720 +1973 10 8 0 4 BERYL 60.7 223.5 136 832 +1991 10 24 0 22 TONY 44.5 291.9 18 809 +2000 10 20 18 13 BERYL 67.9 70.8 135 827 +1952 7 3 12 4 DEBBY 38.4 33.3 135 573 +1994 10 12 12 10 OSCAR 36.1 52.2 15 108 +1964 10 15 12 10 VALERIE 64.9 295.6 121 782 +1969 2 4 12 3 VALERIE 48.3 120.4 152 167 +1993 8 10 6 7 CHRIS 47.9 172.6 96 349 +1990 9 26 0 13 TONY 46.5 274.0 51 771 +1972 5 13 6 7 MICHAEL 59.0 176.0 85 237 +1978 6 10 18 10 HELENE 65.6 334.4 97 72 +1961 2 12 6 25 BERYL 12.6 356.9 85 715 +1978 1 27 0 18 GORDON 68.8 62.1 78 245 +1995 1 28 6 11 RAFAEL 63.3 271.1 47 111 +1995 2 23 12 15 ALBERTO 36.5 204.5 101 651 +1964 1 25 18 11 TONY 48.5 56.2 148 814 +1965 8 14 12 1 NADINE 13.8 257.6 123 545 +1961 3 5 6 19 TONY 32.0 101.7 128 817 +1997 11 5 6 27 RAFAEL 34.1 188.8 120 397 +1985 1 12 12 9 LESLIE 46.9 313.5 88 821 +1996 1 16 6 14 OSCAR 29.5 326.1 19 692 +1976 3 18 0 8 WILLIAM 46.7 119.7 14 545 +1976 4 5 0 19 ERNESTO 62.7 69.0 145 534 +1979 8 4 18 18 ALBERTO 25.7 36.2 68 71 +1951 4 22 12 13 BERYL 23.6 72.3 145 778 +1995 5 19 12 14 MICHAEL 15.3 302.7 157 95 +1972 10 6 6 27 ERNESTO 35.5 324.1 95 51 +1950 7 10 12 7 RAFAEL 33.0 256.8 88 525 +1956 2 5 18 26 ALBERTO 52.6 92.6 15 652 +1984 9 21 6 27 GORDON 51.2 34.1 164 138 +1971 8 15 6 11 ALBERTO 22.1 255.8 143 298 +1997 3 9 12 19 ALBERTO 30.7 95.5 110 667 +1979 12 20 6 17 RAFAEL 16.4 102.9 73 216 +1955 2 20 12 16 RAFAEL 66.9 238.6 18 82 +1997 2 20 12 13 FLORENCE 39.7 19.1 134 665 +1985 10 28 6 4 WILLIAM 51.6 100.1 15 222 +1969 3 22 18 7 BERYL 49.4 124.6 31 744 +1962 3 14 0 16 TONY 12.2 45.6 120 728 +1994 9 9 6 17 JOYCE 26.9 192.1 100 690 +2002 2 3 0 19 OSCAR 14.6 176.3 162 889 +1972 9 12 18 18 ISAAC 24.8 86.3 116 878 +1991 1 19 6 9 KIRK 52.4 292.2 120 496 +1964 7 11 18 13 HELENE 10.7 111.1 28 383 +1971 5 28 18 20 GORDON 27.4 54.2 86 548 +1987 6 2 12 7 JOYCE 25.2 195.0 117 412 +1990 7 20 0 22 CHRIS 32.7 37.4 159 696 +1974 8 18 12 7 FLORENCE 28.9 155.8 18 430 +1958 1 18 6 3 ISAAC 17.1 282.1 45 372 +1985 12 23 12 26 OSCAR 66.9 191.4 127 301 +1959 1 21 12 12 GORDON 23.2 318.5 66 96 +1972 9 2 0 14 OSCAR 69.1 285.2 162 102 +1990 9 19 6 5 BERYL 60.9 91.6 135 123 +1967 2 21 0 11 PATTY 13.1 223.5 105 220 +1992 9 8 0 3 ALBERTO 9.8 109.5 163 322 +1993 10 18 18 26 GORDON 22.0 241.5 123 333 +1960 4 2 0 17 BERYL 22.7 325.0 32 308 +1971 7 22 12 15 HELENE 8.3 75.1 63 506 +1951 9 13 12 28 KIRK 41.5 292.1 117 390 +1957 1 15 0 21 VALERIE 65.1 309.8 38 550 +1989 5 5 12 15 ALBERTO 56.1 47.3 113 862 +1996 9 3 6 22 ALBERTO 47.2 46.1 147 219 +2002 10 9 18 20 TONY 60.5 97.4 12 691 +1993 3 5 18 27 JOYCE 50.5 16.2 102 834 +1960 4 23 18 18 BERYL 37.4 137.8 163 704 +1998 2 5 0 23 BERYL 23.1 316.8 53 550 +1969 7 5 6 14 HELENE 39.1 155.7 50 57 +1993 1 11 12 16 DEBBY 28.1 269.9 73 529 +1955 12 21 0 12 VALERIE 10.0 138.6 109 521 +1966 4 1 12 14 LESLIE 32.8 214.9 34 838 +1985 3 22 12 21 KIRK 62.2 101.2 101 402 +1952 11 15 6 14 DEBBY 42.8 144.0 30 143 +1955 7 6 18 5 HELENE 38.0 253.9 30 895 +1972 3 16 12 15 FLORENCE 16.9 231.3 18 703 +1999 9 28 18 20 FLORENCE 8.7 129.1 67 781 +1968 2 1 18 8 DEBBY 7.8 162.3 149 506 +1990 7 15 12 22 ALBERTO 23.8 123.9 127 710 +2002 9 19 0 21 PATTY 23.3 334.1 104 114 +1993 3 17 0 13 RAFAEL 8.9 121.3 23 780 +1958 12 12 18 21 LESLIE 45.9 253.7 109 177 +1972 1 23 18 6 LESLIE 36.9 80.8 115 204 +2004 6 26 12 23 SANDY 14.5 286.0 151 843 +1952 11 3 0 14 MICHAEL 44.9 331.7 95 122 +1992 10 17 12 24 KIRK 33.1 132.0 25 845 +1997 12 8 12 18 OSCAR 20.2 192.1 105 815 +1961 8 25 6 4 KIRK 47.9 98.0 112 529 +1992 11 4 6 15 HELENE 64.1 99.9 60 893 +1981 11 6 18 6 FLORENCE 15.5 215.7 38 664 +1950 8 22 12 12 DEBBY 47.0 11.2 77 462 +1962 1 17 12 22 SANDY 52.8 112.6 88 396 +1958 4 3 6 3 PATTY 19.4 87.8 97 816 +1984 8 15 18 3 HELENE 69.0 265.2 45 346 +1953 12 2 0 13 NADINE 30.9 208.4 79 60 +2001 7 2 6 2 VALERIE 13.7 193.0 17 198 +1988 7 24 6 16 BERYL 65.0 171.1 161 33 +1975 11 10 6 10 ALBERTO 61.7 157.3 96 216 +1986 4 10 18 12 DEBBY 61.4 94.1 129 475 +1970 8 6 0 25 RAFAEL 22.8 111.9 88 842 +1988 10 24 0 8 RAFAEL 14.1 97.0 79 92 +1983 9 8 0 17 KIRK 33.9 176.8 41 114 +1957 7 22 6 6 HELENE 36.1 302.8 40 134 +1993 7 18 6 9 DEBBY 21.4 57.4 113 880 +1976 12 16 12 5 LESLIE 46.6 27.8 81 62 +1981 5 17 12 16 PATTY 35.1 23.6 40 579 +1970 7 16 0 16 ALBERTO 11.5 181.6 141 327 +2001 6 11 0 16 SANDY 47.5 335.3 162 842 +1993 5 26 0 14 WILLIAM 53.6 123.9 113 512 +1950 3 23 6 6 HELENE 33.2 308.0 37 395 +1983 5 10 6 16 DEBBY 45.8 354.1 94 696 +1974 12 23 0 19 WILLIAM 49.3 228.3 100 259 +1994 11 5 6 6 ERNESTO 21.4 303.1 147 696 +1975 4 28 12 21 LESLIE 37.1 113.0 159 264 +1977 8 1 12 10 OSCAR 69.7 59.6 82 690 +1975 2 7 0 24 KIRK 48.6 110.4 162 434 +1957 9 6 0 17 PATTY 52.1 215.9 10 252 +1983 6 24 0 12 BERYL 55.7 331.6 103 892 +1951 7 28 6 11 ERNESTO 32.7 50.7 81 473 +1954 6 19 18 3 BERYL 8.1 47.8 110 554 +1993 6 14 0 7 LESLIE 49.4 248.4 153 48 +2001 4 5 0 4 SANDY 15.4 69.9 40 601 +1961 8 24 0 12 RAFAEL 7.1 95.1 28 82 +1973 4 1 6 16 VALERIE 17.6 66.3 142 10 +2000 2 7 12 21 OSCAR 8.7 249.3 109 300 +2003 9 15 0 24 MICHAEL 48.0 129.9 112 344 +1978 9 12 0 7 VALERIE 7.1 111.3 14 40 +1997 11 14 18 18 TONY 51.2 323.6 52 186 +1958 6 22 0 13 RAFAEL 39.4 337.0 17 731 +1995 10 9 6 10 WILLIAM 51.0 163.9 14 123 +1994 2 22 0 24 KIRK 35.4 272.6 40 734 +1981 7 5 6 18 GORDON 20.2 173.7 66 581 +1976 9 1 6 24 FLORENCE 59.1 68.8 17 867 +1987 1 22 0 9 HELENE 42.3 143.4 139 6 +1996 7 10 0 12 ERNESTO 65.5 303.5 59 132 +1976 12 9 6 22 GORDON 44.0 301.3 13 645 +1977 2 11 18 24 ALBERTO 54.9 228.4 67 297 +1959 1 1 0 7 CHRIS 48.0 123.9 89 260 +1984 1 24 18 15 LESLIE 51.3 209.3 77 474 +1957 5 22 6 15 GORDON 61.2 197.4 97 139 +1975 2 19 6 7 RAFAEL 54.8 73.1 20 815 +1969 9 28 6 20 VALERIE 59.8 155.9 92 784 +1974 4 6 18 15 HELENE 27.0 70.8 162 654 +1953 8 7 0 1 OSCAR 22.6 288.7 127 66 +1977 8 17 6 13 NADINE 46.2 273.6 27 421 +1957 2 15 12 19 KIRK 35.7 251.8 100 523 +1985 1 27 0 19 VALERIE 52.5 119.6 17 458 +1990 2 17 12 9 BERYL 58.7 235.1 59 481 +1982 7 15 6 22 TONY 52.3 70.1 44 793 +2000 6 20 12 24 DEBBY 59.5 279.4 85 473 +1985 2 5 18 28 HELENE 63.8 237.1 115 412 +2002 4 28 18 19 ERNESTO 68.0 329.6 105 523 +1969 7 22 0 20 ERNESTO 57.6 91.9 79 274 +2002 3 8 12 2 ISAAC 17.9 323.4 66 584 +1957 3 16 0 3 WILLIAM 52.0 302.8 39 527 +1971 9 7 12 15 WILLIAM 35.2 125.4 30 40 +1999 10 11 0 8 LESLIE 68.3 122.0 127 891 +1976 12 22 6 24 BERYL 21.7 5.8 115 617 +1953 11 19 12 23 ALBERTO 33.7 264.5 139 50 +1990 6 27 6 21 SANDY 20.5 170.0 110 300 +1978 4 28 0 27 LESLIE 65.2 154.3 145 368 +1981 11 18 12 8 ALBERTO 65.7 355.3 84 832 +1975 6 18 6 24 DEBBY 54.7 281.3 13 353 +1954 2 11 18 9 KIRK 43.5 215.4 33 424 +1989 8 24 18 3 SANDY 36.3 145.6 149 788 +1981 7 2 18 12 MICHAEL 21.3 315.2 141 571 +1960 3 21 12 24 HELENE 38.4 222.6 123 216 +1952 2 4 12 2 RAFAEL 67.3 341.5 120 600 +1952 7 17 0 10 KIRK 26.3 173.2 121 589 +1996 12 1 6 22 SANDY 10.8 151.5 63 124 +1991 3 23 0 7 ISAAC 51.8 19.0 90 479 +1975 11 2 6 11 ISAAC 14.4 298.7 113 447 +1993 12 14 18 28 CHRIS 9.1 29.0 99 817 +1983 5 1 0 1 RAFAEL 19.6 54.0 135 516 +1981 12 1 6 14 ERNESTO 33.4 99.5 86 420 +1958 12 12 6 2 MICHAEL 13.7 82.0 39 343 +1982 7 20 6 24 BERYL 54.8 231.8 32 400 +1997 8 26 0 21 ISAAC 36.1 153.8 89 670 +1960 11 11 12 3 CHRIS 49.9 70.8 10 475 +1967 12 14 6 1 ALBERTO 69.0 249.4 133 207 +1975 6 26 12 3 RAFAEL 13.8 61.2 140 749 +1965 11 15 0 4 BERYL 34.0 273.7 21 415 +1975 10 8 18 9 HELENE 38.3 121.1 96 371 +1994 9 22 12 27 HELENE 17.4 47.6 17 394 +2000 6 21 0 22 TONY 9.2 72.4 23 132 +1960 3 23 6 26 GORDON 44.4 313.6 127 300 +1969 8 15 6 21 GORDON 10.2 126.4 83 608 +1995 3 6 18 7 OSCAR 64.5 228.9 43 358 +1960 12 4 0 5 RAFAEL 17.9 353.6 132 590 +1965 5 25 12 5 PATTY 16.3 305.1 55 79 +1989 3 9 12 20 ISAAC 40.4 92.8 100 166 +1981 4 18 18 23 SANDY 31.3 2.4 112 511 +1993 12 3 6 24 LESLIE 34.5 99.8 141 678 +1982 5 26 6 9 VALERIE 67.1 147.0 36 158 +1991 8 17 12 17 KIRK 32.2 351.6 77 308 +1972 6 15 18 15 VALERIE 14.6 78.4 117 586 +1986 12 9 12 13 MICHAEL 37.8 295.4 15 161 +1967 8 7 18 15 VALERIE 64.7 71.2 42 315 +1990 9 5 12 4 VALERIE 51.5 184.7 124 647 +1957 10 20 0 15 LESLIE 58.4 330.3 80 582 +1966 9 18 0 15 KIRK 20.0 258.8 89 244 +1951 11 15 12 24 LESLIE 55.4 247.3 40 291 +1965 2 13 6 18 NADINE 14.0 19.9 65 444 +1958 6 23 6 10 JOYCE 30.9 229.9 100 289 +1961 4 21 0 20 WILLIAM 55.5 301.2 163 214 +1993 10 28 18 15 VALERIE 67.7 318.9 16 99 +1962 7 4 12 15 SANDY 51.5 145.3 80 449 +1973 7 18 0 11 BERYL 32.4 324.4 116 286 +1986 7 22 6 16 KIRK 18.9 125.6 65 297 +1960 7 24 18 7 RAFAEL 54.4 51.9 164 441 +1959 8 22 0 14 KIRK 20.4 130.0 100 66 +1961 2 7 0 2 DEBBY 59.9 86.3 126 774 +1993 6 4 6 24 SANDY 32.8 313.0 120 309 +2003 3 10 18 15 NADINE 47.6 192.8 36 853 +1985 2 9 6 3 FLORENCE 37.1 345.6 33 598 +1973 5 18 6 21 WILLIAM 58.6 120.9 101 162 +1959 5 26 18 9 HELENE 49.9 344.3 128 108 +1988 10 10 6 16 HELENE 13.9 222.7 33 390 +1967 10 24 12 26 CHRIS 21.5 121.4 157 547 +2004 1 25 0 22 PATTY 20.4 179.1 148 118 +1995 2 8 12 15 HELENE 25.6 276.0 64 667 +1973 12 24 6 26 ERNESTO 62.1 33.5 80 861 +1954 4 22 6 10 LESLIE 42.7 49.3 156 461 +1952 1 15 12 21 WILLIAM 15.7 120.0 83 89 +2003 10 17 0 18 DEBBY 62.6 45.1 124 572 +1965 2 2 0 20 GORDON 65.7 9.4 149 181 +1982 1 9 6 6 MICHAEL 63.5 318.3 122 555 +1965 8 20 6 10 MICHAEL 63.0 134.7 143 477 +1950 12 14 0 27 ERNESTO 17.4 63.2 47 296 +1953 11 7 6 10 FLORENCE 30.6 229.4 30 524 +1967 12 27 18 18 HELENE 17.5 348.8 41 313 +2004 7 25 18 21 ERNESTO 18.7 316.5 115 352 +1963 5 21 12 21 JOYCE 61.3 150.4 102 822 +1975 2 23 18 27 PATTY 26.5 3.9 158 553 +1980 11 9 12 2 GORDON 15.6 30.5 80 373 +1962 2 27 0 19 ALBERTO 25.9 321.0 128 766 +1984 11 22 6 19 PATTY 47.1 294.9 48 891 +1997 12 20 12 10 GORDON 34.5 57.3 164 466 +1951 1 26 6 2 GORDON 33.8 45.2 42 139 +1955 9 26 18 23 TONY 50.7 10.3 46 706 +1989 3 4 0 17 KIRK 62.3 52.0 150 207 +1979 11 6 18 20 TONY 41.9 76.3 114 70 +1953 7 24 6 14 JOYCE 33.7 28.3 96 97 +1965 12 27 12 19 ISAAC 18.2 29.4 27 562 +2000 2 23 12 1 SANDY 16.9 30.7 12 345 +1995 6 19 12 28 ERNESTO 7.8 247.7 71 346 +1996 12 27 6 10 ISAAC 41.6 39.2 79 480 +1952 7 6 18 26 FLORENCE 44.0 114.6 151 783 +1990 1 20 12 6 ERNESTO 10.5 241.6 84 45 +1997 6 25 0 27 DEBBY 56.4 270.7 92 265 +1984 1 1 0 4 HELENE 37.5 227.5 104 235 +1960 12 24 12 15 JOYCE 52.8 215.8 138 416 +1964 7 10 12 20 NADINE 53.5 336.0 126 341 +1979 12 4 18 18 MICHAEL 66.9 66.3 53 252 +1978 3 3 18 3 HELENE 18.0 171.6 24 187 +2003 7 27 6 7 DEBBY 53.0 189.5 33 102 +1960 9 20 12 18 WILLIAM 44.6 96.4 87 635 +1998 6 4 6 18 CHRIS 34.6 162.5 35 154 +1989 12 22 6 18 VALERIE 35.8 138.2 135 526 +1973 2 22 6 10 JOYCE 33.9 333.0 75 805 +1999 1 22 12 19 JOYCE 47.7 132.1 162 527 +1972 5 5 12 20 ERNESTO 19.6 220.1 82 153 +1968 11 17 0 15 KIRK 11.2 58.1 159 515 +1991 3 15 18 25 ALBERTO 39.3 287.0 161 210 +1990 12 16 6 25 KIRK 38.9 183.5 111 162 +1956 3 3 0 18 WILLIAM 45.0 285.5 109 695 +1978 9 14 18 28 ISAAC 36.8 44.6 141 512 +1980 10 18 6 25 MICHAEL 37.8 65.6 53 420 +1989 5 28 12 7 SANDY 32.3 129.2 22 728 +1964 11 10 18 8 PATTY 18.0 104.1 56 360 +1987 9 26 6 7 ISAAC 63.2 200.5 45 536 +1958 5 7 18 27 ERNESTO 23.5 322.1 13 237 +1972 5 18 0 9 ALBERTO 39.1 351.4 129 233 +1994 11 3 12 16 ISAAC 51.5 344.8 76 499 +1992 9 9 12 18 JOYCE 46.1 65.0 145 621 +1984 11 21 18 16 KIRK 34.3 308.0 15 133 +1997 12 27 0 5 GORDON 22.9 17.6 154 580 +1960 8 3 6 21 NADINE 9.0 239.9 153 169 +1965 1 9 6 28 KIRK 59.8 30.4 150 493 +1955 11 11 6 6 OSCAR 32.8 12.9 125 488 +1952 11 1 6 2 ERNESTO 20.9 144.6 104 440 +1964 12 8 18 16 JOYCE 14.0 22.8 140 297 +1977 6 12 0 22 ALBERTO 40.5 349.6 112 551 +1994 9 4 12 3 BERYL 43.1 208.2 85 164 +1950 6 11 18 4 CHRIS 65.6 337.3 163 736 +1955 6 2 0 15 NADINE 35.4 165.0 146 761 +1998 1 3 0 22 WILLIAM 63.3 258.7 108 303 +2002 12 16 18 4 MICHAEL 54.2 270.6 101 618 +1957 3 3 0 14 JOYCE 46.8 130.7 89 453 +2002 7 6 18 10 DEBBY 33.0 160.1 48 371 +1983 4 11 0 21 OSCAR 68.0 121.2 48 709 +1954 11 3 12 11 HELENE 59.7 125.9 141 760 +1986 12 18 12 5 GORDON 32.3 5.0 101 577 +1991 5 3 0 15 SANDY 41.8 353.2 54 72 +1998 7 18 18 5 MICHAEL 65.0 297.8 129 57 +1972 2 11 6 10 JOYCE 27.9 222.3 52 410 +1998 7 3 6 11 ERNESTO 44.7 111.6 48 367 +1975 9 18 6 17 CHRIS 57.4 167.2 37 660 +1971 10 14 12 2 LESLIE 36.0 97.3 77 279 +1955 4 22 18 26 ISAAC 31.9 46.8 67 346 +1985 11 12 6 25 SANDY 54.8 269.9 38 792 +2001 9 14 18 20 ALBERTO 25.0 62.6 19 860 +1951 2 23 6 23 MICHAEL 7.1 282.5 71 648 +1962 3 18 0 13 HELENE 23.3 335.3 38 544 +1967 12 16 0 12 WILLIAM 36.7 207.7 95 765 +1981 11 14 18 6 JOYCE 36.6 126.2 119 856 +1961 12 3 18 6 BERYL 68.7 103.2 15 666 +1973 3 13 12 13 OSCAR 23.6 315.0 10 159 +1984 8 15 12 25 HELENE 34.8 259.0 61 520 +1969 9 8 0 3 JOYCE 44.8 166.7 45 120 +1982 12 17 0 9 PATTY 17.3 267.6 104 331 +1985 9 18 12 18 SANDY 30.8 98.1 46 612 +1997 6 14 18 5 OSCAR 49.6 97.2 106 829 +1991 12 4 18 22 ISAAC 28.7 343.0 141 434 +1997 9 5 18 2 RAFAEL 58.0 296.1 133 604 +1980 12 27 18 24 SANDY 48.0 45.0 159 689 +1964 9 22 0 28 FLORENCE 34.4 292.3 53 520 +1952 11 25 12 27 ERNESTO 43.7 231.0 14 638 +1959 12 22 12 24 FLORENCE 51.3 29.4 51 822 +1982 7 11 12 10 RAFAEL 67.2 209.8 98 105 +1954 12 7 6 12 FLORENCE 20.0 271.1 104 836 +1952 1 12 12 6 LESLIE 9.9 56.2 120 773 +1963 1 9 12 24 HELENE 11.9 20.7 101 116 +1997 3 2 0 4 LESLIE 67.1 111.2 85 346 +1999 6 24 12 17 GORDON 53.6 334.5 105 785 +1989 3 11 12 17 WILLIAM 7.7 134.7 25 523 +1968 9 10 18 1 MICHAEL 7.9 56.1 22 668 +1988 5 28 0 22 SANDY 51.5 203.1 44 323 +1980 5 28 6 9 JOYCE 19.1 212.0 125 743 +1960 11 26 0 3 GORDON 14.1 100.3 75 83 +1952 6 15 0 6 SANDY 55.3 330.1 50 116 +1991 12 2 6 1 DEBBY 36.6 312.7 41 58 +1953 1 28 18 9 VALERIE 22.4 247.9 37 582 +1989 6 17 6 25 WILLIAM 33.3 126.5 138 674 +1956 2 24 0 24 HELENE 16.7 324.9 152 448 +1955 10 18 12 15 JOYCE 12.9 334.8 104 136 +1980 6 12 6 21 HELENE 15.2 208.7 25 495 +1995 5 12 12 7 DEBBY 25.9 286.9 115 186 +1999 7 3 12 4 KIRK 20.5 282.7 71 332 +1951 9 9 0 24 ERNESTO 40.9 239.5 146 511 +1977 7 16 12 23 ALBERTO 39.8 251.8 43 470 +1952 1 22 6 23 ALBERTO 13.3 355.9 57 200 +1970 4 10 0 19 VALERIE 64.5 22.4 148 854 +1986 12 15 18 22 JOYCE 30.6 226.3 32 186 +1975 9 18 6 7 ALBERTO 59.2 129.7 35 317 +1995 10 23 0 17 TONY 45.3 113.1 156 361 +1992 3 20 0 2 CHRIS 7.9 205.8 31 33 +1960 4 7 18 22 GORDON 60.1 199.5 93 798 +2003 4 27 12 8 LESLIE 10.9 280.8 93 721 +1995 4 23 6 8 OSCAR 34.0 329.8 90 353 +1960 7 15 18 18 ERNESTO 10.0 233.8 34 115 +1956 11 11 12 6 RAFAEL 46.0 41.7 103 124 +1990 8 24 12 22 WILLIAM 59.4 302.8 43 13 +1967 3 10 6 23 KIRK 56.5 85.9 81 238 +1960 11 22 18 26 SANDY 17.3 59.9 37 470 +1979 7 18 0 21 WILLIAM 49.7 323.5 34 503 +1961 5 20 18 28 SANDY 11.8 276.7 78 37 +1953 4 6 18 7 DEBBY 22.9 14.0 20 633 +1993 9 24 12 24 TONY 66.4 29.6 123 786 +1958 7 23 6 11 HELENE 43.3 239.2 153 587 +1962 9 2 0 3 HELENE 18.4 271.9 41 32 +1966 11 2 18 15 ISAAC 16.7 282.4 90 26 +1957 9 26 18 16 KIRK 8.3 16.7 21 846 +1968 10 7 6 11 TONY 31.3 16.2 17 660 +1978 5 1 18 9 VALERIE 15.0 106.8 103 129 +1978 4 21 18 15 BERYL 35.3 71.0 28 334 +1952 1 14 6 11 VALERIE 68.1 116.7 123 180 +1999 8 7 12 23 OSCAR 35.1 187.0 88 568 +1972 6 8 12 26 MICHAEL 45.2 169.8 77 77 +1974 7 24 12 17 HELENE 33.0 248.1 36 734 +1961 3 24 6 26 KIRK 38.5 286.2 14 633 +2000 4 6 12 3 DEBBY 9.6 251.2 134 633 +1963 6 11 0 11 HELENE 41.4 320.0 85 144 +1981 2 17 6 11 ERNESTO 16.2 2.8 136 212 +1964 1 21 18 20 PATTY 41.6 275.1 116 579 +1958 4 25 12 5 CHRIS 9.8 118.6 156 306 +1981 9 21 12 5 CHRIS 41.1 340.3 66 467 +1985 8 24 18 19 BERYL 61.9 57.2 158 479 +1951 7 21 18 21 RAFAEL 33.2 244.0 39 659 +1986 2 7 6 13 VALERIE 44.6 208.7 83 391 +1955 6 2 18 9 KIRK 42.3 1.6 120 675 +1973 8 24 6 13 GORDON 61.7 48.5 66 212 +1980 1 16 0 26 LESLIE 60.8 199.2 111 467 +2003 9 6 0 16 SANDY 41.2 284.5 145 222 +2002 8 13 6 15 OSCAR 69.0 294.4 41 293 +1988 7 8 12 7 LESLIE 13.0 42.5 18 467 +1992 5 18 0 16 GORDON 21.0 142.7 126 160 +1958 5 27 18 26 WILLIAM 30.1 161.8 53 865 +2003 10 6 0 1 FLORENCE 53.7 196.8 12 601 +2000 1 2 12 12 FLORENCE 34.2 353.9 114 249 +1962 8 27 6 8 GORDON 17.1 140.6 130 826 +2000 11 1 0 12 HELENE 47.3 339.1 47 642 +1998 1 22 12 23 VALERIE 16.0 104.6 152 769 +1963 2 13 6 10 WILLIAM 22.0 293.7 43 272 +1995 7 16 6 26 BERYL 7.8 257.3 144 719 +1973 9 21 12 2 BERYL 49.1 21.4 140 760 +1983 5 17 18 17 PATTY 48.2 293.5 118 352 +1991 5 20 12 22 HELENE 38.0 115.1 153 543 +1960 11 20 12 18 NADINE 58.8 44.6 98 841 +1991 4 13 18 15 ALBERTO 41.8 153.5 118 654 +1984 7 13 6 1 FLORENCE 40.9 158.4 40 243 +1974 6 28 0 13 ERNESTO 23.9 233.7 94 195 +1998 1 27 12 20 MICHAEL 47.3 102.4 41 342 +1975 2 10 12 17 SANDY 38.0 354.1 87 57 +1994 6 23 0 27 FLORENCE 8.0 240.9 34 225 +1989 12 5 18 21 MICHAEL 22.7 4.1 164 826 +1972 6 3 18 7 FLORENCE 64.5 139.7 67 41 +1983 1 6 18 15 FLORENCE 39.2 70.3 77 190 +1999 10 11 0 17 ISAAC 13.8 210.9 113 108 +2001 6 6 18 12 ISAAC 33.9 279.8 52 829 +2001 8 26 12 5 DEBBY 45.5 2.9 124 150 +1991 10 9 18 15 MICHAEL 27.5 357.4 61 415 +1957 6 12 12 15 TONY 58.4 179.3 41 694 +1952 11 17 0 6 ISAAC 35.1 202.7 10 398 +1957 1 12 0 10 SANDY 67.0 245.5 110 3 +1954 4 11 12 17 FLORENCE 59.8 16.7 77 435 +1962 11 25 18 2 VALERIE 44.7 221.7 62 558 +1992 11 11 12 1 NADINE 13.9 342.2 31 109 +2002 8 5 12 4 CHRIS 59.9 59.7 164 38 +1974 9 17 18 2 NADINE 29.6 355.8 21 293 +1955 2 15 12 26 NADINE 69.9 258.4 45 884 +1957 9 12 0 3 LESLIE 27.2 355.7 102 138 +1988 10 21 18 18 KIRK 11.8 262.9 12 459 +1960 5 26 6 4 OSCAR 21.9 231.6 99 223 +1980 5 20 18 20 BERYL 11.8 279.8 86 262 +1999 9 10 0 4 VALERIE 56.0 64.4 142 757 +1994 7 12 12 7 OSCAR 20.2 160.2 52 767 +1979 9 9 6 23 CHRIS 68.2 113.4 148 756 +1963 10 9 12 27 OSCAR 60.1 189.2 113 109 +1960 4 6 0 21 NADINE 14.2 351.1 142 245 +1963 7 7 6 25 JOYCE 41.6 214.1 155 494 +1967 7 14 18 14 FLORENCE 31.7 25.8 30 823 +1962 5 9 6 13 BERYL 29.1 325.4 16 361 +1979 9 10 18 8 BERYL 7.7 196.2 84 248 +1950 4 22 6 6 FLORENCE 45.8 272.1 65 79 +1954 11 5 12 12 NADINE 34.7 65.5 151 6 +1950 8 14 18 7 CHRIS 10.7 283.9 136 168 +1979 4 8 6 14 ERNESTO 25.4 78.1 160 265 +1972 8 8 6 17 BERYL 25.8 203.7 30 263 +1970 9 21 18 13 HELENE 21.2 202.2 46 15 +1961 1 6 0 25 MICHAEL 39.2 127.5 65 711 +1998 12 13 18 21 ERNESTO 30.3 127.9 129 513 +1955 11 1 6 26 CHRIS 12.0 96.7 141 568 +1993 7 8 6 24 OSCAR 20.3 14.9 118 622 +1952 1 19 18 24 RAFAEL 52.6 36.9 135 289 +1959 12 10 12 2 PATTY 35.0 252.4 33 231 +1974 2 5 18 28 VALERIE 39.1 63.5 27 741 +1991 11 24 12 28 MICHAEL 48.2 41.1 73 30 +1991 12 26 18 2 CHRIS 43.4 240.0 127 646 +1954 4 5 6 13 NADINE 13.3 179.8 69 854 +1973 4 25 6 5 JOYCE 59.7 335.6 49 380 +1986 9 23 12 8 OSCAR 15.0 225.4 120 207 +2002 8 22 6 9 KIRK 36.6 332.3 139 801 +1952 9 5 12 26 RAFAEL 37.7 201.1 29 470 +1998 1 18 18 2 KIRK 48.4 274.0 72 489 +1958 12 18 0 20 SANDY 23.3 271.9 137 20 +1997 12 18 0 9 CHRIS 12.3 220.5 56 181 +1999 2 17 18 25 KIRK 28.2 33.6 13 294 +1980 7 22 0 25 GORDON 23.1 69.9 160 369 +1999 5 22 6 10 OSCAR 32.0 117.2 73 125 +2003 1 2 12 3 ERNESTO 27.8 290.7 59 592 +1953 5 26 6 22 GORDON 32.3 189.1 159 632 +1970 8 24 12 22 MICHAEL 24.2 110.9 101 481 +1966 3 9 18 13 SANDY 38.1 138.4 111 797 +1984 12 1 6 24 ISAAC 51.8 108.2 125 81 +1972 10 18 12 11 JOYCE 16.3 116.6 41 638 +2001 4 6 18 20 KIRK 22.1 8.0 38 80 +2000 1 20 12 14 CHRIS 23.9 122.4 109 356 +1954 7 22 18 26 ISAAC 67.4 252.7 152 367 +2004 2 21 6 4 HELENE 27.6 88.3 120 41 +1984 9 27 0 10 ERNESTO 32.3 141.7 87 105 +1989 3 27 12 22 HELENE 48.8 34.9 73 95 +1981 9 9 6 18 HELENE 33.2 154.4 50 566 +1963 8 21 12 25 ERNESTO 13.2 272.2 16 1 +1960 10 16 18 24 ALBERTO 58.7 78.5 149 396 +2002 12 4 0 9 ISAAC 63.9 280.3 111 475 +1995 5 14 6 18 NADINE 65.6 319.4 45 606 +1977 7 23 12 22 ERNESTO 30.5 343.3 98 87 +1951 12 19 18 6 NADINE 26.9 117.7 146 444 +1974 6 10 6 28 KIRK 13.9 105.3 12 453 +1983 7 23 0 17 LESLIE 20.7 254.4 145 351 +1970 6 26 6 14 ISAAC 66.7 134.9 10 437 +1988 8 4 18 18 OSCAR 38.6 112.4 73 182 +1973 8 16 18 18 WILLIAM 32.8 6.6 103 693 +1982 8 8 18 11 SANDY 50.1 106.6 42 283 +1996 7 18 12 5 PATTY 32.8 74.0 104 357 +1961 1 5 12 14 GORDON 55.9 108.0 147 618 +1989 10 1 18 1 LESLIE 56.1 286.3 128 748 +1958 11 23 0 7 NADINE 28.7 220.3 145 705 +1953 6 8 18 24 NADINE 19.7 173.1 98 6 +1989 8 7 0 4 TONY 9.3 100.2 71 100 +1957 1 25 0 27 JOYCE 36.3 291.9 37 75 +1962 11 13 18 26 VALERIE 19.1 227.8 91 106 +1976 12 27 6 7 HELENE 38.1 343.0 155 812 +1985 12 26 0 9 WILLIAM 28.5 206.9 15 713 +1965 3 19 18 22 CHRIS 16.6 295.5 79 94 +1957 4 9 18 19 SANDY 12.5 33.4 114 18 +1975 8 9 12 15 MICHAEL 19.5 93.9 12 832 +1986 1 13 6 1 BERYL 58.1 239.2 52 871 +1969 9 11 18 6 WILLIAM 36.1 344.4 85 867 +1990 9 10 6 26 ERNESTO 44.3 327.6 55 83 +1984 5 18 18 5 ERNESTO 64.2 162.9 108 275 +1974 10 19 12 23 SANDY 47.2 99.1 33 21 +1969 3 21 12 20 ERNESTO 24.0 202.7 16 354 +2002 1 28 18 13 FLORENCE 25.0 163.0 159 360 +1975 3 21 0 26 DEBBY 21.4 274.5 58 276 +1986 4 23 6 11 CHRIS 29.4 127.7 89 536 +1961 9 27 0 2 ALBERTO 13.0 203.0 149 363 +1999 11 24 18 13 GORDON 25.6 175.2 75 307 +1955 4 2 0 10 ALBERTO 36.0 215.9 88 828 +1983 9 10 0 25 KIRK 11.9 175.2 10 521 +1985 6 5 6 15 ALBERTO 58.8 269.5 147 409 +1978 3 10 0 6 SANDY 43.3 139.6 98 333 +1970 7 13 12 2 VALERIE 17.5 331.4 117 185 +1959 5 1 0 19 PATTY 14.9 71.9 24 334 +1964 12 26 0 5 FLORENCE 20.6 292.9 35 800 +1968 3 27 0 11 ERNESTO 33.6 255.1 148 235 +1964 1 27 6 11 OSCAR 26.6 150.5 34 793 +1961 3 7 12 25 FLORENCE 45.0 335.1 64 14 +1958 3 18 18 1 GORDON 54.6 46.6 59 2 +1955 1 24 18 17 KIRK 56.1 104.5 10 435 +1998 2 9 18 2 TONY 36.1 339.3 127 891 +2004 7 4 12 28 CHRIS 43.5 294.0 114 132 +1964 7 1 6 14 ERNESTO 31.0 62.9 41 253 +1986 9 10 6 19 OSCAR 38.9 352.1 153 653 +1983 11 5 6 14 OSCAR 54.9 56.2 129 313 +1992 7 11 6 28 ERNESTO 59.6 326.4 134 548 +1977 3 14 6 23 FLORENCE 51.7 26.8 47 187 +1990 6 25 6 20 KIRK 7.0 178.8 34 847 +1958 4 1 0 8 ISAAC 25.0 121.2 132 421 +1998 8 13 18 15 OSCAR 52.4 330.1 15 195 +1981 12 16 6 17 KIRK 48.1 170.4 17 419 +1980 1 14 12 27 HELENE 36.9 274.3 113 726 +1999 11 2 18 14 FLORENCE 58.3 349.8 27 238 +1982 2 26 18 27 JOYCE 15.4 89.4 162 659 +1983 7 16 6 22 BERYL 21.5 163.9 56 475 +1997 12 6 6 14 SANDY 14.8 182.3 162 640 +1968 2 10 6 22 BERYL 30.7 119.5 29 575 +1998 12 12 6 26 HELENE 52.8 4.8 124 192 +1975 1 1 6 25 WILLIAM 37.2 133.8 74 622 +1989 4 1 0 5 VALERIE 10.4 323.7 130 780 +1999 9 14 0 27 VALERIE 28.0 9.5 146 271 +2000 1 13 12 28 GORDON 36.7 42.7 63 86 +1991 2 11 6 28 HELENE 46.4 107.8 88 509 +1955 3 27 0 20 HELENE 46.8 21.2 134 296 +1951 5 11 6 14 BERYL 28.3 13.9 156 857 +1974 2 27 18 5 ERNESTO 69.9 225.7 84 478 +1979 9 24 0 25 PATTY 34.4 248.0 82 579 +1980 6 3 6 28 TONY 29.7 273.0 96 416 +1958 4 14 0 27 WILLIAM 34.5 234.7 106 515 +1985 2 7 6 9 TONY 54.8 164.2 83 507 +1954 8 20 12 4 WILLIAM 26.7 279.6 21 139 +1977 12 21 18 25 RAFAEL 40.2 237.6 164 55 +1980 3 28 6 11 JOYCE 26.6 203.4 81 647 +1955 1 28 18 20 RAFAEL 10.0 237.3 53 883 +1987 3 8 18 28 ALBERTO 56.2 63.1 29 213 +1993 10 22 12 13 VALERIE 56.2 291.5 20 282 +1965 4 7 0 19 LESLIE 20.0 298.2 12 163 +1982 6 11 18 9 CHRIS 31.8 266.2 137 60 +1999 12 3 6 1 ALBERTO 68.2 147.2 21 73 +1982 9 25 0 8 OSCAR 48.4 20.1 42 888 +1956 5 4 6 7 HELENE 56.3 136.1 11 36 +1999 7 22 12 17 FLORENCE 41.3 342.5 140 738 +1990 6 22 0 16 OSCAR 51.7 274.3 75 835 +1986 3 24 6 27 TONY 62.9 89.7 126 176 +1967 9 22 12 2 HELENE 23.0 33.1 130 342 +1975 2 11 18 26 FLORENCE 14.7 120.8 120 360 +1986 9 7 12 7 BERYL 16.9 275.0 126 564 +1956 2 6 6 8 RAFAEL 50.4 308.7 133 71 +1971 3 2 0 13 JOYCE 13.6 312.9 73 126 +1953 9 13 6 28 WILLIAM 28.3 96.5 68 753 +1982 4 7 18 6 RAFAEL 45.0 65.4 158 693 +1962 1 25 6 4 OSCAR 30.8 331.0 68 717 +1959 1 28 18 16 NADINE 45.1 213.6 58 670 +1956 6 23 18 10 FLORENCE 40.5 63.5 52 568 +1971 3 26 6 23 DEBBY 62.3 10.2 41 660 +1951 7 21 0 18 OSCAR 46.1 284.1 13 638 +1950 7 5 0 24 GORDON 21.3 187.8 131 180 +1988 6 8 12 24 JOYCE 37.6 54.3 91 157 +1992 11 5 12 4 VALERIE 68.6 89.0 118 6 +1957 11 1 0 13 PATTY 11.5 237.1 42 444 +1995 12 19 12 22 CHRIS 59.4 343.7 61 818 +1956 2 21 6 22 FLORENCE 54.8 333.6 88 619 +1991 10 18 0 14 HELENE 52.9 241.0 47 230 +1987 10 26 0 6 GORDON 45.6 199.3 10 28 +1964 4 5 18 24 DEBBY 55.2 66.6 11 839 +1955 9 27 18 28 RAFAEL 9.2 155.0 68 142 +1953 3 2 6 1 PATTY 56.3 83.6 45 416 +1969 4 12 6 12 BERYL 42.8 219.6 54 714 +1979 4 6 18 4 TONY 49.0 157.2 105 631 +1989 9 13 6 8 MICHAEL 67.2 133.8 116 286 +1964 5 13 18 8 TONY 8.7 109.8 35 784 +1988 2 18 18 5 NADINE 58.7 158.6 124 823 +1975 1 15 6 11 BERYL 36.0 80.8 131 873 +1956 12 19 0 19 KIRK 41.0 168.4 110 708 +1995 9 18 12 3 SANDY 19.0 298.0 21 894 +1954 7 14 0 28 ERNESTO 52.9 236.5 17 677 +2000 3 4 18 5 DEBBY 44.1 105.0 122 579 +1959 3 17 6 15 DEBBY 54.1 37.1 15 256 +1983 4 15 12 16 ALBERTO 16.6 265.8 109 45 +1999 10 24 6 23 ALBERTO 20.0 268.1 45 21 +1962 5 6 6 18 VALERIE 12.8 111.1 30 801 +2004 12 24 6 11 LESLIE 12.9 216.9 160 664 +1969 9 28 0 26 CHRIS 25.6 354.5 33 657 +1965 9 12 18 1 BERYL 31.3 288.6 154 457 +1961 12 4 12 6 GORDON 43.7 321.7 150 516 +1960 10 2 6 5 CHRIS 22.7 304.5 54 232 +1987 6 1 18 1 NADINE 43.4 341.2 90 550 +1987 11 27 6 8 JOYCE 21.2 79.5 34 28 +2001 9 27 12 22 TONY 24.2 85.0 163 440 +1992 6 6 6 25 GORDON 41.1 45.9 114 262 +1985 11 16 18 13 FLORENCE 31.3 310.3 66 230 +1991 8 1 0 7 OSCAR 33.4 204.5 50 894 +1996 1 6 12 11 ERNESTO 11.4 152.7 86 736 +1968 6 8 6 11 FLORENCE 22.9 348.5 124 496 +1961 9 4 12 16 MICHAEL 66.0 161.0 115 449 +1983 11 20 0 3 MICHAEL 59.6 309.2 108 512 +1982 7 8 6 18 ISAAC 12.7 245.2 68 710 +1982 5 5 18 19 WILLIAM 48.2 205.9 92 381 +1996 7 17 6 27 KIRK 33.3 20.4 75 699 +1955 1 22 6 6 RAFAEL 52.7 326.0 13 30 +1962 1 1 6 20 ALBERTO 63.5 17.8 68 471 +1955 6 9 0 14 RAFAEL 40.2 135.5 14 376 +1984 1 19 6 13 BERYL 49.6 77.2 51 698 +1982 6 22 0 9 VALERIE 49.0 354.3 129 427 +1951 10 24 0 11 FLORENCE 32.8 200.9 51 73 +1965 9 20 0 18 JOYCE 62.0 355.1 12 255 +1964 12 2 0 23 FLORENCE 65.7 175.1 22 655 +1988 6 19 18 25 SANDY 68.6 17.2 48 368 +1951 6 17 6 2 KIRK 34.2 271.7 124 113 +1998 1 21 12 24 NADINE 44.4 127.1 22 365 +1957 11 28 6 26 NADINE 49.2 54.1 54 99 +1963 9 16 0 11 NADINE 56.8 77.6 77 346 +1994 5 5 18 24 WILLIAM 20.2 278.0 109 461 +1976 4 17 18 9 LESLIE 15.3 140.7 27 203 +1988 3 28 18 1 KIRK 49.1 235.6 37 629 +1986 11 11 12 11 SANDY 13.5 200.5 118 821 +1959 2 7 0 27 ERNESTO 19.9 56.0 155 678 +1996 1 5 6 6 GORDON 63.5 70.9 63 167 +1987 7 19 12 23 NADINE 37.0 224.8 89 552 +1968 9 4 0 3 ISAAC 7.0 186.7 90 439 +1978 2 9 6 18 KIRK 21.7 95.1 142 887 +2000 9 2 12 22 FLORENCE 24.0 357.1 50 585 +1984 10 20 12 9 CHRIS 48.4 221.1 99 613 +1960 12 13 12 7 TONY 14.8 349.8 163 510 +1979 8 18 0 2 GORDON 64.4 26.9 102 366 +1990 1 21 18 19 RAFAEL 49.1 350.3 34 593 +1969 11 12 12 1 WILLIAM 68.6 17.3 159 140 +1982 8 18 0 25 ERNESTO 19.8 220.1 128 502 +1971 7 2 0 23 GORDON 38.3 271.8 128 552 +1994 3 6 0 17 CHRIS 19.9 290.5 63 310 +2004 3 6 6 22 GORDON 27.4 216.7 28 681 +1969 6 13 12 27 TONY 24.4 286.8 32 516 +1962 8 15 0 9 ALBERTO 31.6 55.7 37 404 +2002 7 4 12 8 TONY 32.9 174.4 89 6 +1986 7 10 12 10 NADINE 62.3 239.1 17 747 +1962 8 17 6 20 HELENE 15.1 111.6 133 388 +1988 2 3 0 26 RAFAEL 41.7 44.2 114 406 +1998 8 27 12 16 WILLIAM 23.1 329.9 128 84 +1956 10 12 6 11 MICHAEL 61.9 248.5 136 507 +1994 7 11 18 6 ISAAC 64.6 338.6 77 133 +1967 6 12 18 15 GORDON 39.1 329.8 14 269 +1950 6 21 12 6 GORDON 42.1 300.7 11 443 +1987 8 25 0 7 WILLIAM 25.9 263.2 94 131 +1965 3 3 6 26 ALBERTO 11.9 280.4 112 63 +1955 9 8 6 8 GORDON 33.0 95.5 14 271 +1978 12 8 12 24 VALERIE 57.8 87.3 159 566 +1971 7 21 0 23 SANDY 46.8 64.1 42 447 +1976 1 22 6 10 ALBERTO 48.5 337.9 145 681 +2000 8 4 18 28 ALBERTO 41.0 253.1 80 307 +1987 1 17 6 16 FLORENCE 69.4 145.7 36 741 +1957 1 9 12 1 HELENE 17.1 289.2 68 332 +2000 9 26 6 23 VALERIE 19.2 59.6 95 194 +1982 11 2 0 13 TONY 14.6 266.0 17 138 +1982 7 10 18 13 FLORENCE 42.7 170.8 23 6 +1999 11 15 18 8 DEBBY 17.6 294.8 148 811 +1963 2 5 0 15 ERNESTO 57.7 133.4 110 473 +2002 9 18 18 6 PATTY 24.6 84.4 164 97 +1994 10 26 12 24 MICHAEL 27.8 322.9 115 492 +1986 6 26 6 2 VALERIE 30.9 235.6 72 430 +2004 1 17 6 13 WILLIAM 8.9 210.3 68 729 +1976 9 1 0 7 DEBBY 55.8 334.5 131 189 +1963 7 6 0 23 MICHAEL 44.2 229.8 92 561 +1987 11 27 6 4 SANDY 38.9 111.2 100 479 +1990 11 15 12 5 LESLIE 56.2 124.6 127 715 +1986 3 26 12 21 TONY 53.7 29.1 77 82 +1993 8 16 0 10 KIRK 67.5 95.3 79 883 +1987 5 12 0 14 OSCAR 15.4 6.1 68 529 +1995 6 25 0 12 BERYL 20.9 182.4 30 417 +1951 4 13 0 26 ERNESTO 9.3 142.5 150 95 +1992 10 7 12 14 VALERIE 37.2 277.5 125 885 +1995 9 28 0 6 CHRIS 31.9 203.0 58 334 +1972 4 8 12 15 TONY 67.0 261.0 107 791 +1952 10 11 6 21 CHRIS 45.0 263.6 73 302 +1993 4 14 12 15 WILLIAM 37.2 252.3 161 863 +1960 2 12 18 6 FLORENCE 21.6 170.1 99 242 +1964 2 20 12 12 ISAAC 14.0 268.9 29 762 +1964 7 9 18 11 RAFAEL 17.5 10.5 69 7 +1978 2 14 0 26 PATTY 22.8 255.6 119 465 +1957 10 2 0 4 WILLIAM 63.1 129.6 149 556 +1973 5 24 6 10 ALBERTO 28.6 357.3 77 40 +1952 12 20 18 11 RAFAEL 60.2 350.0 14 291 +1957 1 26 12 4 MICHAEL 10.2 329.5 94 520 +1986 2 5 12 12 JOYCE 59.8 88.5 161 826 +1980 6 13 12 19 OSCAR 32.3 339.6 87 360 +1976 4 28 6 9 TONY 26.2 212.1 157 895 +1974 6 9 18 24 GORDON 54.0 35.8 100 168 +1977 9 4 6 24 SANDY 40.8 117.6 149 359 +2003 1 16 18 7 OSCAR 63.9 133.4 54 839 +2003 7 21 0 13 LESLIE 35.8 267.4 149 211 +1979 7 13 12 8 VALERIE 51.1 3.8 84 809 +1959 5 15 18 28 BERYL 28.8 135.7 13 441 +1979 4 22 0 15 LESLIE 14.7 241.7 46 240 +1953 3 7 12 1 HELENE 57.3 160.0 67 832 +1963 5 27 0 7 DEBBY 58.8 211.3 71 18 +1994 12 21 18 12 ALBERTO 47.7 199.4 149 571 +1994 4 27 18 25 ISAAC 61.6 49.4 89 308 +1958 11 12 6 3 ISAAC 67.8 11.4 31 390 +2004 7 22 12 20 FLORENCE 51.7 163.3 37 853 +1966 8 14 12 25 PATTY 61.0 189.0 79 478 +1994 3 3 0 22 FLORENCE 60.4 267.2 146 623 +1980 9 17 12 5 VALERIE 20.1 120.7 163 217 +1986 3 28 0 9 ISAAC 32.5 264.7 21 97 +1971 10 10 12 2 HELENE 60.8 11.8 131 741 +1961 2 24 18 12 BERYL 69.3 231.5 85 878 +1984 6 9 6 19 NADINE 19.9 244.0 56 493 +1973 4 13 6 18 OSCAR 63.3 175.7 43 162 +1965 11 26 12 10 DEBBY 63.9 20.0 122 46 +2004 2 16 12 14 JOYCE 20.6 119.7 43 614 +1951 5 2 12 11 ERNESTO 13.9 176.7 19 528 +1953 5 19 6 9 JOYCE 20.5 165.2 21 140 +1952 6 22 6 15 JOYCE 14.2 68.8 156 514 +1951 8 9 18 9 TONY 68.9 337.5 41 618 +1979 12 14 18 23 WILLIAM 8.9 148.5 77 890 +1954 4 15 6 1 KIRK 16.1 92.8 23 152 +1958 1 27 12 15 TONY 65.4 200.3 28 698 +1980 7 25 18 16 PATTY 49.7 228.7 66 76 +1958 9 11 6 21 RAFAEL 61.4 83.1 58 598 +2002 11 5 0 28 HELENE 26.3 44.4 27 768 +1970 9 25 18 9 WILLIAM 65.7 351.0 64 186 +1999 12 20 0 12 MICHAEL 19.8 48.5 32 395 +1961 3 7 12 18 ISAAC 69.7 253.7 56 769 +1993 9 27 18 3 JOYCE 48.2 219.2 99 26 +2003 3 11 12 22 SANDY 36.4 328.9 88 554 +1955 8 16 12 2 FLORENCE 31.9 90.1 110 742 +1962 7 3 0 4 KIRK 34.4 336.8 43 90 +1950 5 2 18 13 FLORENCE 42.2 5.3 140 842 +1995 11 5 0 28 OSCAR 16.6 54.8 111 151 +1956 9 5 18 26 JOYCE 24.6 109.5 160 256 +1959 10 26 6 22 JOYCE 48.6 51.3 145 279 +1984 5 4 6 13 PATTY 15.1 145.0 51 529 +1982 8 6 18 14 BERYL 32.7 46.1 108 543 +1950 6 10 6 26 CHRIS 14.9 60.1 27 327 +1984 10 19 0 22 DEBBY 26.3 345.4 102 888 +1983 12 26 12 28 CHRIS 18.0 298.2 121 634 +1960 7 18 0 22 MICHAEL 58.3 322.5 164 148 +2003 1 6 0 8 ISAAC 57.6 298.1 57 891 +1981 1 21 0 24 MICHAEL 21.5 178.8 162 854 +2000 1 12 18 22 DEBBY 22.9 35.6 35 673 +1955 11 10 6 8 VALERIE 68.4 236.8 18 479 +1996 7 17 0 22 ISAAC 62.6 325.5 54 322 +1976 6 13 6 11 NADINE 57.8 126.3 113 823 +1963 11 3 12 28 BERYL 32.0 230.4 158 389 +1988 7 5 6 9 KIRK 47.4 163.5 159 741 +1963 12 14 18 15 DEBBY 10.1 90.7 117 227 +1950 2 16 18 12 KIRK 69.9 233.6 27 50 +1955 10 3 12 6 OSCAR 33.9 18.2 27 132 +1993 12 25 18 5 PATTY 38.0 56.8 136 80 +1996 9 4 12 10 ALBERTO 26.5 173.5 148 859 +1950 8 20 18 13 ISAAC 66.2 52.2 162 783 +1985 9 20 18 23 VALERIE 58.9 97.1 70 368 +1964 9 18 6 7 PATTY 55.2 241.4 112 131 +1983 6 23 6 10 DEBBY 68.8 318.9 143 226 +1953 12 6 6 19 BERYL 20.7 58.4 40 340 +1995 3 25 6 7 RAFAEL 47.6 100.2 12 417 +1978 4 10 18 11 PATTY 49.4 115.9 80 473 +1963 8 4 12 26 RAFAEL 13.2 302.9 27 218 +1963 11 24 6 17 FLORENCE 56.1 19.0 144 779 +1955 4 16 18 11 LESLIE 16.2 228.5 87 517 +1982 11 22 6 18 FLORENCE 20.1 145.5 160 569 +1950 10 5 0 9 HELENE 43.9 82.5 14 756 +1965 8 3 18 27 FLORENCE 43.8 86.7 69 209 +1962 12 20 12 6 GORDON 57.1 107.4 144 491 +1956 1 4 6 23 OSCAR 33.6 116.9 104 327 +1961 11 23 6 22 ALBERTO 19.3 326.2 95 224 +1960 10 10 0 10 ERNESTO 49.5 44.6 15 108 +1989 11 23 6 22 SANDY 13.3 318.7 41 343 +2002 8 3 0 10 CHRIS 28.9 336.7 12 93 +1951 8 23 6 3 FLORENCE 30.9 162.7 56 67 +1999 8 11 12 7 ISAAC 59.3 37.8 142 894 +1966 8 14 18 17 MICHAEL 49.8 171.1 114 705 +1965 11 4 0 15 HELENE 36.0 234.0 115 196 +1992 11 13 0 11 CHRIS 43.0 171.4 48 255 +1992 2 4 18 20 SANDY 19.7 81.6 54 119 +2002 10 22 6 27 FLORENCE 66.0 268.5 109 223 +1977 10 5 0 22 BERYL 46.6 214.6 142 521 +1976 9 4 18 1 LESLIE 69.2 21.4 133 304 +1981 6 7 18 11 JOYCE 65.1 136.9 126 563 +1977 12 18 6 10 VALERIE 64.0 335.0 140 710 +2000 3 13 0 19 DEBBY 31.8 243.1 158 447 +1999 3 8 0 25 GORDON 21.9 231.5 85 431 +2000 5 23 0 19 OSCAR 25.5 251.6 63 518 +1978 2 28 0 4 DEBBY 19.6 155.9 43 629 +1981 3 2 12 16 FLORENCE 62.9 215.2 137 722 +1998 1 8 6 24 OSCAR 25.8 101.2 129 788 +1969 7 6 12 1 DEBBY 27.5 221.0 58 496 +1970 6 11 18 22 JOYCE 42.9 86.4 17 808 +1997 8 23 6 26 OSCAR 62.8 283.6 23 227 +1996 4 22 0 17 TONY 57.9 350.8 95 696 +1952 2 12 18 27 DEBBY 7.8 102.7 122 283 +1983 2 22 6 5 TONY 47.8 60.6 31 701 +2003 12 6 6 27 GORDON 10.0 19.3 155 45 +1985 3 16 6 9 VALERIE 56.0 257.7 159 577 +1957 2 17 18 28 PATTY 7.7 183.2 41 573 +1965 10 6 12 22 ALBERTO 65.1 13.7 13 859 +1951 4 7 18 1 CHRIS 21.1 193.6 99 282 +1961 3 21 18 28 PATTY 21.7 229.0 53 550 +1993 8 24 12 3 FLORENCE 65.9 356.8 124 265 +2000 12 13 6 5 SANDY 13.5 274.6 43 431 +1990 10 15 18 4 FLORENCE 64.8 108.4 159 236 +1988 3 21 12 3 LESLIE 9.2 204.2 123 123 +1972 5 21 6 24 GORDON 60.6 243.3 29 524 +1992 3 19 18 27 LESLIE 25.5 263.7 47 136 +1974 5 5 0 8 FLORENCE 23.6 288.6 153 890 +1973 11 13 6 21 KIRK 7.2 61.3 113 573 +1963 12 13 6 23 LESLIE 41.2 8.3 116 30 +1962 1 3 12 21 HELENE 23.5 66.3 94 782 +1984 4 1 0 5 KIRK 9.9 24.4 54 894 +1969 10 16 12 24 DEBBY 39.9 12.5 55 572 +1990 5 13 0 25 RAFAEL 44.6 218.0 76 513 +1969 3 22 18 13 WILLIAM 41.1 189.1 89 682 +1956 6 9 6 9 ALBERTO 23.4 343.3 10 858 +1989 11 24 12 6 OSCAR 54.7 35.9 49 127 +1992 3 28 6 12 VALERIE 29.8 95.0 61 238 +2002 4 5 12 23 HELENE 67.7 35.7 127 410 +1996 1 16 0 21 PATTY 20.4 333.2 134 520 +1967 7 1 6 11 CHRIS 62.0 303.4 20 815 +1976 1 2 0 1 NADINE 33.9 236.6 72 898 +1952 1 10 12 3 SANDY 68.2 219.6 108 81 +1997 6 16 0 18 OSCAR 52.7 140.0 13 768 +1968 4 22 6 2 GORDON 43.7 133.6 52 788 +1987 11 18 0 21 TONY 53.7 269.7 49 682 +1973 1 3 6 26 LESLIE 46.3 51.0 150 428 +1991 9 11 6 10 RAFAEL 10.9 285.0 24 574 +1950 12 23 0 12 DEBBY 24.8 148.7 70 403 +1981 11 16 18 6 ALBERTO 64.8 143.8 11 242 +1996 5 9 12 8 VALERIE 41.7 345.5 102 452 +1978 10 13 18 8 PATTY 57.3 154.1 141 61 +1985 6 27 18 8 DEBBY 34.2 204.5 139 687 +1957 2 18 0 8 RAFAEL 26.1 47.5 46 80 +1959 10 1 18 12 SANDY 54.2 208.0 116 219 +1995 12 11 18 17 CHRIS 65.1 29.7 21 369 +1992 2 6 6 12 ALBERTO 9.3 37.9 50 529 +1980 6 4 0 12 FLORENCE 45.7 139.8 119 772 +1992 12 25 18 8 MICHAEL 9.3 180.4 90 325 +1959 3 16 18 9 GORDON 27.5 41.5 13 412 +1988 6 6 18 24 WILLIAM 36.9 77.8 97 432 +1996 2 28 6 11 VALERIE 28.1 344.0 14 334 +1958 4 12 12 3 DEBBY 60.4 84.3 78 57 +1987 8 27 18 23 JOYCE 47.1 331.2 75 562 +2001 7 19 18 28 RAFAEL 31.6 128.2 62 838 +1958 3 12 0 15 KIRK 25.7 301.2 151 22 +1953 7 21 6 9 FLORENCE 56.7 153.4 149 649 +1998 12 23 12 17 TONY 49.8 115.3 21 529 +1969 6 26 18 5 VALERIE 43.1 44.9 66 818 +1966 8 9 12 18 CHRIS 33.5 20.8 121 400 +1951 7 4 12 7 FLORENCE 52.9 298.8 63 388 +1964 12 4 0 18 DEBBY 38.6 188.9 51 127 +1983 5 16 0 18 NADINE 23.4 193.7 44 310 +1976 12 13 18 21 PATTY 32.5 166.9 99 575 +1956 3 6 0 14 FLORENCE 59.2 59.5 50 163 +1996 6 8 18 6 MICHAEL 65.2 223.0 77 690 +2002 8 13 12 26 ISAAC 51.5 121.4 99 174 +1986 3 20 6 22 TONY 10.7 90.4 67 251 +1971 1 21 18 15 LESLIE 18.7 74.5 39 824 +1974 10 20 18 8 ISAAC 36.4 200.6 16 521 +1988 12 11 18 20 OSCAR 12.2 162.7 133 156 +1980 7 11 6 17 BERYL 63.0 273.9 46 366 +1966 11 17 12 16 SANDY 24.8 288.0 38 142 +1955 8 16 6 23 MICHAEL 9.2 310.4 46 810 +1979 3 28 0 3 BERYL 59.0 44.5 37 61 +1998 4 26 12 6 SANDY 60.2 26.7 117 686 +1988 4 20 12 24 GORDON 56.5 121.5 18 281 +1951 12 3 12 3 FLORENCE 7.3 214.7 92 373 +1995 6 22 12 2 VALERIE 18.2 203.9 48 130 +1981 6 7 18 14 VALERIE 8.4 247.6 114 549 +1965 3 8 0 19 NADINE 27.0 236.0 10 585 +1987 9 12 6 13 OSCAR 18.4 273.3 134 106 +1985 6 4 0 1 DEBBY 61.6 124.8 76 686 +1998 10 8 18 16 WILLIAM 69.1 160.4 65 474 +1969 6 15 6 12 FLORENCE 37.4 49.4 162 427 +1955 10 12 0 15 WILLIAM 25.1 74.2 110 696 +1957 11 6 12 11 CHRIS 8.8 307.2 152 864 +1952 1 9 0 15 ISAAC 60.9 172.8 163 396 +1954 11 22 18 1 SANDY 54.8 78.7 31 263 +1970 7 23 12 6 CHRIS 25.6 208.5 82 873 +1982 11 9 12 27 CHRIS 38.5 172.1 109 734 +1988 5 16 12 10 BERYL 67.5 338.1 117 37 +1977 8 12 0 24 DEBBY 28.0 150.6 131 730 +2002 4 20 18 27 NADINE 27.2 45.8 45 412 +1959 5 11 12 17 HELENE 32.8 114.8 153 517 +1964 5 25 18 6 ERNESTO 36.8 40.2 24 259 +1982 4 28 18 4 OSCAR 16.2 199.7 115 691 +1975 3 22 0 25 JOYCE 26.9 53.5 123 893 +1952 6 16 0 14 ERNESTO 13.8 296.7 93 583 +2001 2 22 0 12 JOYCE 32.1 113.7 67 819 +1992 3 20 18 5 NADINE 32.6 85.0 100 633 +1951 8 20 0 6 JOYCE 55.7 103.3 31 528 +1992 10 26 0 26 SANDY 22.4 221.4 19 289 +1969 1 6 12 4 PATTY 34.3 30.7 86 326 +1959 5 13 0 18 RAFAEL 41.0 118.4 34 166 +1966 4 20 6 24 ISAAC 52.2 37.1 71 6 +1951 9 19 12 20 OSCAR 21.0 119.1 113 589 +1952 11 5 0 5 CHRIS 69.1 133.3 136 14 +1957 10 4 18 7 HELENE 40.3 349.2 78 26 +1980 8 9 18 13 SANDY 27.5 299.7 139 337 +1983 12 19 12 13 TONY 62.3 274.6 155 332 +1986 7 13 18 28 NADINE 46.8 280.7 95 858 +2001 2 15 6 18 SANDY 68.9 151.4 163 290 +1969 9 4 6 25 SANDY 65.1 352.6 98 885 +1988 5 16 18 3 HELENE 55.4 274.5 50 32 +1960 12 26 18 5 ERNESTO 13.1 224.6 45 464 +1984 6 13 6 4 ALBERTO 12.6 218.4 153 892 +2002 5 16 6 16 RAFAEL 27.5 234.7 126 858 +1995 10 2 18 22 VALERIE 44.0 123.4 58 78 +1979 1 24 6 3 RAFAEL 33.3 279.8 148 498 +2003 5 28 6 4 HELENE 66.6 326.8 21 853 +1996 6 27 12 2 NADINE 46.6 354.8 42 407 +1985 7 17 6 4 NADINE 60.7 305.0 160 371 +1981 9 10 18 10 BERYL 29.0 332.5 119 49 +1952 12 26 18 11 LESLIE 16.5 255.1 81 804 +1975 2 7 12 9 ISAAC 10.9 302.4 106 732 +1950 3 25 0 25 OSCAR 54.4 80.6 24 720 +1954 9 26 18 3 SANDY 20.7 131.0 147 583 +1987 8 11 12 6 ALBERTO 70.0 119.2 97 826 +1953 9 14 0 25 ERNESTO 19.7 91.0 146 397 +1981 1 11 18 18 TONY 41.8 284.5 25 893 +1953 7 28 12 14 WILLIAM 28.3 71.0 29 862 +1987 1 25 18 26 CHRIS 15.0 57.6 16 463 +1960 9 25 18 2 ALBERTO 54.0 283.5 113 764 +1971 8 5 0 17 OSCAR 57.7 303.3 88 497 +1995 5 7 12 19 SANDY 16.5 91.1 99 24 +1958 2 23 6 10 NADINE 33.1 297.6 10 321 +1994 7 27 6 15 DEBBY 15.3 299.1 142 60 +1985 8 6 18 14 ISAAC 55.8 217.4 151 420 +1973 8 24 0 14 ALBERTO 7.3 239.2 72 755 +1986 2 19 18 27 FLORENCE 37.5 108.1 150 439 +1963 2 28 6 24 HELENE 18.3 71.9 149 548 +1997 10 3 0 10 BERYL 14.3 263.2 32 553 +1965 10 16 18 2 HELENE 51.0 296.5 75 66 +1969 4 14 0 4 FLORENCE 56.9 220.1 93 363 +1992 10 27 0 3 GORDON 30.4 85.4 19 47 +2002 1 4 6 27 LESLIE 40.6 147.3 75 27 +1988 1 14 12 8 MICHAEL 65.3 105.2 141 580 +1956 8 8 12 1 OSCAR 56.2 297.6 93 783 +1952 12 16 0 12 OSCAR 33.4 121.2 70 731 +1982 9 20 12 9 JOYCE 20.5 327.3 134 372 +1984 10 24 0 23 TONY 59.7 100.1 75 97 +1984 12 9 6 25 JOYCE 67.0 286.4 45 215 +1971 11 17 18 4 DEBBY 26.2 248.4 55 360 +1957 2 20 18 5 BERYL 17.2 277.6 36 869 +1981 3 7 12 11 JOYCE 65.8 12.8 115 7 +1989 6 2 0 20 BERYL 65.5 117.5 109 845 +1991 2 3 18 27 MICHAEL 59.4 215.3 33 397 +1996 1 8 6 27 OSCAR 44.3 276.3 164 717 +1966 5 3 12 24 GORDON 56.5 208.0 148 626 +1988 5 20 12 4 LESLIE 64.5 189.9 143 525 +1960 10 10 12 16 LESLIE 11.9 225.9 48 212 +1957 4 2 6 6 GORDON 65.5 250.1 27 143 +1996 7 4 6 23 RAFAEL 50.5 118.9 137 737 +1975 2 3 18 20 GORDON 52.2 113.6 122 202 +1951 3 19 12 6 TONY 56.9 119.0 88 229 +1979 8 28 18 17 MICHAEL 18.4 173.7 24 61 +1984 10 23 12 11 LESLIE 16.1 56.2 20 399 +1978 12 27 12 21 VALERIE 26.2 284.7 108 430 +1955 11 17 0 3 KIRK 52.7 191.0 88 86 +1951 7 3 12 26 ISAAC 64.7 352.0 14 644 +1967 10 27 12 4 CHRIS 22.0 344.5 164 816 +1990 1 20 12 1 VALERIE 12.5 312.9 94 817 +2002 5 26 12 6 MICHAEL 11.9 106.5 140 359 +2000 12 23 6 17 ERNESTO 11.9 241.5 17 381 +1995 11 14 12 25 GORDON 21.0 60.6 152 37 +1989 12 11 18 16 MICHAEL 38.6 163.7 27 231 +1969 6 23 18 15 SANDY 12.6 116.7 23 298 +2003 4 18 18 4 MICHAEL 68.1 297.6 151 152 +1956 6 24 12 7 WILLIAM 60.8 356.8 100 591 +1956 2 5 12 12 TONY 20.7 328.8 28 150 +1980 2 26 12 13 VALERIE 23.6 197.4 34 251 +1985 8 5 6 21 WILLIAM 22.5 101.9 89 644 +1983 5 27 12 7 KIRK 67.4 37.2 27 721 +1952 10 21 12 12 LESLIE 58.8 301.7 137 1 +1978 3 18 12 23 SANDY 44.6 276.0 12 183 +1997 2 27 12 24 ALBERTO 28.8 239.9 95 736 +1967 12 13 6 10 RAFAEL 20.1 114.9 155 102 +1994 5 10 6 26 OSCAR 40.8 263.4 36 146 +1953 8 27 0 11 FLORENCE 13.0 232.6 130 705 +1970 12 24 18 16 WILLIAM 66.1 62.5 33 374 +1978 1 13 0 4 MICHAEL 33.0 17.0 163 651 +1963 4 3 12 6 JOYCE 25.9 201.0 25 824 +1987 7 25 18 14 TONY 43.7 83.0 150 140 +1967 6 23 18 4 WILLIAM 18.7 26.5 61 865 +1994 7 22 0 17 FLORENCE 35.9 145.7 40 156 +1952 1 11 12 19 JOYCE 63.8 352.1 118 561 +1951 4 23 6 9 LESLIE 53.5 305.6 12 80 +1984 5 20 0 10 DEBBY 65.9 305.9 94 353 +1976 5 12 18 21 ISAAC 49.5 13.4 106 479 +1997 9 3 0 9 CHRIS 39.3 92.5 162 12 +1960 11 4 6 7 RAFAEL 68.6 328.9 54 501 +1968 11 23 6 10 KIRK 14.1 153.3 119 817 +1996 10 3 18 22 PATTY 20.3 122.9 110 412 +1963 3 16 18 9 ALBERTO 38.3 328.9 129 211 +1955 8 6 6 7 VALERIE 53.9 247.0 36 333 +1999 3 25 0 5 MICHAEL 35.8 82.7 22 206 +2004 4 1 12 23 NADINE 60.2 222.0 129 155 +1957 8 23 6 25 GORDON 52.1 49.5 108 475 +1969 7 12 6 3 NADINE 21.3 6.0 71 248 +1998 11 15 18 26 LESLIE 30.4 95.7 13 126 +2004 8 1 6 14 RAFAEL 56.8 108.3 115 490 +1982 5 9 18 17 OSCAR 26.1 3.6 71 45 +1992 1 9 12 3 LESLIE 29.7 299.6 110 21 +1976 8 14 12 24 TONY 54.9 298.6 84 278 +1970 5 25 12 15 JOYCE 21.5 324.4 48 220 +1954 1 18 18 1 FLORENCE 12.8 162.8 104 457 +1955 7 23 6 8 DEBBY 36.2 164.0 96 752 +2000 4 8 6 27 ALBERTO 10.5 178.7 18 236 +1993 5 12 18 22 ERNESTO 12.1 38.0 74 637 +1983 3 4 18 11 TONY 62.7 238.2 66 96 +1954 8 11 12 11 SANDY 19.8 323.8 99 740 +1985 12 18 0 15 KIRK 16.4 326.0 77 467 +1962 11 1 18 18 OSCAR 32.3 96.1 98 640 +1972 6 4 18 16 ALBERTO 12.8 62.5 20 228 +1951 12 4 0 1 VALERIE 22.1 207.0 96 269 +2001 12 18 6 13 ALBERTO 32.2 241.2 126 649 +1986 8 19 6 4 KIRK 16.5 339.8 47 55 +1964 12 4 6 17 NADINE 58.7 50.8 142 430 +1977 2 16 12 14 NADINE 65.8 257.5 104 29 +1980 3 17 0 3 SANDY 22.6 231.6 79 863 +1992 5 7 0 6 KIRK 45.1 259.2 31 672 +1971 7 18 6 13 OSCAR 62.8 173.3 130 259 +1961 2 12 6 1 LESLIE 51.6 157.1 102 106 +1967 10 21 18 3 NADINE 52.3 80.5 56 614 +2004 3 4 0 6 TONY 56.7 73.2 131 311 +2003 9 7 6 11 ALBERTO 50.8 215.2 83 280 +1972 9 11 6 2 JOYCE 67.6 53.1 161 390 +1958 4 2 18 15 FLORENCE 12.6 303.8 103 659 +2004 5 14 18 21 NADINE 49.6 30.9 102 455 +1955 5 24 6 28 WILLIAM 44.0 207.0 75 145 +1993 11 21 12 8 TONY 7.4 202.9 45 632 +1964 8 20 12 25 BERYL 10.6 22.0 76 784 +1997 1 17 0 5 KIRK 26.2 126.1 34 466 +1983 1 4 6 3 FLORENCE 58.6 216.8 41 566 +1989 12 8 12 5 KIRK 62.1 287.0 35 469 +1954 8 28 12 17 JOYCE 46.8 299.6 23 457 +1971 10 25 0 19 GORDON 44.6 36.9 54 780 +1973 6 23 18 25 CHRIS 27.3 330.2 16 19 +1989 7 6 6 6 VALERIE 25.9 229.7 16 655 +1968 10 16 18 4 ISAAC 33.7 120.2 105 214 +1983 5 27 0 25 WILLIAM 12.5 120.9 29 323 +1958 6 7 12 21 GORDON 53.7 183.6 62 818 +1995 4 16 18 10 BERYL 33.7 173.3 91 231 +1950 6 6 18 22 SANDY 28.6 96.2 93 514 +1965 6 22 12 3 CHRIS 31.9 29.3 162 108 +1997 6 8 6 10 BERYL 14.5 173.9 21 412 +1950 10 19 6 27 SANDY 15.4 256.9 37 886 +1958 8 14 0 27 JOYCE 41.3 69.8 89 727 +1987 4 6 0 14 ISAAC 7.5 84.0 135 711 +1995 5 21 12 6 OSCAR 34.1 277.9 70 750 +1970 12 19 18 28 VALERIE 57.7 159.2 141 733 +1986 3 2 0 11 WILLIAM 60.6 279.9 19 788 +2001 3 27 18 28 CHRIS 69.7 158.7 112 560 +1950 8 6 18 4 MICHAEL 10.2 299.6 133 795 +1997 7 4 0 26 ALBERTO 24.1 321.8 10 598 +1955 6 5 6 1 DEBBY 62.8 350.1 106 168 +1971 9 28 6 7 KIRK 40.9 276.9 83 293 +1969 6 27 18 21 DEBBY 39.8 85.1 137 396 +1979 8 21 18 10 LESLIE 60.4 176.0 48 259 +1975 4 4 0 28 ALBERTO 68.3 26.2 134 8 +1980 10 18 12 20 NADINE 39.8 76.5 144 31 +1977 6 22 0 4 WILLIAM 61.5 297.4 116 798 +1978 7 7 12 8 GORDON 64.8 80.7 43 153 +1980 8 12 12 7 GORDON 41.8 27.7 154 805 +1968 7 26 12 10 WILLIAM 56.2 284.0 102 799 +1994 4 14 6 24 OSCAR 68.7 172.3 131 170 +1988 7 25 18 6 ISAAC 53.2 25.0 69 277 +1992 11 13 18 17 BERYL 33.3 101.0 51 52 +1994 5 12 6 10 OSCAR 48.8 336.3 123 695 +1980 4 20 6 26 CHRIS 19.9 260.1 54 223 +1954 10 24 0 9 MICHAEL 58.7 14.9 95 510 +1982 2 2 6 9 SANDY 52.4 106.6 138 881 +1954 11 26 18 5 HELENE 33.6 215.1 50 681 +1957 9 2 12 20 PATTY 17.5 132.4 141 36 +1991 1 3 12 9 ALBERTO 64.1 234.2 40 612 +1978 7 13 6 16 LESLIE 21.2 318.9 133 791 +1950 3 18 12 25 BERYL 14.2 268.0 139 662 +1967 8 28 18 28 ERNESTO 23.2 107.6 28 264 +1960 3 21 6 18 TONY 50.9 190.0 75 165 +1960 2 8 6 16 HELENE 36.4 250.1 33 389 +1978 7 13 12 27 CHRIS 55.6 332.2 122 256 +1977 7 25 18 5 DEBBY 64.7 144.7 62 459 +1961 9 26 12 15 ALBERTO 59.2 47.5 98 288 +1994 8 16 18 2 JOYCE 27.6 108.4 67 855 +1972 3 28 6 7 DEBBY 20.8 14.8 105 22 +1977 12 18 18 16 FLORENCE 41.5 103.4 114 382 +1984 11 22 6 2 DEBBY 45.9 80.2 60 92 +2000 1 15 18 15 DEBBY 40.2 248.7 125 725 +1973 11 14 6 21 ISAAC 14.1 14.8 137 491 +1993 6 3 18 26 WILLIAM 8.6 74.3 43 349 +2004 6 10 12 21 OSCAR 37.5 226.3 129 130 +1979 3 3 0 12 TONY 65.0 76.7 89 701 +1980 12 23 12 6 GORDON 52.5 343.2 71 467 +1977 5 21 12 18 HELENE 32.4 210.2 59 487 +1980 5 5 12 7 ALBERTO 35.7 220.8 158 19 +1952 5 14 18 5 ISAAC 69.5 341.2 15 66 +1953 9 3 6 8 ERNESTO 27.2 312.5 31 888 +1975 9 23 12 11 OSCAR 17.5 272.3 113 727 +1976 9 13 6 24 WILLIAM 52.1 202.8 82 543 +1996 11 18 6 27 MICHAEL 49.9 293.1 149 251 +1953 4 6 0 23 VALERIE 40.2 337.9 124 178 +1987 11 8 18 1 NADINE 59.7 188.0 146 809 +1975 3 4 6 27 KIRK 64.7 177.6 17 725 +1972 1 16 18 24 MICHAEL 53.9 341.3 149 581 +1980 5 1 18 12 ALBERTO 15.8 228.3 90 454 +1999 11 15 0 28 JOYCE 43.8 186.3 143 798 +1953 1 1 0 21 LESLIE 26.8 334.7 62 669 +1984 2 26 18 2 DEBBY 68.4 159.1 100 772 +1964 12 9 0 9 JOYCE 69.3 56.2 30 515 +1958 11 9 18 1 OSCAR 8.1 11.1 28 608 +2001 5 7 12 21 SANDY 17.0 192.1 154 588 +2004 10 17 18 9 MICHAEL 32.6 151.1 51 247 +1969 1 7 18 7 DEBBY 34.0 212.7 57 638 +1995 9 21 18 19 JOYCE 48.6 29.3 160 823 +1976 10 25 0 5 GORDON 41.8 105.5 154 299 +1972 1 24 6 16 OSCAR 61.3 42.6 77 271 +1963 5 11 6 21 TONY 24.3 309.5 15 391 +1976 1 1 12 11 PATTY 49.3 285.5 153 840 +1962 2 23 12 9 BERYL 53.0 2.0 82 648 +2002 4 23 0 17 KIRK 42.5 128.7 80 10 +1996 3 8 12 20 BERYL 23.0 154.6 81 194 +1993 8 8 6 20 ISAAC 55.3 281.6 75 825 +1988 8 19 18 8 LESLIE 44.7 258.7 42 247 +1982 11 21 18 4 ISAAC 13.0 68.9 41 73 +2004 8 18 18 11 CHRIS 19.3 24.8 105 76 +1962 2 17 12 14 LESLIE 24.8 127.2 72 813 +1965 11 18 12 12 TONY 10.0 256.4 21 304 +1978 6 15 6 21 NADINE 44.5 308.6 20 613 +2004 10 1 18 4 JOYCE 53.6 112.2 133 7 +1993 9 17 12 1 RAFAEL 20.0 193.3 134 267 +1957 1 2 18 23 OSCAR 39.8 47.3 132 322 +1973 11 6 12 5 FLORENCE 55.4 338.2 111 218 +1958 7 20 12 4 CHRIS 17.3 73.5 151 259 +1968 12 12 0 21 FLORENCE 34.0 94.7 90 512 +1989 11 25 18 1 PATTY 16.8 47.4 45 240 +1957 5 16 18 21 PATTY 26.9 192.8 16 340 +1996 4 7 18 15 OSCAR 23.7 286.4 79 838 +1986 12 27 0 4 TONY 31.9 127.7 144 525 +1954 7 3 6 28 WILLIAM 44.4 292.5 145 296 +1958 5 20 6 25 GORDON 59.2 352.9 21 823 +1957 5 13 0 25 VALERIE 24.5 37.4 99 709 +1981 8 20 12 24 VALERIE 16.2 63.0 146 289 +1973 3 5 0 1 VALERIE 7.7 34.8 47 549 +1951 3 11 6 15 GORDON 30.4 300.8 89 855 +1962 1 2 0 11 FLORENCE 62.6 309.8 41 447 +1991 4 24 12 24 FLORENCE 48.0 213.3 17 799 +1973 10 3 18 8 FLORENCE 68.6 91.4 141 549 +1958 4 17 0 26 KIRK 15.8 204.4 37 133 +1986 6 20 12 20 FLORENCE 56.7 82.5 132 831 +1968 9 14 12 2 NADINE 22.8 127.9 139 194 +2004 2 6 0 1 HELENE 12.1 214.8 85 803 +1995 11 2 0 19 WILLIAM 10.3 15.4 85 108 +1976 3 7 6 19 FLORENCE 37.8 271.7 42 177 +1970 3 16 0 12 DEBBY 57.9 187.5 22 115 +1984 1 5 6 10 DEBBY 56.8 107.4 115 659 +1954 6 1 6 15 ISAAC 35.9 51.6 60 271 +1964 6 23 6 22 RAFAEL 44.9 168.5 146 711 +1976 11 21 6 7 LESLIE 60.1 100.3 55 760 +1979 6 15 6 23 TONY 20.6 27.1 77 594 +1995 2 15 18 6 SANDY 29.3 3.9 100 255 +1960 11 18 18 28 NADINE 56.1 74.4 93 755 +1993 6 23 0 21 BERYL 41.1 293.4 99 733 +1999 4 23 6 15 RAFAEL 17.1 74.2 74 105 +2004 10 7 6 24 OSCAR 23.0 195.8 10 221 +1959 5 11 0 22 LESLIE 7.7 130.4 111 676 +1997 11 28 12 28 TONY 17.3 341.8 77 334 +1973 11 13 12 22 BERYL 21.8 157.6 44 700 +1960 9 17 6 12 DEBBY 30.4 240.2 93 475 +1969 9 5 12 7 OSCAR 65.0 178.6 45 821 +1991 5 12 12 18 RAFAEL 49.6 240.8 43 49 +1994 5 21 6 26 PATTY 14.7 28.5 89 720 +1987 4 28 18 17 GORDON 40.0 158.3 81 357 +1984 1 2 0 24 TONY 13.0 285.7 12 69 +1998 7 26 6 11 KIRK 32.5 241.6 33 87 +1963 1 7 0 25 HELENE 48.6 345.9 48 612 +1970 9 22 12 27 JOYCE 32.7 126.4 141 271 +2004 9 5 18 26 ISAAC 59.4 334.2 45 37 +1967 8 24 12 27 CHRIS 63.8 116.3 137 0 +1978 11 22 18 15 HELENE 58.1 181.6 122 694 +1962 2 8 12 11 MICHAEL 11.0 271.8 76 855 +1988 2 9 18 18 ALBERTO 49.6 327.6 114 868 +2002 1 16 12 27 NADINE 45.4 26.6 96 404 +1960 7 25 12 18 ISAAC 30.6 307.7 117 352 +1983 9 16 18 2 SANDY 37.3 187.0 35 305 +1956 1 10 12 4 PATTY 42.7 133.8 60 192 +1970 5 4 18 15 FLORENCE 20.7 219.1 139 290 +1978 6 25 18 20 CHRIS 68.1 292.6 11 651 +2004 4 13 6 7 OSCAR 49.6 118.1 66 808 +1981 6 21 12 5 NADINE 35.9 84.5 138 283 +1958 6 19 0 28 BERYL 25.9 339.0 21 517 +1991 2 24 6 7 DEBBY 14.5 159.8 132 671 +1952 12 26 0 12 GORDON 68.0 283.9 98 667 +2004 5 26 0 21 RAFAEL 69.6 339.0 112 120 +1954 2 5 6 20 RAFAEL 64.6 52.8 19 553 +1950 3 2 18 9 GORDON 55.4 354.4 16 647 +1998 9 11 12 10 FLORENCE 29.1 265.2 103 674 +1983 7 2 0 5 SANDY 32.3 353.1 142 787 +2000 11 6 18 22 BERYL 23.4 304.1 45 137 +1952 11 21 12 22 GORDON 48.7 55.0 58 7 +1980 9 12 6 8 GORDON 38.3 227.9 69 118 +1997 3 5 12 20 OSCAR 20.4 7.7 145 386 +1958 9 10 6 23 BERYL 44.8 291.5 85 12 +1957 12 6 0 26 TONY 50.9 59.1 28 638 +1976 7 5 18 11 LESLIE 13.2 261.7 81 313 +2004 6 15 6 22 CHRIS 44.1 255.3 58 260 +1982 3 25 18 10 PATTY 45.9 157.8 121 375 +2001 9 11 0 17 BERYL 57.7 276.2 80 737 +1964 11 2 18 14 PATTY 58.4 251.4 114 412 +1971 6 4 0 27 VALERIE 56.5 288.5 10 66 +2004 11 23 0 15 NADINE 30.4 184.6 91 315 +1994 1 4 18 13 ALBERTO 16.2 210.0 29 518 +1963 7 23 18 21 DEBBY 34.4 155.0 131 110 +1987 7 25 12 6 JOYCE 9.3 97.6 104 326 +1950 4 2 18 23 TONY 29.8 308.0 40 687 +1962 1 13 12 14 BERYL 32.7 307.9 50 528 +1951 9 14 12 1 OSCAR 38.5 59.5 48 561 +1989 10 5 12 22 GORDON 15.9 117.1 103 184 +1982 2 6 12 18 MICHAEL 15.2 282.5 159 701 +1973 2 14 0 24 FLORENCE 69.2 264.5 102 95 +1996 12 12 0 2 VALERIE 17.0 102.1 34 831 +1987 2 19 18 6 JOYCE 48.2 2.5 19 260 +2000 8 19 0 2 OSCAR 43.3 118.2 129 787 +2001 1 8 6 7 FLORENCE 22.6 341.5 22 289 +1956 2 12 12 24 ERNESTO 65.3 152.2 36 266 +2001 9 25 12 4 ERNESTO 18.8 11.4 108 326 +1966 4 28 18 3 DEBBY 13.5 200.8 15 225 +1965 12 19 0 9 HELENE 14.1 33.8 26 674 +1991 9 25 12 19 JOYCE 15.7 315.4 53 817 +2004 9 21 12 16 BERYL 11.4 253.5 121 317 +1979 11 22 18 14 MICHAEL 28.7 256.2 24 470 +1965 11 21 6 2 RAFAEL 48.5 43.7 78 756 +2004 3 17 12 4 WILLIAM 15.9 136.3 30 652 +1965 6 13 6 24 ALBERTO 25.5 146.8 161 721 +1980 5 16 6 4 NADINE 28.7 103.6 121 796 +1998 10 25 0 27 HELENE 50.9 82.8 115 247 +2003 1 14 12 25 BERYL 27.8 40.9 152 415 +1972 1 15 12 19 JOYCE 57.0 117.6 48 511 +1999 2 7 12 23 ERNESTO 48.7 269.4 146 643 +1956 12 9 12 7 RAFAEL 60.4 225.0 101 290 +1983 2 23 0 21 KIRK 17.1 205.4 24 207 +1964 6 12 18 9 TONY 69.4 9.6 14 643 +1989 6 28 6 13 RAFAEL 66.0 52.3 99 698 +1958 9 17 12 22 RAFAEL 37.0 333.1 54 469 +1968 8 12 6 15 BERYL 42.3 206.3 27 425 +1974 6 10 12 8 SANDY 32.5 351.7 133 135 +1956 5 1 12 6 LESLIE 21.0 246.3 86 142 +2004 7 3 18 7 RAFAEL 42.1 260.3 58 443 +1956 6 17 0 23 RAFAEL 51.7 195.2 140 293 +1974 5 27 0 28 PATTY 66.3 136.4 112 64 +1977 9 4 0 2 RAFAEL 59.2 355.5 118 683 +1965 8 23 12 27 ALBERTO 16.7 226.0 40 853 +1999 3 8 0 19 TONY 18.0 130.2 38 97 +1981 8 2 6 24 LESLIE 69.6 46.9 82 39 +1988 9 27 18 2 ERNESTO 48.4 93.5 24 390 +1952 8 17 18 24 ALBERTO 63.5 228.2 52 737 +1979 1 28 0 3 HELENE 59.8 133.0 48 237 +1981 5 21 12 13 KIRK 58.0 119.9 23 518 +1999 5 1 18 15 DEBBY 52.2 316.1 101 606 +1960 7 27 0 9 RAFAEL 42.7 163.4 48 449 +1950 11 25 0 8 LESLIE 23.6 239.3 132 306 +2003 4 21 18 8 ERNESTO 49.2 2.7 54 842 +1990 5 18 6 19 WILLIAM 68.1 20.6 21 435 +1994 8 4 12 15 ALBERTO 47.8 228.8 56 163 +1989 10 11 12 18 CHRIS 62.2 148.2 161 312 +2002 10 25 0 5 ALBERTO 11.4 276.8 91 34 +1977 6 28 0 6 SANDY 43.7 338.4 27 597 +1957 4 16 12 9 MICHAEL 16.4 51.5 74 536 +1953 8 8 0 3 DEBBY 52.1 99.1 94 26 +1994 7 5 0 14 WILLIAM 10.1 145.2 156 347 +1954 2 12 12 21 DEBBY 47.1 123.7 14 513 +1975 7 28 12 28 PATTY 61.7 158.7 143 613 +1994 11 7 18 8 WILLIAM 53.9 282.8 43 419 +1986 12 20 6 13 ERNESTO 64.1 298.0 50 638 +1979 2 18 12 27 MICHAEL 49.6 237.4 77 10 +1997 10 21 6 1 KIRK 28.0 104.9 155 500 +1996 10 19 12 23 KIRK 22.6 142.9 75 579 +1968 7 23 12 1 JOYCE 59.0 224.8 62 562 +1981 4 1 12 16 RAFAEL 58.6 262.5 96 438 +1994 1 3 6 11 ERNESTO 24.1 10.2 117 796 +1966 5 23 0 3 WILLIAM 46.8 271.9 103 440 +1960 11 8 6 4 GORDON 18.0 241.0 19 354 +1990 6 4 18 4 VALERIE 58.7 199.0 68 401 +1997 9 2 6 12 ALBERTO 54.9 232.2 45 378 +1996 2 15 0 12 OSCAR 11.9 48.1 39 491 +1981 3 1 18 17 TONY 37.6 273.8 58 658 +1950 12 15 0 5 ALBERTO 13.5 218.1 16 405 +1996 5 13 18 26 GORDON 24.4 17.4 30 85 +1993 1 6 0 19 KIRK 46.5 162.8 127 226 +1977 4 20 18 12 OSCAR 54.8 141.4 99 130 +1969 2 21 6 1 WILLIAM 62.3 291.0 50 311 +1993 1 4 0 2 DEBBY 35.4 70.5 34 574 +1979 8 26 0 22 SANDY 33.4 56.5 113 144 +1957 10 3 0 6 FLORENCE 29.4 178.2 49 882 +1991 6 26 0 12 JOYCE 65.1 171.5 64 296 +1967 6 10 18 12 FLORENCE 19.5 348.1 75 75 +1952 10 7 12 12 NADINE 54.7 264.5 38 770 +1976 8 9 18 23 DEBBY 39.5 164.0 78 571 +1981 12 11 18 21 TONY 20.3 140.7 126 449 +1981 9 23 0 2 FLORENCE 54.0 64.9 123 588 +1978 5 18 6 26 SANDY 49.9 131.7 93 744 +1954 6 15 6 22 MICHAEL 41.1 258.7 120 679 +1962 6 21 18 12 BERYL 54.0 268.5 159 387 +1967 12 3 6 7 ERNESTO 40.0 46.8 81 27 +1973 7 3 18 5 ISAAC 40.2 142.8 161 238 +1977 9 24 12 10 VALERIE 51.3 193.5 64 515 +1962 10 10 18 1 JOYCE 42.8 339.1 30 555 +1955 3 25 6 17 TONY 42.2 209.7 127 471 +1983 1 19 0 17 DEBBY 33.1 305.6 41 647 +1964 7 5 12 8 BERYL 11.4 146.1 81 718 +1989 11 28 0 25 ALBERTO 35.2 334.0 76 569 +1985 1 19 12 14 SANDY 32.2 205.1 158 156 +1974 12 19 18 2 MICHAEL 52.1 69.4 53 847 +2001 1 8 0 27 DEBBY 38.2 173.8 72 352 +1952 10 5 18 8 CHRIS 54.5 236.1 132 655 +1988 11 17 18 28 ERNESTO 25.4 71.6 80 791 +1986 12 26 0 16 OSCAR 57.0 184.2 38 105 +1991 6 15 6 4 FLORENCE 37.6 270.2 78 895 +1972 7 13 18 21 LESLIE 55.0 41.2 132 620 +1997 6 14 18 15 RAFAEL 13.5 22.0 149 651 +1975 1 27 6 10 ALBERTO 35.1 213.7 26 239 +1977 11 13 18 23 HELENE 56.1 285.8 85 61 +1960 4 4 18 11 TONY 35.2 48.0 162 773 +1954 9 5 6 26 FLORENCE 46.0 171.6 40 639 +1970 11 3 12 16 SANDY 56.6 140.6 27 811 +1958 9 27 6 25 NADINE 34.6 215.4 77 8 +1992 10 5 0 3 CHRIS 50.4 91.9 62 661 +1951 4 13 18 27 FLORENCE 12.7 206.6 25 482 +2003 9 22 18 8 ALBERTO 12.8 26.6 111 460 +1972 10 7 0 4 ISAAC 45.9 96.2 18 306 +1995 1 3 6 6 NADINE 9.0 188.1 21 303 +1962 1 17 0 13 JOYCE 60.3 75.8 24 374 +1997 2 13 0 16 ALBERTO 67.8 157.5 114 430 +2002 1 28 6 14 JOYCE 54.5 60.2 68 101 +1990 12 13 12 3 FLORENCE 27.7 10.6 45 373 +1983 6 12 18 16 PATTY 42.8 309.2 93 820 +1962 7 28 6 16 JOYCE 7.7 230.7 69 68 +1989 9 7 6 21 ALBERTO 15.1 98.6 96 181 +1980 3 2 6 4 MICHAEL 32.3 186.6 91 85 +1983 5 21 6 28 WILLIAM 39.9 293.4 87 133 +1979 4 28 12 26 CHRIS 31.5 49.4 90 422 +1998 10 28 12 27 NADINE 35.9 221.5 121 461 +1971 5 21 6 25 NADINE 28.2 13.5 59 36 +1960 10 24 6 6 RAFAEL 51.3 95.4 15 257 +1991 8 8 6 7 RAFAEL 41.4 33.0 123 702 +1959 7 11 12 25 ISAAC 65.7 293.6 140 891 +1953 5 13 0 24 VALERIE 58.7 139.1 40 173 +1970 8 9 6 18 SANDY 18.7 113.5 77 633 +1987 7 17 0 17 KIRK 30.0 352.6 50 291 +1990 3 3 12 27 OSCAR 64.5 81.0 163 727 +1986 2 24 6 16 FLORENCE 18.6 214.9 85 824 +1956 2 2 12 13 GORDON 8.3 281.1 57 410 +1971 11 20 18 19 ISAAC 26.2 32.5 163 734 +1985 6 9 6 17 TONY 32.5 317.3 158 291 +1998 3 24 18 7 WILLIAM 16.2 130.5 125 55 +1962 3 6 0 17 SANDY 35.6 41.7 18 333 +1969 8 5 18 18 OSCAR 68.5 31.9 139 585 +1979 3 22 0 16 SANDY 45.3 123.8 52 855 +1959 11 8 12 1 KIRK 30.6 138.9 104 757 +1980 11 28 0 21 TONY 41.8 92.9 131 77 +1981 5 19 18 5 KIRK 20.9 341.4 38 453 +1994 7 11 12 19 LESLIE 53.2 141.1 57 763 +2004 9 20 6 12 HELENE 46.5 154.3 126 624 +1994 1 6 0 2 OSCAR 33.7 295.6 124 765 +1985 11 28 6 14 WILLIAM 51.6 128.5 26 361 +1987 10 25 0 3 WILLIAM 63.9 22.1 43 47 +1994 5 24 6 25 CHRIS 9.1 74.4 28 614 +1987 4 27 12 12 WILLIAM 63.3 135.5 138 31 +1981 12 22 18 6 NADINE 65.0 349.8 58 379 +2000 1 25 0 7 LESLIE 33.3 48.4 129 897 +1979 12 9 18 23 RAFAEL 8.1 66.7 24 632 +2000 1 9 6 23 CHRIS 52.3 266.0 77 479 +1980 6 18 12 7 PATTY 40.6 182.1 38 890 +1954 2 2 0 14 SANDY 68.6 160.6 159 898 +1954 10 2 18 11 VALERIE 59.2 227.6 104 776 +1975 4 5 12 11 ALBERTO 8.6 6.5 157 737 +1991 6 14 12 15 SANDY 11.8 25.5 30 178 +1950 7 21 18 4 GORDON 65.0 294.6 73 595 +1961 1 20 12 12 LESLIE 16.6 346.7 82 16 +1951 8 9 12 6 ISAAC 30.6 260.1 124 189 +1996 9 11 12 24 MICHAEL 44.6 350.4 160 873 +1990 5 11 18 18 CHRIS 58.3 78.5 46 553 +1974 8 5 6 13 TONY 42.8 18.6 97 765 +1961 3 28 18 12 PATTY 18.6 55.1 118 500 +1953 12 1 0 20 JOYCE 43.3 155.8 158 377 +1964 2 20 6 10 DEBBY 42.8 318.9 49 329 +1991 3 2 18 18 TONY 59.5 181.5 79 416 +1987 11 27 18 16 PATTY 60.4 158.2 132 95 +1999 3 18 12 28 ISAAC 42.8 252.0 10 263 +2003 12 16 18 3 KIRK 39.5 338.7 126 273 +2003 2 5 0 26 OSCAR 19.4 205.9 146 361 +2002 9 18 12 22 VALERIE 47.9 5.0 115 626 +1977 3 19 18 17 ERNESTO 57.1 35.6 156 397 +1999 7 4 6 4 RAFAEL 30.9 131.3 25 236 +1955 1 25 18 22 TONY 25.6 79.6 57 665 +1979 10 17 12 15 VALERIE 10.7 118.0 144 425 +1966 3 26 6 18 ALBERTO 21.3 57.3 13 776 +1988 11 19 12 27 WILLIAM 13.2 71.2 28 255 +2004 11 18 0 17 ALBERTO 47.1 84.9 124 296 +1962 1 6 6 18 GORDON 69.4 139.6 55 755 +1951 12 5 0 4 TONY 41.8 17.6 47 37 +1981 11 8 18 13 WILLIAM 52.7 341.2 54 51 +1985 9 18 0 17 RAFAEL 30.6 284.4 84 423 +1990 1 22 6 25 ALBERTO 52.1 203.1 138 301 +1963 1 16 6 18 WILLIAM 23.0 357.3 29 335 +1957 6 24 12 23 DEBBY 37.4 186.0 121 168 +1974 11 11 12 25 MICHAEL 16.6 57.9 88 677 +1996 2 7 6 15 ALBERTO 8.1 189.2 127 611 +1952 9 10 0 16 KIRK 23.8 273.9 41 599 +1996 8 12 6 16 NADINE 20.0 3.0 157 463 +1961 7 12 0 15 ERNESTO 57.7 38.1 122 314 +1959 8 20 0 22 JOYCE 16.5 14.9 71 113 +1963 3 11 6 27 CHRIS 54.9 26.9 20 345 +1991 6 8 0 7 JOYCE 53.8 81.5 14 830 +1953 7 14 12 26 JOYCE 22.7 205.8 161 805 +1984 11 8 18 19 FLORENCE 69.1 332.5 131 24 +1982 8 16 18 22 FLORENCE 64.8 267.8 102 866 +1988 7 24 0 28 OSCAR 25.6 284.1 105 50 +1980 1 8 0 5 OSCAR 26.6 281.2 107 496 +1957 11 10 18 14 SANDY 53.2 186.3 60 421 +1972 8 2 18 9 SANDY 57.3 65.5 106 665 +1960 11 12 0 19 PATTY 64.6 322.7 30 16 +1958 3 11 6 8 WILLIAM 16.1 145.5 63 571 +1978 4 2 12 28 GORDON 42.6 174.1 150 498 +1989 1 16 0 15 FLORENCE 39.9 59.8 96 56 +1992 5 4 12 9 KIRK 15.8 117.1 42 155 +1989 11 4 6 5 ALBERTO 9.2 207.5 21 646 +1955 8 16 18 3 KIRK 14.1 193.4 124 14 +1989 9 12 12 3 HELENE 47.7 155.8 71 790 +1992 10 25 18 1 JOYCE 9.1 291.5 137 721 +1957 1 18 12 28 ERNESTO 58.6 136.1 93 18 +1978 3 3 0 19 WILLIAM 45.8 68.4 50 580 +1961 11 3 6 19 LESLIE 27.0 195.1 132 50 +1950 11 1 0 15 CHRIS 17.1 327.4 21 677 +1979 9 24 18 16 FLORENCE 41.8 180.0 37 692 +1967 6 28 0 22 NADINE 21.0 171.1 62 325 +1966 5 15 18 17 PATTY 63.9 147.0 11 646 +2000 12 25 18 1 BERYL 50.4 184.9 35 498 +1962 4 24 18 27 PATTY 63.0 105.7 108 701 +1986 11 2 6 11 KIRK 67.1 239.0 53 764 +1982 6 10 18 1 DEBBY 9.4 12.8 139 408 +1955 4 28 12 26 VALERIE 28.8 54.9 44 44 +1954 12 24 12 7 PATTY 59.0 159.8 127 89 +1951 2 2 6 14 JOYCE 46.2 7.2 122 884 +1962 2 20 18 24 WILLIAM 40.7 323.9 156 768 +2001 12 10 6 28 RAFAEL 37.6 242.5 56 635 +1954 2 7 0 22 ERNESTO 63.9 277.3 58 840 +1971 11 19 18 22 CHRIS 67.9 17.8 35 448 +1989 9 8 18 9 PATTY 56.7 123.9 60 673 +1966 2 12 18 13 PATTY 68.7 299.5 47 792 +1997 2 18 0 19 LESLIE 13.3 332.4 163 290 +1950 7 28 12 8 ALBERTO 66.4 158.0 81 173 +1967 8 16 18 2 OSCAR 62.0 267.5 23 769 +1957 11 13 12 7 PATTY 63.3 37.3 71 533 +1953 6 23 18 21 MICHAEL 63.0 177.7 158 394 +1974 8 16 0 5 GORDON 39.1 259.0 59 808 +1995 11 1 18 3 MICHAEL 32.3 234.5 135 620 +2002 2 21 6 22 FLORENCE 30.3 155.1 77 680 +2003 9 1 6 7 GORDON 46.6 202.4 102 746 +1992 12 16 6 14 DEBBY 53.5 204.0 120 690 +1996 11 9 6 18 ALBERTO 33.6 82.8 46 382 +2003 7 23 0 25 JOYCE 56.3 330.6 115 569 +1972 4 3 18 18 ISAAC 66.7 343.8 124 345 +1964 7 26 6 12 DEBBY 57.7 339.3 85 593 +1951 2 7 0 12 JOYCE 53.4 0.6 164 827 +1970 10 15 0 28 KIRK 45.3 337.8 96 876 +1975 9 12 18 3 PATTY 15.2 340.5 88 507 +1967 4 18 6 12 KIRK 22.6 71.1 136 802 +1982 11 7 18 10 NADINE 59.0 137.7 125 734 +1962 1 1 6 8 JOYCE 62.4 43.3 162 741 +1973 12 6 12 19 SANDY 66.5 55.7 33 346 +1980 7 11 6 14 RAFAEL 22.7 189.5 68 720 +1999 2 22 12 24 SANDY 67.8 109.3 30 237 +1969 11 22 0 25 WILLIAM 17.8 104.5 116 676 +1979 3 12 18 10 OSCAR 69.3 297.3 13 896 +1994 9 20 18 6 HELENE 35.8 329.4 74 383 +1992 5 6 0 2 JOYCE 34.7 77.0 59 559 +1968 2 14 18 18 WILLIAM 63.0 38.7 18 75 +1994 3 16 18 12 ERNESTO 52.2 309.0 37 45 +1962 6 25 18 9 MICHAEL 44.8 157.3 23 541 +1956 3 16 0 26 PATTY 28.1 225.0 158 830 +1987 9 20 0 2 LESLIE 46.4 153.9 128 237 +1980 9 20 0 26 PATTY 69.8 35.1 119 889 +1985 8 28 18 15 TONY 61.0 32.0 11 418 +1992 4 21 6 18 ISAAC 68.2 324.8 148 379 +1958 8 27 12 20 FLORENCE 10.9 184.3 159 580 +1969 4 15 0 20 HELENE 21.9 64.1 56 341 +2004 11 4 6 25 CHRIS 35.6 290.8 70 559 +1953 6 16 18 8 MICHAEL 11.9 279.3 58 312 +1961 1 21 18 7 RAFAEL 55.3 89.9 38 7 +1985 9 19 12 20 FLORENCE 64.5 196.8 98 148 +2002 9 3 18 19 SANDY 25.9 207.7 44 208 +1971 2 19 18 2 TONY 69.5 27.8 138 780 +1970 11 10 0 19 OSCAR 24.7 305.6 103 887 +1988 8 14 6 15 GORDON 34.5 14.5 34 423 +1950 4 7 12 28 NADINE 66.4 72.4 106 143 +1965 12 8 6 26 JOYCE 67.4 52.6 77 300 +1985 8 24 12 3 FLORENCE 49.0 268.7 129 779 +1972 12 15 12 27 KIRK 17.1 190.2 10 578 +1986 10 8 12 28 ALBERTO 33.8 48.7 130 390 +1961 11 5 12 7 MICHAEL 37.5 346.7 116 274 +1961 1 6 12 18 OSCAR 64.5 127.2 94 363 +2000 10 23 0 4 JOYCE 11.2 109.3 70 703 +1952 5 4 18 17 BERYL 17.2 302.5 132 847 +1974 1 21 6 20 DEBBY 46.1 54.8 128 103 +1972 10 21 12 8 SANDY 49.8 134.5 87 440 +1955 5 23 6 1 JOYCE 64.8 208.7 54 621 +1951 7 21 0 25 ISAAC 57.6 49.0 125 756 +1960 11 28 18 12 PATTY 26.1 167.0 49 182 +1967 2 12 18 18 SANDY 56.2 296.7 157 45 +1957 1 7 6 13 CHRIS 52.8 14.6 79 496 +1966 4 24 0 27 MICHAEL 37.8 112.0 52 522 +1955 4 4 0 18 BERYL 60.9 298.1 48 733 +1989 10 6 12 14 MICHAEL 34.3 26.1 148 166 +1997 6 22 6 4 HELENE 51.0 171.9 97 124 +1978 12 27 18 12 ALBERTO 47.2 258.2 53 540 +1993 11 4 6 16 FLORENCE 33.8 102.9 66 391 +1971 6 7 18 21 TONY 43.4 208.2 20 503 +1988 6 9 6 19 FLORENCE 28.9 319.2 56 449 +1995 6 14 0 10 ISAAC 12.6 217.5 18 761 +1988 8 8 12 21 WILLIAM 33.4 96.5 161 85 +2001 2 22 12 2 MICHAEL 50.2 215.2 29 633 +1952 1 15 6 7 ERNESTO 38.7 274.1 98 307 +1978 8 7 6 13 GORDON 46.6 213.6 121 768 +1968 10 10 6 3 OSCAR 49.0 75.2 147 825 +1996 6 6 12 7 KIRK 8.4 24.9 144 406 +1982 1 27 0 20 SANDY 42.7 330.1 101 180 +1965 4 23 18 25 DEBBY 50.9 338.8 37 693 +1954 3 3 12 18 NADINE 13.9 160.9 80 119 +1961 4 28 12 14 GORDON 12.8 170.3 123 608 +1991 6 21 6 4 SANDY 63.3 228.6 27 29 +1978 11 5 0 2 ISAAC 49.7 235.2 49 686 +1983 8 1 0 5 TONY 49.8 40.5 137 225 +1968 4 10 12 9 ISAAC 22.4 255.1 125 483 +1991 1 21 0 20 ISAAC 43.9 121.9 101 32 +1951 10 8 12 11 FLORENCE 65.3 98.2 160 660 +1996 8 13 6 16 KIRK 42.1 154.9 112 437 +1963 5 2 0 3 FLORENCE 39.4 54.9 93 52 +1997 8 21 0 9 MICHAEL 57.8 328.4 64 269 +1978 9 16 0 2 RAFAEL 66.6 13.0 138 539 +1977 12 18 12 24 WILLIAM 47.5 304.3 164 92 +1981 9 9 0 22 LESLIE 19.3 357.6 16 42 +1990 3 1 12 10 SANDY 33.6 67.6 83 20 +1994 6 21 18 9 LESLIE 8.7 42.3 94 662 +1988 5 27 6 22 TONY 54.9 193.8 24 554 +1971 11 9 18 9 PATTY 28.1 91.1 68 789 +1999 3 12 0 19 ERNESTO 54.0 294.4 24 139 +1987 5 3 0 20 GORDON 42.4 163.3 30 161 +1989 2 5 18 18 PATTY 68.3 225.8 24 80 +1974 5 16 12 5 PATTY 38.1 125.5 41 431 +1955 11 25 6 9 JOYCE 50.8 308.8 132 675 +1996 2 5 0 5 ALBERTO 26.8 292.9 126 66 +1986 2 27 12 27 NADINE 30.6 119.8 157 296 +1992 3 11 6 7 SANDY 9.6 185.6 41 894 +1961 5 4 6 8 PATTY 54.5 72.0 21 790 +2001 2 13 18 15 ERNESTO 19.9 327.0 154 412 +1968 1 25 18 22 ALBERTO 67.7 93.2 80 693 +1993 7 12 12 13 PATTY 37.4 200.1 52 186 +1963 3 9 12 4 CHRIS 43.8 245.3 130 704 +1955 10 14 6 26 NADINE 69.9 138.0 29 35 +1966 7 28 0 4 VALERIE 27.4 214.7 114 611 +1956 12 1 0 14 VALERIE 59.2 249.3 35 210 +1963 5 7 6 10 GORDON 49.0 288.5 85 601 +1950 8 21 6 11 HELENE 67.7 142.2 76 438 +1996 2 24 18 28 GORDON 32.0 212.0 145 506 +1962 4 24 12 27 HELENE 30.6 39.1 96 593 +1987 1 10 12 6 VALERIE 12.9 22.9 40 533 +1980 12 16 6 28 CHRIS 14.8 1.6 20 279 +1973 5 19 6 1 CHRIS 24.3 309.9 95 368 +1962 8 16 18 7 BERYL 30.3 111.5 20 888 +1993 7 15 0 9 HELENE 14.2 223.1 121 303 +1960 12 13 18 4 JOYCE 33.5 255.7 81 846 +1999 8 17 12 12 RAFAEL 20.5 354.1 122 391 +1979 10 26 12 4 BERYL 26.2 192.1 150 147 +1975 12 16 6 16 NADINE 25.9 240.1 33 529 +1996 1 24 12 17 ERNESTO 48.5 329.2 40 546 +1976 9 23 6 19 HELENE 42.3 195.1 38 532 +1976 2 1 0 6 GORDON 45.9 260.3 29 715 +1992 10 4 12 21 WILLIAM 8.1 183.3 151 355 +1987 11 24 12 7 FLORENCE 24.9 180.2 152 619 +1995 8 5 0 9 ISAAC 29.4 336.1 104 212 +1983 3 18 6 22 WILLIAM 13.0 166.7 54 138 +2004 8 24 18 12 NADINE 19.6 353.3 139 487 +1992 10 28 12 4 GORDON 41.9 30.7 92 535 +1951 7 22 18 23 OSCAR 27.3 135.3 107 776 +1989 3 26 18 9 ERNESTO 57.4 248.8 75 879 +1955 5 4 6 17 ISAAC 33.2 64.0 67 480 +1997 12 25 6 12 NADINE 48.2 314.8 26 882 +1997 7 2 12 21 ERNESTO 21.0 276.7 64 246 +1976 5 25 18 11 ALBERTO 57.5 278.0 31 636 +1972 3 9 12 18 ALBERTO 69.5 136.7 85 63 +1951 1 7 6 2 HELENE 33.2 322.5 160 525 +1990 10 4 18 6 NADINE 22.3 275.8 31 51 +1955 8 16 12 14 DEBBY 25.4 78.1 56 551 +1963 10 15 0 26 MICHAEL 9.9 250.2 65 829 +1987 7 7 6 11 LESLIE 47.8 301.8 54 778 +2002 7 23 18 14 VALERIE 13.7 44.9 86 483 +1974 1 20 0 13 DEBBY 45.2 57.4 81 829 +1994 11 16 18 15 ERNESTO 66.3 354.3 138 419 +1953 9 27 12 6 MICHAEL 65.4 139.6 89 382 +1972 4 23 6 21 KIRK 17.0 41.5 85 373 +1976 7 9 0 14 RAFAEL 20.4 132.8 57 231 +1953 6 21 6 14 JOYCE 50.6 211.3 60 209 +1955 1 12 6 27 ALBERTO 50.0 292.3 23 620 +1989 2 10 18 5 VALERIE 19.2 354.8 51 855 +1973 6 8 12 4 FLORENCE 16.5 334.8 30 390 +1994 10 20 12 17 WILLIAM 60.5 151.0 103 798 +1986 3 11 18 10 TONY 35.8 243.6 72 44 +1959 5 18 6 21 PATTY 20.2 184.0 52 522 +1996 6 11 18 18 WILLIAM 7.9 96.5 84 377 +1989 12 4 6 18 CHRIS 12.5 76.1 21 124 +1954 5 25 6 8 KIRK 69.4 61.3 36 88 +1974 9 23 18 5 VALERIE 31.1 129.3 58 748 +1974 7 19 18 26 KIRK 22.2 293.0 155 142 +1953 5 3 6 6 ERNESTO 63.7 285.9 44 562 +1978 10 11 12 5 FLORENCE 66.6 168.1 90 827 +1966 2 8 12 17 GORDON 60.3 236.9 135 682 +2000 1 12 0 5 FLORENCE 50.6 206.9 23 137 +1955 10 9 18 11 GORDON 53.8 230.9 60 821 +1982 4 12 18 14 BERYL 55.0 212.4 48 43 +1965 8 12 0 21 LESLIE 48.8 206.4 117 824 +1974 1 7 6 15 ERNESTO 61.9 71.4 68 890 +1954 11 20 12 12 FLORENCE 34.7 79.5 110 192 +1977 6 10 0 20 VALERIE 20.0 9.0 129 737 +1977 9 15 6 10 SANDY 20.6 266.5 99 21 +1973 9 9 12 1 RAFAEL 51.1 20.3 70 791 +1986 3 8 0 13 RAFAEL 65.7 157.6 150 158 +2002 10 28 6 4 NADINE 52.2 156.4 159 582 +1971 11 13 12 26 ALBERTO 11.1 135.1 140 64 +1963 6 25 18 18 ALBERTO 16.2 245.1 45 778 +1977 6 5 12 12 ERNESTO 41.1 204.8 37 184 +1991 7 4 12 12 VALERIE 16.4 239.3 77 106 +1988 12 28 18 14 HELENE 30.2 111.8 150 490 +1976 9 1 6 10 SANDY 15.9 258.5 64 413 +1984 1 20 6 15 KIRK 13.5 208.9 109 341 +1962 1 15 0 16 GORDON 22.1 71.0 42 76 +1969 6 13 6 11 MICHAEL 38.8 100.6 90 756 +1996 9 8 18 16 CHRIS 64.0 274.8 41 881 +1998 9 16 0 28 RAFAEL 42.6 8.9 73 606 +1977 3 13 0 25 TONY 9.7 254.8 93 132 +1967 4 6 0 16 ERNESTO 12.9 75.7 145 334 +1999 1 26 0 25 BERYL 13.7 154.5 105 122 +1997 12 10 0 20 SANDY 61.9 47.0 50 886 +2003 8 16 12 14 ISAAC 60.6 294.4 36 216 +1965 9 16 6 24 RAFAEL 25.3 154.5 29 743 +1988 8 9 0 25 VALERIE 31.5 131.9 105 338 +1973 8 13 6 10 VALERIE 16.7 238.0 48 490 +1995 1 14 18 22 DEBBY 22.7 227.5 126 763 +1957 6 11 12 4 JOYCE 66.7 337.8 141 612 +1982 9 5 6 14 KIRK 37.7 332.9 146 261 +1981 10 24 18 17 ISAAC 58.4 245.0 116 511 +1956 10 17 6 27 SANDY 64.4 285.7 131 12 +1980 7 13 12 19 DEBBY 39.3 339.9 130 777 +1993 6 8 6 11 ISAAC 43.5 226.4 100 142 +1985 11 16 6 4 VALERIE 17.0 239.2 13 162 +1951 5 14 18 16 CHRIS 52.3 100.9 106 534 +1967 3 27 12 3 DEBBY 50.6 56.7 158 828 +1969 1 26 0 3 JOYCE 32.1 220.0 36 381 +1973 1 3 18 20 TONY 64.6 186.3 27 156 +1959 10 21 18 25 ISAAC 45.2 254.8 84 217 +1983 5 2 18 13 HELENE 23.5 63.7 161 148 +1988 5 7 0 13 GORDON 69.7 282.3 66 780 +1985 2 19 12 18 SANDY 27.2 218.8 131 624 +1983 8 12 12 19 ISAAC 11.3 102.5 122 511 +1997 6 23 0 3 OSCAR 33.0 45.3 42 786 +1968 8 19 18 16 SANDY 7.8 260.2 148 54 +1985 3 16 0 17 RAFAEL 48.4 93.4 161 88 +1978 3 19 12 14 KIRK 53.0 105.6 113 12 +1977 8 2 0 12 SANDY 14.3 291.6 19 303 +1966 12 5 18 21 OSCAR 56.9 131.3 106 840 +1957 5 21 0 15 ALBERTO 47.1 305.5 105 18 +1968 5 15 12 3 PATTY 53.5 292.2 94 555 +1992 10 1 12 15 TONY 18.8 251.0 78 262 +1996 11 17 0 1 JOYCE 22.3 299.6 49 49 +1984 12 21 12 26 MICHAEL 9.4 4.1 75 771 +1962 5 25 12 7 MICHAEL 55.8 162.3 21 96 +1988 8 28 12 17 HELENE 57.6 34.7 47 577 +2002 9 11 18 19 TONY 59.5 321.1 139 47 +1982 3 16 6 8 OSCAR 41.8 29.7 156 651 +1987 2 20 0 18 FLORENCE 44.5 97.5 108 719 +1976 3 20 6 2 WILLIAM 44.0 196.7 120 735 +1962 5 23 18 9 PATTY 66.3 335.4 18 578 +1988 12 19 0 12 SANDY 34.2 187.6 101 372 +1986 3 15 6 15 DEBBY 56.3 97.8 86 261 +1959 6 27 0 19 GORDON 47.6 262.4 77 881 +1969 7 5 6 22 NADINE 36.1 287.0 112 738 +1998 5 14 18 16 CHRIS 19.9 236.6 102 195 +1952 1 25 18 5 LESLIE 45.2 78.2 84 714 +1976 1 25 12 25 ERNESTO 13.1 78.1 63 843 +1952 2 26 6 20 ISAAC 42.4 31.6 128 143 +1990 10 13 18 7 JOYCE 39.2 253.2 159 323 +1979 7 25 12 12 LESLIE 29.2 130.6 13 645 +1968 10 7 0 14 KIRK 63.6 202.1 81 86 +1990 2 3 6 16 FLORENCE 56.4 73.6 17 887 +1980 1 4 0 11 NADINE 33.0 171.4 129 250 +1993 3 20 18 19 FLORENCE 44.7 4.0 57 320 +1991 3 22 0 24 HELENE 21.3 221.8 133 8 +1967 4 24 18 28 DEBBY 11.0 279.5 122 451 +1980 3 5 0 2 CHRIS 64.0 70.4 99 460 +1984 6 26 0 28 LESLIE 19.5 250.7 130 417 +1955 10 20 0 19 RAFAEL 27.5 38.6 154 843 +1967 6 22 12 20 KIRK 28.9 76.3 36 131 +1989 6 17 0 26 KIRK 31.2 346.1 16 684 +1976 12 26 12 27 HELENE 27.8 218.4 164 755 +1983 5 10 18 12 OSCAR 11.2 36.2 12 125 +1998 9 9 12 9 ISAAC 26.8 315.1 118 397 +1994 6 6 18 18 ALBERTO 34.4 15.5 159 695 +1963 11 22 12 19 HELENE 42.8 245.7 19 432 +1952 7 6 12 6 LESLIE 39.6 288.2 97 279 +1966 6 12 0 2 NADINE 43.1 356.0 17 367 +1981 1 14 18 12 ERNESTO 39.8 119.8 117 884 +1989 10 1 18 28 ERNESTO 45.6 183.4 96 254 +1997 5 26 0 1 HELENE 54.6 310.3 151 369 +1994 12 9 0 21 FLORENCE 66.7 193.4 93 534 +1995 11 15 12 12 HELENE 22.3 249.1 96 511 +1964 7 17 6 8 BERYL 65.8 155.1 19 899 +2001 7 13 0 4 WILLIAM 65.6 92.3 95 473 +1995 7 17 18 8 SANDY 38.8 325.7 105 512 +1961 11 18 12 2 ALBERTO 15.8 320.7 144 839 +1988 10 5 6 16 OSCAR 8.8 167.0 34 628 +1952 7 8 18 6 FLORENCE 64.1 357.0 151 61 +1954 9 12 18 28 VALERIE 31.2 129.8 143 166 +1974 3 7 12 2 FLORENCE 23.8 147.4 90 168 +1985 8 9 0 13 FLORENCE 30.5 77.2 153 18 +1988 9 1 18 24 LESLIE 26.9 108.7 121 123 +1994 5 28 18 11 BERYL 33.3 43.2 161 1 +2003 5 1 6 15 HELENE 12.2 348.2 63 645 +1971 11 14 0 26 TONY 41.8 16.6 155 846 +1954 6 9 12 9 NADINE 64.0 314.0 84 625 +1957 11 15 18 10 CHRIS 11.2 59.8 85 786 +1985 9 21 12 28 FLORENCE 43.8 173.0 152 119 +1962 10 15 6 5 PATTY 14.1 306.4 156 401 +1989 7 22 6 1 MICHAEL 30.5 246.9 63 86 +1982 1 3 6 1 HELENE 25.9 344.7 149 639 +1950 12 17 0 1 ERNESTO 49.3 301.7 50 320 +1970 5 23 18 5 ISAAC 28.2 49.5 54 24 +1996 5 8 18 27 ALBERTO 12.2 102.1 60 642 +1964 9 27 0 4 VALERIE 47.4 244.8 118 888 +1982 2 12 6 2 OSCAR 26.1 310.5 10 181 +2001 9 20 12 12 ALBERTO 14.5 162.8 92 1 +1981 12 2 12 15 ALBERTO 56.7 79.1 96 254 +1951 2 21 18 18 GORDON 9.1 178.0 73 805 +1970 4 5 18 27 LESLIE 59.8 339.6 163 789 +1993 11 18 18 17 OSCAR 30.3 225.2 110 396 +2002 5 10 12 8 ISAAC 10.6 44.9 39 182 +1956 6 20 18 23 BERYL 25.5 171.7 88 42 +1953 11 8 12 21 OSCAR 35.4 343.6 126 398 +1956 8 28 6 20 ERNESTO 23.6 113.6 119 335 +2001 12 9 18 18 ERNESTO 55.3 257.0 25 898 +2002 11 16 12 4 TONY 37.6 158.9 62 137 +1969 9 13 6 20 ISAAC 33.9 68.6 141 63 +1996 7 5 18 4 MICHAEL 48.9 310.6 34 329 +1977 11 26 12 7 SANDY 55.3 351.2 12 562 +1973 6 25 18 15 RAFAEL 55.2 309.1 76 506 +2003 5 6 12 2 KIRK 39.9 340.5 117 610 +1981 3 14 12 2 SANDY 62.7 155.2 28 850 +2004 2 26 6 1 CHRIS 45.5 75.0 22 9 +1957 2 27 18 11 MICHAEL 32.7 119.3 135 100 +1985 2 27 0 7 FLORENCE 10.7 251.9 144 678 +2003 3 19 0 20 MICHAEL 43.6 19.2 124 192 +1956 3 7 18 18 NADINE 7.8 266.2 39 194 +1995 10 16 18 22 ERNESTO 57.1 109.1 61 274 +1974 11 1 6 22 ERNESTO 58.9 212.0 152 49 +1952 12 12 6 2 RAFAEL 20.9 264.2 89 45 +2000 9 8 18 12 JOYCE 66.7 277.1 70 668 +1957 10 15 6 17 LESLIE 24.3 32.5 24 263 +1987 4 15 0 27 NADINE 68.5 264.9 87 592 +1987 3 20 0 4 GORDON 23.6 207.0 37 68 +1982 10 26 18 20 OSCAR 17.6 133.4 73 801 +1984 3 28 6 20 DEBBY 19.2 138.2 58 561 +1951 3 15 18 14 MICHAEL 56.0 134.4 138 117 +1976 5 21 6 10 BERYL 21.8 50.5 64 377 +1978 6 7 6 18 DEBBY 11.1 27.1 86 466 +1969 1 1 0 10 GORDON 16.5 191.3 86 4 +1963 7 19 0 1 HELENE 68.7 172.9 124 800 +1990 3 14 0 20 BERYL 60.7 303.5 57 546 +1966 11 14 0 4 FLORENCE 59.3 178.3 164 766 +2000 5 18 12 25 ALBERTO 19.1 218.4 43 85 +1976 2 3 12 4 TONY 29.5 301.6 49 481 +2004 9 27 0 7 ISAAC 56.4 260.9 111 232 +1989 7 8 18 26 ERNESTO 31.7 239.6 141 831 +1994 5 4 0 14 HELENE 60.3 228.3 159 719 +1996 8 22 0 24 ERNESTO 56.3 161.1 112 716 +2000 4 26 12 11 NADINE 9.7 195.4 124 230 +1963 6 3 6 26 MICHAEL 68.6 79.3 84 84 +1966 3 20 6 28 SANDY 12.1 185.7 46 823 +1981 11 20 6 12 FLORENCE 35.2 101.4 86 702 +1961 11 8 0 24 SANDY 47.9 225.4 146 506 +1986 2 1 18 13 VALERIE 24.4 229.4 76 610 +1964 5 7 18 19 ISAAC 16.8 341.2 87 453 +1999 3 12 6 3 RAFAEL 39.8 265.2 29 127 +1970 2 8 0 4 BERYL 36.5 31.9 22 47 +1959 10 17 6 19 RAFAEL 50.8 157.8 34 663 +1978 6 21 18 3 NADINE 9.2 191.2 119 472 +1970 7 1 0 12 PATTY 29.9 352.0 31 747 +1965 12 4 18 25 FLORENCE 25.0 272.2 157 768 +1961 12 20 18 22 JOYCE 17.3 298.5 132 121 +2004 8 13 0 28 FLORENCE 31.9 67.4 84 224 +1993 3 1 6 18 VALERIE 14.3 267.7 13 397 +1952 10 26 12 17 WILLIAM 36.4 155.7 162 868 +1994 5 2 18 23 OSCAR 8.7 330.8 161 685 +1986 8 13 18 13 VALERIE 50.0 25.2 48 583 +1969 10 8 6 25 PATTY 53.8 242.6 116 114 +1956 6 1 6 2 GORDON 37.4 252.6 17 50 +1990 10 19 18 24 ERNESTO 69.3 125.9 40 337 +1992 3 13 12 19 WILLIAM 67.9 286.1 114 841 +2000 3 27 6 5 NADINE 50.4 52.2 108 743 +1988 10 19 12 24 TONY 26.9 293.0 24 495 +1972 9 23 18 21 MICHAEL 42.9 86.9 96 12 +1986 4 21 12 17 TONY 8.7 134.0 123 212 +1990 3 2 12 19 ISAAC 45.3 5.5 110 277 +1997 5 26 6 16 RAFAEL 27.9 52.0 109 781 +1950 5 16 6 8 FLORENCE 35.9 289.3 32 43 +1961 9 24 0 9 GORDON 17.1 17.8 155 822 +2001 1 12 6 27 VALERIE 46.2 170.4 88 441 +2004 9 18 6 4 KIRK 12.6 57.9 14 825 +1953 2 11 12 9 MICHAEL 21.0 82.8 52 408 +1986 10 19 18 16 GORDON 11.6 82.9 89 29 +1993 6 17 18 6 LESLIE 9.3 112.5 27 640 +1981 3 13 12 18 WILLIAM 55.9 173.6 152 134 +1958 2 3 6 8 PATTY 11.7 78.4 22 170 +1982 1 11 6 11 CHRIS 49.9 217.4 67 541 +1993 7 12 0 12 CHRIS 37.6 34.0 136 481 +2003 2 19 0 20 ALBERTO 13.3 254.9 16 541 +1951 5 20 6 19 OSCAR 18.5 62.7 144 13 +1987 10 8 6 26 DEBBY 33.8 350.9 16 394 +1961 4 28 12 8 DEBBY 10.0 307.3 11 231 +1990 9 3 6 26 ISAAC 27.4 357.3 131 67 +2000 8 13 6 17 GORDON 25.3 220.5 149 267 +1992 2 13 6 24 LESLIE 36.4 136.2 24 788 +1958 6 1 6 20 SANDY 40.2 126.5 93 833 +2001 4 10 6 14 ERNESTO 55.2 104.9 141 83 +1971 5 22 12 28 PATTY 28.7 190.5 34 1 +1953 12 12 18 12 CHRIS 39.4 145.9 21 839 +1950 11 17 0 4 ERNESTO 16.8 227.1 14 26 +1959 7 2 0 21 PATTY 44.4 10.5 49 703 +1989 12 25 0 10 VALERIE 50.1 155.6 20 194 +1955 11 18 12 12 WILLIAM 24.0 200.4 79 420 +1959 7 21 12 27 LESLIE 62.9 262.6 51 503 +1965 5 2 18 12 KIRK 33.7 290.0 56 440 +1951 3 15 0 13 NADINE 26.8 90.5 50 172 +1993 12 6 12 26 CHRIS 22.9 18.0 88 746 +1964 2 17 12 24 LESLIE 37.2 219.9 44 83 +1950 5 23 12 25 DEBBY 29.8 284.8 83 435 +1977 8 12 6 11 RAFAEL 26.0 26.8 34 699 +1961 2 20 6 7 CHRIS 22.4 26.1 32 135 +1990 9 12 18 17 ALBERTO 54.1 152.5 15 482 +1950 1 23 6 21 VALERIE 34.1 209.1 60 699 +1966 12 2 0 7 KIRK 46.3 200.8 42 659 +1984 6 3 0 16 NADINE 50.9 132.4 53 600 +1959 3 15 6 6 NADINE 35.0 341.1 104 39 +1950 4 15 18 27 RAFAEL 26.6 281.1 57 286 +1992 5 8 0 5 FLORENCE 49.2 22.3 162 36 +1981 8 28 6 14 HELENE 64.6 147.8 112 25 +1978 11 15 18 8 ISAAC 48.4 24.6 158 624 +1966 12 2 0 7 ALBERTO 24.0 309.9 88 140 +1976 6 18 12 21 JOYCE 23.1 10.2 44 763 +1988 3 3 6 28 ALBERTO 34.8 249.6 108 427 +1990 5 7 12 25 JOYCE 52.7 352.7 28 44 +1998 9 17 12 6 MICHAEL 8.7 222.6 151 93 +1982 3 3 18 23 FLORENCE 16.9 81.5 100 203 +1957 7 19 0 19 HELENE 60.0 271.9 118 16 +1982 10 12 18 14 BERYL 37.8 286.7 103 736 +1998 2 15 0 23 DEBBY 57.7 326.1 68 503 +1952 7 20 18 7 ALBERTO 69.9 233.9 46 241 +2000 5 17 12 12 ISAAC 57.9 32.9 128 434 +1972 1 1 18 7 MICHAEL 60.2 332.3 86 254 +1959 7 27 18 22 FLORENCE 40.9 55.7 24 336 +1977 10 5 0 23 VALERIE 25.9 28.6 77 40 +1990 6 27 0 20 SANDY 56.3 58.6 47 208 +1983 12 22 12 17 ALBERTO 64.9 289.2 126 643 +1953 7 5 12 1 GORDON 22.9 207.8 160 804 +1976 3 24 0 20 ISAAC 64.7 34.6 36 444 +1960 6 17 12 21 MICHAEL 45.8 303.0 122 322 +1989 7 19 12 8 KIRK 58.8 209.9 162 712 +1971 9 13 18 2 CHRIS 26.0 289.2 95 729 +1968 12 3 18 10 KIRK 57.7 234.2 114 255 +2003 8 8 0 6 JOYCE 63.8 36.3 110 210 +1974 4 5 6 25 FLORENCE 63.9 102.1 59 405 +1984 3 25 18 16 SANDY 32.8 236.2 63 601 +1952 7 18 12 11 DEBBY 23.1 202.5 116 824 +1998 3 2 12 8 HELENE 11.7 23.0 163 339 +1976 12 26 6 17 ERNESTO 38.4 257.4 78 80 +1951 5 7 12 17 VALERIE 21.2 102.1 20 107 +1964 10 26 12 10 OSCAR 55.5 77.2 88 93 +1960 7 20 0 28 GORDON 55.0 81.5 18 140 +1964 6 11 6 18 MICHAEL 21.5 114.9 103 13 +1959 8 4 0 24 ERNESTO 42.4 199.2 21 356 +1960 8 10 0 3 ERNESTO 18.2 126.6 45 257 +1982 5 1 12 18 RAFAEL 45.7 23.5 27 756 +1990 2 23 0 15 ALBERTO 53.8 46.0 49 238 +1964 12 22 6 18 ISAAC 63.6 200.0 32 594 +1968 7 7 18 18 CHRIS 40.1 347.4 41 546 +1979 5 2 18 15 ALBERTO 23.4 263.9 116 564 +2002 12 1 0 12 KIRK 35.9 216.8 96 597 +1957 12 2 6 22 LESLIE 69.9 302.0 59 452 +1999 5 4 18 11 BERYL 31.7 39.2 146 70 +1993 8 19 12 20 PATTY 33.2 69.0 49 866 +1962 7 3 0 3 BERYL 33.2 231.6 38 583 +1974 7 13 12 23 TONY 43.2 342.7 164 139 +1987 11 21 6 28 DEBBY 20.9 182.4 140 747 +1981 3 19 18 15 GORDON 24.7 151.2 159 441 +1980 12 27 18 26 MICHAEL 50.2 240.2 112 249 +1992 12 16 6 5 PATTY 39.0 327.9 128 93 +2000 6 27 12 28 DEBBY 42.8 148.0 126 626 +1956 2 17 0 16 JOYCE 55.4 118.3 49 771 +1984 11 23 6 26 TONY 40.6 3.9 77 885 +1962 10 9 18 8 RAFAEL 35.0 145.2 82 427 +1964 4 12 18 25 GORDON 43.7 246.6 147 845 +1988 4 10 12 13 MICHAEL 16.5 248.8 110 591 +1962 9 21 6 7 ERNESTO 19.2 83.2 148 446 +1955 6 21 6 6 ALBERTO 45.6 221.8 34 504 +1954 5 12 18 9 TONY 54.5 345.7 154 861 +1992 9 1 12 25 BERYL 68.3 11.0 155 701 +1989 10 24 6 6 NADINE 27.4 189.6 110 897 +2004 10 16 12 25 WILLIAM 19.2 0.0 100 870 +1986 5 5 6 26 HELENE 64.9 139.0 14 249 +1988 9 22 18 10 DEBBY 15.9 2.2 81 304 +1989 2 18 0 1 LESLIE 8.1 190.0 57 662 +1989 5 13 12 11 MICHAEL 52.7 116.9 39 229 +1994 8 26 0 11 BERYL 65.2 252.3 124 776 +1969 3 7 0 9 ISAAC 43.5 223.5 62 383 +1992 8 16 18 17 WILLIAM 64.3 43.2 42 839 +1965 6 11 12 9 HELENE 54.2 42.4 129 788 +1959 3 11 12 6 OSCAR 38.9 144.7 97 234 +1954 10 19 18 16 NADINE 16.1 328.1 52 188 +1961 12 24 18 9 KIRK 54.8 106.0 76 271 +1980 2 5 12 19 PATTY 45.0 247.4 42 488 +1962 3 23 6 8 ALBERTO 33.1 239.6 97 57 +1975 6 27 12 12 FLORENCE 17.4 142.2 109 714 +2002 11 15 12 10 DEBBY 26.9 85.9 144 748 +1980 1 4 0 13 PATTY 7.9 147.5 56 388 +1969 9 9 12 2 ISAAC 29.4 130.6 162 417 +1950 9 12 12 22 WILLIAM 10.0 122.7 122 879 +1951 10 4 18 22 MICHAEL 66.6 294.1 12 375 +1976 10 7 12 12 FLORENCE 34.9 318.9 51 286 +1956 10 3 0 7 RAFAEL 14.0 8.2 137 857 +1969 1 25 18 17 HELENE 42.1 164.7 10 526 +1990 5 23 6 1 VALERIE 16.5 142.8 62 792 +1957 3 14 6 6 MICHAEL 29.5 255.6 74 573 +1996 4 16 18 1 OSCAR 24.2 118.5 81 172 +1997 3 23 18 1 BERYL 25.0 60.7 89 103 +1962 3 18 12 26 VALERIE 28.4 112.2 123 130 +1983 8 25 12 4 RAFAEL 67.9 355.1 52 690 +1997 12 4 18 4 NADINE 27.0 64.6 136 278 +2002 4 13 0 6 TONY 63.4 27.0 90 877 +1972 12 15 18 8 CHRIS 28.8 111.0 83 600 +1979 10 22 0 6 PATTY 11.0 165.8 84 857 +2003 8 1 18 23 PATTY 18.1 281.7 144 461 +1975 2 10 0 27 TONY 44.7 241.6 63 315 +1950 1 16 6 19 BERYL 58.6 227.9 132 577 +2002 8 19 12 26 HELENE 53.1 259.9 45 582 +1975 7 25 12 25 VALERIE 31.8 86.2 139 808 +1989 11 1 12 6 NADINE 33.4 283.6 40 599 +1964 1 17 18 1 ERNESTO 41.6 329.5 47 613 +1968 5 16 6 1 CHRIS 40.9 216.1 74 313 +1954 7 24 12 25 ISAAC 53.6 32.4 60 740 +1992 6 26 6 15 ISAAC 47.1 70.8 80 876 +2000 2 9 0 24 ERNESTO 53.5 274.5 63 296 +1969 12 17 6 17 ERNESTO 64.2 216.6 35 691 +1987 12 26 0 17 FLORENCE 28.2 354.3 135 761 +1964 6 14 12 15 JOYCE 7.1 146.9 94 239 +1956 11 20 12 10 ISAAC 58.4 318.5 136 213 +1956 2 18 6 14 KIRK 42.1 256.8 44 665 +1998 10 5 12 16 GORDON 44.6 37.3 98 680 +1984 2 1 12 1 ALBERTO 14.9 108.3 109 75 +2002 7 20 18 23 NADINE 65.0 195.4 73 586 +1972 6 21 6 14 GORDON 36.9 53.5 93 693 +1955 9 13 0 1 PATTY 28.6 197.0 134 273 +1980 2 24 0 27 PATTY 56.1 326.6 146 366 +2001 7 26 0 28 WILLIAM 21.5 344.3 86 878 +2001 11 20 12 23 HELENE 66.6 268.9 58 274 +1951 4 27 18 19 ERNESTO 64.1 175.4 67 573 +1958 4 16 12 19 FLORENCE 62.1 36.2 118 626 +1966 3 6 18 2 ALBERTO 44.0 188.5 161 474 +1996 1 24 6 11 BERYL 17.6 49.0 124 2 +1973 1 20 12 8 BERYL 17.9 59.9 126 190 +1957 7 27 0 23 NADINE 61.6 45.2 40 127 +1964 9 22 18 14 WILLIAM 52.8 45.8 61 0 +1961 4 27 6 18 TONY 66.9 50.9 118 474 +1974 12 21 6 6 ALBERTO 8.1 257.5 82 456 +2004 6 17 6 4 OSCAR 13.6 240.2 92 111 +1965 4 8 12 18 ISAAC 8.1 69.4 38 448 +1958 7 21 12 21 NADINE 69.3 11.8 30 136 +1975 11 16 0 7 ISAAC 39.8 354.3 43 52 +1997 12 6 12 17 MICHAEL 38.6 205.1 77 878 +1985 10 19 12 14 SANDY 48.9 187.8 122 871 +1980 8 24 18 15 LESLIE 27.0 185.1 35 794 +1965 6 7 0 20 BERYL 16.7 127.0 87 723 +1983 3 7 6 16 ISAAC 66.3 254.7 111 48 +1965 3 15 18 3 LESLIE 26.7 277.7 159 366 +1994 5 12 12 13 PATTY 37.0 241.9 137 344 +1980 10 5 0 26 ALBERTO 64.0 1.6 153 875 +1997 9 13 18 25 ERNESTO 67.7 64.3 129 858 +1983 4 27 6 3 HELENE 69.4 171.6 90 294 +1988 10 27 18 4 ALBERTO 67.6 153.4 61 393 +1963 9 14 18 2 RAFAEL 12.4 68.9 106 877 +1951 4 6 0 10 ISAAC 43.7 262.0 106 666 +1964 5 27 18 8 PATTY 9.8 12.0 109 685 +2002 10 23 0 18 JOYCE 58.4 258.7 111 301 +1973 5 21 0 14 KIRK 33.6 207.3 31 146 +1951 8 4 6 11 KIRK 15.0 63.9 27 692 +1976 11 6 12 6 BERYL 67.6 144.2 103 163 +1969 9 11 6 26 NADINE 48.2 188.5 10 370 +1971 11 3 6 13 HELENE 32.0 256.8 81 297 +1964 8 8 12 8 RAFAEL 29.4 50.2 75 223 +1967 12 18 0 15 ISAAC 54.5 79.1 142 419 +1957 7 2 18 6 HELENE 16.8 52.5 71 860 +1967 2 11 18 22 WILLIAM 10.6 191.5 50 187 +1994 8 24 18 24 TONY 35.8 275.7 135 608 +1956 2 26 0 6 OSCAR 20.2 57.7 97 712 +1997 5 18 6 9 CHRIS 63.7 345.9 154 128 +1984 6 14 18 25 KIRK 54.7 147.3 90 484 +1969 6 15 6 12 MICHAEL 7.5 275.7 10 829 +1969 6 26 6 14 NADINE 22.2 97.5 27 93 +1988 9 10 0 14 WILLIAM 45.8 258.7 162 726 +1997 4 2 0 22 WILLIAM 8.0 141.1 55 108 +1994 10 2 18 13 SANDY 37.5 97.1 154 444 +1971 6 1 6 8 ERNESTO 51.8 285.9 28 398 +1960 4 9 6 14 LESLIE 62.5 67.1 28 141 +1973 7 7 0 28 ERNESTO 23.1 334.6 122 803 +1955 7 3 0 15 NADINE 50.5 312.3 111 441 +1996 1 10 0 4 CHRIS 39.4 100.1 44 525 +1976 11 4 12 12 ALBERTO 68.1 48.9 34 239 +1952 11 1 0 7 WILLIAM 24.7 250.2 33 353 +1965 12 23 0 28 MICHAEL 23.1 205.5 32 156 +1972 7 24 0 15 HELENE 58.8 237.5 155 291 +1988 10 2 0 9 PATTY 55.5 260.3 63 497 +1963 10 4 0 19 ALBERTO 10.0 315.1 73 895 +1957 3 10 0 19 GORDON 49.0 231.1 156 553 +1977 7 21 12 27 SANDY 51.4 258.9 91 634 +1980 7 10 18 7 BERYL 29.3 350.2 149 276 +1967 10 21 6 19 KIRK 19.9 25.6 63 558 +1985 2 16 12 1 HELENE 10.4 177.2 125 493 +1978 4 19 0 7 ALBERTO 62.4 17.1 75 207 +1992 12 3 6 15 RAFAEL 17.0 134.4 102 292 +1969 11 11 18 5 VALERIE 18.4 266.7 145 304 +1953 7 28 12 20 BERYL 31.7 298.8 21 20 +1973 7 1 18 26 RAFAEL 51.5 312.2 32 584 +1974 1 23 12 15 TONY 68.5 275.3 122 56 +1965 5 14 12 9 ERNESTO 38.0 156.2 59 835 +2000 2 13 6 15 LESLIE 14.0 181.0 10 366 +1973 7 22 0 17 NADINE 29.2 327.7 69 583 +1988 6 19 0 21 WILLIAM 65.1 125.2 50 91 +1992 4 10 18 15 PATTY 10.9 46.1 100 96 +1984 8 17 12 22 VALERIE 15.0 155.6 104 585 +1979 5 22 12 24 KIRK 64.5 220.3 94 64 +1989 9 15 0 28 BERYL 48.5 191.9 113 128 +1991 2 5 6 18 BERYL 69.9 251.2 20 896 +1987 2 4 6 9 OSCAR 58.1 34.3 22 133 +1963 2 19 12 19 LESLIE 42.3 165.1 79 164 +2000 8 6 6 4 PATTY 47.2 196.8 73 222 +1953 5 11 6 1 ISAAC 52.6 49.8 49 583 +2003 2 27 12 1 NADINE 56.6 144.5 102 441 +1993 9 8 12 19 LESLIE 32.6 266.7 23 238 +1974 2 23 18 27 NADINE 63.6 25.4 15 569 +1988 6 3 12 10 CHRIS 23.7 341.7 40 555 +1980 5 18 18 16 LESLIE 9.5 47.0 127 200 +2003 9 14 0 7 OSCAR 19.7 355.0 75 419 +1966 5 18 18 20 LESLIE 11.4 73.6 152 549 +1998 9 12 6 16 TONY 20.8 37.0 161 483 +1972 2 2 12 19 VALERIE 47.4 199.3 53 586 +1954 10 14 6 13 NADINE 59.6 342.0 139 467 +1958 3 27 12 13 PATTY 26.6 177.9 50 841 +1997 4 2 0 27 PATTY 33.7 28.7 96 217 +1996 9 10 0 2 HELENE 30.5 326.3 144 392 +1975 12 1 18 3 HELENE 52.2 314.7 32 840 +1998 11 18 12 3 TONY 35.5 22.5 142 90 +1968 3 22 12 13 DEBBY 12.3 17.2 144 363 +1991 1 24 18 27 KIRK 49.7 192.0 120 184 +2000 10 3 6 10 RAFAEL 14.0 341.8 150 343 +1993 12 8 0 27 LESLIE 64.3 138.0 85 269 +2004 8 14 18 13 BERYL 32.2 208.7 48 53 +1956 3 9 12 11 LESLIE 23.0 125.1 49 3 +1986 3 16 6 2 TONY 30.5 96.3 29 858 +1978 7 20 0 25 CHRIS 11.0 305.9 115 306 +1991 3 8 6 11 NADINE 66.9 163.7 126 468 +1957 2 4 6 2 WILLIAM 36.4 326.8 126 220 +2002 9 16 18 23 GORDON 54.6 308.8 72 592 +1962 1 25 6 13 SANDY 13.2 184.0 62 125 +1950 8 27 18 18 WILLIAM 15.4 246.0 66 819 +1958 9 14 0 6 DEBBY 13.6 355.5 30 177 +1959 3 21 18 9 JOYCE 46.2 19.4 78 516 +1954 7 1 18 10 TONY 60.3 262.1 101 129 +1978 9 1 12 18 HELENE 23.2 355.3 61 419 +1951 1 20 12 15 MICHAEL 44.4 0.8 94 225 +1960 12 14 18 4 ERNESTO 61.4 107.9 117 519 +1952 6 17 6 13 ALBERTO 67.3 40.1 55 622 +1981 4 21 12 19 DEBBY 7.5 52.9 48 480 +1961 5 17 18 2 KIRK 52.5 96.2 60 273 +1954 2 9 12 26 KIRK 55.4 139.4 160 763 +1982 11 5 12 8 ALBERTO 46.0 151.6 101 716 +1962 4 16 12 2 RAFAEL 64.9 143.0 45 135 +2001 8 27 18 25 BERYL 23.1 22.7 47 149 +1978 8 7 12 3 WILLIAM 12.3 328.5 56 315 +1961 3 28 18 7 DEBBY 50.0 203.8 140 142 +1953 12 13 0 15 HELENE 62.6 299.2 152 771 +1992 2 1 6 28 WILLIAM 9.5 159.8 12 712 +1965 4 13 18 1 TONY 56.9 337.1 115 101 +1957 8 7 0 12 SANDY 29.2 327.1 136 108 +1968 8 22 0 16 KIRK 60.0 147.5 82 385 +1959 11 3 6 17 KIRK 40.0 19.6 98 394 +1961 1 13 12 25 TONY 14.6 166.2 12 526 +2004 5 8 18 10 MICHAEL 44.8 239.3 10 435 +1979 3 12 12 12 DEBBY 9.5 108.1 80 349 +1952 6 25 6 11 MICHAEL 36.8 39.9 112 610 +1979 9 10 12 28 FLORENCE 42.3 68.1 146 279 +1993 9 4 12 25 ALBERTO 20.2 141.6 39 257 +1994 1 6 6 16 TONY 29.4 260.5 130 273 +1994 4 1 12 18 FLORENCE 12.4 223.2 99 189 +1951 8 10 6 28 OSCAR 69.5 240.3 47 274 +1978 4 2 18 17 PATTY 44.4 202.1 120 307 +1960 4 10 12 12 LESLIE 48.2 197.7 19 72 +1992 1 20 6 1 LESLIE 23.7 301.9 102 143 +1988 5 7 0 10 GORDON 50.0 18.0 58 18 +1996 4 1 0 21 VALERIE 52.3 25.3 154 459 +1961 11 25 18 11 OSCAR 55.2 42.5 48 105 +2000 6 24 0 11 TONY 30.9 271.5 43 179 +1959 7 26 0 20 FLORENCE 68.1 189.3 142 690 +1980 1 4 18 14 LESLIE 31.8 121.4 103 559 +1976 6 9 18 28 TONY 65.4 16.8 124 483 +2003 4 23 0 15 JOYCE 28.8 283.6 125 14 +1995 7 18 18 11 GORDON 11.0 196.2 156 44 +1962 4 21 6 16 SANDY 11.9 178.8 57 311 +2003 2 13 6 27 CHRIS 37.7 91.4 113 106 +2001 6 5 0 1 NADINE 30.8 83.7 91 476 +2001 9 1 12 12 GORDON 67.1 1.9 52 51 +1983 1 8 18 17 DEBBY 59.2 300.9 114 668 +1951 6 15 6 23 GORDON 45.4 307.7 36 268 +1991 9 21 12 3 LESLIE 59.3 112.2 24 857 +1988 7 22 18 12 SANDY 36.4 250.2 25 78 +1963 6 24 0 8 GORDON 7.1 96.1 76 505 +2004 12 8 6 17 KIRK 14.7 130.8 89 881 +1996 12 21 12 26 NADINE 26.6 86.9 68 685 +1996 10 22 0 2 RAFAEL 38.2 126.8 113 647 +1978 3 28 12 3 NADINE 46.7 192.5 147 195 +1963 1 21 18 21 ERNESTO 59.2 127.6 148 247 +1953 5 10 12 10 FLORENCE 48.9 274.1 86 682 +1980 5 8 6 1 ERNESTO 21.7 221.9 107 448 +1961 5 3 12 6 LESLIE 49.6 238.8 138 79 +1968 10 1 0 23 TONY 32.4 250.5 69 273 +1962 3 7 6 24 NADINE 37.1 217.4 89 881 +1969 9 7 18 20 RAFAEL 27.8 314.1 31 418 +1972 12 28 18 18 DEBBY 19.5 213.2 94 786 +1997 10 3 6 25 TONY 69.4 257.5 66 248 +1972 3 28 18 6 SANDY 43.9 222.1 79 872 +1975 5 8 6 20 ERNESTO 21.8 166.5 72 604 +1981 2 23 0 23 FLORENCE 22.1 41.4 49 586 +1979 3 3 6 28 ALBERTO 29.7 151.3 120 296 +1986 6 18 18 24 SANDY 54.0 201.8 89 803 +1950 7 21 6 22 OSCAR 61.1 70.1 28 627 +1959 8 20 0 21 TONY 31.8 350.8 63 13 +2000 12 20 18 22 ERNESTO 52.8 219.7 55 784 +1980 7 2 0 13 GORDON 44.9 122.3 70 578 +2000 9 11 12 20 MICHAEL 23.6 76.6 157 401 +1988 8 19 12 11 HELENE 11.6 154.1 87 592 +1953 8 16 6 27 FLORENCE 37.6 59.3 144 406 +2004 4 20 12 22 TONY 66.2 56.1 79 6 +1959 1 10 18 25 BERYL 53.0 200.5 61 110 +1994 4 21 0 5 TONY 61.0 29.4 19 336 +1963 2 1 12 24 TONY 36.0 132.3 142 638 +1958 2 26 18 25 ALBERTO 59.4 243.3 137 404 +1992 12 16 0 9 DEBBY 25.3 119.2 146 444 +1972 2 24 0 16 NADINE 57.9 312.5 151 730 +1972 3 24 0 20 CHRIS 64.0 273.8 31 708 +1974 1 3 6 25 VALERIE 36.0 346.6 18 815 +1995 2 6 0 22 OSCAR 8.5 308.8 71 215 +1993 11 12 12 10 GORDON 56.9 231.6 85 75 +2004 12 14 18 20 LESLIE 62.6 311.3 133 302 +1951 4 17 6 6 KIRK 23.0 24.2 78 505 +1993 1 15 6 9 RAFAEL 40.0 164.9 90 442 +2001 7 24 12 18 RAFAEL 14.3 271.8 96 193 +1958 6 2 0 17 HELENE 42.4 307.7 37 215 +1973 2 4 6 23 FLORENCE 64.5 195.8 114 590 +1954 11 14 12 16 FLORENCE 29.7 185.2 98 539 +1992 1 27 12 28 ERNESTO 54.5 351.0 77 454 +2002 8 15 6 27 ERNESTO 20.4 335.7 147 300 +1988 2 4 12 14 KIRK 54.5 309.5 40 401 +1998 5 24 18 6 GORDON 21.9 347.1 113 385 +1953 10 2 0 7 CHRIS 31.7 83.8 127 883 +1969 2 16 18 14 PATTY 52.4 316.1 79 239 +1953 5 9 12 17 NADINE 29.9 51.7 53 830 +1988 5 20 12 21 CHRIS 42.0 316.6 55 869 +1957 6 14 0 1 RAFAEL 15.9 248.7 99 156 +1977 1 4 6 18 SANDY 21.8 353.4 11 80 +1997 5 23 6 18 HELENE 55.2 80.9 124 376 +1985 12 1 0 12 PATTY 9.4 276.6 129 555 +1976 9 25 12 28 HELENE 32.8 62.9 110 229 +1985 9 26 18 5 NADINE 37.1 316.8 100 351 +1960 1 9 18 5 DEBBY 17.9 62.8 118 61 +1973 1 18 18 17 CHRIS 39.2 18.6 115 504 +1980 4 20 18 20 BERYL 38.5 189.2 39 883 +1978 12 27 12 15 PATTY 45.9 277.8 102 460 +1962 6 25 0 28 GORDON 27.4 343.7 56 410 +1973 2 28 12 21 SANDY 30.8 265.8 11 69 +1977 11 19 12 28 ALBERTO 32.7 133.0 119 91 +1978 2 23 0 22 LESLIE 54.7 73.3 126 101 +1962 4 10 6 19 OSCAR 61.9 25.0 60 34 +1971 9 3 18 19 ERNESTO 43.3 94.2 27 593 +1969 8 2 6 19 OSCAR 33.6 150.5 16 560 +1982 9 5 18 26 OSCAR 7.6 69.0 106 670 +1989 10 15 18 11 FLORENCE 37.0 346.1 12 764 +1980 7 1 12 23 MICHAEL 19.3 331.1 66 864 +1996 1 25 6 6 CHRIS 12.4 114.8 135 710 +1974 5 14 12 7 FLORENCE 49.9 313.5 82 590 +1980 12 10 0 27 PATTY 16.1 280.7 53 769 +1971 2 10 18 4 ERNESTO 20.2 310.8 18 588 +1986 8 3 18 26 KIRK 67.0 229.8 54 753 +1978 3 3 12 3 DEBBY 38.3 181.4 37 768 +1994 8 12 0 11 FLORENCE 51.0 45.1 90 281 +1954 12 12 6 2 RAFAEL 22.8 86.9 126 535 +1979 1 17 12 14 PATTY 25.9 230.4 143 190 +1990 8 5 12 14 WILLIAM 10.8 355.6 29 426 +1953 12 23 6 24 RAFAEL 58.6 44.4 108 609 +1977 5 13 12 15 ALBERTO 27.9 190.9 69 779 +1997 11 16 0 25 OSCAR 44.2 196.3 130 150 +1950 7 19 0 7 MICHAEL 45.7 128.1 110 647 +1953 1 25 12 6 MICHAEL 23.6 91.7 128 5 +1966 5 23 18 14 MICHAEL 15.0 124.3 107 741 +1962 5 6 18 6 DEBBY 54.6 104.2 139 730 +1951 3 12 12 24 OSCAR 61.2 269.3 46 494 +1975 5 21 6 24 CHRIS 47.7 244.0 26 152 +1950 9 27 6 23 FLORENCE 44.3 95.6 38 821 +2002 11 26 18 22 ERNESTO 10.7 48.1 46 275 +1967 1 22 0 13 BERYL 41.8 246.4 59 549 +1988 1 6 0 15 RAFAEL 61.9 96.0 139 151 +1951 7 24 12 14 ERNESTO 32.8 190.3 46 320 +1995 1 18 18 12 CHRIS 44.5 131.4 141 569 +1980 8 15 12 2 DEBBY 67.7 153.4 155 654 +1960 11 6 6 21 GORDON 42.9 200.8 33 683 +1956 8 14 0 9 HELENE 31.3 132.8 117 807 +1965 6 23 6 2 WILLIAM 9.1 241.0 137 161 +1956 3 7 0 18 HELENE 68.1 45.5 114 501 +1975 6 11 18 10 FLORENCE 57.8 201.4 70 884 +1955 6 20 12 11 MICHAEL 39.0 249.2 89 294 +1960 8 25 0 1 WILLIAM 64.6 132.2 85 50 +1968 5 28 6 6 PATTY 46.7 96.2 37 400 +2002 2 20 6 28 FLORENCE 54.9 111.2 118 801 +1951 10 16 18 18 KIRK 61.4 208.9 27 841 +1956 4 9 0 5 ERNESTO 55.1 74.8 35 409 +1986 9 12 12 5 GORDON 38.8 297.3 155 265 +1997 5 10 18 21 CHRIS 57.7 248.8 48 882 +1955 7 20 18 27 NADINE 19.6 17.0 34 289 +1994 3 1 12 22 MICHAEL 13.0 330.2 15 611 +1974 8 2 0 21 CHRIS 13.2 207.2 81 391 +1966 6 12 18 2 ERNESTO 23.0 159.8 158 852 +1966 2 25 6 14 MICHAEL 18.1 72.7 74 670 +1976 12 1 12 18 ALBERTO 57.7 284.4 100 532 +1969 12 17 0 17 ISAAC 68.5 43.2 89 772 +1977 2 4 12 23 SANDY 67.3 218.2 36 711 +2004 7 28 0 16 FLORENCE 39.3 156.0 148 373 +1996 6 1 6 27 GORDON 65.2 274.5 58 80 +1977 9 16 12 5 JOYCE 35.5 85.1 120 146 +2004 11 27 0 27 VALERIE 13.9 285.7 78 635 +1995 3 1 6 23 FLORENCE 47.5 174.1 100 388 +1982 8 27 6 13 ALBERTO 9.6 352.3 88 604 +1997 2 25 12 21 PATTY 11.1 40.0 26 122 +1967 8 1 6 17 SANDY 46.0 318.1 45 492 +1988 3 24 18 17 MICHAEL 50.5 190.5 137 532 +1958 9 17 6 26 LESLIE 22.6 182.8 140 892 +1958 7 16 12 24 ERNESTO 24.9 303.5 63 569 +1999 12 3 6 13 FLORENCE 19.2 277.6 52 282 +1992 12 18 18 8 PATTY 49.2 288.2 124 107 +1981 10 20 12 4 MICHAEL 45.1 164.5 115 426 +1993 4 25 18 22 RAFAEL 29.4 83.5 32 210 +1957 8 27 6 10 BERYL 35.9 101.0 44 477 +1982 8 26 12 1 ISAAC 41.5 40.5 81 211 +1971 4 21 0 23 NADINE 68.9 162.5 68 780 +1971 2 15 18 19 ALBERTO 68.1 78.6 148 495 +1967 5 10 12 22 BERYL 21.2 116.5 80 28 +1999 1 20 18 13 VALERIE 52.2 265.5 124 471 +1993 3 17 18 24 TONY 57.6 78.9 89 413 +1982 8 24 6 5 WILLIAM 53.7 343.0 29 889 +1976 1 20 0 27 RAFAEL 62.5 89.4 144 854 +1957 6 15 0 10 LESLIE 27.4 206.7 140 23 +1998 11 21 12 19 BERYL 43.4 32.5 109 864 +1968 11 1 18 23 WILLIAM 11.5 30.0 35 254 +1989 8 27 6 4 GORDON 7.3 98.8 105 313 +2003 10 20 0 1 NADINE 34.9 99.3 77 433 +1974 10 8 0 9 DEBBY 9.5 286.2 23 227 +1955 2 20 18 3 WILLIAM 38.1 311.5 64 339 +1984 3 5 0 8 OSCAR 49.8 326.9 74 133 +1950 11 1 18 20 JOYCE 33.9 213.9 92 125 +1991 9 27 18 5 BERYL 43.1 276.9 136 418 +1965 12 14 12 22 VALERIE 54.3 236.2 142 555 +1981 7 13 0 10 LESLIE 41.3 206.7 117 348 +1995 3 10 18 22 WILLIAM 37.4 202.7 96 739 +1996 6 8 0 26 ISAAC 53.8 67.5 64 542 +1952 2 20 0 18 HELENE 68.1 334.0 23 899 +1993 7 3 18 1 DEBBY 56.7 98.2 97 349 +1973 9 13 18 28 FLORENCE 57.5 334.5 13 489 +1992 8 24 12 18 WILLIAM 30.3 310.9 105 823 +1954 6 24 18 12 CHRIS 18.0 46.1 24 63 +1997 2 27 0 27 LESLIE 32.6 267.4 20 566 +1992 4 18 0 15 TONY 7.5 318.4 76 467 +1992 7 17 12 24 GORDON 38.8 322.9 161 444 +1990 5 20 18 10 PATTY 30.1 86.1 132 574 +1973 3 5 6 9 RAFAEL 39.0 97.1 55 390 +1957 8 26 0 21 ERNESTO 38.4 86.6 145 438 +1961 3 22 6 18 NADINE 11.6 176.7 29 34 +1999 10 6 18 7 CHRIS 44.6 256.4 118 46 +1992 6 9 18 6 PATTY 64.6 120.5 145 549 +1959 7 28 0 19 NADINE 21.0 308.7 150 679 +1983 4 24 6 3 NADINE 9.0 313.8 151 258 +1968 1 17 12 3 JOYCE 63.9 203.5 130 579 +1958 12 3 12 28 ERNESTO 19.0 229.6 90 57 +2003 4 15 12 17 ALBERTO 51.4 78.4 90 88 +1968 8 6 0 14 DEBBY 17.3 172.4 143 123 +1950 12 18 6 1 NADINE 12.3 25.0 107 248 +1964 12 27 0 12 HELENE 30.0 333.4 48 867 +1969 8 23 0 6 ISAAC 69.9 2.3 57 460 +1973 4 11 12 13 FLORENCE 44.9 43.9 105 352 +1988 1 20 6 23 TONY 9.1 315.1 158 214 +2000 2 22 18 7 TONY 19.3 39.2 65 721 +1951 11 25 0 16 JOYCE 30.5 140.3 145 183 +2002 2 10 18 17 SANDY 54.2 197.0 101 811 +1958 8 20 0 18 TONY 58.6 168.7 71 884 +1978 3 4 18 15 TONY 13.0 112.4 81 610 +1985 9 3 12 15 CHRIS 59.5 87.3 14 547 +1959 1 25 0 4 MICHAEL 15.9 330.2 152 638 +1951 7 8 12 6 PATTY 58.2 53.3 26 545 +1954 8 20 12 25 KIRK 39.7 60.1 143 317 +1958 5 4 18 4 SANDY 30.9 186.2 39 103 +1951 3 8 12 2 OSCAR 22.1 234.1 62 645 +1958 11 2 18 8 PATTY 10.6 15.6 92 368 +1991 4 1 6 16 OSCAR 52.3 105.3 22 204 +1986 5 7 6 20 HELENE 60.6 111.4 159 704 +1970 5 16 6 25 NADINE 9.0 289.1 67 299 +2004 11 18 18 11 ALBERTO 33.2 80.0 69 741 +1979 12 6 12 28 GORDON 58.1 129.4 138 157 +1981 12 14 6 14 GORDON 57.9 113.2 77 52 +1997 8 4 12 2 SANDY 65.9 218.2 132 799 +1965 3 19 6 25 SANDY 18.9 86.5 64 775 +2001 1 17 6 7 PATTY 55.2 112.1 26 619 +1983 12 10 6 28 KIRK 16.6 104.1 124 16 +1984 1 11 0 9 ERNESTO 20.9 175.7 37 319 +1972 3 26 12 15 KIRK 35.4 262.4 62 171 +1995 5 20 0 16 BERYL 30.0 354.8 36 800 +1991 10 1 6 2 PATTY 31.9 278.0 44 222 +1956 9 10 0 16 LESLIE 27.6 70.2 13 345 +1983 10 3 18 28 BERYL 18.4 291.2 100 355 +1950 11 13 6 21 HELENE 34.9 257.0 155 179 +1993 2 12 6 13 NADINE 46.0 302.7 148 96 +1992 6 8 12 2 KIRK 24.7 108.1 18 539 +1997 12 4 0 28 HELENE 49.0 237.4 148 449 +1973 6 25 12 11 NADINE 58.7 0.2 160 57 +1973 12 25 0 10 TONY 38.0 43.4 117 559 +1987 9 18 6 19 LESLIE 65.9 337.1 162 788 +1993 9 28 6 22 FLORENCE 25.5 85.8 146 346 +1971 12 8 18 11 FLORENCE 12.6 185.8 76 348 +2002 10 5 6 1 RAFAEL 57.3 70.7 124 603 +1983 3 20 18 15 JOYCE 48.1 186.2 89 849 +1999 9 24 18 8 MICHAEL 15.9 341.3 130 184 +1959 2 15 18 22 NADINE 45.7 336.0 83 258 +1957 4 13 18 17 HELENE 9.9 159.1 112 169 +1973 12 17 0 3 PATTY 16.9 25.1 73 766 +1989 6 3 0 3 RAFAEL 23.1 210.5 22 288 +1993 7 10 6 26 PATTY 54.6 88.0 163 320 +1969 8 12 18 26 LESLIE 11.2 327.3 149 245 +1997 12 17 0 22 LESLIE 35.2 132.5 108 245 +1975 1 16 6 15 NADINE 8.0 27.3 56 602 +1964 5 21 6 21 TONY 13.1 43.9 54 862 +1973 9 12 12 14 ISAAC 69.1 193.6 51 643 +2001 12 20 12 20 HELENE 61.8 187.7 108 887 +2002 7 4 18 19 HELENE 66.1 189.9 151 136 +1998 3 26 0 27 VALERIE 29.8 26.9 66 326 +1984 4 21 6 21 NADINE 17.7 234.4 106 256 +1951 1 13 6 26 DEBBY 11.2 139.9 20 191 +1972 6 19 6 13 BERYL 17.8 189.1 119 771 +1955 8 19 0 11 ALBERTO 68.2 304.5 68 653 +1993 12 3 0 3 ERNESTO 20.3 158.7 84 324 +1976 5 28 6 8 ERNESTO 54.7 270.1 117 144 +1970 12 10 18 12 PATTY 29.4 41.4 152 551 +1989 10 5 6 8 PATTY 33.5 356.3 120 813 +1956 8 4 6 11 WILLIAM 40.3 315.3 98 552 +1970 10 8 18 28 LESLIE 60.0 157.4 109 626 +1971 9 12 12 18 RAFAEL 60.8 69.7 108 231 +1996 1 26 12 8 MICHAEL 28.5 88.8 68 422 +1987 11 28 12 18 HELENE 59.9 174.5 10 539 +1964 11 17 6 22 FLORENCE 16.0 165.4 122 203 +1997 4 5 12 21 SANDY 15.4 46.2 55 483 +2001 6 1 12 13 ERNESTO 64.4 266.8 67 863 +1976 8 23 6 10 DEBBY 14.1 165.6 46 474 +1953 4 26 12 3 HELENE 27.1 294.9 149 377 +1968 12 7 0 10 LESLIE 26.8 294.1 120 873 +1969 5 23 6 25 ERNESTO 51.6 86.5 133 478 +1983 9 10 0 4 HELENE 26.0 159.7 111 130 +1990 1 18 0 15 WILLIAM 55.0 43.8 40 353 +2002 4 22 12 25 FLORENCE 17.5 340.8 28 839 +1991 3 13 0 22 CHRIS 62.6 244.9 131 176 +1958 4 19 18 28 NADINE 35.8 38.7 89 440 +1981 12 1 18 21 CHRIS 7.2 22.0 61 768 +1982 4 7 12 1 KIRK 26.3 316.1 24 45 +1985 3 23 12 10 OSCAR 69.3 231.1 133 392 +1973 10 17 18 21 JOYCE 10.7 178.1 42 404 +1956 2 11 0 15 LESLIE 65.6 120.3 131 415 +1956 10 7 18 10 KIRK 35.7 84.1 20 898 +1961 2 27 0 12 TONY 13.8 147.3 93 773 +1954 3 6 18 2 DEBBY 20.3 31.0 116 526 +1959 5 4 0 13 NADINE 59.9 73.2 60 476 +2000 5 14 6 19 KIRK 48.7 77.8 153 669 +1987 6 15 18 7 GORDON 64.5 70.9 76 852 +1960 11 9 18 28 ISAAC 65.1 218.8 143 102 +1960 5 14 6 16 TONY 16.0 238.9 67 12 +1993 7 22 0 12 DEBBY 43.5 246.7 140 831 +1999 4 9 0 1 GORDON 23.5 316.2 50 65 +1989 11 23 12 16 ISAAC 54.2 54.0 94 333 +1973 5 23 6 10 PATTY 8.0 272.4 144 582 +1978 5 5 0 25 KIRK 13.0 141.6 89 8 +1970 3 1 6 3 ALBERTO 20.2 57.0 146 781 +1982 7 4 12 27 OSCAR 35.9 209.2 32 338 +2003 8 24 6 8 ISAAC 23.1 202.0 59 59 +1987 12 28 12 5 ALBERTO 34.3 349.4 143 493 +1997 7 7 6 3 KIRK 26.6 147.6 70 898 +1967 9 17 6 7 CHRIS 19.2 353.8 98 796 +1962 6 5 0 12 VALERIE 39.7 149.3 97 692 +1995 4 11 18 2 BERYL 58.0 249.6 153 291 +1985 9 28 12 17 PATTY 65.4 301.4 15 725 +1958 1 21 18 2 PATTY 17.2 305.6 51 368 +1963 11 18 18 9 FLORENCE 23.6 208.9 64 477 +1983 4 12 0 2 PATTY 19.4 278.6 95 483 +1962 10 13 0 7 VALERIE 36.6 128.9 12 260 +1967 7 4 12 25 FLORENCE 31.3 233.7 63 829 +1965 1 5 12 2 DEBBY 31.4 225.3 49 121 +1955 11 14 18 4 BERYL 9.8 127.9 60 275 +1981 12 19 18 19 MICHAEL 56.2 113.9 20 6 +1968 6 9 6 11 PATTY 66.1 176.1 154 592 +2002 9 14 12 24 WILLIAM 41.6 225.3 41 575 +1981 6 6 18 10 ISAAC 37.0 232.2 154 196 +1988 7 7 6 10 NADINE 66.5 268.7 25 553 +1967 10 17 6 23 HELENE 46.4 143.2 54 832 +1959 2 1 0 25 GORDON 60.7 23.7 118 8 +2002 1 22 0 4 NADINE 40.2 20.1 108 280 +1951 6 13 12 4 VALERIE 69.9 271.1 11 49 +1951 4 3 12 11 WILLIAM 49.3 55.5 10 624 +1990 6 16 18 7 TONY 43.6 74.6 47 873 +1989 7 7 12 13 NADINE 49.3 131.9 117 397 +1984 9 14 0 17 ISAAC 62.2 119.7 147 176 +1972 5 6 12 6 HELENE 43.0 3.2 117 619 +1970 4 20 6 6 MICHAEL 53.2 64.2 151 340 +1963 5 14 12 16 ISAAC 60.0 24.7 22 627 +1979 5 22 18 19 SANDY 38.7 165.2 147 780 +1955 5 9 18 17 FLORENCE 23.0 334.6 85 252 +1998 2 4 6 5 KIRK 31.4 47.9 23 419 +1956 12 20 12 6 VALERIE 20.8 143.4 80 318 +1976 11 20 0 20 JOYCE 61.2 241.3 73 867 +1993 10 21 12 28 SANDY 61.7 36.6 146 890 +1999 11 3 18 6 VALERIE 58.2 343.0 161 307 +2001 9 26 6 17 GORDON 45.6 228.8 102 17 +1995 5 4 18 2 RAFAEL 68.4 170.8 55 133 +1964 9 27 18 1 ERNESTO 11.3 333.4 27 3 +1971 10 8 0 4 SANDY 59.8 310.5 157 823 +1962 6 11 18 21 CHRIS 7.3 52.9 25 733 +1981 11 1 0 27 LESLIE 24.5 202.2 22 877 +1953 2 11 18 23 ALBERTO 20.6 200.4 11 237 +1997 6 2 6 21 ALBERTO 34.9 242.3 164 842 +1963 3 27 0 26 VALERIE 52.5 52.1 124 754 +1951 8 8 18 16 KIRK 39.0 173.4 125 793 +1992 7 12 18 1 GORDON 66.6 258.9 96 469 +1990 10 16 18 26 ISAAC 25.4 183.7 123 718 +1973 1 22 0 19 FLORENCE 47.8 198.4 30 165 +1975 8 1 18 20 RAFAEL 18.4 20.0 37 705 +1974 3 2 12 11 ERNESTO 22.3 191.8 137 275 +1988 9 1 0 19 KIRK 20.0 180.2 47 112 +1976 6 11 6 26 ISAAC 41.5 266.2 158 273 +1992 4 12 12 6 ERNESTO 31.4 78.2 125 156 +1973 4 26 0 6 CHRIS 55.2 290.7 122 401 +1987 3 14 6 4 PATTY 60.9 28.1 54 305 +1991 5 8 0 27 BERYL 45.8 302.6 91 745 +2004 7 8 0 25 ISAAC 63.1 337.9 35 560 +2002 3 18 18 28 FLORENCE 13.3 180.7 77 131 +1964 3 6 6 13 OSCAR 49.3 55.9 129 677 +1965 12 13 18 3 ISAAC 58.8 288.0 72 293 +1975 7 28 6 23 ALBERTO 23.7 29.4 69 96 +1982 12 26 6 11 RAFAEL 46.1 7.3 13 31 +1997 11 14 12 15 NADINE 61.9 292.1 118 510 +1991 1 14 18 10 DEBBY 44.1 221.2 34 863 +1996 5 19 0 20 NADINE 44.6 289.0 148 869 +1993 9 5 12 8 BERYL 17.7 288.3 122 217 +1999 5 27 0 17 FLORENCE 58.3 263.6 27 352 +1990 7 4 6 20 CHRIS 56.5 343.8 132 764 +1990 9 11 0 3 VALERIE 36.8 108.0 109 189 +1959 5 9 12 2 TONY 15.4 135.6 159 688 +1976 1 19 18 21 OSCAR 27.1 212.8 110 220 +2004 3 6 12 8 ERNESTO 7.9 196.1 89 268 +1996 9 21 0 3 RAFAEL 20.7 114.6 78 882 +1971 1 3 18 7 DEBBY 17.8 179.6 152 8 +1980 3 1 12 26 SANDY 65.4 334.3 63 141 +1973 1 3 6 12 ERNESTO 65.6 306.8 29 48 +1982 3 17 6 24 ALBERTO 30.4 277.8 28 90 +1989 11 11 6 5 PATTY 47.0 197.9 119 94 +1980 2 26 6 23 KIRK 67.3 112.8 163 805 +1951 5 12 12 18 BERYL 12.0 47.0 29 327 +1980 1 12 6 26 ALBERTO 27.7 331.7 161 516 +1964 4 7 12 11 SANDY 36.6 350.8 160 364 +1976 12 25 6 15 HELENE 58.4 293.8 49 624 +1968 5 9 6 2 HELENE 36.9 327.8 117 715 +1992 4 27 0 27 NADINE 63.7 179.8 75 110 +1958 8 11 12 28 GORDON 30.4 104.8 97 617 +1996 11 16 6 11 WILLIAM 32.5 218.6 144 701 +1955 10 15 12 15 TONY 32.0 206.0 74 444 +2002 7 16 18 6 DEBBY 45.6 225.3 12 27 +1973 3 28 6 25 ERNESTO 59.0 35.1 126 323 +1999 7 1 18 6 GORDON 28.6 157.2 14 868 +1990 10 26 18 2 SANDY 47.6 219.8 101 477 +1985 5 26 18 6 WILLIAM 18.1 169.6 95 763 +1992 7 20 12 22 SANDY 7.6 244.6 96 109 +1978 10 18 18 13 DEBBY 15.3 145.8 134 372 +2004 5 28 12 20 PATTY 47.4 183.5 143 254 +1984 9 18 6 4 HELENE 14.7 336.0 50 397 +1961 11 28 12 17 NADINE 50.9 258.4 108 405 +1959 6 5 6 3 VALERIE 53.6 12.0 82 857 +1965 11 8 0 27 PATTY 29.0 47.4 103 164 +1981 6 18 0 8 ALBERTO 65.6 121.3 119 365 +1964 8 1 12 24 DEBBY 45.3 327.4 51 625 +1968 9 4 0 2 PATTY 69.9 178.2 54 159 +1966 3 19 12 26 ERNESTO 38.2 317.9 76 524 +1959 5 7 18 24 OSCAR 11.0 352.3 43 763 +2003 5 20 12 18 VALERIE 34.3 282.7 124 251 +1994 3 14 12 23 WILLIAM 54.3 257.5 17 120 +1961 4 13 0 12 JOYCE 7.5 352.9 104 810 +1957 2 3 12 26 FLORENCE 7.7 329.4 148 77 +1975 12 20 0 21 CHRIS 69.6 90.9 34 539 +2000 4 6 12 8 NADINE 31.0 234.3 63 859 +1975 11 19 18 13 RAFAEL 57.3 284.4 87 191 +1981 6 19 6 8 HELENE 63.4 266.0 159 884 +2002 9 7 6 8 MICHAEL 33.1 233.2 31 21 +2001 3 22 0 2 NADINE 45.7 215.9 159 386 +2002 2 28 0 4 NADINE 53.5 59.7 87 694 +1991 1 18 12 24 BERYL 43.0 129.3 116 26 +1977 10 2 18 20 BERYL 21.4 334.0 75 621 +2001 3 8 18 21 ERNESTO 35.2 167.6 37 502 +1998 9 25 12 19 NADINE 29.8 317.4 77 434 +1974 7 9 0 16 HELENE 30.3 148.2 162 489 +1984 4 20 12 14 BERYL 10.2 108.5 148 326 +1951 5 4 12 23 NADINE 41.0 26.6 91 329 +1983 11 5 0 24 HELENE 35.6 93.6 63 410 +1968 1 25 18 26 TONY 11.4 274.6 35 786 +2000 11 28 18 24 VALERIE 48.9 324.8 86 25 +1995 4 28 18 16 JOYCE 53.3 276.6 76 661 +1999 5 17 6 6 ISAAC 8.4 244.7 161 379 +1981 6 2 6 26 ALBERTO 63.5 46.0 98 755 +1968 12 3 12 25 KIRK 28.7 206.1 114 49 +1980 11 28 6 22 ALBERTO 63.4 137.4 116 82 +1999 10 2 6 12 ERNESTO 39.6 330.5 129 846 +1990 3 16 18 19 MICHAEL 47.8 108.6 138 750 +1989 10 3 12 24 RAFAEL 47.8 30.0 160 409 +1962 8 27 0 7 JOYCE 31.4 338.8 133 138 +1956 6 7 6 15 NADINE 58.6 268.5 124 828 +1986 3 10 18 2 ERNESTO 60.3 312.2 98 266 +1967 3 4 6 14 CHRIS 9.4 47.3 44 135 +2004 2 7 6 16 WILLIAM 41.6 18.7 116 175 +1966 10 27 18 17 VALERIE 16.5 256.1 144 793 +2000 7 27 6 1 GORDON 50.0 334.5 49 549 +1992 5 15 18 8 NADINE 22.7 252.9 54 688 +1968 5 6 12 21 JOYCE 39.3 248.8 64 618 +1969 10 9 6 24 ERNESTO 28.4 231.8 61 731 +1991 4 7 0 24 GORDON 47.1 352.1 115 69 +1995 7 18 12 5 OSCAR 62.1 136.7 142 316 +2000 11 6 18 4 PATTY 12.1 182.9 94 876 +1970 4 2 6 21 ISAAC 14.8 115.1 57 39 +1993 9 23 18 14 CHRIS 25.6 93.4 161 886 +1967 12 14 12 24 NADINE 28.4 153.3 51 827 +1955 5 5 0 13 BERYL 62.6 143.9 34 168 +1988 11 22 18 24 ISAAC 12.2 274.7 37 120 +1974 11 17 18 23 VALERIE 43.1 121.4 105 275 +1969 4 12 0 6 ISAAC 58.3 316.1 115 83 +1963 2 17 18 25 KIRK 39.8 204.2 131 460 +1997 10 20 6 25 GORDON 40.8 220.5 45 767 +2004 11 17 12 9 GORDON 20.9 106.7 79 418 +1979 3 11 0 7 DEBBY 25.5 200.0 160 767 +2000 4 5 0 8 JOYCE 8.2 323.6 103 597 +1996 6 9 12 21 LESLIE 51.0 146.6 19 62 +1963 4 4 0 24 DEBBY 46.9 302.2 87 875 +1991 5 1 18 9 ERNESTO 24.4 325.6 33 265 +1980 5 24 18 17 GORDON 12.2 276.1 65 773 +1971 5 23 6 8 GORDON 7.3 137.6 23 44 +1951 9 2 6 5 SANDY 57.8 51.7 54 877 +1958 4 19 12 21 ISAAC 34.9 39.7 155 86 +1973 8 9 18 17 ALBERTO 21.4 330.0 68 137 +1956 4 1 12 21 BERYL 23.5 68.1 93 702 +1969 6 28 18 15 ALBERTO 31.9 186.2 123 654 +1950 2 27 18 11 TONY 39.3 187.0 73 578 +1974 5 8 6 22 ISAAC 45.5 299.7 50 461 +1979 6 25 18 20 ISAAC 31.0 83.2 135 505 +1967 9 1 0 6 BERYL 35.1 329.7 80 626 +1996 3 4 12 26 ALBERTO 14.7 1.1 119 392 +1961 8 6 6 16 DEBBY 56.0 42.0 157 47 +1955 2 9 12 24 MICHAEL 21.2 98.6 123 881 +1953 2 1 6 6 VALERIE 23.8 215.8 94 424 +1992 4 11 0 24 ERNESTO 49.6 203.1 154 892 +1986 8 3 12 13 NADINE 13.7 284.7 26 313 +1968 3 17 18 21 BERYL 59.0 208.4 114 183 +1952 12 8 18 15 TONY 37.4 178.0 45 97 +1966 8 14 6 12 VALERIE 50.7 314.0 145 128 +1969 5 16 6 15 CHRIS 33.1 138.2 35 624 +1964 11 18 18 17 CHRIS 22.5 9.4 122 746 +1964 6 28 6 2 NADINE 7.5 306.5 45 74 +1955 4 12 12 12 PATTY 37.9 195.9 128 849 +1951 10 15 18 5 MICHAEL 26.3 72.2 129 543 +2003 9 25 18 19 HELENE 33.9 112.8 79 441 +1968 5 27 0 5 ALBERTO 47.8 48.8 15 672 +1993 9 22 12 25 JOYCE 35.7 288.4 125 358 +2004 6 25 12 27 JOYCE 20.2 63.5 84 185 +1996 4 6 0 12 KIRK 41.9 246.7 53 120 +1986 11 5 0 28 ERNESTO 11.4 190.5 119 514 +2000 3 12 12 20 NADINE 10.2 12.0 61 692 +2004 4 28 12 5 PATTY 56.4 354.8 52 1 +1977 1 10 6 20 WILLIAM 55.3 236.3 136 729 +1995 2 6 6 7 WILLIAM 52.6 312.6 15 104 +1991 5 26 12 27 TONY 9.3 251.4 14 246 +1962 6 6 18 9 ISAAC 69.0 182.9 105 762 +1955 12 10 12 9 KIRK 46.3 67.8 27 781 +1952 4 22 12 25 VALERIE 24.2 240.2 97 868 +1996 7 13 6 25 OSCAR 34.2 272.7 158 526 +1999 9 27 12 10 TONY 65.3 304.4 18 45 +1988 8 20 0 14 RAFAEL 8.6 103.3 104 75 +1979 7 7 6 12 FLORENCE 9.3 236.0 26 417 +1992 6 7 0 19 CHRIS 59.6 332.9 61 186 +1955 9 17 18 26 DEBBY 15.0 32.2 16 257 +2004 2 1 12 12 RAFAEL 22.2 164.9 155 708 +2000 5 8 18 21 VALERIE 37.2 119.2 135 360 +1963 8 21 0 1 TONY 27.3 356.6 103 211 +1963 12 19 0 26 WILLIAM 17.0 233.5 99 417 +1975 12 3 12 6 BERYL 35.1 351.4 56 897 +1977 1 4 6 18 OSCAR 65.3 315.4 74 696 +1980 10 20 12 27 WILLIAM 52.3 247.3 81 210 +1961 11 10 6 10 DEBBY 48.5 89.6 77 849 +1995 2 6 0 26 ALBERTO 45.8 87.5 81 589 +1980 1 3 18 19 GORDON 32.7 67.7 103 510 +1966 3 22 12 21 OSCAR 40.2 269.3 86 792 +1964 7 19 0 3 SANDY 42.3 59.1 94 370 +1968 11 9 18 18 OSCAR 17.3 142.7 138 842 +1987 5 8 6 9 PATTY 41.1 349.0 47 194 +1978 9 24 12 9 NADINE 44.8 209.9 42 430 +2001 5 10 18 12 KIRK 17.1 333.2 51 660 +1978 4 19 12 16 VALERIE 65.4 36.9 29 680 +2002 10 16 18 19 GORDON 43.0 349.6 137 320 +1968 4 18 6 1 FLORENCE 41.3 247.3 147 314 +1966 5 26 0 9 TONY 50.0 301.1 101 658 +1951 12 14 12 23 OSCAR 53.9 181.8 103 83 +1952 12 26 12 8 FLORENCE 26.9 342.4 60 826 +1973 1 25 18 21 SANDY 22.9 330.7 88 248 +1992 3 10 12 19 NADINE 37.0 204.1 22 637 +1959 6 1 18 4 ISAAC 34.8 208.3 99 37 +1995 5 23 12 20 JOYCE 47.1 176.4 137 522 +1991 3 16 6 3 BERYL 63.3 224.3 51 259 +1966 8 7 18 13 LESLIE 52.2 330.0 156 872 +1955 10 19 6 21 NADINE 68.1 239.7 59 331 +1967 11 27 12 2 LESLIE 10.7 279.2 158 467 +1961 9 5 12 4 LESLIE 40.2 27.2 151 264 +1961 5 8 0 20 CHRIS 69.0 97.3 159 747 +2003 10 16 0 15 SANDY 27.4 298.1 19 487 +2001 1 25 6 10 VALERIE 38.2 350.8 143 325 +1963 8 3 6 1 DEBBY 41.6 169.5 65 493 +1993 5 13 18 9 ERNESTO 19.9 263.8 18 660 +1964 10 21 12 2 SANDY 35.2 147.7 43 51 +1980 11 13 6 6 ALBERTO 27.0 243.4 161 865 +1959 3 4 0 13 JOYCE 46.0 196.2 88 61 +1996 2 3 18 23 OSCAR 34.3 140.7 64 668 +1994 6 5 6 9 MICHAEL 68.1 200.6 100 682 +1957 11 24 6 24 SANDY 49.1 205.7 16 605 +2004 2 15 0 21 KIRK 13.9 282.2 60 802 +1951 12 16 12 6 WILLIAM 63.2 232.4 19 843 +2004 10 19 0 27 BERYL 23.8 51.7 81 519 +1981 1 23 18 13 BERYL 13.3 338.6 142 199 +1986 9 22 12 5 TONY 59.6 77.2 112 312 +1991 5 13 0 2 SANDY 10.5 84.1 154 344 +1975 8 14 18 5 HELENE 42.0 189.4 135 334 +1969 4 21 0 24 ERNESTO 49.1 31.0 22 464 +1960 1 23 0 23 RAFAEL 13.4 190.0 71 792 +1997 5 24 6 20 TONY 53.1 216.6 124 559 +1989 8 8 0 21 JOYCE 49.2 183.4 161 331 +1997 3 12 18 25 LESLIE 17.9 282.3 134 529 +1965 9 1 0 12 RAFAEL 21.9 22.2 74 194 +1967 10 20 0 1 LESLIE 57.2 186.1 40 530 +1977 4 23 12 24 FLORENCE 66.3 303.6 152 354 +1965 8 24 6 27 ERNESTO 14.5 233.4 44 270 +1990 11 7 6 10 NADINE 66.7 179.6 123 840 +1955 1 20 6 1 WILLIAM 56.1 275.6 160 389 +1963 2 12 0 25 SANDY 35.1 84.5 34 402 +1959 4 21 12 22 CHRIS 30.1 349.4 11 789 +1985 12 14 0 19 ISAAC 53.2 31.6 90 695 +1969 7 11 0 3 ERNESTO 57.7 161.4 104 227 +2000 6 3 18 8 SANDY 47.3 339.2 50 683 +1997 4 25 18 5 GORDON 11.1 271.1 31 317 +1957 7 22 18 17 TONY 8.4 263.9 102 200 +1995 4 21 0 18 JOYCE 54.4 254.4 63 345 +1956 11 18 18 19 TONY 8.8 351.5 82 359 +1955 3 14 18 23 RAFAEL 48.6 124.7 144 779 +2001 5 25 6 5 VALERIE 65.6 348.1 62 144 +1950 4 13 18 22 ERNESTO 25.9 187.0 72 864 +1992 2 22 12 22 WILLIAM 26.6 357.3 110 563 +1969 3 8 6 10 LESLIE 49.9 209.1 35 31 +1950 9 15 0 9 VALERIE 28.9 70.1 56 543 +1954 4 6 18 2 HELENE 48.7 277.5 84 484 +1982 7 27 6 25 HELENE 49.4 30.9 161 692 +1995 7 16 12 1 ERNESTO 65.9 206.6 19 373 +1982 12 25 18 21 JOYCE 49.9 197.6 109 47 +1955 6 26 12 28 MICHAEL 37.9 281.1 75 418 +2004 7 24 12 18 WILLIAM 14.5 192.8 149 691 +1971 1 24 0 23 SANDY 28.3 345.4 80 684 +1993 5 24 0 6 NADINE 60.2 99.1 66 584 +1964 11 7 18 7 OSCAR 22.7 164.4 35 216 +1978 3 17 12 11 LESLIE 22.6 26.0 135 896 +1953 1 1 12 22 DEBBY 15.6 98.3 62 22 +1975 5 18 6 19 CHRIS 67.3 92.2 10 160 +1958 6 18 18 28 LESLIE 69.2 85.7 116 584 +1974 8 4 12 28 NADINE 12.3 329.3 85 423 +1986 3 16 6 5 FLORENCE 55.4 74.8 96 77 +1996 9 21 6 1 OSCAR 45.5 205.8 136 79 +1950 2 10 18 9 KIRK 9.9 215.2 50 860 +2001 7 2 6 7 CHRIS 51.8 227.7 109 327 +1956 9 22 12 14 PATTY 12.6 227.5 65 735 +2002 6 27 0 20 CHRIS 67.1 143.7 112 131 +1980 7 28 18 25 MICHAEL 64.6 53.8 125 622 +1979 4 22 18 27 PATTY 27.8 177.2 137 453 +1984 12 24 0 9 RAFAEL 21.3 263.7 77 545 +1975 2 6 6 17 ERNESTO 63.4 22.3 54 353 +1976 12 21 18 8 MICHAEL 55.6 336.4 42 22 +1964 10 20 18 1 MICHAEL 21.4 272.9 63 336 +1993 2 2 18 28 OSCAR 20.5 215.8 145 56 +1957 4 24 6 16 CHRIS 56.2 339.8 115 571 +1994 3 24 6 13 OSCAR 40.7 50.9 64 89 +1955 10 2 12 18 WILLIAM 9.1 242.1 61 27 +1986 3 22 12 26 CHRIS 46.7 225.0 38 172 +1962 7 6 18 15 OSCAR 33.5 90.6 98 252 +1987 6 10 18 16 FLORENCE 41.2 162.3 152 395 +2004 7 23 6 12 ISAAC 24.5 199.7 110 55 +1959 12 8 12 1 NADINE 41.1 45.0 85 721 +1977 5 8 6 21 ALBERTO 40.0 301.9 157 26 +2002 9 15 18 8 OSCAR 29.5 140.6 24 44 +1952 9 16 6 28 ISAAC 45.2 336.2 89 586 +1955 9 4 6 12 VALERIE 54.7 199.8 18 394 +1999 12 22 12 14 JOYCE 59.6 355.0 17 510 +2002 12 5 6 2 OSCAR 67.7 167.0 45 603 +1994 12 5 0 1 DEBBY 27.3 304.1 83 69 +1972 3 7 0 5 RAFAEL 63.9 241.5 103 56 +1965 10 20 18 25 TONY 26.0 192.0 63 414 +1964 1 13 12 5 PATTY 14.9 198.6 25 307 +1993 10 16 0 9 HELENE 52.7 177.8 110 637 +2004 1 12 0 11 JOYCE 37.9 227.0 49 573 +1962 8 16 6 10 LESLIE 15.2 245.6 96 251 +1952 8 23 6 8 GORDON 27.3 337.0 68 734 +1950 1 15 0 16 SANDY 13.0 70.4 140 217 +1977 7 21 12 10 PATTY 32.2 174.9 119 557 +1982 1 16 18 7 ISAAC 61.2 257.2 111 220 +1988 7 23 6 28 ERNESTO 45.0 142.0 86 152 +1959 6 14 0 5 LESLIE 69.5 186.8 105 730 +1974 8 7 18 15 LESLIE 52.6 355.8 98 620 +2000 1 27 6 24 CHRIS 40.2 355.5 93 535 +2002 8 19 18 1 KIRK 20.5 263.4 81 545 +1999 11 10 18 9 KIRK 51.6 284.3 141 689 +1991 3 23 12 18 RAFAEL 55.6 187.6 119 327 +1962 5 19 12 1 HELENE 67.2 316.5 98 314 +2003 2 13 6 4 KIRK 43.3 288.3 15 84 +1962 11 13 12 15 FLORENCE 17.9 112.3 79 744 +1957 9 20 12 22 ALBERTO 53.9 302.1 115 309 +1982 12 1 18 12 BERYL 31.5 119.1 135 885 +1970 4 20 0 24 NADINE 58.7 87.5 86 440 +1975 2 25 18 2 PATTY 55.3 182.7 43 347 +1999 2 10 6 10 PATTY 14.0 191.2 62 460 +1965 4 20 0 16 CHRIS 14.8 61.6 12 187 +1970 9 2 6 6 HELENE 38.9 23.4 46 302 +1994 5 5 6 15 MICHAEL 49.8 107.3 95 332 +1969 4 17 18 16 LESLIE 33.1 352.2 94 89 +1958 2 10 0 27 WILLIAM 17.8 62.1 10 684 +1976 11 28 12 16 LESLIE 7.0 197.7 23 456 +1980 12 17 6 24 ISAAC 56.7 184.1 155 356 +1995 7 4 0 14 PATTY 28.0 165.5 140 444 +1982 7 19 12 17 KIRK 17.1 280.6 117 894 +1985 3 26 6 3 JOYCE 44.5 333.5 107 376 +1951 1 24 0 4 TONY 12.2 92.5 85 830 +1963 5 25 0 19 GORDON 8.8 344.9 125 633 +1980 5 6 18 21 MICHAEL 21.2 176.9 136 818 +1970 6 11 0 9 ALBERTO 53.9 201.7 134 224 +1963 2 18 6 26 WILLIAM 33.2 2.5 60 796 +1973 8 25 0 19 MICHAEL 57.3 124.5 52 111 +1982 3 18 18 26 WILLIAM 53.4 186.3 95 503 +1981 9 25 6 1 NADINE 45.4 99.1 69 781 +1986 10 10 6 3 PATTY 69.4 188.9 52 217 +1950 4 27 0 17 MICHAEL 51.1 322.8 111 501 +1976 5 8 18 3 ALBERTO 50.5 162.7 15 406 +1994 12 24 18 26 NADINE 53.0 110.4 133 463 +1964 8 9 18 20 ERNESTO 45.4 123.8 59 113 +1978 11 7 12 21 RAFAEL 55.7 331.3 82 160 +1980 1 25 6 28 LESLIE 34.8 347.5 65 126 +1994 3 6 18 15 HELENE 12.6 192.3 76 892 +1960 1 1 12 9 ERNESTO 64.5 3.4 123 330 +1962 10 17 12 11 VALERIE 57.6 203.6 52 81 +1985 6 19 0 28 MICHAEL 45.5 119.8 140 853 +2003 7 9 18 21 KIRK 13.1 92.0 153 31 +1960 6 6 12 20 GORDON 21.4 151.9 109 602 +1984 5 1 18 2 HELENE 52.8 156.0 123 162 +1954 6 14 12 7 KIRK 70.0 306.0 133 330 +1972 11 6 6 5 SANDY 49.6 61.6 135 691 +2002 7 17 6 4 LESLIE 60.2 150.4 37 437 +1968 9 7 6 5 MICHAEL 8.1 211.4 11 707 +1959 8 3 0 16 ERNESTO 24.9 168.8 13 573 +1998 8 18 18 4 ISAAC 28.0 166.3 38 228 +1967 3 23 12 13 ALBERTO 55.5 235.5 121 705 +1974 2 21 12 18 WILLIAM 7.4 317.3 24 358 +1971 1 24 12 11 KIRK 63.3 70.2 67 816 +1981 3 11 0 19 MICHAEL 37.2 86.6 79 99 +2003 1 10 0 27 PATTY 45.5 280.5 78 220 +2000 9 19 18 10 VALERIE 49.6 260.7 21 62 +1958 3 13 6 16 ERNESTO 26.4 39.7 108 635 +2001 1 3 6 10 NADINE 11.6 330.3 135 305 +1958 11 2 6 13 FLORENCE 19.8 23.6 92 63 +1962 9 13 6 10 ERNESTO 63.3 49.5 136 143 +1955 12 12 12 3 SANDY 34.2 95.4 149 793 +1958 10 13 6 9 VALERIE 14.1 229.9 44 205 +1972 6 2 18 5 JOYCE 57.0 126.3 117 588 +1991 5 25 12 6 HELENE 69.9 215.8 86 283 +1986 10 13 18 10 GORDON 13.3 217.5 97 371 +1969 6 26 12 12 LESLIE 51.0 101.7 108 860 +1960 12 20 0 8 DEBBY 38.9 312.1 61 178 +1969 2 10 0 28 ERNESTO 21.0 34.7 79 697 +1986 2 11 12 25 RAFAEL 28.2 276.1 24 83 +1952 11 2 6 7 KIRK 18.5 266.5 55 707 +1991 1 24 18 14 VALERIE 58.3 168.0 132 774 +1974 2 17 0 28 JOYCE 11.8 303.6 16 420 +1985 10 13 0 23 ALBERTO 66.3 226.9 127 489 +1999 5 15 6 16 ALBERTO 52.9 228.7 87 133 +1999 11 2 6 27 HELENE 20.3 284.4 34 810 +1967 6 18 12 17 WILLIAM 49.8 174.1 36 735 +1971 6 3 6 14 HELENE 38.7 343.4 134 738 +1962 12 5 18 9 ISAAC 13.7 329.2 123 435 +1966 4 4 18 1 DEBBY 29.1 59.8 125 474 +1954 11 16 12 15 DEBBY 13.7 150.2 19 660 +1953 2 23 12 25 JOYCE 35.2 162.2 24 793 +1970 6 1 6 16 BERYL 47.5 88.3 36 377 +1963 10 11 6 22 OSCAR 57.3 312.6 10 312 +1989 8 24 12 13 GORDON 8.7 153.9 153 602 +1983 7 25 6 10 SANDY 28.6 218.7 22 483 +1955 11 20 0 15 SANDY 25.0 163.4 132 185 +1995 5 22 12 8 OSCAR 44.2 27.8 125 603 +1961 11 10 0 28 BERYL 34.2 123.3 103 617 +1973 2 10 6 22 JOYCE 53.3 283.5 98 138 +1969 3 6 6 20 RAFAEL 43.9 193.9 60 499 +2003 2 27 12 21 JOYCE 7.7 299.5 95 233 +1959 9 26 12 9 PATTY 11.9 273.9 140 93 +1983 2 15 12 15 NADINE 15.2 133.7 51 585 +2001 9 14 12 26 OSCAR 37.4 44.0 50 620 +1975 9 25 0 11 ISAAC 30.1 258.0 131 93 +1995 10 27 6 10 HELENE 66.2 118.4 93 255 +1995 5 5 18 21 SANDY 63.0 259.1 145 229 +1975 6 23 0 10 PATTY 39.7 22.3 159 107 +1972 5 12 0 21 LESLIE 36.4 87.8 22 741 +1972 10 22 12 6 GORDON 62.7 263.0 34 244 +1954 1 2 6 12 ERNESTO 13.1 108.5 105 788 +1980 7 12 6 7 ALBERTO 50.7 95.1 41 584 +1992 7 4 18 16 PATTY 26.9 268.9 135 194 +1954 4 12 6 3 GORDON 8.8 20.0 31 193 +1961 7 19 6 2 ISAAC 24.3 311.1 113 338 +2003 9 17 12 17 KIRK 22.5 107.6 130 296 +1969 8 7 6 5 MICHAEL 29.0 46.4 110 33 +1976 7 24 12 25 ERNESTO 38.8 133.0 28 193 +1959 12 27 0 16 TONY 31.3 275.0 54 896 +1981 5 24 6 22 GORDON 52.7 146.7 151 134 +1993 11 7 18 7 BERYL 45.6 29.4 69 74 +1976 3 8 6 22 ISAAC 58.8 90.7 24 897 +1969 3 18 12 17 RAFAEL 23.5 314.1 85 175 +1980 10 13 12 8 NADINE 37.3 191.1 152 126 +1967 5 10 0 10 FLORENCE 53.9 356.3 117 478 +1965 4 22 12 14 DEBBY 22.3 225.0 44 847 +1954 3 18 6 22 ALBERTO 40.7 46.3 120 629 +1957 1 23 18 16 PATTY 44.9 174.2 96 585 +1994 4 18 6 6 MICHAEL 23.9 188.3 127 698 +1968 2 28 12 15 VALERIE 7.3 143.2 141 480 +1975 1 6 0 24 RAFAEL 53.3 81.0 154 640 +1967 4 14 12 14 HELENE 25.7 110.9 90 554 +1978 3 18 12 5 ERNESTO 21.9 306.4 135 40 +1984 8 26 12 7 KIRK 66.6 167.8 78 328 +2000 6 9 18 2 TONY 30.8 16.6 76 304 +1985 4 14 18 28 PATTY 59.1 321.7 124 755 +1981 1 23 18 24 ERNESTO 24.9 75.6 147 334 +1952 3 9 6 2 ALBERTO 26.0 106.9 113 624 +2001 12 28 12 21 NADINE 60.5 84.6 109 516 +1990 3 11 6 23 MICHAEL 58.9 197.2 100 47 +1979 12 8 6 11 JOYCE 8.0 45.2 88 271 +1981 3 24 6 26 LESLIE 15.8 46.4 154 458 +1980 6 27 0 26 PATTY 8.1 274.7 41 368 +1972 2 12 6 27 NADINE 41.3 82.8 90 511 +1960 3 13 6 22 KIRK 20.4 134.6 133 21 +1988 8 21 18 22 LESLIE 41.1 71.2 148 507 +1990 9 15 0 28 NADINE 19.2 321.8 161 474 +1952 3 7 12 25 LESLIE 21.6 305.3 141 803 +1970 8 19 6 22 TONY 37.9 107.3 74 875 +1951 7 24 18 11 RAFAEL 65.3 254.0 45 475 +1989 12 9 0 24 SANDY 46.5 63.7 112 448 +1988 11 20 0 10 BERYL 69.2 143.3 124 99 +1995 2 1 0 7 ISAAC 27.3 281.5 63 359 +1970 2 7 0 28 PATTY 52.4 219.8 117 851 +1963 1 28 18 20 MICHAEL 8.5 316.9 67 426 +2000 4 20 18 28 PATTY 64.9 73.6 111 872 +1965 9 25 6 21 RAFAEL 51.4 125.6 54 464 +1971 6 16 12 5 ALBERTO 45.4 308.6 148 785 +1972 10 25 6 6 GORDON 48.3 310.5 38 472 +1960 8 27 0 12 ALBERTO 27.8 67.8 131 262 +1959 12 19 0 23 BERYL 52.5 10.7 62 151 +1969 1 18 12 3 MICHAEL 31.8 27.0 140 134 +1963 10 12 12 9 SANDY 32.2 95.7 48 179 +1980 10 10 6 28 NADINE 62.1 229.7 64 665 +1969 6 23 6 2 OSCAR 64.6 356.5 37 785 +1999 10 21 18 17 BERYL 15.0 346.2 50 443 +1967 11 1 18 25 TONY 58.3 188.4 148 2 +2004 8 1 18 20 DEBBY 28.0 337.1 158 355 +1953 12 11 0 16 VALERIE 31.7 339.4 156 345 +1981 3 28 0 6 JOYCE 66.9 64.9 142 885 +1957 8 3 18 24 CHRIS 57.4 132.6 79 446 +1988 7 26 6 7 PATTY 61.0 267.8 74 99 +1993 4 20 0 23 PATTY 60.5 249.2 26 8 +1981 12 3 6 20 VALERIE 30.7 265.1 53 845 +1977 9 19 6 7 MICHAEL 25.0 21.8 87 777 +1997 6 8 12 14 ERNESTO 24.0 339.7 128 239 +1957 10 23 0 27 HELENE 46.9 39.4 112 634 +2004 3 7 18 10 KIRK 21.0 205.2 38 417 +1978 7 14 0 28 ERNESTO 48.2 241.1 54 631 +1969 7 17 6 11 KIRK 36.8 15.3 115 811 +1953 4 26 12 8 ERNESTO 19.1 148.9 20 283 +1982 1 5 6 11 PATTY 53.9 65.1 10 758 +1962 9 25 6 18 MICHAEL 68.0 131.1 18 185 +1982 3 22 0 8 MICHAEL 60.4 213.8 40 121 +1984 2 18 0 3 CHRIS 23.0 0.3 154 455 +1984 5 4 18 7 JOYCE 10.5 196.5 36 831 +1984 8 27 18 28 HELENE 13.2 321.6 89 839 +1978 4 15 18 3 VALERIE 31.1 326.0 151 469 +1975 7 16 0 9 LESLIE 30.3 197.7 22 116 +1965 4 25 12 2 PATTY 12.6 226.3 86 894 +1952 4 26 0 14 KIRK 65.5 314.2 21 66 +1954 5 11 0 27 LESLIE 29.8 53.1 120 450 +1953 3 1 12 19 FLORENCE 60.9 163.2 23 662 +1962 9 17 0 6 WILLIAM 15.3 64.5 74 540 +2004 2 12 0 12 GORDON 68.8 172.3 149 368 +1999 5 1 6 23 ERNESTO 14.5 54.6 163 785 +1979 5 14 18 22 TONY 36.4 209.5 70 282 +1979 8 12 6 7 ISAAC 10.8 152.7 63 646 +2000 5 20 6 17 HELENE 27.0 30.4 33 369 +1959 5 19 18 8 MICHAEL 12.3 55.4 65 287 +1964 1 7 0 2 KIRK 48.7 50.7 107 98 +1974 10 24 12 25 NADINE 57.6 215.9 144 416 diff --git a/benchmarks/new_opencl/nearn/cane4_1.db b/benchmarks/new_opencl/nearn/cane4_1.db new file mode 100755 index 000000000..c5c1b3c35 --- /dev/null +++ b/benchmarks/new_opencl/nearn/cane4_1.db @@ -0,0 +1,10691 @@ +1997 3 1 12 28 TONY 9.3 315.8 87 9 +1957 5 8 6 5 HELENE 23.1 61.6 105 876 +1954 3 22 6 18 MICHAEL 59.8 108.5 150 276 +1963 9 25 6 5 ERNESTO 18.0 180.0 77 202 +1978 12 2 18 13 FLORENCE 37.8 306.2 147 267 +1962 1 3 0 10 OSCAR 13.7 142.8 28 32 +1975 6 7 6 16 RAFAEL 40.1 147.9 126 493 +1967 9 20 18 27 SANDY 11.0 146.7 105 379 +1956 4 1 0 9 DEBBY 13.9 204.2 138 399 +1998 4 1 12 25 JOYCE 60.7 251.5 96 103 +1992 7 18 18 24 BERYL 35.0 232.2 22 210 +2000 6 26 12 17 ERNESTO 40.2 337.4 108 337 +1977 9 24 18 15 ERNESTO 65.0 336.9 46 733 +1987 11 9 6 26 SANDY 33.0 333.3 92 78 +1982 1 17 18 6 JOYCE 8.6 242.1 100 691 +1987 1 23 6 16 OSCAR 51.1 337.8 93 13 +1978 7 18 6 24 ERNESTO 65.1 143.8 37 745 +1964 11 17 0 15 GORDON 51.6 97.5 48 595 +1999 7 6 12 14 PATTY 69.0 44.1 65 330 +1959 1 17 18 20 OSCAR 46.5 354.7 70 258 +1975 3 1 18 8 JOYCE 47.5 204.0 142 187 +1974 5 4 12 6 FLORENCE 46.5 331.5 145 661 +1968 10 9 6 7 FLORENCE 57.4 155.4 65 212 +2004 1 16 12 24 SANDY 37.9 124.5 36 201 +2000 6 7 18 14 ISAAC 22.3 274.5 42 830 +1999 1 1 0 5 CHRIS 38.8 321.8 76 437 +1964 10 17 0 27 HELENE 56.2 286.9 119 771 +1986 9 10 18 9 WILLIAM 9.1 129.6 164 618 +1990 2 12 0 18 ALBERTO 35.7 157.1 73 845 +1966 1 26 0 21 WILLIAM 32.0 75.5 25 177 +1961 2 26 0 19 KIRK 56.3 265.5 86 669 +1976 8 23 18 14 KIRK 11.8 190.4 88 37 +1983 8 26 0 13 FLORENCE 22.6 11.3 134 72 +1976 2 2 0 7 PATTY 53.1 155.7 37 328 +1981 10 4 6 24 BERYL 66.5 187.6 20 163 +1970 4 19 6 23 SANDY 59.7 136.9 88 20 +1969 10 7 6 18 VALERIE 17.1 133.2 78 74 +1979 2 21 18 13 MICHAEL 49.7 232.9 77 160 +1993 2 17 6 1 CHRIS 41.2 223.0 10 555 +1989 1 6 6 5 JOYCE 29.0 171.2 75 473 +1994 7 5 6 8 NADINE 27.0 342.7 73 234 +1951 5 9 18 20 MICHAEL 38.1 295.3 10 892 +1971 8 13 0 4 RAFAEL 60.3 4.1 102 309 +1974 6 23 6 27 LESLIE 44.4 29.4 28 608 +1963 2 23 18 17 HELENE 13.2 96.8 50 435 +1987 9 15 6 2 KIRK 10.3 228.3 97 825 +1974 1 14 18 13 ISAAC 34.0 275.6 14 737 +1984 11 13 12 6 MICHAEL 66.5 203.7 50 876 +1955 6 5 0 27 MICHAEL 38.8 90.9 163 238 +1968 7 27 18 1 JOYCE 14.2 41.3 112 221 +1967 8 7 12 24 WILLIAM 17.1 195.8 24 579 +1996 6 24 12 20 CHRIS 55.5 185.8 47 309 +1987 9 4 0 21 GORDON 32.6 265.4 47 94 +1999 5 8 6 16 OSCAR 14.1 47.7 139 100 +1994 4 27 0 11 DEBBY 66.2 143.8 68 251 +1983 2 4 0 6 DEBBY 66.3 355.0 79 467 +2004 12 16 12 17 DEBBY 50.9 290.5 157 782 +1999 5 11 12 5 CHRIS 63.4 235.2 129 240 +1986 6 6 12 12 OSCAR 14.5 351.0 162 763 +2002 3 3 6 24 PATTY 27.2 23.3 161 234 +1987 4 4 18 17 KIRK 68.9 91.0 148 885 +1970 10 27 6 22 RAFAEL 17.5 223.1 77 242 +1969 1 17 12 3 DEBBY 9.2 160.8 157 640 +1995 3 19 18 8 RAFAEL 27.8 340.6 137 224 +1983 7 16 18 10 ERNESTO 21.1 132.1 136 602 +1972 9 18 18 24 LESLIE 13.6 75.6 112 708 +1995 6 14 12 9 BERYL 47.0 286.2 163 111 +1977 5 2 0 6 SANDY 46.0 303.5 57 33 +1972 1 6 0 27 DEBBY 24.2 50.7 81 34 +2001 2 3 18 3 VALERIE 68.4 91.8 44 307 +1965 7 8 18 19 OSCAR 18.8 219.2 18 133 +1998 3 24 12 1 ERNESTO 14.2 108.8 156 426 +2004 1 6 12 25 SANDY 50.1 227.5 100 866 +1960 3 12 18 1 ALBERTO 52.0 225.7 18 299 +1989 7 21 12 22 RAFAEL 48.2 197.1 18 18 +1980 6 1 18 18 LESLIE 25.1 159.4 156 225 +2004 11 6 0 15 LESLIE 49.9 280.5 146 55 +1992 5 10 18 7 KIRK 28.1 168.5 17 72 +2004 3 6 6 7 WILLIAM 14.4 176.2 121 870 +1953 9 20 6 21 FLORENCE 36.4 292.6 29 728 +1977 10 3 12 20 KIRK 54.8 181.3 83 740 +1968 11 13 18 27 OSCAR 9.6 108.4 84 152 +1997 9 23 0 28 VALERIE 57.8 162.4 136 67 +1989 5 27 0 9 ERNESTO 66.9 246.1 39 563 +1976 12 18 6 20 GORDON 44.0 325.5 164 120 +1962 12 8 12 12 ALBERTO 7.7 78.6 21 840 +1950 4 16 12 4 DEBBY 26.2 317.8 66 99 +1979 9 6 18 17 NADINE 10.6 125.4 163 542 +1971 11 5 18 7 NADINE 14.2 283.0 138 833 +1992 11 14 18 9 MICHAEL 14.6 319.2 143 459 +1992 3 19 6 25 TONY 57.4 291.5 77 551 +1968 4 28 18 3 KIRK 30.4 203.5 84 895 +1964 11 11 6 6 RAFAEL 28.1 141.2 15 627 +1989 10 24 18 9 VALERIE 45.3 305.5 56 63 +1969 7 9 6 5 LESLIE 67.2 3.7 83 265 +1955 4 22 18 7 SANDY 24.5 325.7 25 300 +1968 2 27 0 7 HELENE 46.9 265.2 103 299 +1968 11 18 0 14 NADINE 39.8 10.1 72 450 +1969 3 22 0 1 NADINE 8.2 163.3 163 703 +1992 4 17 12 28 ERNESTO 40.6 103.3 158 784 +1955 11 18 0 26 ISAAC 46.4 226.7 106 172 +1961 8 8 0 7 MICHAEL 24.7 249.8 19 354 +1984 11 24 6 26 RAFAEL 39.4 220.8 49 399 +1964 4 26 0 28 WILLIAM 16.3 165.5 19 77 +1986 4 7 12 8 ERNESTO 28.1 196.8 150 898 +1963 4 12 12 15 WILLIAM 43.5 266.8 66 571 +1979 4 15 12 28 WILLIAM 67.5 84.8 86 879 +1952 3 12 6 23 NADINE 47.4 94.8 96 718 +1996 4 21 0 27 ERNESTO 40.3 123.1 50 494 +1984 5 14 12 7 MICHAEL 58.0 53.0 19 660 +1990 3 3 12 26 PATTY 52.1 336.1 95 617 +1963 1 12 18 22 ISAAC 61.7 39.4 63 77 +2001 1 25 18 6 ISAAC 41.3 98.0 82 663 +2004 10 4 0 2 ERNESTO 62.6 232.5 22 721 +1996 7 22 18 22 MICHAEL 52.2 296.1 98 661 +1951 7 21 12 1 ERNESTO 30.5 146.6 34 751 +1953 11 26 0 15 RAFAEL 60.2 25.4 52 176 +1978 10 16 18 23 JOYCE 26.3 128.7 94 816 +2001 2 18 18 6 ERNESTO 23.0 23.1 39 860 +2000 5 11 6 9 KIRK 29.0 130.4 64 690 +1962 12 9 18 1 ISAAC 12.5 227.2 70 795 +1970 1 10 6 9 KIRK 35.9 60.3 47 300 +1972 9 20 12 18 JOYCE 68.7 0.3 50 478 +1974 11 24 18 19 LESLIE 59.9 194.0 115 424 +1999 1 7 18 8 PATTY 8.9 226.2 155 717 +1975 5 6 18 11 FLORENCE 8.5 326.1 16 401 +1958 11 8 6 6 TONY 20.6 110.3 129 481 +1967 3 27 6 10 DEBBY 39.1 60.9 61 508 +1967 7 13 18 27 DEBBY 46.1 187.0 86 347 +1984 4 8 18 20 TONY 22.9 55.1 31 246 +1954 12 9 6 12 ERNESTO 59.2 253.6 36 746 +2001 11 22 12 6 PATTY 13.3 229.2 55 200 +1969 2 1 6 20 FLORENCE 59.8 280.0 92 818 +1954 3 15 6 20 NADINE 32.7 321.6 56 376 +1953 9 8 6 14 HELENE 32.1 242.9 14 377 +1957 4 17 6 12 ALBERTO 32.5 87.8 54 510 +1976 7 24 6 8 TONY 68.5 294.4 32 36 +1977 6 10 18 4 KIRK 63.6 3.2 141 439 +1969 11 13 6 9 HELENE 42.5 104.0 69 365 +1977 3 9 18 24 LESLIE 17.6 236.8 145 581 +1956 6 11 6 12 MICHAEL 10.3 141.2 118 3 +1976 12 15 12 15 JOYCE 57.5 97.8 91 109 +1978 7 7 12 16 CHRIS 28.7 212.7 71 79 +1981 10 25 6 7 KIRK 61.7 264.8 153 608 +1978 4 28 18 24 JOYCE 65.1 116.9 52 283 +2002 3 28 6 20 BERYL 51.5 4.9 37 16 +1972 4 7 12 15 ERNESTO 25.8 82.3 41 389 +2000 5 6 0 7 TONY 33.3 6.3 70 109 +1959 1 4 12 14 FLORENCE 54.2 350.8 148 578 +1962 3 23 12 10 WILLIAM 69.4 22.9 131 776 +2004 6 13 18 13 FLORENCE 7.3 39.6 72 138 +1973 7 24 6 28 DEBBY 22.0 9.6 107 838 +2004 2 24 12 15 NADINE 57.7 9.7 85 199 +1982 3 21 0 7 ALBERTO 64.8 77.3 17 300 +1981 1 5 6 28 BERYL 11.4 188.5 120 792 +1979 6 16 18 13 OSCAR 30.9 102.1 59 854 +1962 3 14 12 13 SANDY 66.2 204.8 153 201 +1978 1 17 18 27 JOYCE 46.1 333.2 116 203 +1978 1 24 0 19 LESLIE 14.0 117.8 93 765 +1980 6 26 18 5 DEBBY 25.8 312.3 26 293 +1997 8 4 12 23 BERYL 22.2 53.2 154 695 +1957 11 4 6 10 OSCAR 28.3 259.5 69 284 +1971 6 26 6 3 CHRIS 43.8 36.5 69 523 +1983 2 23 0 23 CHRIS 30.4 3.1 31 761 +2002 4 8 0 20 DEBBY 22.7 298.9 79 788 +1969 7 10 18 17 TONY 45.3 186.9 66 597 +1974 12 4 6 11 NADINE 13.1 147.0 20 386 +1988 9 13 6 10 PATTY 56.0 23.6 52 575 +1979 2 24 12 18 MICHAEL 38.9 99.3 132 255 +1952 2 27 6 16 SANDY 32.6 274.0 132 651 +1973 5 16 18 20 GORDON 36.1 33.5 29 780 +1961 10 8 6 25 RAFAEL 50.6 58.0 100 743 +1975 3 17 18 11 WILLIAM 15.7 39.5 101 70 +2002 4 6 0 14 TONY 17.1 245.3 143 503 +1972 4 15 12 20 KIRK 64.3 154.8 115 286 +1993 8 9 0 14 GORDON 41.2 36.9 20 161 +1959 9 19 6 25 LESLIE 58.0 244.2 18 867 +1994 3 5 0 21 LESLIE 55.5 219.5 137 660 +1950 4 8 18 15 ISAAC 67.7 164.7 131 398 +1965 6 9 6 5 ERNESTO 34.9 26.4 164 504 +1965 3 3 18 1 BERYL 46.3 193.6 56 441 +2004 8 6 18 24 GORDON 22.9 340.4 110 408 +1992 10 21 18 2 LESLIE 65.0 270.3 77 182 +1954 10 2 0 13 NADINE 34.1 63.9 91 598 +1987 10 18 6 20 GORDON 59.7 175.6 98 828 +1952 1 27 18 7 SANDY 28.3 189.7 99 890 +1977 5 24 6 16 TONY 46.5 329.9 28 428 +1957 1 3 6 10 VALERIE 25.8 192.2 87 214 +1992 9 22 12 7 GORDON 65.6 124.2 84 516 +1954 1 2 6 2 PATTY 38.7 133.4 10 709 +1996 8 1 18 24 LESLIE 31.3 186.9 72 893 +1973 3 2 18 10 HELENE 58.6 201.4 91 256 +2003 12 1 18 12 ALBERTO 48.9 106.2 34 699 +1978 9 16 6 7 ISAAC 30.0 189.8 152 105 +1955 8 19 12 24 DEBBY 47.4 351.5 128 403 +1979 10 23 18 6 OSCAR 55.1 102.8 96 351 +1992 4 21 18 11 OSCAR 66.7 255.9 97 270 +1993 10 13 12 1 KIRK 29.8 37.8 54 121 +1986 7 14 0 13 LESLIE 67.0 119.4 138 167 +1968 5 25 12 20 PATTY 20.9 253.4 11 185 +1994 9 5 12 16 FLORENCE 42.6 115.2 103 626 +1982 11 15 18 6 DEBBY 39.0 71.4 124 650 +2000 3 16 18 19 LESLIE 65.1 277.0 29 191 +2004 11 27 12 19 VALERIE 32.4 325.5 88 612 +1984 2 5 0 1 FLORENCE 42.5 2.1 100 522 +1956 12 28 0 25 BERYL 21.7 338.5 52 870 +1965 3 23 6 26 RAFAEL 36.7 337.5 117 419 +1958 1 27 18 2 BERYL 49.3 14.0 119 608 +1962 6 5 0 23 ERNESTO 51.0 147.2 105 50 +1975 3 9 6 28 KIRK 17.5 96.4 146 580 +1987 11 7 0 16 JOYCE 25.9 117.2 132 775 +1980 10 16 6 19 FLORENCE 15.8 242.3 85 285 +1962 12 20 6 12 PATTY 43.1 36.2 120 586 +1970 5 6 0 14 SANDY 9.8 117.1 93 212 +1971 12 2 0 28 CHRIS 26.4 335.3 97 90 +2004 10 26 18 6 GORDON 42.0 144.1 127 131 +1975 1 18 18 12 SANDY 47.1 307.6 134 586 +1966 12 2 18 1 NADINE 59.1 0.4 119 663 +1996 9 13 12 7 VALERIE 28.3 271.2 22 472 +1978 8 7 6 13 WILLIAM 9.4 297.4 76 747 +1988 5 9 0 15 ISAAC 31.9 326.3 100 498 +1993 7 12 12 17 WILLIAM 30.2 30.6 24 138 +1970 1 23 0 2 MICHAEL 50.2 293.3 144 116 +1971 5 19 18 13 ALBERTO 35.5 85.9 49 681 +1970 3 9 12 12 HELENE 14.9 305.5 112 263 +1959 2 23 12 10 JOYCE 39.1 306.2 74 310 +1967 3 28 6 12 PATTY 16.8 127.6 35 797 +1991 7 10 6 19 PATTY 37.9 241.6 107 612 +1955 9 10 0 18 RAFAEL 29.2 125.9 106 430 +1978 1 6 12 24 HELENE 55.4 355.4 105 143 +1986 2 3 18 17 RAFAEL 8.0 279.9 161 892 +1989 5 22 0 15 OSCAR 40.0 98.5 36 259 +1956 2 12 18 3 MICHAEL 42.5 335.9 123 330 +1996 9 17 18 14 ERNESTO 47.8 18.9 160 145 +1959 2 5 0 10 RAFAEL 11.0 20.1 129 190 +1988 9 5 0 17 RAFAEL 8.5 280.9 42 35 +1974 7 26 18 12 TONY 18.8 336.8 96 475 +1992 5 3 18 12 WILLIAM 14.8 118.4 35 28 +1992 10 20 6 8 ALBERTO 58.8 265.0 18 471 +1966 12 11 0 20 NADINE 17.4 334.5 78 825 +1964 8 20 6 10 ISAAC 44.6 45.6 149 162 +1983 7 27 18 1 OSCAR 59.1 188.4 117 280 +1991 4 11 6 25 LESLIE 11.9 21.3 20 336 +2002 10 21 18 3 GORDON 15.7 39.8 16 613 +1992 11 13 12 11 HELENE 21.6 301.2 134 39 +1957 3 18 0 5 JOYCE 50.8 253.0 25 748 +1968 3 10 0 8 ALBERTO 30.3 347.5 81 21 +1962 11 28 6 20 PATTY 43.2 30.3 38 476 +1996 5 2 6 25 KIRK 52.0 298.5 157 471 +1950 10 21 12 28 OSCAR 66.9 344.4 69 21 +1995 11 18 0 13 OSCAR 32.4 219.6 110 797 +1964 6 26 6 12 BERYL 63.1 107.4 10 596 +1981 5 14 18 7 ALBERTO 42.2 215.0 65 694 +1996 9 23 18 28 WILLIAM 25.8 260.9 80 467 +1962 10 19 18 23 TONY 34.9 48.9 95 893 +1983 7 1 18 28 OSCAR 61.0 164.0 40 231 +1969 5 11 12 19 TONY 26.9 24.1 111 734 +1974 12 6 0 2 MICHAEL 31.7 83.4 158 360 +1960 11 22 6 19 FLORENCE 19.9 219.3 18 291 +1960 8 20 6 8 TONY 44.7 330.4 47 187 +1978 8 2 0 9 LESLIE 44.4 33.3 163 455 +1955 7 20 0 26 LESLIE 39.2 170.4 105 131 +1983 12 17 0 9 ISAAC 50.4 25.2 109 241 +1986 1 24 6 7 TONY 18.1 130.8 51 617 +1994 5 24 0 20 TONY 47.4 283.1 61 475 +1953 2 11 18 20 NADINE 32.6 127.6 133 361 +1963 9 14 6 12 GORDON 55.8 92.8 163 253 +1977 4 3 0 9 VALERIE 61.6 247.8 97 488 +1998 12 20 18 16 SANDY 59.7 279.2 53 386 +1958 5 2 12 19 FLORENCE 31.5 68.1 35 276 +2000 9 11 6 27 VALERIE 20.8 199.7 125 334 +1981 7 8 12 5 MICHAEL 61.0 75.8 27 488 +1996 6 1 12 27 ERNESTO 30.7 344.9 56 638 +1956 11 14 0 15 WILLIAM 44.5 321.1 18 734 +1961 10 27 0 27 KIRK 43.8 46.7 26 613 +1985 5 25 6 22 BERYL 58.4 216.7 98 513 +1976 2 24 6 24 TONY 45.5 196.9 154 99 +1986 8 16 6 21 DEBBY 58.7 132.6 75 183 +1981 3 10 6 24 SANDY 54.7 273.6 105 205 +1977 1 24 6 27 RAFAEL 40.0 234.6 18 646 +1999 10 24 0 21 PATTY 31.2 0.5 161 519 +1998 4 12 18 21 BERYL 20.0 272.8 53 83 +1990 12 17 0 8 CHRIS 27.8 97.9 109 701 +1986 11 21 12 16 SANDY 19.3 31.3 147 84 +1968 1 10 6 16 DEBBY 36.2 168.8 158 159 +1975 8 26 6 25 ISAAC 9.5 305.1 56 164 +1998 4 14 12 9 TONY 16.0 137.6 35 117 +1988 8 3 0 17 BERYL 22.6 249.1 71 452 +1992 6 12 6 20 RAFAEL 57.5 70.7 116 620 +1973 4 7 12 4 VALERIE 54.0 36.9 79 293 +1963 1 7 6 6 JOYCE 12.4 211.6 42 225 +1996 9 16 0 20 NADINE 31.0 218.3 42 139 +1985 5 24 0 23 TONY 26.1 128.9 17 862 +1966 6 4 6 2 SANDY 53.2 264.5 88 157 +1971 4 17 12 15 OSCAR 68.3 274.3 24 836 +1984 9 13 12 1 GORDON 57.0 84.7 74 555 +1952 1 22 18 27 HELENE 66.1 251.9 115 610 +1984 6 5 6 19 FLORENCE 23.0 234.3 151 568 +1961 3 17 0 10 ALBERTO 14.2 235.5 22 839 +1964 8 5 6 9 FLORENCE 31.5 86.3 18 242 +1973 11 3 18 25 PATTY 60.4 300.7 113 512 +1967 4 28 12 10 WILLIAM 50.4 316.5 81 84 +1962 11 4 0 3 OSCAR 57.9 352.5 154 767 +1961 8 19 0 23 LESLIE 67.1 19.3 97 806 +2004 11 9 6 16 JOYCE 19.1 174.3 62 124 +1955 8 6 0 18 FLORENCE 67.0 277.0 65 330 +1974 1 18 18 8 KIRK 32.7 61.9 142 327 +1970 9 12 0 2 WILLIAM 67.1 29.8 108 824 +1979 11 16 0 16 HELENE 12.0 130.7 129 374 +1964 11 7 6 5 VALERIE 19.0 242.5 160 486 +1972 1 14 6 7 GORDON 31.3 192.4 120 525 +2001 7 19 6 11 ALBERTO 52.2 161.0 134 494 +1995 9 6 18 16 HELENE 52.3 56.1 104 210 +1961 6 6 0 18 GORDON 65.6 14.1 135 104 +1963 10 20 6 20 JOYCE 42.3 0.8 164 672 +1990 11 3 0 17 PATTY 58.9 250.1 66 716 +1990 8 21 12 19 ALBERTO 9.8 282.2 162 523 +2004 7 26 12 23 DEBBY 40.1 88.6 130 524 +1955 3 19 0 27 JOYCE 11.2 161.2 90 142 +1979 11 8 18 1 MICHAEL 55.9 12.9 135 334 +1979 6 18 18 26 MICHAEL 26.2 139.7 104 674 +1978 4 17 12 20 LESLIE 51.7 193.6 44 847 +1976 9 10 6 1 PATTY 19.4 150.9 40 819 +1957 2 11 12 16 MICHAEL 11.1 228.6 19 40 +1956 8 19 6 8 DEBBY 48.0 284.3 27 532 +1953 3 27 0 4 JOYCE 36.1 75.7 92 896 +1952 9 2 0 5 TONY 20.3 126.9 116 371 +1986 12 13 12 2 ERNESTO 52.6 316.6 135 75 +1988 7 14 12 9 ALBERTO 19.9 107.7 91 130 +1961 1 13 6 23 FLORENCE 18.1 310.9 19 531 +1988 3 15 12 8 ERNESTO 69.9 296.8 112 72 +1989 1 25 12 16 ERNESTO 29.3 356.9 43 143 +1958 10 9 12 15 WILLIAM 10.2 243.2 111 76 +1968 10 21 6 9 MICHAEL 57.0 334.7 66 114 +1995 5 11 18 6 RAFAEL 15.3 210.3 134 326 +1965 5 28 18 1 KIRK 58.2 13.2 68 311 +1995 6 10 6 28 PATTY 26.2 79.7 121 482 +1962 5 16 6 28 CHRIS 55.5 200.0 38 513 +2000 1 20 18 2 GORDON 25.9 255.9 157 694 +1975 6 22 12 27 RAFAEL 45.4 55.4 126 886 +1993 12 4 18 7 VALERIE 52.4 332.9 94 385 +1981 10 26 0 18 MICHAEL 19.5 138.7 35 650 +1972 2 6 12 10 PATTY 17.9 77.6 52 615 +1970 3 2 6 3 KIRK 52.1 60.4 142 181 +1981 8 8 18 24 FLORENCE 30.9 27.1 53 519 +1991 2 23 6 3 RAFAEL 61.5 130.5 17 688 +2004 7 21 12 23 ALBERTO 42.0 351.4 42 442 +1960 10 9 0 5 ERNESTO 44.1 212.4 111 24 +1988 8 7 0 10 MICHAEL 69.4 150.3 150 778 +1955 5 24 18 8 MICHAEL 15.2 306.1 163 769 +1954 11 15 0 12 FLORENCE 42.0 203.9 21 836 +1952 6 8 0 7 TONY 14.6 73.1 48 142 +1955 8 13 6 6 NADINE 18.8 21.0 142 452 +1984 4 18 0 18 JOYCE 66.4 204.4 51 2 +1964 10 1 12 15 SANDY 50.8 194.5 152 355 +2000 3 15 6 7 BERYL 19.9 26.4 121 157 +1960 12 8 12 27 MICHAEL 36.4 247.4 153 117 +1961 6 25 6 23 VALERIE 43.2 291.0 69 0 +1997 7 24 18 23 JOYCE 69.5 4.0 150 447 +1958 11 13 6 19 WILLIAM 19.8 325.5 120 724 +1980 3 28 12 5 RAFAEL 36.7 100.7 28 692 +1984 8 1 18 28 LESLIE 7.1 54.5 77 398 +1996 5 13 6 12 JOYCE 63.4 99.1 19 513 +1971 4 2 6 2 ALBERTO 61.2 130.3 163 609 +1977 11 27 18 18 JOYCE 66.4 321.8 120 112 +1980 11 16 6 15 LESLIE 46.2 144.8 15 885 +1958 10 26 12 7 WILLIAM 24.6 168.5 28 855 +1972 12 21 18 22 JOYCE 50.7 136.9 116 762 +1998 11 5 0 3 HELENE 48.4 133.3 89 515 +1967 8 18 6 22 CHRIS 14.4 316.3 23 660 +1969 12 3 12 16 ERNESTO 38.6 82.8 26 346 +1996 5 9 12 3 KIRK 29.6 325.0 51 37 +1954 7 18 0 19 VALERIE 57.7 178.7 83 175 +1969 12 11 0 13 DEBBY 45.3 205.1 56 323 +1994 9 25 18 20 RAFAEL 58.0 353.1 16 134 +1953 7 27 0 26 DEBBY 41.1 328.8 89 624 +1973 8 6 6 7 WILLIAM 68.9 32.9 152 336 +1992 7 14 6 10 MICHAEL 21.4 156.8 117 615 +1982 5 5 18 19 TONY 7.7 214.3 87 67 +2000 12 10 0 8 FLORENCE 32.3 95.9 142 310 +1978 3 3 18 17 GORDON 58.8 77.3 138 853 +1957 10 11 0 18 ISAAC 60.0 2.6 153 659 +1977 7 18 18 5 PATTY 9.7 264.9 21 427 +1975 9 15 12 3 DEBBY 18.5 232.4 151 268 +1952 2 2 12 10 NADINE 37.8 80.5 85 206 +1969 5 1 6 13 KIRK 15.5 232.8 104 812 +1961 5 11 12 23 BERYL 16.4 199.2 58 870 +1981 10 7 6 13 ERNESTO 58.9 341.8 162 742 +1956 10 14 0 1 DEBBY 37.5 277.7 93 765 +1983 2 27 6 14 MICHAEL 13.2 124.6 69 890 +2003 11 6 0 11 BERYL 45.9 164.2 131 194 +2002 7 27 12 15 RAFAEL 19.8 196.7 26 127 +1974 4 16 6 26 NADINE 31.5 97.9 72 778 +1978 4 8 0 8 KIRK 11.3 73.0 56 757 +1968 6 16 0 20 FLORENCE 17.7 306.8 79 583 +1982 3 13 18 3 ISAAC 41.2 18.7 164 76 +1992 3 7 0 16 RAFAEL 64.7 288.1 123 383 +1985 5 26 0 27 LESLIE 43.4 338.0 28 248 +1995 8 13 6 25 HELENE 51.1 341.1 160 668 +1986 7 26 0 7 TONY 11.8 306.1 74 29 +1950 10 8 0 10 GORDON 28.0 177.2 41 745 +1972 10 11 6 10 PATTY 60.0 91.7 111 503 +1958 9 12 0 6 ISAAC 59.2 341.1 100 642 +1990 12 17 18 24 ERNESTO 24.5 53.3 139 134 +1976 4 11 12 19 NADINE 42.8 183.3 158 412 +1959 3 19 18 4 SANDY 11.6 146.8 12 687 +1993 7 8 18 25 BERYL 7.7 203.8 50 433 +1950 11 23 6 12 ISAAC 58.9 109.1 133 605 +1966 6 24 6 8 JOYCE 31.8 25.0 101 203 +1992 10 6 12 17 VALERIE 59.8 9.9 71 230 +1978 11 12 12 19 ISAAC 15.0 315.5 42 578 +1950 9 16 6 17 CHRIS 50.6 284.6 143 197 +1990 11 13 12 9 BERYL 56.8 48.9 36 12 +2001 12 20 12 26 RAFAEL 46.7 36.8 77 544 +1978 11 20 0 13 DEBBY 38.1 271.4 120 723 +1981 3 13 0 7 RAFAEL 55.5 71.4 76 196 +1974 12 22 18 24 JOYCE 30.6 89.9 80 593 +1972 9 17 0 26 CHRIS 25.1 284.4 67 867 +1984 4 13 18 12 GORDON 57.5 296.1 112 546 +1957 2 2 6 25 MICHAEL 19.6 54.0 72 213 +1960 1 24 6 9 CHRIS 42.9 257.9 162 44 +1997 12 27 12 4 ALBERTO 53.5 192.4 47 650 +1997 7 28 6 10 TONY 32.7 197.9 63 857 +1963 1 3 0 22 TONY 45.7 326.7 105 128 +1953 7 16 6 28 GORDON 11.6 211.4 105 183 +2000 8 22 6 22 SANDY 36.1 326.1 63 631 +2002 6 4 12 25 SANDY 48.0 18.3 127 128 +1968 6 17 12 10 PATTY 65.0 3.2 68 502 +1954 1 4 12 2 SANDY 42.8 49.6 55 330 +1955 9 23 18 26 LESLIE 22.4 119.5 148 326 +1961 2 28 18 20 LESLIE 14.9 327.2 122 702 +2000 3 18 12 4 KIRK 39.5 289.2 100 568 +1957 8 7 12 20 ALBERTO 57.6 201.6 39 279 +1957 10 3 12 10 RAFAEL 51.9 304.2 51 197 +1983 5 16 0 27 KIRK 50.8 269.9 90 198 +1994 3 23 6 3 CHRIS 41.3 341.7 97 328 +1957 2 7 6 24 OSCAR 34.7 316.1 75 176 +1984 1 1 0 24 OSCAR 61.9 92.3 69 362 +1997 11 26 6 17 WILLIAM 37.0 274.2 131 17 +1997 3 14 6 17 PATTY 42.8 6.3 48 637 +1996 12 15 0 12 GORDON 32.2 218.3 25 79 +2000 2 27 0 3 RAFAEL 7.5 6.5 103 812 +1992 5 22 12 23 RAFAEL 36.8 117.5 150 885 +1985 3 24 6 23 RAFAEL 16.8 282.7 104 502 +1986 6 4 6 17 GORDON 68.2 144.6 148 376 +1977 8 20 18 22 DEBBY 65.2 176.5 54 378 +1997 11 18 6 14 CHRIS 64.0 78.2 71 494 +1990 5 15 12 4 OSCAR 57.9 242.4 148 42 +1989 4 3 18 3 CHRIS 51.1 157.1 119 456 +1992 6 10 12 20 MICHAEL 62.1 38.9 10 779 +1996 5 24 0 18 KIRK 47.0 264.6 142 381 +1984 7 26 0 18 ALBERTO 34.4 205.5 103 459 +1953 12 15 12 18 MICHAEL 18.1 232.2 164 797 +1979 5 3 12 26 ISAAC 22.8 37.3 154 462 +1957 9 20 12 3 SANDY 21.1 3.0 159 542 +1986 8 9 18 20 VALERIE 57.7 274.1 83 252 +1993 1 4 18 13 SANDY 61.7 104.9 50 644 +1981 10 25 18 6 ALBERTO 67.6 295.8 76 621 +1995 10 1 12 21 ERNESTO 40.2 106.0 15 241 +1955 1 28 12 21 GORDON 52.2 9.9 134 242 +1952 9 16 18 19 BERYL 40.6 74.9 139 420 +1987 4 4 12 22 BERYL 7.2 124.8 122 650 +1955 11 18 0 7 BERYL 42.1 22.1 153 647 +2001 9 18 6 9 SANDY 45.2 178.5 111 583 +1997 1 9 6 16 GORDON 63.4 154.0 77 28 +1996 6 1 6 8 LESLIE 22.1 1.5 115 112 +1995 8 15 12 1 RAFAEL 57.3 44.8 29 861 +1995 12 20 18 13 MICHAEL 13.3 51.3 40 855 +1987 12 16 12 18 RAFAEL 65.9 308.2 117 192 +1977 3 16 6 12 TONY 32.7 74.6 62 299 +1977 11 9 18 26 RAFAEL 18.2 345.5 120 548 +1996 1 3 18 5 SANDY 16.2 33.4 68 740 +1979 3 18 18 25 NADINE 66.3 155.3 47 660 +1956 3 21 6 13 DEBBY 66.7 28.3 152 642 +1972 2 10 0 16 PATTY 31.0 42.0 98 62 +1972 11 19 6 6 WILLIAM 39.2 74.6 67 496 +1996 4 4 18 12 BERYL 18.0 70.4 99 485 +1983 5 10 12 3 DEBBY 8.8 238.5 94 323 +1955 9 4 6 28 PATTY 68.9 69.4 64 754 +2001 2 2 18 5 WILLIAM 46.5 155.8 66 272 +2002 3 10 0 10 HELENE 50.3 240.1 99 347 +1969 5 24 6 21 CHRIS 61.4 186.9 127 660 +1970 5 9 0 26 KIRK 60.7 117.8 114 462 +1963 7 2 0 26 DEBBY 64.0 137.3 66 333 +1985 6 28 6 7 HELENE 8.4 68.9 107 893 +2001 10 21 6 7 LESLIE 7.1 314.7 15 551 +1950 7 7 12 22 SANDY 50.3 10.9 29 579 +2002 9 1 12 15 HELENE 13.1 6.5 85 120 +1986 9 3 12 14 NADINE 55.2 342.0 111 153 +1994 10 4 18 1 GORDON 21.1 134.1 123 77 +1969 10 1 6 3 FLORENCE 11.4 209.2 138 450 +2003 2 6 6 21 OSCAR 39.3 260.6 115 657 +1965 2 25 12 1 CHRIS 26.7 69.6 91 653 +1977 3 8 0 19 WILLIAM 21.8 286.4 99 316 +1970 9 17 0 6 ISAAC 64.8 72.5 149 148 +1954 3 1 6 6 CHRIS 58.8 99.4 115 206 +1964 9 3 0 5 ERNESTO 25.3 169.9 144 277 +1998 2 7 0 19 KIRK 24.6 343.4 34 520 +1999 1 25 6 19 TONY 22.4 343.3 38 530 +1990 9 3 0 10 DEBBY 55.5 290.0 139 857 +1987 7 14 18 4 HELENE 20.0 78.9 88 694 +1986 3 17 18 17 DEBBY 28.8 41.1 66 604 +2003 8 26 0 15 RAFAEL 29.3 171.4 74 249 +1990 7 11 12 23 OSCAR 27.0 246.9 54 764 +1995 4 22 18 3 WILLIAM 41.7 167.4 164 64 +1957 1 24 12 28 LESLIE 34.5 65.4 139 593 +1963 4 2 18 24 SANDY 25.8 37.9 157 813 +1998 8 6 0 13 RAFAEL 38.7 179.9 46 720 +1977 11 18 0 12 HELENE 8.1 219.5 162 202 +1995 5 11 6 13 DEBBY 9.4 308.8 135 604 +1977 12 2 6 27 PATTY 68.6 74.2 101 410 +1964 5 21 6 19 PATTY 34.2 106.3 86 507 +2004 1 19 12 20 PATTY 17.8 196.8 88 126 +1992 10 5 18 16 OSCAR 28.7 305.6 158 389 +1977 3 7 12 8 PATTY 68.9 141.4 154 135 +1982 4 19 0 13 RAFAEL 52.3 168.9 25 736 +1974 7 22 6 13 ISAAC 36.4 152.2 44 101 +2003 1 16 18 20 ISAAC 20.0 217.3 50 303 +1969 4 21 0 11 ERNESTO 20.5 285.7 102 647 +1990 4 20 0 17 WILLIAM 34.4 255.0 127 769 +1966 11 14 0 16 WILLIAM 58.2 224.7 92 854 +1969 5 3 0 27 WILLIAM 50.2 345.9 60 47 +2000 10 8 6 3 GORDON 61.6 272.9 12 875 +1997 9 3 6 21 SANDY 27.4 350.4 48 584 +1960 11 25 6 25 MICHAEL 35.9 207.8 114 24 +1990 1 8 12 21 FLORENCE 21.1 201.4 129 544 +1999 3 7 0 2 VALERIE 16.5 294.9 118 519 +1996 9 12 6 4 RAFAEL 65.6 26.6 121 810 +1953 9 8 0 25 HELENE 34.0 18.5 109 49 +1970 11 21 6 23 NADINE 8.7 178.5 67 531 +1957 6 4 18 7 DEBBY 16.1 212.4 30 706 +1990 7 1 6 25 KIRK 15.0 46.5 74 442 +1996 9 1 12 12 ALBERTO 17.7 280.9 64 130 +1961 5 26 6 9 DEBBY 39.4 98.5 40 758 +1958 11 14 6 5 NADINE 39.3 163.3 39 365 +1959 4 26 18 13 ALBERTO 18.8 219.3 142 438 +1994 4 21 0 27 BERYL 27.2 3.1 30 358 +1991 12 18 18 19 NADINE 48.1 87.1 30 41 +1978 2 21 0 4 VALERIE 52.1 241.1 55 149 +1986 9 1 18 17 OSCAR 41.6 343.5 30 13 +1950 9 3 12 3 DEBBY 46.4 278.4 81 410 +2004 3 28 0 9 ALBERTO 39.4 215.5 102 393 +1958 7 7 12 25 GORDON 50.8 95.2 124 873 +1978 3 23 6 3 NADINE 33.0 349.8 132 453 +2001 1 28 6 27 DEBBY 16.6 330.4 50 294 +1958 11 28 18 28 PATTY 37.6 135.5 18 209 +1982 4 17 12 27 NADINE 64.5 146.2 42 400 +1974 6 26 12 11 OSCAR 21.2 154.0 113 349 +1974 2 4 0 12 HELENE 59.9 243.9 91 409 +1983 7 17 18 6 FLORENCE 56.9 278.0 134 695 +1992 4 17 6 11 SANDY 63.8 140.4 104 689 +1950 3 27 0 21 JOYCE 48.1 332.2 147 43 +1963 12 25 12 24 PATTY 42.6 11.4 98 872 +1978 12 20 6 6 ALBERTO 44.9 127.3 127 533 +2002 10 15 18 24 LESLIE 24.5 15.0 96 308 +1975 2 28 18 12 ALBERTO 51.9 206.3 116 353 +1995 10 17 18 23 MICHAEL 29.1 157.4 68 861 +1957 10 27 6 25 ALBERTO 25.4 34.5 137 125 +1966 5 16 6 19 WILLIAM 50.7 82.9 161 806 +1967 2 14 0 5 RAFAEL 28.7 87.4 158 337 +1952 3 16 18 20 PATTY 43.3 22.9 98 759 +1964 12 24 18 19 WILLIAM 66.5 298.5 128 248 +1967 8 22 12 8 BERYL 21.4 299.5 47 540 +1957 5 17 0 26 KIRK 40.6 224.6 45 407 +1968 1 9 18 27 TONY 35.8 144.8 86 877 +1986 7 14 0 2 ISAAC 25.4 113.9 112 614 +1980 2 3 6 17 NADINE 58.1 145.9 41 779 +1979 2 11 6 19 MICHAEL 18.3 336.6 163 464 +1986 10 22 6 14 SANDY 60.5 177.3 133 477 +1967 9 5 18 6 JOYCE 38.6 348.1 104 438 +1954 1 24 18 17 OSCAR 37.0 209.8 84 666 +1950 1 13 6 2 FLORENCE 47.7 286.0 32 32 +1974 9 10 12 25 SANDY 10.0 353.7 33 31 +1955 9 12 0 10 NADINE 36.0 346.4 91 837 +1955 3 5 6 3 OSCAR 8.3 136.5 158 471 +1957 11 13 6 10 ERNESTO 26.5 214.4 148 67 +1962 11 10 6 17 NADINE 60.1 283.0 20 77 +1990 1 6 0 3 ALBERTO 58.7 226.0 27 70 +1970 10 3 18 2 TONY 11.5 326.3 131 468 +1971 2 27 0 9 TONY 47.2 102.0 39 371 +1979 6 24 0 25 LESLIE 48.0 69.1 72 55 +1990 8 10 0 12 NADINE 66.2 341.1 42 130 +2003 11 1 0 7 BERYL 15.4 347.1 139 75 +1997 9 22 18 11 BERYL 33.4 108.3 146 635 +1988 12 16 6 15 KIRK 44.0 300.1 41 240 +1979 6 18 0 12 BERYL 62.8 147.1 112 17 +1987 8 4 12 11 RAFAEL 37.2 201.5 17 364 +1974 11 3 0 22 TONY 37.6 107.9 94 696 +1970 6 22 0 23 ISAAC 39.7 353.0 164 547 +1997 5 10 6 23 RAFAEL 61.0 240.5 83 147 +1995 1 14 0 11 SANDY 25.4 18.6 90 882 +1986 6 11 18 24 BERYL 62.9 303.4 27 271 +1950 5 20 6 4 BERYL 69.5 262.7 77 348 +1955 7 4 0 28 ISAAC 37.8 202.8 64 267 +1978 8 11 0 3 WILLIAM 59.0 124.0 81 849 +1989 3 9 18 16 PATTY 29.9 167.7 131 272 +1987 7 3 18 1 NADINE 10.8 184.9 150 36 +1978 7 2 0 21 MICHAEL 35.8 339.7 96 768 +1965 6 8 6 17 CHRIS 49.5 285.9 139 425 +1986 5 5 12 10 CHRIS 22.5 210.6 62 765 +1989 9 23 6 15 ALBERTO 20.3 32.9 18 97 +1984 1 13 6 9 BERYL 17.8 352.8 122 111 +1970 1 19 12 21 LESLIE 36.0 310.6 127 388 +1970 7 15 6 8 VALERIE 24.2 309.9 25 566 +1974 1 15 18 28 BERYL 57.3 296.2 55 605 +1986 6 18 0 4 GORDON 50.0 10.5 152 804 +2004 5 20 6 19 KIRK 58.0 96.3 134 567 +1961 9 9 6 22 RAFAEL 27.0 302.5 118 827 +1997 2 12 12 12 VALERIE 12.7 244.1 30 507 +1987 8 15 12 13 RAFAEL 31.0 326.4 35 534 +1987 2 3 0 17 HELENE 20.9 299.7 99 227 +1957 7 11 18 4 NADINE 67.0 13.4 19 81 +1975 11 15 6 13 CHRIS 9.0 63.1 19 26 +1986 9 25 18 20 OSCAR 44.5 148.0 67 737 +1964 1 4 18 25 DEBBY 19.9 11.1 76 13 +1958 2 22 0 15 CHRIS 30.1 89.2 49 802 +1992 3 25 0 3 KIRK 25.9 79.2 123 662 +1985 4 8 0 26 KIRK 10.5 206.6 30 488 +2003 3 2 0 5 BERYL 53.6 185.0 106 407 +1967 4 20 6 1 ALBERTO 33.4 167.0 53 60 +1963 2 25 6 13 RAFAEL 27.7 146.9 28 118 +1964 2 9 6 16 OSCAR 27.1 267.6 142 201 +2002 1 14 6 24 WILLIAM 32.0 230.5 63 579 +1998 2 22 18 13 SANDY 57.7 176.0 131 800 +1983 4 24 6 8 OSCAR 32.3 135.1 64 208 +1970 6 27 18 8 KIRK 14.4 255.0 71 824 +1999 6 23 18 24 WILLIAM 56.7 317.0 45 516 +2002 7 11 0 14 MICHAEL 53.2 234.5 29 431 +1976 4 4 6 19 MICHAEL 7.2 305.5 55 632 +1962 7 3 6 22 SANDY 51.2 140.7 148 97 +1998 9 16 12 7 HELENE 10.5 343.5 114 161 +1976 11 3 6 10 NADINE 33.8 160.6 55 169 +1961 9 2 6 24 VALERIE 32.0 221.9 102 67 +1972 5 16 12 22 MICHAEL 12.8 69.8 70 151 +1976 8 14 18 15 ISAAC 52.4 62.3 128 755 +1973 9 22 18 16 LESLIE 44.8 261.9 99 482 +1972 9 12 18 3 ISAAC 18.6 84.3 82 830 +1957 11 23 18 4 JOYCE 65.3 212.6 128 691 +1954 5 18 6 11 BERYL 24.0 80.3 125 749 +1977 10 12 18 25 DEBBY 31.1 16.4 97 334 +1980 5 25 0 9 MICHAEL 17.8 198.9 149 580 +1958 1 25 12 19 ISAAC 43.1 218.7 96 172 +1957 6 8 6 2 KIRK 35.8 46.1 132 606 +2002 3 15 12 28 FLORENCE 36.2 67.0 153 765 +1965 1 11 18 3 VALERIE 52.7 345.6 108 425 +1956 5 15 18 12 WILLIAM 17.0 336.9 82 82 +1951 10 22 6 10 SANDY 35.9 155.0 97 48 +1976 8 21 6 24 FLORENCE 13.3 346.9 18 494 +1964 9 23 0 12 GORDON 60.0 228.5 122 359 +1971 1 16 18 17 VALERIE 38.3 90.6 155 257 +1963 11 26 0 8 TONY 11.5 82.3 149 107 +2001 11 9 12 14 TONY 43.9 306.4 48 254 +2000 9 17 6 17 ERNESTO 47.5 219.8 50 136 +1971 8 6 18 20 ALBERTO 14.8 118.3 50 597 +1975 9 17 12 13 MICHAEL 9.1 262.6 93 842 +1952 7 8 6 2 LESLIE 8.6 202.6 94 100 +1960 6 1 0 12 ISAAC 44.2 270.7 137 899 +1951 10 11 6 18 OSCAR 60.4 94.0 144 763 +1984 8 28 0 7 KIRK 42.3 180.5 88 260 +1984 12 12 6 18 CHRIS 45.5 158.0 73 624 +2003 9 14 18 27 NADINE 47.3 314.2 138 524 +1970 3 7 6 3 NADINE 7.7 214.7 54 117 +1987 2 18 0 5 RAFAEL 23.5 114.8 124 452 +1983 11 7 12 17 ISAAC 69.5 188.6 37 424 +1977 3 6 12 28 NADINE 62.9 272.8 55 176 +1964 9 6 0 2 HELENE 8.8 212.1 80 36 +1981 4 18 12 25 ERNESTO 12.7 287.2 33 540 +1960 8 17 12 28 DEBBY 11.2 321.8 153 435 +1972 7 17 12 6 KIRK 23.5 331.4 114 468 +1956 6 15 18 1 ISAAC 67.5 54.6 59 638 +1979 11 16 12 15 PATTY 37.9 35.6 31 784 +1959 1 9 6 8 JOYCE 34.8 0.3 128 832 +1970 4 24 6 24 ERNESTO 18.3 348.2 45 44 +1978 3 8 18 5 LESLIE 13.6 235.2 160 532 +1987 6 19 12 26 LESLIE 42.6 218.4 38 673 +1969 10 17 18 13 RAFAEL 36.4 45.0 63 108 +1953 11 23 6 26 ISAAC 7.2 343.4 130 140 +1982 6 21 0 8 ERNESTO 47.1 310.6 121 346 +1993 9 3 0 28 ERNESTO 49.3 169.1 44 841 +1980 10 10 0 18 RAFAEL 44.8 145.7 121 292 +1998 1 26 0 22 JOYCE 22.5 356.4 32 229 +1954 6 21 12 25 PATTY 54.0 267.1 162 842 +1973 2 2 0 6 SANDY 20.4 112.9 127 406 +1981 6 4 12 7 GORDON 49.3 115.8 51 654 +1983 7 24 6 23 FLORENCE 47.6 342.8 38 288 +1955 12 28 12 2 ERNESTO 56.1 211.9 60 550 +1981 9 20 0 4 NADINE 35.2 54.7 54 558 +2003 4 8 6 5 GORDON 50.0 258.5 58 230 +1952 9 1 12 28 MICHAEL 9.4 170.4 10 440 +1950 6 28 6 12 CHRIS 57.4 128.7 91 159 +1987 6 14 0 22 RAFAEL 65.1 321.9 37 80 +1979 11 7 18 26 BERYL 14.1 219.4 79 676 +1968 3 15 0 25 MICHAEL 48.9 341.1 46 480 +1999 4 17 12 15 WILLIAM 62.0 60.8 121 717 +2000 1 28 12 23 OSCAR 17.6 42.1 134 641 +1962 12 26 12 4 SANDY 64.2 166.4 47 844 +1955 9 18 12 5 BERYL 49.3 249.8 18 476 +1961 6 5 12 7 FLORENCE 26.5 33.6 139 74 +1985 6 3 6 1 TONY 37.9 290.2 155 820 +1978 12 21 6 11 HELENE 69.1 102.2 85 394 +1961 2 20 0 2 LESLIE 24.0 111.2 110 20 +1999 3 11 0 17 PATTY 66.3 161.9 35 432 +1964 11 13 18 12 WILLIAM 19.5 73.9 140 548 +1959 7 18 12 15 JOYCE 16.7 139.8 37 241 +1985 7 22 18 27 ERNESTO 18.2 350.0 147 59 +1961 12 16 0 16 TONY 32.6 113.8 20 607 +1988 3 26 6 19 GORDON 38.1 141.3 103 388 +1998 8 3 18 3 KIRK 68.1 52.1 95 818 +1998 10 25 18 28 CHRIS 20.8 297.0 45 816 +1952 5 21 6 2 ISAAC 42.0 332.6 132 845 +1994 11 10 6 18 WILLIAM 35.8 100.0 163 830 +1957 10 11 6 23 RAFAEL 14.9 274.1 90 481 +1966 10 22 18 5 BERYL 30.3 187.8 38 244 +1997 5 16 12 1 RAFAEL 34.4 119.6 136 589 +1969 11 8 18 1 TONY 42.5 44.7 128 620 +1982 2 13 18 3 KIRK 67.7 33.3 159 613 +2001 5 14 0 28 SANDY 48.8 300.9 107 668 +1982 3 10 0 6 HELENE 8.8 75.2 88 217 +1986 4 4 18 27 NADINE 53.4 207.0 53 149 +1980 6 28 0 28 TONY 18.4 145.1 79 524 +1956 2 22 18 27 PATTY 22.0 267.1 115 885 +1968 1 13 6 27 ISAAC 64.5 153.4 108 376 +1977 5 1 12 15 CHRIS 37.5 95.5 64 511 +1956 8 12 0 12 TONY 17.5 68.0 40 305 +1996 6 17 18 4 BERYL 59.5 69.0 135 153 +1958 5 13 6 21 HELENE 40.2 148.5 138 102 +1987 7 21 0 17 VALERIE 25.2 276.6 22 868 +1996 2 7 0 2 DEBBY 46.4 201.6 66 863 +1994 3 1 18 10 OSCAR 36.0 252.4 87 42 +1953 10 1 6 12 DEBBY 16.0 163.4 94 429 +2004 7 11 12 9 SANDY 52.5 325.2 143 301 +1993 11 17 6 28 VALERIE 32.2 323.4 149 576 +1962 11 26 6 26 NADINE 50.2 263.8 135 155 +1971 2 13 6 28 OSCAR 15.3 17.2 63 678 +1999 11 7 18 7 OSCAR 59.5 139.4 141 855 +1982 5 16 6 14 FLORENCE 66.8 217.8 49 686 +1985 12 21 6 2 TONY 16.7 10.7 35 146 +1988 3 27 18 23 ALBERTO 39.2 208.6 65 897 +2000 4 25 0 17 PATTY 23.7 121.0 41 773 +1969 5 13 6 8 VALERIE 38.0 305.3 164 523 +1951 4 13 12 22 VALERIE 52.3 273.5 155 261 +1952 1 25 18 19 OSCAR 39.0 95.1 152 588 +1998 6 22 6 24 LESLIE 45.1 19.4 147 530 +1999 1 4 18 12 GORDON 66.2 295.1 101 199 +1971 12 28 0 1 WILLIAM 10.5 89.3 42 436 +2003 11 27 6 11 RAFAEL 24.1 191.2 107 754 +1961 6 23 0 28 ISAAC 45.1 114.3 93 585 +1967 3 18 0 25 JOYCE 57.8 314.0 85 159 +1963 5 5 6 27 DEBBY 44.1 50.3 145 849 +1970 3 16 18 2 MICHAEL 63.3 124.3 150 566 +1957 2 27 6 21 VALERIE 43.5 109.2 23 562 +1974 7 25 6 5 WILLIAM 25.7 171.4 49 290 +1982 6 28 0 8 MICHAEL 35.7 74.6 59 168 +1976 11 27 0 28 OSCAR 16.4 200.2 69 740 +1982 10 3 12 20 DEBBY 37.3 71.3 154 108 +1996 9 9 18 27 FLORENCE 9.1 205.6 50 698 +1958 11 17 0 13 LESLIE 68.2 51.0 40 844 +1963 5 22 0 4 NADINE 26.1 343.1 42 721 +1961 11 1 0 17 TONY 16.2 44.0 77 538 +1953 5 8 0 16 ERNESTO 9.6 339.7 21 402 +1959 11 26 12 19 TONY 47.3 289.2 161 117 +1969 4 7 0 28 KIRK 14.9 231.3 128 474 +1997 7 16 0 12 ISAAC 50.3 259.6 93 641 +1953 9 2 18 25 SANDY 63.1 179.2 151 456 +1995 3 3 0 13 GORDON 47.1 26.9 129 765 +1976 4 5 12 16 MICHAEL 53.0 109.1 32 253 +1975 11 17 0 26 WILLIAM 15.9 162.9 17 634 +1965 2 8 12 12 VALERIE 42.5 335.9 121 326 +2000 9 21 12 14 GORDON 19.5 33.7 103 380 +1999 10 7 12 14 RAFAEL 28.8 259.6 23 802 +1982 4 2 18 28 VALERIE 28.3 33.9 146 650 +1970 8 27 6 4 ALBERTO 53.7 168.6 37 653 +2002 8 19 0 7 CHRIS 58.3 53.6 93 513 +1965 3 18 18 13 HELENE 53.3 15.9 59 347 +1965 10 28 18 26 NADINE 34.5 204.4 151 511 +1984 3 2 18 27 BERYL 28.5 134.2 31 528 +1995 1 24 6 17 ALBERTO 14.2 82.9 150 622 +1969 5 22 6 16 TONY 14.8 97.2 123 77 +1979 5 2 0 6 HELENE 32.6 345.7 119 421 +1980 2 26 18 22 BERYL 33.9 334.5 41 775 +1961 1 9 6 14 HELENE 20.9 14.4 41 250 +1999 6 26 12 26 NADINE 47.9 304.9 143 825 +1975 8 24 0 18 GORDON 32.4 182.3 94 776 +1981 9 26 6 22 LESLIE 49.1 157.4 50 616 +1989 4 25 18 9 JOYCE 9.7 334.6 140 271 +1981 6 28 0 18 ALBERTO 34.4 343.9 122 666 +1995 4 25 18 13 FLORENCE 57.5 166.3 43 700 +1965 9 25 0 4 ERNESTO 24.7 55.0 77 212 +1984 7 19 6 5 TONY 52.9 312.1 118 890 +1998 3 15 6 26 ERNESTO 31.5 206.8 83 497 +1990 12 4 6 7 TONY 43.5 71.7 113 559 +1968 4 24 0 21 HELENE 11.6 55.7 13 81 +1992 12 1 6 1 OSCAR 40.6 245.3 159 217 +1997 3 20 12 11 GORDON 58.6 232.8 71 402 +1999 9 4 12 27 SANDY 59.3 72.6 52 332 +1999 3 13 12 9 DEBBY 16.8 157.4 41 694 +1995 12 24 18 21 JOYCE 40.1 320.0 37 639 +1991 9 21 6 8 VALERIE 38.2 337.4 118 481 +1983 6 25 12 16 WILLIAM 11.1 99.1 109 526 +1951 9 18 0 9 BERYL 8.5 254.5 46 277 +1980 5 1 6 6 BERYL 55.8 1.8 53 578 +1995 7 4 12 22 ALBERTO 58.6 81.5 83 91 +1999 9 14 6 13 WILLIAM 54.9 265.6 154 453 +1953 8 6 0 23 TONY 49.4 269.8 10 682 +1974 3 27 18 5 SANDY 56.7 298.4 70 684 +1974 9 5 18 24 MICHAEL 51.6 27.2 28 167 +1996 5 20 6 3 ISAAC 29.2 161.0 132 695 +1973 2 16 6 18 ALBERTO 52.3 166.8 116 667 +1973 9 17 0 18 ERNESTO 10.0 212.8 95 265 +1959 6 9 18 19 RAFAEL 48.8 124.4 13 229 +1955 11 7 12 21 JOYCE 63.1 210.4 58 511 +1960 5 21 12 28 HELENE 59.7 163.6 53 766 +1955 2 14 12 4 FLORENCE 46.3 250.9 157 616 +1998 6 4 18 12 MICHAEL 43.0 227.0 107 754 +1959 2 14 6 9 DEBBY 25.5 27.8 159 857 +1953 9 19 12 3 OSCAR 20.6 207.4 39 790 +1994 9 18 6 4 FLORENCE 12.5 221.7 29 516 +1983 9 11 12 10 WILLIAM 39.0 187.9 153 101 +1982 9 4 18 3 GORDON 64.9 11.8 49 308 +1976 5 5 0 26 NADINE 49.2 246.4 137 55 +1972 9 12 0 27 BERYL 39.0 43.0 156 628 +1994 7 13 18 9 NADINE 37.7 289.3 15 247 +1963 2 26 0 28 CHRIS 10.5 197.2 120 121 +1994 12 25 6 5 WILLIAM 10.8 264.7 69 248 +1985 1 6 18 4 BERYL 18.5 247.7 51 435 +2004 1 5 6 24 GORDON 51.2 332.8 148 720 +1960 9 7 0 26 SANDY 45.2 237.7 70 167 +1970 2 17 0 2 TONY 65.5 30.0 112 836 +1959 5 8 6 12 LESLIE 48.4 69.3 133 104 +1995 10 9 18 13 SANDY 32.5 22.9 157 370 +1971 2 7 6 5 HELENE 64.0 208.3 37 115 +1955 6 12 12 22 MICHAEL 50.6 27.8 155 49 +1957 4 11 12 7 PATTY 22.8 9.4 14 689 +1955 5 4 6 21 DEBBY 56.8 273.5 122 728 +1981 5 3 12 27 GORDON 13.4 104.9 97 260 +1960 3 11 18 25 PATTY 46.9 160.8 141 581 +1957 5 17 0 1 WILLIAM 43.3 208.2 59 892 +1959 7 17 0 21 ISAAC 9.0 316.5 101 776 +1970 11 3 0 18 OSCAR 60.5 351.3 84 769 +1982 9 3 6 8 ISAAC 60.2 168.7 147 516 +1986 8 12 6 27 TONY 34.7 318.0 164 448 +1967 3 20 12 1 KIRK 28.4 161.9 52 2 +1984 8 1 18 2 CHRIS 31.3 61.8 18 239 +1958 3 5 12 15 DEBBY 10.7 56.8 148 292 +1983 5 13 12 3 DEBBY 45.9 294.3 81 233 +1989 6 9 0 5 KIRK 44.0 316.8 136 156 +1958 1 28 12 26 ALBERTO 16.7 66.5 163 479 +1967 9 6 18 18 ISAAC 66.0 48.8 15 96 +1985 4 9 18 7 MICHAEL 22.4 100.4 83 331 +1993 5 13 12 8 JOYCE 41.3 83.7 11 428 +1985 4 5 6 17 JOYCE 46.6 77.4 90 545 +1991 4 2 18 26 VALERIE 34.7 40.8 52 359 +1984 4 14 0 18 VALERIE 38.0 176.3 34 706 +1961 10 4 18 28 WILLIAM 24.7 356.8 143 107 +1961 6 19 12 24 LESLIE 51.2 351.6 114 488 +1978 11 20 0 3 ERNESTO 38.3 287.4 53 214 +1985 8 15 0 11 MICHAEL 29.8 19.3 40 633 +1973 12 3 6 18 LESLIE 52.2 309.5 40 628 +1976 12 16 18 2 CHRIS 45.1 31.6 114 755 +1956 5 12 6 7 PATTY 19.2 50.3 69 25 +1979 5 26 6 18 FLORENCE 68.0 298.1 86 341 +2000 6 22 18 6 RAFAEL 9.0 309.6 50 779 +1981 10 1 12 2 ALBERTO 63.7 171.7 91 505 +1992 9 7 6 18 ERNESTO 62.9 103.9 70 216 +2003 9 10 12 26 OSCAR 25.8 291.7 147 714 +1953 1 13 0 14 HELENE 58.1 41.6 129 256 +1974 4 4 6 20 PATTY 67.3 143.1 111 854 +1977 4 2 0 26 CHRIS 24.9 237.6 42 376 +1968 5 3 6 1 GORDON 14.2 167.1 118 28 +1988 9 1 0 4 NADINE 63.3 248.5 123 323 +1970 1 15 6 16 ALBERTO 58.7 227.2 80 69 +1988 4 4 0 21 MICHAEL 11.4 269.5 68 384 +1987 2 15 6 18 ALBERTO 17.7 337.8 68 636 +1976 7 21 6 11 VALERIE 31.4 117.5 59 357 +1959 10 28 6 15 LESLIE 14.5 273.7 77 396 +1986 5 16 6 16 ERNESTO 53.2 293.8 100 233 +1986 1 11 6 15 KIRK 55.5 187.2 13 395 +1962 9 22 12 9 VALERIE 66.1 338.0 102 17 +1997 4 25 6 2 ISAAC 63.0 87.3 143 767 +1972 9 18 12 3 LESLIE 28.3 103.2 47 879 +1965 11 21 6 13 PATTY 45.5 183.7 87 807 +1993 12 5 12 22 LESLIE 23.2 123.5 23 153 +1989 2 16 6 9 CHRIS 30.9 237.5 24 298 +1974 1 22 0 20 FLORENCE 22.2 281.6 85 358 +1961 8 1 12 19 ALBERTO 46.8 181.9 137 414 +1981 11 23 18 4 VALERIE 50.9 87.2 145 88 +1999 11 13 6 23 MICHAEL 46.7 140.7 80 12 +1991 9 17 0 24 NADINE 50.9 4.7 134 279 +1963 3 27 12 5 NADINE 23.9 215.9 118 50 +1987 1 4 0 8 FLORENCE 66.0 249.0 57 74 +1968 10 12 6 23 PATTY 49.9 234.1 44 790 +1976 10 24 18 2 DEBBY 25.2 293.8 46 440 +1993 4 16 0 28 ALBERTO 32.3 279.0 125 458 +1960 4 7 0 11 NADINE 34.7 114.6 66 307 +2001 8 3 18 21 GORDON 52.4 228.2 67 223 +1954 8 4 0 26 KIRK 62.9 260.3 63 350 +1997 6 17 12 24 JOYCE 48.1 31.1 97 165 +1977 12 22 0 11 HELENE 53.5 257.5 47 90 +1990 1 10 6 1 SANDY 62.0 245.5 115 164 +1993 10 14 18 17 KIRK 28.1 351.5 51 639 +1994 10 2 0 5 JOYCE 36.7 297.0 17 225 +1999 6 11 6 2 TONY 23.7 80.9 19 447 +1994 3 1 6 12 OSCAR 35.3 126.1 57 742 +1961 3 24 12 14 OSCAR 59.7 195.5 105 178 +1991 12 2 6 27 ALBERTO 30.6 171.3 147 357 +2001 10 25 0 16 NADINE 38.1 173.2 138 199 +1951 8 5 0 9 OSCAR 54.8 129.5 15 412 +1977 10 24 6 19 CHRIS 50.6 12.6 58 775 +1997 12 9 18 10 LESLIE 25.0 67.8 164 611 +1977 3 24 6 19 MICHAEL 30.6 315.3 89 303 +1955 2 12 0 4 DEBBY 26.5 23.8 26 753 +1955 10 22 18 8 PATTY 26.3 347.1 32 770 +1993 11 21 18 16 PATTY 40.0 86.4 138 843 +1975 4 20 12 5 BERYL 34.6 200.3 80 4 +1964 4 4 0 22 PATTY 57.8 175.7 12 202 +1956 6 6 6 1 GORDON 37.4 128.5 139 184 +1993 8 5 18 4 DEBBY 67.8 219.7 88 424 +1962 8 28 12 23 SANDY 27.7 221.7 132 655 +2000 2 19 12 22 OSCAR 21.8 122.9 127 61 +1987 8 7 12 3 JOYCE 66.9 132.4 103 116 +1989 10 17 0 11 FLORENCE 62.6 54.5 93 708 +1994 5 13 12 20 RAFAEL 28.7 330.9 132 86 +1997 12 1 18 27 JOYCE 65.5 174.8 121 56 +1961 7 2 18 20 DEBBY 19.7 72.7 98 75 +1958 6 26 0 7 DEBBY 42.9 13.6 100 679 +1963 10 2 12 14 PATTY 30.3 228.6 162 525 +2003 12 6 18 24 TONY 16.1 155.7 105 422 +1980 2 2 6 9 CHRIS 13.1 38.6 139 853 +1986 10 20 0 21 ALBERTO 37.9 329.7 96 154 +1977 9 22 0 4 OSCAR 39.3 19.4 137 770 +1959 3 15 18 1 BERYL 42.9 83.0 104 818 +1988 8 19 12 3 ISAAC 50.0 13.3 61 528 +1985 7 21 12 2 BERYL 8.1 24.7 146 284 +2000 8 11 12 28 LESLIE 37.9 167.1 49 377 +1991 9 13 0 6 NADINE 54.9 116.1 139 135 +1979 6 4 18 5 SANDY 62.0 296.0 145 261 +1967 11 8 0 14 ALBERTO 30.8 7.9 30 580 +2000 5 15 0 6 JOYCE 15.9 61.5 147 843 +1990 3 24 0 24 ISAAC 9.2 312.6 29 725 +1950 4 2 12 18 VALERIE 69.1 283.4 49 483 +1994 5 24 12 13 PATTY 38.4 283.7 107 525 +1981 4 14 12 20 RAFAEL 39.8 241.9 63 627 +1965 3 16 18 7 ERNESTO 65.9 346.9 74 200 +1992 1 27 12 14 NADINE 33.2 233.7 93 518 +1989 10 25 0 27 TONY 28.4 154.1 101 440 +1975 9 5 12 11 SANDY 19.9 239.9 17 348 +1960 12 28 18 13 DEBBY 63.1 61.6 11 621 +1977 8 25 18 14 WILLIAM 46.3 81.9 71 293 +1975 8 13 6 24 RAFAEL 54.1 184.3 123 764 +1990 12 12 6 9 MICHAEL 57.5 59.1 73 51 +1996 8 3 6 20 TONY 65.7 319.8 117 95 +2001 5 19 18 18 OSCAR 20.4 244.0 98 645 +1952 5 15 12 16 WILLIAM 23.5 314.7 132 725 +1988 6 28 18 13 WILLIAM 65.2 96.8 53 52 +1954 8 17 12 2 VALERIE 65.0 311.2 29 644 +1991 7 18 18 7 RAFAEL 53.0 257.1 100 474 +1965 5 15 0 18 CHRIS 10.8 137.0 47 522 +2002 10 9 18 24 CHRIS 22.2 10.7 120 214 +1957 6 18 18 26 BERYL 15.7 141.7 151 733 +1968 1 1 6 5 DEBBY 37.1 22.0 157 231 +1967 11 21 6 16 ALBERTO 46.6 251.5 133 826 +1954 6 22 18 17 WILLIAM 59.3 96.3 118 505 +1999 5 12 18 9 HELENE 8.3 84.0 23 659 +1955 2 25 18 15 ALBERTO 46.9 329.8 140 697 +1950 9 10 18 10 HELENE 61.3 282.0 129 103 +1955 2 21 6 25 KIRK 52.7 254.5 141 370 +1979 8 20 6 7 VALERIE 33.9 254.9 146 873 +1961 10 6 12 2 KIRK 48.0 274.8 20 166 +1981 8 25 0 28 JOYCE 52.0 128.7 36 632 +1991 7 22 12 15 ISAAC 40.8 332.8 49 23 +1980 9 7 0 11 DEBBY 69.9 165.7 118 724 +1971 6 17 18 12 MICHAEL 34.6 331.9 29 429 +1999 9 14 0 18 NADINE 49.0 212.8 111 618 +1989 2 17 0 13 DEBBY 16.3 191.9 155 256 +1995 4 19 0 20 BERYL 35.8 0.3 108 199 +1950 5 24 12 22 MICHAEL 63.0 186.8 77 336 +1955 10 18 6 26 ALBERTO 56.1 324.7 85 475 +1987 8 8 0 15 DEBBY 60.3 64.4 122 225 +1977 4 23 6 21 FLORENCE 8.2 283.5 130 61 +1951 9 28 18 25 HELENE 61.7 191.0 25 851 +1960 11 1 6 25 MICHAEL 47.1 268.4 139 219 +1975 12 13 18 2 NADINE 58.9 210.0 75 599 +1975 10 15 18 12 NADINE 34.6 306.6 150 271 +1991 6 21 0 23 DEBBY 54.1 312.4 126 272 +1991 5 9 0 17 LESLIE 33.0 73.9 153 296 +1997 12 18 6 14 CHRIS 25.5 138.8 150 186 +1962 3 16 12 2 RAFAEL 24.6 326.0 131 332 +1973 8 7 0 18 BERYL 43.1 329.4 116 487 +1953 5 23 0 2 FLORENCE 64.9 56.0 151 428 +1970 5 11 12 4 VALERIE 33.5 26.7 148 749 +1954 7 2 6 28 KIRK 58.2 338.9 40 131 +2002 8 20 12 14 FLORENCE 31.8 116.4 55 385 +1994 1 24 12 18 ERNESTO 60.2 25.0 155 802 +1962 3 23 0 13 ERNESTO 64.0 63.5 61 730 +1980 12 2 18 4 OSCAR 59.6 9.9 94 53 +1980 1 7 18 26 DEBBY 36.2 182.8 118 454 +1986 4 10 18 3 KIRK 41.2 55.0 82 464 +1972 5 7 6 25 SANDY 67.0 42.9 40 219 +1990 1 15 12 12 OSCAR 34.9 208.5 25 776 +1991 2 13 0 13 RAFAEL 68.5 247.7 162 555 +1981 3 24 18 4 GORDON 29.6 99.1 114 471 +2001 3 17 6 25 RAFAEL 15.4 213.4 119 354 +1972 6 13 18 17 ERNESTO 63.6 341.4 31 294 +1993 3 22 0 27 NADINE 69.8 64.6 28 350 +2004 5 10 6 14 ERNESTO 25.2 138.6 125 850 +1989 9 24 18 11 ALBERTO 53.5 249.3 106 696 +1967 8 2 0 3 RAFAEL 64.4 136.9 131 161 +1981 7 8 18 6 ERNESTO 65.2 139.5 133 487 +1985 10 23 12 21 ERNESTO 33.2 168.3 129 35 +1987 9 6 0 4 ISAAC 29.5 342.0 37 159 +1985 7 14 18 24 SANDY 66.6 95.9 161 495 +1951 10 2 12 22 JOYCE 30.0 305.6 78 394 +1978 8 24 0 5 ALBERTO 66.2 231.2 53 536 +1995 4 10 6 4 GORDON 31.2 1.7 138 83 +1954 8 1 18 22 DEBBY 33.6 157.2 21 709 +2001 8 16 0 12 NADINE 41.3 261.4 43 562 +1989 7 27 18 24 GORDON 11.2 56.1 105 862 +1975 5 10 12 1 FLORENCE 53.1 26.2 33 243 +2004 6 14 6 12 BERYL 52.4 148.0 124 301 +1951 12 6 18 27 LESLIE 59.3 89.2 68 52 +1998 5 1 18 3 NADINE 29.4 56.9 97 817 +1979 1 16 6 15 GORDON 36.9 29.5 72 354 +2003 5 22 18 20 SANDY 10.1 257.3 99 546 +1985 10 3 6 2 OSCAR 14.1 354.6 131 574 +1950 2 24 6 10 JOYCE 42.9 321.8 153 118 +1973 11 5 18 22 SANDY 42.9 297.5 125 700 +1990 2 26 0 12 ISAAC 61.8 118.6 87 785 +1991 3 6 18 20 ALBERTO 39.7 285.9 85 737 +1983 5 3 0 17 JOYCE 52.2 172.7 20 361 +1989 1 24 0 7 SANDY 58.3 354.1 40 136 +1969 4 12 18 14 KIRK 9.1 221.9 160 295 +1989 11 17 6 21 MICHAEL 49.6 343.5 116 663 +1987 3 10 0 18 LESLIE 7.8 355.8 88 780 +1962 2 15 6 25 ERNESTO 7.7 311.4 72 47 +1983 7 20 12 21 FLORENCE 54.5 6.0 57 620 +1970 8 11 6 12 PATTY 68.2 294.7 94 456 +1995 11 4 0 16 NADINE 53.4 226.2 20 582 +1979 9 22 12 28 CHRIS 44.4 288.8 55 175 +1962 6 9 0 7 WILLIAM 22.7 161.1 91 312 +1987 11 25 6 5 VALERIE 15.3 172.3 64 559 +1973 5 10 12 17 HELENE 67.7 180.4 72 664 +1978 1 24 12 21 LESLIE 7.9 20.5 63 509 +1952 2 17 12 15 ERNESTO 47.4 156.6 36 466 +2001 9 11 12 16 MICHAEL 21.1 285.5 21 607 +2003 1 16 6 23 KIRK 12.1 128.6 73 340 +1988 1 9 18 12 NADINE 51.7 53.1 113 6 +2002 5 27 6 12 DEBBY 60.8 120.7 39 62 +2000 9 8 18 28 BERYL 67.1 357.8 55 764 +1982 9 24 6 1 ERNESTO 51.2 47.7 72 360 +1952 10 1 6 3 JOYCE 28.7 68.3 114 817 +2003 11 14 6 15 ALBERTO 22.5 324.3 54 53 +1975 9 9 0 10 LESLIE 46.7 66.4 84 180 +1971 3 8 6 22 DEBBY 36.0 153.5 55 851 +1994 1 16 18 15 PATTY 47.8 54.2 95 717 +1953 6 28 18 27 RAFAEL 48.5 106.1 26 489 +1966 5 23 12 4 ERNESTO 8.3 95.5 25 295 +1955 11 5 0 7 ISAAC 65.5 181.8 159 891 +1960 2 17 12 17 NADINE 67.8 166.1 86 777 +1975 1 28 6 13 SANDY 25.1 77.9 30 105 +1957 2 22 12 14 HELENE 49.4 69.0 109 497 +1952 2 23 18 21 SANDY 39.0 229.6 121 381 +1953 2 2 0 6 NADINE 49.9 95.0 12 591 +1993 12 7 18 22 TONY 36.4 187.2 12 605 +1961 8 25 0 16 MICHAEL 62.5 8.9 71 635 +1990 12 27 6 11 DEBBY 42.6 260.6 144 219 +1979 10 27 18 8 MICHAEL 46.8 343.7 40 122 +1958 6 4 18 12 RAFAEL 47.0 124.9 151 623 +1957 2 5 12 24 OSCAR 26.9 279.9 33 153 +1988 5 25 12 14 RAFAEL 41.8 288.2 117 184 +1964 10 12 12 7 KIRK 66.4 62.0 157 492 +1989 2 10 18 14 ISAAC 27.8 310.7 47 739 +1998 5 7 0 9 BERYL 67.8 99.1 56 647 +2000 10 14 18 19 FLORENCE 53.4 18.9 134 603 +1959 7 9 6 19 VALERIE 14.7 131.3 25 87 +1963 7 10 18 14 FLORENCE 53.7 148.1 109 768 +1999 2 13 18 23 MICHAEL 37.6 321.4 108 751 +1997 11 18 0 21 WILLIAM 39.0 57.7 71 326 +1959 10 23 6 17 HELENE 20.5 254.2 87 564 +2003 7 13 6 10 MICHAEL 56.5 259.0 51 308 +1995 8 8 18 5 TONY 12.6 323.9 59 695 +1998 12 25 12 26 PATTY 24.5 141.0 70 304 +1967 1 23 6 11 LESLIE 68.9 32.1 131 337 +1978 11 9 12 11 LESLIE 21.5 168.6 107 389 +1965 8 12 6 7 NADINE 36.5 246.3 113 504 +1994 7 6 12 4 GORDON 22.8 89.8 80 317 +1966 2 24 6 16 DEBBY 34.8 296.8 89 798 +1953 10 27 12 6 ALBERTO 64.7 70.5 113 707 +1978 12 10 18 12 BERYL 29.1 175.9 102 882 +1968 5 25 0 7 PATTY 31.8 5.7 27 666 +1981 8 5 0 19 ALBERTO 37.2 44.9 46 307 +1974 8 24 6 8 OSCAR 51.9 183.1 92 84 +1963 4 15 6 25 ERNESTO 38.1 56.3 99 860 +1984 12 4 6 9 OSCAR 15.9 191.7 85 288 +2001 5 28 18 25 HELENE 22.4 331.0 84 870 +1967 3 7 12 27 ISAAC 59.5 78.6 108 200 +1976 10 24 6 11 OSCAR 37.0 328.0 27 307 +1967 2 1 18 28 MICHAEL 47.7 173.3 152 147 +1981 2 7 6 12 BERYL 17.3 340.7 11 858 +1979 12 18 18 17 CHRIS 37.1 247.4 88 784 +1972 6 21 18 1 VALERIE 66.9 265.8 41 542 +1951 10 11 12 7 HELENE 66.4 7.6 135 131 +1980 4 24 18 19 CHRIS 38.5 114.5 125 208 +1987 6 7 6 2 TONY 70.0 30.8 56 32 +1979 4 7 6 2 CHRIS 45.6 296.8 137 809 +2003 11 10 12 3 DEBBY 40.5 174.7 25 116 +2004 2 25 0 4 TONY 15.6 329.1 100 702 +1978 3 6 18 14 OSCAR 51.9 320.2 141 389 +1953 6 18 6 27 NADINE 38.4 280.3 110 531 +1956 9 21 6 11 PATTY 60.7 330.3 60 225 +1995 4 14 0 14 HELENE 48.4 143.2 45 732 +1983 12 5 6 14 RAFAEL 35.2 267.6 80 248 +1989 11 16 12 21 CHRIS 45.8 326.9 48 159 +1987 7 1 18 22 KIRK 62.6 164.0 29 98 +1950 5 8 12 2 TONY 65.3 356.7 154 672 +1956 7 7 12 8 NADINE 18.9 80.1 66 499 +1966 8 26 6 11 MICHAEL 12.8 250.3 36 15 +1976 4 27 6 21 JOYCE 68.7 272.2 128 46 +2003 9 20 6 6 PATTY 10.9 44.0 15 172 +1968 2 12 6 13 CHRIS 17.1 183.3 62 728 +1995 6 21 12 2 HELENE 68.3 213.3 164 396 +1956 3 18 0 5 TONY 32.3 261.0 80 757 +1958 7 8 0 26 KIRK 66.3 25.5 161 772 +1973 11 9 12 26 DEBBY 59.9 93.7 75 513 +1976 1 10 6 17 VALERIE 16.8 77.2 11 506 +1982 9 23 12 26 VALERIE 25.8 339.7 100 449 +1995 3 25 12 3 ISAAC 45.6 296.6 89 756 +1957 7 1 6 4 GORDON 61.1 303.9 146 146 +1983 9 20 12 4 CHRIS 42.0 60.7 73 34 +1969 8 6 0 5 HELENE 8.4 148.8 121 162 +1977 7 6 18 13 TONY 9.9 96.8 53 769 +1953 10 19 12 26 WILLIAM 25.0 58.6 97 380 +1965 6 2 6 22 SANDY 50.9 22.7 26 826 +1984 12 10 6 9 VALERIE 52.7 323.4 30 532 +1955 7 26 6 1 NADINE 28.4 169.1 147 233 +2004 7 13 6 15 HELENE 46.8 49.5 105 481 +1967 9 9 12 6 ERNESTO 29.4 75.8 32 199 +1980 11 10 12 26 KIRK 20.7 261.6 110 386 +1989 11 4 18 27 WILLIAM 58.9 75.8 64 682 +1977 12 26 0 4 JOYCE 32.4 203.0 156 240 +1990 1 22 6 25 TONY 56.0 278.4 143 16 +1983 2 11 6 2 CHRIS 11.7 209.1 139 600 +1961 4 4 0 17 TONY 42.6 78.6 96 744 +1985 8 3 0 14 ERNESTO 47.6 143.6 152 257 +1972 2 17 12 19 BERYL 30.1 303.0 89 790 +1966 10 9 12 16 PATTY 12.2 215.2 87 612 +1999 8 14 12 19 ISAAC 42.0 163.5 77 335 +1951 9 19 6 18 FLORENCE 13.8 259.9 23 383 +1977 12 2 18 5 WILLIAM 45.2 299.4 104 601 +2000 10 10 12 1 WILLIAM 35.3 288.2 147 780 +1983 2 16 6 2 BERYL 60.2 357.8 35 519 +1966 6 7 0 20 ISAAC 28.0 133.3 23 769 +1996 8 10 18 19 NADINE 50.9 287.5 71 488 +1977 7 15 12 9 OSCAR 18.3 287.1 50 844 +1960 11 25 6 6 ALBERTO 33.8 113.3 119 160 +1985 10 9 0 24 DEBBY 41.9 205.1 34 601 +1979 10 15 6 26 RAFAEL 52.1 313.6 13 806 +2000 6 25 12 5 LESLIE 11.9 39.4 87 778 +1954 4 9 12 28 PATTY 15.6 56.7 157 335 +1982 2 18 6 4 MICHAEL 32.1 34.2 79 197 +2004 12 24 6 7 TONY 42.6 225.2 148 608 +1961 5 7 18 10 PATTY 42.7 145.4 125 170 +2000 3 26 18 20 SANDY 7.3 291.0 152 100 +1958 12 16 12 24 SANDY 35.8 202.3 85 21 +1977 11 15 6 26 VALERIE 19.1 13.5 86 586 +2000 9 21 12 17 OSCAR 41.4 272.8 122 345 +1961 6 11 18 2 FLORENCE 9.6 175.9 163 853 +1973 10 26 0 11 MICHAEL 57.5 204.5 70 434 +1950 4 8 18 7 JOYCE 62.5 317.4 75 308 +1985 6 25 0 14 JOYCE 9.6 353.0 31 273 +1989 5 20 12 11 NADINE 43.8 341.1 103 701 +1974 1 7 0 4 CHRIS 17.4 74.5 119 489 +2002 2 11 12 12 MICHAEL 20.4 80.2 19 322 +1985 6 3 0 9 CHRIS 69.1 152.2 55 734 +1972 11 27 12 12 PATTY 13.0 241.2 12 262 +1970 12 15 6 14 NADINE 51.5 93.1 109 637 +1991 1 8 18 25 ALBERTO 48.4 114.4 35 777 +1968 8 20 0 21 ISAAC 38.6 38.2 103 23 +1987 4 7 6 7 LESLIE 8.5 124.0 69 269 +2003 3 12 0 18 RAFAEL 13.2 320.3 27 263 +1966 2 26 18 14 PATTY 58.8 60.0 20 58 +1990 10 25 18 27 WILLIAM 68.1 128.1 62 290 +1989 8 21 12 1 TONY 11.9 204.9 14 309 +1979 5 4 18 6 FLORENCE 32.5 216.7 48 825 +1995 12 26 0 13 KIRK 68.3 349.9 86 34 +1965 5 8 6 11 TONY 65.6 22.3 110 381 +1974 10 9 12 12 ISAAC 33.9 60.7 119 334 +1998 9 15 0 20 MICHAEL 8.9 8.0 74 831 +1985 6 14 18 4 MICHAEL 20.3 51.1 160 422 +1955 12 25 12 25 BERYL 40.1 117.2 128 606 +1990 7 24 6 9 RAFAEL 17.4 182.6 112 525 +1970 3 8 12 12 RAFAEL 67.4 165.9 31 55 +1985 11 15 18 27 HELENE 41.7 328.8 105 820 +1953 2 23 0 24 OSCAR 23.9 208.2 78 365 +1957 10 1 0 19 SANDY 65.3 140.6 158 582 +1969 9 1 0 18 GORDON 45.8 265.1 11 464 +1966 9 12 0 25 SANDY 36.3 205.1 154 344 +1966 5 7 18 15 NADINE 16.6 148.5 151 89 +2000 7 9 18 14 HELENE 38.1 331.6 10 36 +2003 10 10 0 12 PATTY 30.9 346.2 39 205 +1996 7 8 0 16 ISAAC 46.4 30.6 152 605 +1980 2 2 6 1 ERNESTO 65.4 114.4 144 469 +1986 5 16 12 8 MICHAEL 16.9 144.8 80 150 +1950 5 8 6 19 GORDON 41.6 207.1 135 801 +1956 10 13 18 8 DEBBY 24.3 34.4 108 175 +1987 6 6 18 21 PATTY 9.4 42.3 32 466 +2004 7 22 18 23 GORDON 22.9 135.4 118 711 +1980 12 19 6 5 OSCAR 8.8 136.3 114 858 +1985 7 13 6 4 LESLIE 67.0 177.0 48 143 +1995 9 3 12 14 RAFAEL 64.2 294.1 110 548 +1961 12 26 12 13 DEBBY 61.7 165.8 143 730 +1989 12 4 6 25 TONY 58.3 56.4 88 195 +1954 4 10 12 24 OSCAR 61.8 209.8 100 204 +1997 4 15 0 13 LESLIE 11.2 282.3 57 892 +1984 10 28 18 28 VALERIE 11.0 342.2 118 679 +2003 7 3 0 11 WILLIAM 12.0 189.7 155 47 +2000 11 8 0 17 JOYCE 19.0 213.5 160 480 +1951 7 11 6 3 OSCAR 30.8 292.5 122 778 +2000 8 6 12 26 PATTY 36.3 99.1 64 816 +1961 2 26 18 6 GORDON 52.3 261.1 77 18 +1991 12 2 0 10 TONY 59.4 303.7 144 420 +1997 2 1 6 19 NADINE 54.1 174.3 84 667 +1972 5 14 12 3 LESLIE 51.7 163.5 103 236 +1953 10 22 0 7 ERNESTO 22.8 189.4 27 295 +1970 6 17 0 28 TONY 25.6 290.7 55 338 +1993 10 9 18 20 LESLIE 27.8 88.8 129 766 +1972 10 6 6 15 OSCAR 27.9 209.2 126 839 +1951 8 6 6 4 PATTY 28.8 200.0 104 731 +1994 7 20 6 27 NADINE 37.5 340.7 71 884 +1973 10 6 12 19 PATTY 68.8 305.8 79 0 +1966 4 25 6 15 ERNESTO 45.0 96.9 56 131 +1967 2 3 12 11 PATTY 26.5 38.0 153 70 +1991 2 23 12 1 TONY 67.2 302.7 81 812 +1967 4 9 12 24 MICHAEL 57.3 227.5 121 841 +1950 6 27 6 18 LESLIE 11.0 312.1 101 602 +1983 5 17 12 16 GORDON 36.4 142.8 91 630 +1968 5 15 0 16 ERNESTO 12.1 6.9 41 263 +1968 11 27 18 13 VALERIE 14.5 218.7 90 616 +1951 11 6 18 22 NADINE 66.9 198.3 135 487 +1976 6 16 12 5 PATTY 59.6 251.2 145 419 +1972 8 9 0 14 VALERIE 66.7 255.8 131 334 +1991 8 22 6 3 VALERIE 49.8 17.0 156 222 +1957 7 13 6 11 GORDON 44.8 214.8 103 829 +1979 4 20 0 1 JOYCE 67.5 281.5 158 266 +1979 9 9 18 25 ISAAC 20.1 119.4 152 837 +1987 12 24 18 4 GORDON 17.9 260.6 126 373 +2004 7 16 18 16 ISAAC 59.8 160.5 72 458 +1979 11 21 6 25 MICHAEL 48.8 251.4 137 769 +1972 7 9 18 18 TONY 10.5 254.1 136 789 +1974 9 2 0 27 DEBBY 38.3 119.4 23 675 +1984 9 3 18 15 MICHAEL 32.4 180.5 72 867 +2000 6 9 6 1 JOYCE 14.1 62.1 136 742 +1971 11 3 6 6 DEBBY 21.2 167.6 159 95 +1980 2 5 12 22 FLORENCE 12.6 271.2 71 826 +1956 10 17 6 18 RAFAEL 53.7 89.3 94 678 +1993 5 22 18 28 MICHAEL 50.9 50.8 22 181 +1952 7 15 0 12 DEBBY 8.7 155.0 28 451 +2003 5 26 18 27 RAFAEL 27.0 63.5 124 24 +1963 10 27 6 8 OSCAR 26.0 15.9 79 512 +1990 8 18 0 11 NADINE 68.7 151.4 69 213 +1984 6 18 18 13 WILLIAM 59.8 255.5 74 660 +1994 7 22 6 9 BERYL 21.9 192.7 91 524 +1977 7 9 0 16 JOYCE 55.1 246.0 110 366 +1970 12 9 18 14 FLORENCE 22.1 286.4 122 210 +1951 2 1 12 5 RAFAEL 50.3 248.7 93 417 +1973 4 8 6 23 BERYL 7.0 185.8 121 196 +1957 12 6 0 13 ISAAC 7.0 47.7 43 441 +1956 11 12 0 14 FLORENCE 28.5 242.9 48 650 +1989 2 4 18 21 RAFAEL 26.1 90.1 140 727 +2004 10 12 18 21 GORDON 26.5 28.4 76 98 +1996 10 4 6 7 SANDY 32.8 202.5 52 866 +1989 11 25 0 20 FLORENCE 41.6 231.7 68 497 +1991 1 17 6 8 LESLIE 58.6 97.7 29 500 +1977 1 20 6 24 RAFAEL 44.3 127.6 20 62 +2001 8 28 0 27 OSCAR 44.9 208.0 10 866 +1962 1 23 0 15 FLORENCE 16.3 347.4 16 662 +2004 12 8 0 8 TONY 17.6 83.6 125 725 +2001 10 9 12 16 CHRIS 31.6 338.5 144 615 +2004 11 6 6 10 VALERIE 46.3 177.9 44 782 +1957 5 26 6 2 SANDY 34.9 9.6 89 428 +1988 5 3 18 12 CHRIS 36.3 97.0 93 419 +1987 6 10 18 12 NADINE 62.7 198.3 25 836 +2000 1 6 18 11 BERYL 11.9 306.7 10 145 +1987 3 10 6 6 MICHAEL 67.2 100.6 37 143 +1975 2 2 18 28 MICHAEL 23.1 228.9 48 310 +1989 2 8 0 5 VALERIE 69.8 337.5 25 834 +1997 6 16 6 12 NADINE 52.2 193.5 154 759 +1951 11 22 6 11 JOYCE 29.2 220.2 46 48 +1994 6 4 0 13 TONY 49.3 264.0 109 864 +1986 9 9 0 11 ERNESTO 37.0 63.9 114 752 +1954 6 17 12 21 OSCAR 47.8 314.1 14 74 +1991 4 17 12 26 VALERIE 35.9 89.6 37 601 +2003 5 25 0 21 JOYCE 37.5 128.5 125 551 +1970 9 19 0 6 RAFAEL 27.7 45.9 91 602 +2003 4 25 0 7 TONY 70.0 168.0 115 750 +1951 1 18 12 22 ERNESTO 46.1 357.9 47 280 +1981 6 24 18 28 RAFAEL 52.7 352.7 128 636 +1991 8 6 6 26 ALBERTO 44.0 42.1 124 839 +2002 4 2 18 28 CHRIS 31.4 221.3 109 860 +1991 3 2 6 9 FLORENCE 10.3 304.5 28 59 +1970 6 16 12 24 LESLIE 13.6 213.3 164 24 +1961 8 2 18 27 BERYL 10.4 86.2 81 117 +1969 4 26 12 10 HELENE 12.5 60.1 130 891 +1981 9 23 0 12 VALERIE 58.6 254.2 87 218 +1953 2 20 6 3 NADINE 21.2 305.1 30 861 +1996 8 11 6 17 SANDY 51.9 278.3 125 505 +1999 9 28 6 20 VALERIE 33.4 167.2 19 51 +1987 11 23 12 26 FLORENCE 30.0 53.2 103 11 +1953 2 15 12 17 BERYL 40.4 26.4 34 493 +1988 10 5 0 24 OSCAR 12.7 285.8 40 1 +1957 5 9 6 27 OSCAR 22.5 269.5 117 717 +2001 6 7 6 1 DEBBY 53.4 200.4 22 808 +1954 7 19 18 5 SANDY 52.5 124.1 36 530 +1982 4 22 12 14 MICHAEL 49.7 311.7 61 655 +1958 10 7 12 25 TONY 13.9 17.4 83 48 +1987 5 19 0 13 JOYCE 39.0 181.9 90 427 +1985 9 25 0 11 NADINE 21.7 43.7 156 127 +1961 4 8 12 25 RAFAEL 65.5 93.7 56 783 +1975 11 18 0 27 CHRIS 17.5 193.3 57 831 +2000 12 11 6 18 BERYL 27.7 59.6 115 727 +1977 12 8 12 1 FLORENCE 34.1 106.3 25 172 +1984 10 24 0 1 ALBERTO 43.3 277.3 140 112 +2002 4 12 6 28 DEBBY 32.7 60.6 49 204 +1967 3 12 12 1 GORDON 69.1 49.7 78 347 +1968 9 6 0 11 FLORENCE 67.9 6.9 95 83 +1976 11 3 6 8 JOYCE 17.6 202.6 64 125 +1962 12 26 6 9 LESLIE 39.9 266.0 98 47 +1964 6 16 12 22 FLORENCE 14.7 191.5 148 814 +1996 5 14 18 21 ALBERTO 22.3 250.0 83 542 +1956 9 28 0 19 SANDY 60.7 180.9 136 615 +1973 2 26 18 26 ISAAC 15.4 9.7 133 400 +1968 11 3 18 12 PATTY 65.6 270.3 145 736 +2004 2 1 0 13 GORDON 50.5 214.1 138 753 +1985 7 18 0 6 ISAAC 57.9 54.8 152 873 +1997 1 28 12 18 PATTY 36.2 193.5 34 551 +1970 5 1 18 26 PATTY 27.9 310.6 30 563 +1971 6 8 18 13 SANDY 55.9 330.0 61 192 +1989 2 20 0 5 VALERIE 48.4 343.2 80 824 +1952 3 11 12 25 FLORENCE 10.3 21.5 114 777 +1951 5 18 6 1 ERNESTO 43.7 200.7 155 822 +1986 11 2 18 7 GORDON 11.0 44.2 55 346 +1989 11 28 12 3 DEBBY 20.1 245.1 18 324 +2002 12 3 18 12 VALERIE 47.0 109.3 136 29 +1956 8 25 6 14 JOYCE 47.9 216.9 34 321 +1985 5 15 0 10 PATTY 24.8 139.5 113 142 +2000 5 7 12 1 MICHAEL 50.0 52.5 85 137 +1962 8 20 12 6 ALBERTO 10.8 351.7 53 890 +1955 12 2 0 10 GORDON 32.1 102.8 160 160 +1985 7 28 12 10 GORDON 48.6 348.9 34 307 +1958 8 2 0 6 NADINE 38.1 149.1 42 560 +1960 7 18 0 12 GORDON 62.1 57.9 113 211 +2001 7 13 12 13 DEBBY 31.1 260.4 41 469 +1974 7 4 12 15 JOYCE 16.9 342.3 79 663 +2000 8 9 6 5 KIRK 52.1 111.0 108 570 +1968 9 10 6 9 KIRK 13.3 101.0 103 829 +1957 10 8 0 22 GORDON 40.5 205.5 22 477 +1989 2 26 6 7 RAFAEL 52.1 234.7 110 276 +1981 11 8 6 24 RAFAEL 66.4 20.7 152 251 +2004 5 25 18 13 ISAAC 28.1 37.9 23 137 +1960 2 1 6 11 SANDY 7.3 191.0 97 421 +1983 8 22 0 21 ISAAC 50.4 215.7 76 285 +1959 12 11 0 21 KIRK 42.7 23.7 149 808 +1986 12 1 18 13 VALERIE 31.9 263.3 99 570 +1981 7 14 0 27 HELENE 56.4 314.8 67 639 +1983 3 5 6 28 TONY 34.1 160.9 17 722 +1984 2 25 6 12 WILLIAM 14.6 135.3 139 232 +1969 5 5 12 20 JOYCE 63.3 224.4 40 214 +1951 10 24 18 3 JOYCE 7.5 199.4 145 444 +1950 11 16 12 19 TONY 66.9 215.6 65 817 +1976 9 17 6 19 BERYL 45.7 329.8 145 243 +1982 8 14 18 22 ISAAC 21.5 298.9 110 612 +2004 4 11 6 22 JOYCE 35.4 14.5 72 768 +1950 2 27 18 1 ISAAC 39.8 5.5 28 220 +1957 9 27 18 20 SANDY 44.3 87.6 31 427 +1961 12 4 6 10 SANDY 35.4 163.4 73 659 +1972 11 26 18 16 RAFAEL 60.9 220.0 127 861 +1965 12 9 6 6 ISAAC 46.7 338.7 76 381 +1953 5 18 0 25 BERYL 63.0 215.8 56 258 +1977 3 23 0 4 OSCAR 18.5 185.1 46 559 +1989 8 25 18 24 ISAAC 59.2 0.7 37 582 +1991 4 3 18 16 ISAAC 40.4 121.2 149 62 +1968 8 6 18 3 ERNESTO 46.9 258.9 115 823 +1974 3 20 18 9 JOYCE 56.6 180.0 45 481 +1951 5 23 6 1 JOYCE 38.4 73.3 149 857 +1952 5 15 6 16 WILLIAM 45.1 311.3 101 362 +1963 10 23 0 23 FLORENCE 38.7 115.9 42 214 +1996 6 1 12 3 ALBERTO 47.3 203.3 75 618 +2000 3 23 12 10 BERYL 68.0 185.8 141 253 +1986 7 26 6 10 JOYCE 21.6 54.9 137 894 +2000 10 11 6 8 FLORENCE 55.8 316.8 143 559 +1954 12 20 6 2 TONY 47.1 164.5 120 719 +2003 10 13 12 21 FLORENCE 26.0 40.3 133 195 +1997 3 4 6 24 GORDON 22.9 196.6 147 854 +2000 3 4 12 18 NADINE 40.7 278.2 18 894 +1995 3 6 0 18 FLORENCE 7.5 335.8 139 714 +1999 6 25 0 22 WILLIAM 29.0 218.3 12 319 +1988 2 28 0 19 SANDY 59.4 352.9 29 811 +1981 10 12 18 12 CHRIS 67.3 126.1 110 243 +2002 10 14 0 3 SANDY 58.0 235.8 54 276 +1977 11 7 6 11 FLORENCE 55.1 266.6 75 86 +1969 11 26 18 9 MICHAEL 61.1 148.0 55 695 +1990 11 16 6 1 GORDON 16.4 51.6 29 327 +1974 11 10 18 11 OSCAR 14.0 203.5 144 405 +1994 2 1 18 21 FLORENCE 57.3 166.2 95 409 +1978 4 9 12 12 LESLIE 64.7 243.2 71 534 +1985 6 26 12 21 KIRK 48.2 307.1 19 657 +1985 9 27 18 23 OSCAR 33.2 177.3 58 367 +1951 7 20 6 22 DEBBY 33.2 239.3 132 61 +2001 6 20 12 6 TONY 15.3 271.0 125 228 +1967 3 15 6 4 WILLIAM 7.9 299.6 82 18 +1987 3 17 0 8 TONY 66.2 214.7 81 335 +1956 2 20 0 4 DEBBY 14.4 340.0 122 659 +1977 2 17 12 26 LESLIE 69.1 286.6 140 899 +1961 2 16 6 14 FLORENCE 56.6 125.9 139 326 +1977 8 15 6 7 NADINE 44.3 337.6 53 794 +1985 3 27 18 8 TONY 42.9 285.7 91 397 +2003 12 21 12 16 JOYCE 58.5 39.3 107 69 +2004 2 2 0 14 HELENE 62.3 345.7 146 881 +2002 10 8 18 20 CHRIS 16.7 185.6 140 40 +1986 10 5 0 1 ERNESTO 49.9 78.1 129 795 +1962 12 20 18 5 RAFAEL 44.6 268.3 49 653 +1962 5 25 18 10 HELENE 13.0 116.5 60 747 +1954 6 11 0 5 GORDON 58.0 285.2 59 414 +1990 11 23 12 22 WILLIAM 60.5 293.8 96 152 +1956 2 20 18 6 PATTY 58.2 52.0 120 35 +1994 3 6 6 14 ERNESTO 30.8 322.9 10 326 +1974 12 2 18 28 RAFAEL 15.1 274.8 88 530 +1992 11 22 18 12 KIRK 36.8 352.8 96 855 +1975 4 25 12 13 GORDON 67.1 157.7 80 655 +1995 12 4 6 14 DEBBY 15.4 330.1 161 153 +1951 5 25 12 12 OSCAR 17.6 113.9 144 156 +2004 9 1 12 11 BERYL 64.3 10.0 54 804 +1977 7 27 12 27 LESLIE 10.5 270.1 70 295 +1978 8 14 0 6 KIRK 9.6 313.8 143 83 +1957 4 7 18 28 ERNESTO 38.1 212.4 79 550 +1964 10 14 12 10 RAFAEL 68.6 79.6 42 254 +1990 2 19 6 15 RAFAEL 54.3 269.2 157 619 +1961 3 15 0 17 ISAAC 46.4 113.3 15 502 +1964 4 16 18 27 GORDON 57.4 314.6 125 280 +1956 6 16 0 21 ISAAC 57.6 19.1 70 459 +1955 1 23 12 25 JOYCE 15.9 301.1 153 874 +2001 3 21 18 7 OSCAR 33.7 341.9 155 873 +1974 3 15 18 16 HELENE 37.0 171.9 140 759 +1995 5 22 0 25 BERYL 28.6 64.6 44 259 +1971 5 27 6 12 GORDON 34.1 273.1 57 854 +1970 10 20 12 23 JOYCE 26.0 355.4 89 523 +1984 11 5 6 10 ISAAC 54.5 137.4 130 860 +1992 9 13 12 13 BERYL 18.3 273.6 96 353 +1970 5 17 12 15 ERNESTO 62.4 176.1 126 143 +1966 9 28 12 11 BERYL 23.0 304.0 110 597 +1952 8 14 6 8 DEBBY 7.8 348.8 164 574 +1972 2 2 6 7 SANDY 38.5 87.6 96 379 +1967 2 17 6 5 LESLIE 12.1 117.2 129 222 +2003 10 2 0 21 HELENE 26.4 299.1 72 453 +1961 5 22 18 9 MICHAEL 53.6 1.8 24 422 +2001 2 17 12 6 OSCAR 29.9 334.7 21 861 +1969 10 1 12 19 OSCAR 52.5 7.4 98 229 +1979 3 27 12 3 FLORENCE 7.3 275.1 57 386 +1975 8 9 0 14 KIRK 8.0 322.8 37 698 +1959 10 19 0 7 FLORENCE 22.3 312.2 98 478 +1982 7 28 0 16 HELENE 38.1 100.4 114 751 +1985 8 4 18 14 NADINE 61.2 6.9 158 461 +1970 2 1 12 1 MICHAEL 30.8 327.5 33 644 +1986 12 19 6 16 KIRK 44.7 260.8 48 173 +1971 11 18 12 27 ALBERTO 36.9 331.9 131 626 +1951 12 7 18 21 DEBBY 64.5 182.9 75 221 +1963 5 15 18 12 GORDON 21.5 286.0 21 479 +1993 10 16 0 14 JOYCE 39.7 253.6 152 73 +1953 11 25 0 21 RAFAEL 9.8 346.6 156 379 +1976 5 19 0 15 GORDON 35.9 2.1 66 361 +1952 3 18 0 11 JOYCE 18.9 32.8 88 139 +1991 8 7 6 12 MICHAEL 57.1 347.9 121 647 +1982 2 17 12 28 ALBERTO 7.0 125.6 60 733 +2000 5 15 18 15 BERYL 19.6 39.3 99 658 +1991 2 25 0 1 ISAAC 46.5 25.7 22 330 +1989 9 13 18 14 CHRIS 26.2 178.3 93 234 +1980 1 6 12 18 OSCAR 18.3 106.4 10 696 +1972 4 27 6 8 MICHAEL 38.6 113.3 88 44 +1982 3 12 18 26 ISAAC 49.0 291.6 113 219 +1987 7 16 6 3 TONY 54.5 126.3 41 645 +1997 9 1 0 1 TONY 51.7 319.0 64 738 +1959 4 4 12 18 ISAAC 30.2 257.0 147 302 +1981 1 12 12 20 DEBBY 54.0 139.5 103 81 +1961 7 1 18 11 OSCAR 9.5 193.5 66 66 +1958 8 22 12 25 GORDON 9.3 271.9 117 624 +1967 2 18 6 22 SANDY 51.4 149.8 42 216 +1960 10 20 6 3 LESLIE 50.4 61.2 135 749 +1961 1 25 12 9 WILLIAM 8.6 167.4 21 260 +1990 9 16 6 4 HELENE 61.5 33.3 76 341 +1961 6 19 18 11 GORDON 56.2 329.8 52 169 +1952 2 26 6 6 CHRIS 68.4 34.1 110 735 +1950 12 27 18 12 ISAAC 23.7 60.2 101 254 +1986 1 7 0 6 TONY 33.7 311.8 62 10 +1951 7 20 12 8 ISAAC 26.3 152.6 80 72 +1976 11 6 0 18 HELENE 48.2 84.5 53 331 +1995 7 4 6 26 HELENE 49.3 124.2 163 414 +1991 5 6 6 9 DEBBY 63.1 155.7 82 562 +1981 3 24 18 27 MICHAEL 38.7 204.9 95 184 +1967 5 13 0 5 VALERIE 27.0 293.0 126 890 +1952 1 23 0 4 VALERIE 50.9 95.1 19 706 +1981 3 6 0 21 VALERIE 55.0 290.4 46 522 +2002 10 16 6 14 ISAAC 17.2 193.9 151 328 +2004 3 5 12 16 LESLIE 63.0 56.3 147 639 +1984 6 6 6 10 JOYCE 7.2 216.7 101 167 +1989 5 27 6 6 TONY 42.9 50.5 55 327 +1999 8 1 0 2 VALERIE 53.6 34.5 99 459 +1953 1 16 18 7 ALBERTO 68.4 265.5 17 693 +1993 1 10 18 15 ISAAC 26.2 282.4 65 473 +1988 1 18 18 10 KIRK 38.4 328.5 121 744 +1977 1 14 0 2 SANDY 37.4 264.8 135 106 +1955 7 23 18 22 LESLIE 66.2 257.0 115 231 +1985 8 15 6 13 VALERIE 8.7 58.3 77 414 +2003 12 3 18 15 MICHAEL 26.1 278.5 139 565 +1960 7 7 0 24 ERNESTO 8.2 332.8 105 586 +1981 7 25 12 2 ALBERTO 18.2 141.3 163 332 +1998 1 23 0 16 CHRIS 33.5 7.7 44 545 +1972 9 17 6 10 CHRIS 20.9 198.7 47 527 +1976 10 24 18 16 DEBBY 62.5 216.0 112 653 +1954 11 15 12 15 KIRK 47.8 148.5 92 792 +1997 2 20 12 6 KIRK 37.6 343.7 118 392 +1964 1 21 12 14 KIRK 48.6 247.5 60 105 +1981 12 11 12 27 NADINE 12.7 245.6 153 870 +1955 10 14 12 23 BERYL 19.5 239.0 83 648 +1970 4 3 18 5 ERNESTO 41.8 85.8 128 847 +1993 6 26 0 24 WILLIAM 13.2 145.0 74 87 +1997 3 26 6 22 RAFAEL 18.0 80.0 83 484 +1972 11 21 0 1 BERYL 43.8 187.9 161 680 +1972 10 9 12 22 ISAAC 30.1 116.0 125 423 +1965 10 22 18 26 HELENE 39.5 242.0 117 113 +1964 4 21 0 9 PATTY 66.5 36.2 89 381 +2002 2 25 6 5 ALBERTO 14.7 146.9 21 691 +1979 7 8 0 26 VALERIE 45.9 341.6 75 59 +2000 5 21 6 6 NADINE 49.6 43.8 98 329 +1975 4 22 6 25 ISAAC 45.1 108.3 30 356 +1973 7 13 18 13 VALERIE 29.1 223.8 153 866 +1981 9 4 12 24 WILLIAM 45.5 47.2 51 301 +1980 10 7 0 26 CHRIS 45.2 149.3 71 285 +1984 7 19 18 9 PATTY 7.2 295.4 25 694 +1997 1 10 0 27 CHRIS 50.9 126.8 142 879 +1985 6 14 0 3 SANDY 36.0 136.4 34 576 +1994 6 11 18 16 TONY 50.9 9.4 156 601 +1966 6 22 6 2 BERYL 61.2 261.1 26 473 +1960 3 2 18 19 JOYCE 42.5 10.8 112 665 +1983 11 24 6 18 ERNESTO 56.4 291.4 123 300 +1971 8 1 6 22 ISAAC 27.6 269.0 36 442 +1955 1 13 6 27 MICHAEL 66.6 347.2 72 4 +1964 8 6 0 24 FLORENCE 39.7 84.8 14 491 +2004 1 28 6 20 DEBBY 44.7 213.6 140 814 +1959 8 7 0 6 HELENE 43.3 38.6 48 618 +1972 3 24 12 28 NADINE 41.2 304.1 140 166 +1957 11 22 0 10 WILLIAM 13.4 178.1 68 777 +1981 3 16 6 1 ISAAC 65.3 38.5 40 405 +1958 5 7 18 6 KIRK 21.1 152.0 38 308 +1992 1 19 0 25 ALBERTO 12.9 249.9 159 335 +1963 5 16 0 28 MICHAEL 57.9 205.1 131 264 +1978 2 15 0 20 BERYL 50.0 334.1 61 764 +2001 7 24 12 8 MICHAEL 48.0 118.1 82 275 +1980 11 12 12 15 KIRK 53.0 211.9 11 519 +1957 8 11 12 23 WILLIAM 27.0 102.9 67 463 +1976 11 17 12 12 BERYL 57.0 342.3 29 276 +1978 9 12 12 22 JOYCE 22.4 250.1 82 120 +1972 6 27 18 20 ERNESTO 62.6 265.2 131 540 +1980 11 7 6 3 RAFAEL 48.0 213.1 24 633 +1951 2 21 12 14 PATTY 17.5 61.0 102 135 +1994 6 22 6 13 ERNESTO 50.1 323.8 47 182 +1979 11 12 12 9 NADINE 33.1 131.1 52 274 +2003 1 27 12 10 TONY 49.6 123.2 123 455 +1975 8 11 18 24 DEBBY 57.7 209.8 29 97 +2001 9 6 6 13 LESLIE 30.2 115.3 31 289 +1960 6 19 12 16 HELENE 54.9 166.8 44 387 +1952 8 26 18 4 NADINE 53.0 354.8 136 234 +1971 5 21 18 27 FLORENCE 55.9 178.5 27 286 +1956 10 6 0 2 JOYCE 37.4 346.9 145 309 +1958 3 2 6 4 HELENE 55.7 129.7 85 663 +1980 12 10 18 7 SANDY 30.0 142.7 33 751 +1959 11 11 18 5 ALBERTO 46.3 180.3 114 837 +1991 9 13 6 21 SANDY 69.9 258.6 77 232 +1968 11 11 6 10 CHRIS 64.8 91.4 149 411 +1951 12 19 12 18 VALERIE 52.9 352.8 45 368 +1973 7 27 6 12 GORDON 36.6 113.6 71 186 +1955 12 22 12 15 VALERIE 64.6 122.8 13 255 +1997 4 4 18 28 OSCAR 69.0 223.0 109 846 +1975 5 25 12 24 PATTY 42.6 51.7 82 745 +1951 8 12 18 28 OSCAR 52.7 153.5 39 618 +1961 11 6 6 1 ERNESTO 18.9 59.1 145 88 +1957 9 4 18 3 WILLIAM 13.3 14.0 29 775 +1987 1 26 12 11 PATTY 31.4 297.4 24 605 +1997 3 11 18 23 NADINE 66.7 338.3 21 181 +1997 7 13 0 23 BERYL 7.0 185.2 79 297 +1973 2 7 12 12 FLORENCE 23.2 8.6 51 328 +1975 6 4 12 13 TONY 15.7 330.6 140 260 +1989 10 3 12 19 ERNESTO 49.0 147.6 127 82 +1969 1 12 0 15 JOYCE 44.1 14.0 145 110 +1982 1 20 12 1 MICHAEL 15.7 13.4 49 431 +1998 2 23 12 8 WILLIAM 30.2 228.3 47 584 +1991 3 11 6 14 LESLIE 32.0 45.2 17 795 +1993 8 11 0 9 BERYL 10.4 41.5 157 152 +1960 10 3 18 9 CHRIS 56.7 141.6 47 707 +1996 2 25 0 17 RAFAEL 16.4 273.3 69 451 +1962 12 27 6 18 OSCAR 9.7 104.2 159 97 +1968 9 2 6 27 VALERIE 57.0 267.9 25 5 +1973 7 21 0 20 WILLIAM 45.9 169.4 128 316 +1986 4 11 12 16 LESLIE 8.9 346.9 105 326 +1974 5 19 6 25 TONY 50.4 318.1 156 799 +1967 11 24 6 13 OSCAR 11.7 54.7 80 804 +1962 9 4 18 6 ISAAC 49.5 71.9 74 283 +1969 3 2 6 17 ERNESTO 34.7 9.8 60 329 +1984 2 13 12 22 BERYL 58.0 272.0 107 311 +1991 3 22 18 7 CHRIS 34.9 0.9 98 279 +1985 7 18 18 7 JOYCE 57.5 288.6 13 379 +1999 1 12 18 26 ISAAC 19.2 207.9 57 671 +1972 3 28 12 23 OSCAR 57.4 226.7 131 520 +1977 2 9 12 23 CHRIS 30.1 114.4 57 415 +1977 11 9 6 26 RAFAEL 54.9 259.4 87 161 +1996 6 18 12 13 NADINE 14.2 66.4 65 692 +1957 4 21 0 17 CHRIS 8.9 340.3 116 443 +1984 3 22 18 7 SANDY 8.5 62.6 149 543 +1979 4 8 12 27 GORDON 65.4 351.2 137 373 +1967 12 22 6 16 JOYCE 64.4 117.3 27 168 +1997 8 11 6 2 NADINE 21.1 20.6 125 224 +1965 2 4 18 9 ERNESTO 17.1 84.5 70 605 +1988 10 17 12 27 LESLIE 28.6 233.2 158 724 +1994 7 10 12 6 WILLIAM 34.7 128.6 120 566 +2002 7 19 12 25 BERYL 27.7 357.5 52 222 +1968 11 8 12 28 ALBERTO 46.1 139.3 134 244 +1994 8 24 18 18 MICHAEL 69.8 288.2 65 84 +1981 4 15 6 12 CHRIS 18.7 250.5 11 84 +1970 5 24 12 2 LESLIE 39.3 21.0 92 621 +2002 11 12 0 27 TONY 7.1 176.4 61 478 +1987 2 26 6 8 MICHAEL 57.2 285.4 97 44 +1986 5 19 12 16 WILLIAM 41.4 28.0 138 431 +1993 4 24 0 15 VALERIE 39.5 44.4 17 309 +1968 5 17 0 6 TONY 50.1 326.3 148 865 +1982 5 25 18 23 NADINE 61.0 101.4 54 721 +1953 11 28 6 14 ERNESTO 56.1 83.4 135 252 +1976 4 8 18 27 ALBERTO 12.9 198.0 152 762 +1992 2 27 0 12 PATTY 50.2 60.2 138 345 +1982 6 12 18 17 TONY 32.9 320.8 78 788 +1983 11 24 6 28 ERNESTO 41.6 59.9 51 706 +1973 2 25 18 11 ALBERTO 63.6 238.5 13 525 +1993 5 27 0 3 ALBERTO 64.4 61.1 107 517 +1964 2 18 6 24 OSCAR 29.2 261.5 127 117 +1983 7 24 12 15 ERNESTO 34.5 252.0 49 698 +1969 7 11 18 3 ISAAC 25.7 338.2 88 857 +1954 1 24 18 20 ISAAC 36.7 352.5 158 230 +1982 4 16 12 22 VALERIE 9.3 339.9 70 865 +1958 10 10 6 16 NADINE 22.1 66.9 154 105 +1999 3 27 18 14 RAFAEL 66.5 32.4 67 253 +1980 8 9 6 15 ISAAC 49.6 49.6 80 650 +1987 11 13 18 13 GORDON 18.6 98.6 67 53 +1999 10 27 0 23 NADINE 23.3 32.9 131 159 +1971 2 22 12 9 PATTY 24.8 0.3 107 230 +2001 11 11 18 9 BERYL 18.2 280.8 148 760 +1992 4 10 0 18 FLORENCE 17.6 119.4 41 241 +1988 4 12 18 5 GORDON 15.1 259.6 134 767 +1958 8 5 12 22 JOYCE 11.4 162.7 129 857 +1974 1 14 6 21 KIRK 61.8 2.1 54 784 +1971 2 9 12 9 SANDY 44.2 155.6 24 247 +1987 3 17 18 28 CHRIS 58.2 171.4 36 181 +1993 5 2 12 19 GORDON 42.0 233.0 155 318 +1967 11 4 12 25 WILLIAM 42.8 243.1 67 108 +1989 11 17 18 21 ISAAC 28.7 157.9 137 339 +1990 11 10 6 2 ALBERTO 14.5 132.8 148 277 +1965 7 21 18 20 TONY 32.6 47.9 108 457 +1979 3 20 12 13 DEBBY 22.3 11.0 18 527 +2001 8 14 6 3 FLORENCE 35.6 165.3 16 131 +1996 1 2 18 17 HELENE 20.6 14.4 92 676 +1970 1 16 12 24 BERYL 64.5 37.7 51 321 +1976 5 19 18 12 MICHAEL 9.0 305.0 127 54 +1976 7 4 12 23 JOYCE 44.7 278.6 73 218 +1990 6 15 0 24 LESLIE 32.0 135.9 109 600 +1955 9 19 0 20 CHRIS 17.2 163.6 90 371 +1988 6 19 6 20 MICHAEL 39.7 24.9 65 647 +1990 8 27 18 28 JOYCE 33.1 58.3 29 159 +1971 12 1 12 25 VALERIE 30.3 11.8 68 282 +1953 1 12 12 3 OSCAR 68.7 281.2 107 458 +1978 5 8 6 19 KIRK 16.1 161.4 159 123 +1988 11 11 12 23 TONY 14.0 193.0 150 444 +1974 4 14 18 28 ALBERTO 66.3 69.2 72 7 +1996 6 27 18 11 WILLIAM 50.5 75.8 147 283 +1953 1 8 6 25 NADINE 20.5 57.1 80 108 +2004 5 13 0 9 MICHAEL 20.5 241.4 73 489 +1992 6 3 12 14 SANDY 59.5 112.9 31 106 +1988 11 16 18 2 HELENE 14.7 151.5 18 791 +1966 9 5 6 11 FLORENCE 24.6 17.3 71 546 +1982 3 7 18 12 NADINE 23.4 348.2 78 407 +1977 10 8 12 2 PATTY 8.7 317.6 73 623 +1964 11 6 12 7 WILLIAM 54.4 268.2 157 123 +1991 2 9 6 7 ISAAC 22.0 203.0 129 8 +1967 7 4 6 21 LESLIE 61.8 74.9 135 421 +1956 10 10 18 22 OSCAR 23.0 4.2 67 316 +1966 6 19 18 1 JOYCE 37.1 93.2 91 698 +2003 2 5 6 24 MICHAEL 20.6 64.0 51 395 +1956 9 20 6 16 OSCAR 21.3 69.9 76 557 +1990 3 11 6 17 DEBBY 46.4 189.3 24 880 +1992 3 19 6 26 BERYL 28.5 317.7 153 700 +2001 1 13 0 9 ALBERTO 60.6 52.0 123 594 +2001 5 6 0 16 JOYCE 19.1 325.7 73 588 +1983 1 25 0 25 NADINE 18.1 20.6 150 295 +1962 11 12 12 7 MICHAEL 43.3 272.0 106 563 +1991 3 10 6 18 ISAAC 50.8 324.4 54 734 +1977 3 26 18 17 PATTY 41.9 171.7 106 9 +1990 1 24 6 2 ERNESTO 18.9 9.3 152 85 +1962 8 27 6 2 LESLIE 23.2 240.3 74 786 +1998 3 20 6 16 MICHAEL 31.0 102.5 159 124 +1951 10 9 12 8 TONY 11.0 214.9 107 217 +1992 11 16 18 23 TONY 33.2 112.5 59 253 +1957 2 27 6 24 MICHAEL 58.9 25.6 125 116 +2000 9 11 18 6 FLORENCE 28.6 291.3 43 425 +1957 3 15 6 3 VALERIE 43.2 12.0 10 376 +1963 4 7 6 1 BERYL 18.1 326.0 134 508 +1980 11 7 18 26 BERYL 9.3 256.2 118 209 +1965 9 11 18 13 OSCAR 53.9 21.9 40 395 +1982 11 10 12 14 HELENE 7.8 103.1 114 22 +1952 2 8 18 28 WILLIAM 9.8 260.3 99 461 +1997 10 14 6 15 LESLIE 23.7 355.4 130 863 +1971 4 13 12 13 ALBERTO 46.7 248.8 105 765 +1973 10 12 0 1 NADINE 12.9 296.7 38 784 +1973 5 20 18 27 DEBBY 69.4 143.4 118 624 +1984 11 10 12 18 ISAAC 51.0 140.4 112 564 +1958 8 3 12 27 CHRIS 14.4 145.8 77 781 +2003 11 18 6 22 GORDON 30.1 42.9 132 734 +1969 4 4 6 3 MICHAEL 68.0 29.7 44 351 +1978 3 21 6 21 TONY 17.1 48.4 132 428 +1986 4 2 12 27 SANDY 21.7 281.0 131 794 +1999 10 1 12 9 CHRIS 7.6 102.5 14 346 +2000 11 13 0 6 FLORENCE 68.9 222.3 11 840 +1975 7 28 18 7 RAFAEL 44.1 332.2 71 696 +1975 9 20 18 19 LESLIE 13.2 337.0 134 794 +1962 10 22 12 8 LESLIE 24.4 332.8 18 196 +1979 11 17 0 4 VALERIE 10.6 183.6 26 786 +1992 11 23 18 5 KIRK 47.1 331.1 146 356 +1982 6 12 0 24 ISAAC 54.0 148.0 122 654 +1957 2 16 18 22 CHRIS 56.2 93.1 100 841 +1986 8 2 0 19 PATTY 41.1 312.9 89 745 +1970 5 17 18 14 CHRIS 27.9 201.2 84 570 +1953 7 23 6 19 SANDY 51.0 122.6 91 250 +1957 8 3 12 12 TONY 54.3 292.0 152 76 +2002 6 2 6 11 FLORENCE 51.6 87.8 139 703 +2004 6 14 12 22 TONY 45.2 3.1 50 135 +1978 11 12 18 6 VALERIE 62.6 160.6 57 118 +1953 12 22 0 2 FLORENCE 30.8 336.8 134 791 +1981 7 13 0 18 VALERIE 20.7 9.7 59 710 +2001 12 5 6 1 CHRIS 49.7 156.9 150 195 +1957 7 19 18 28 HELENE 57.7 221.5 92 540 +1994 9 6 12 16 NADINE 39.9 77.2 137 793 +1952 9 13 6 6 JOYCE 35.6 256.2 138 41 +1959 4 19 12 18 ERNESTO 52.3 41.0 14 446 +1966 9 23 18 2 ALBERTO 29.1 112.9 105 785 +1956 3 20 18 2 FLORENCE 42.4 255.0 162 584 +1988 7 23 6 7 HELENE 20.4 234.3 107 635 +1950 5 25 6 11 KIRK 44.3 322.7 61 250 +1955 11 12 0 22 LESLIE 12.3 244.3 55 134 +1983 12 15 18 22 SANDY 22.9 289.0 58 800 +1953 7 16 6 25 VALERIE 55.2 254.2 106 461 +1987 7 20 6 18 RAFAEL 41.0 238.8 80 247 +1960 8 6 12 18 OSCAR 26.0 246.2 26 748 +1963 3 22 0 25 FLORENCE 30.2 284.7 97 167 +1954 2 13 6 4 FLORENCE 44.2 0.1 78 769 +1974 5 8 18 4 VALERIE 59.0 162.1 155 112 +1975 5 14 12 1 DEBBY 19.5 229.7 89 242 +1994 3 14 18 1 VALERIE 30.9 31.9 123 98 +1998 11 2 18 20 GORDON 23.7 80.2 73 169 +1964 1 10 12 11 JOYCE 34.9 124.5 151 252 +1964 9 5 6 5 WILLIAM 24.7 23.8 29 753 +1993 6 6 12 15 JOYCE 61.9 141.4 114 617 +1959 9 27 18 28 NADINE 20.3 61.3 150 15 +1982 10 11 0 23 GORDON 16.4 248.6 53 752 +1965 1 2 6 9 ERNESTO 27.2 287.8 101 592 +1971 2 17 12 18 LESLIE 45.6 355.3 119 593 +1973 9 5 18 3 BERYL 21.1 278.7 107 899 +1955 8 15 6 25 HELENE 8.3 75.3 42 270 +1987 5 19 18 14 NADINE 61.7 100.3 31 588 +1999 9 25 6 21 JOYCE 21.1 289.8 12 621 +1997 12 2 18 2 MICHAEL 52.4 308.8 77 105 +1956 1 28 18 14 NADINE 11.4 270.1 27 284 +1962 9 26 18 23 JOYCE 13.2 152.4 126 72 +1985 3 14 12 11 CHRIS 45.2 222.8 137 827 +1997 12 4 0 24 WILLIAM 27.6 68.6 85 98 +1973 10 12 18 24 NADINE 31.8 267.8 142 87 +1957 8 27 6 20 VALERIE 14.0 92.4 81 116 +1961 9 14 18 27 KIRK 12.9 103.8 47 318 +1992 3 16 6 12 JOYCE 58.4 194.3 153 249 +2002 10 21 0 10 ERNESTO 16.9 80.5 66 346 +1999 11 23 18 4 PATTY 34.7 207.8 138 479 +1991 9 18 0 19 PATTY 55.4 328.7 50 527 +1966 4 13 6 18 FLORENCE 51.9 280.7 101 589 +1956 10 13 12 6 ALBERTO 38.9 108.9 106 390 +1959 11 14 12 16 VALERIE 29.5 260.0 97 605 +1960 6 19 0 23 LESLIE 38.6 40.3 102 582 +1971 1 27 6 15 MICHAEL 59.6 80.5 95 204 +1967 4 13 0 6 KIRK 43.1 233.5 140 313 +1988 9 19 18 14 TONY 51.8 103.3 37 424 +1969 11 27 12 24 FLORENCE 49.5 356.8 37 703 +1975 1 27 18 5 ERNESTO 10.3 225.6 55 330 +1987 7 17 12 2 JOYCE 23.8 100.4 23 217 +1963 12 17 18 14 CHRIS 21.4 230.2 146 144 +1986 11 24 0 23 FLORENCE 10.5 43.7 125 292 +1959 1 12 0 6 RAFAEL 68.3 83.4 49 417 +1974 4 8 12 9 GORDON 10.9 57.9 107 873 +1966 6 9 6 13 WILLIAM 24.3 35.7 35 162 +1965 5 5 6 7 JOYCE 47.9 109.0 60 847 +1994 3 23 6 9 MICHAEL 26.1 165.2 164 461 +1987 7 9 6 4 FLORENCE 30.4 319.2 108 254 +1976 10 23 18 20 DEBBY 36.0 187.0 69 827 +1965 9 23 18 19 PATTY 52.3 102.7 67 195 +2002 7 2 18 10 LESLIE 36.0 299.8 35 848 +1979 5 10 0 26 OSCAR 17.5 321.5 73 849 +1991 7 28 0 11 KIRK 45.3 35.4 148 105 +1986 11 3 12 18 RAFAEL 27.5 329.0 45 612 +1965 4 8 18 6 RAFAEL 46.7 134.7 103 349 +1991 9 20 0 14 CHRIS 20.6 268.5 133 859 +1978 11 15 18 7 JOYCE 36.3 352.1 80 861 +2004 10 11 6 17 ALBERTO 62.8 195.8 70 64 +1968 12 7 18 12 ALBERTO 25.7 311.4 53 469 +1966 2 4 12 13 GORDON 60.3 162.2 85 777 +1961 8 19 18 13 RAFAEL 65.9 236.5 158 595 +1961 1 15 18 6 LESLIE 28.2 348.2 42 518 +1970 5 2 18 10 JOYCE 64.4 140.6 26 769 +1968 10 16 18 4 VALERIE 24.3 165.5 99 750 +1997 3 3 0 26 ALBERTO 8.6 262.3 84 465 +1995 1 12 6 12 TONY 9.9 29.8 158 272 +1986 10 27 12 8 SANDY 11.2 24.5 147 135 +1959 4 25 12 11 RAFAEL 34.9 294.0 120 500 +1963 2 8 0 11 CHRIS 10.0 241.9 115 138 +1963 8 20 0 7 CHRIS 47.4 299.9 73 865 +1957 8 12 0 3 KIRK 23.9 168.0 118 587 +1971 6 4 0 9 WILLIAM 40.3 87.7 16 707 +2003 8 9 0 16 LESLIE 42.1 7.3 135 770 +1950 1 12 0 24 MICHAEL 11.7 294.9 15 274 +1991 11 6 0 16 CHRIS 38.1 189.1 89 626 +1974 10 18 0 3 JOYCE 7.4 186.8 117 512 +2004 5 9 6 15 JOYCE 52.9 31.7 126 543 +1951 11 24 18 8 LESLIE 27.5 355.8 146 803 +1965 2 14 18 16 ISAAC 20.4 205.4 140 461 +1978 3 20 0 23 ALBERTO 34.1 254.7 93 223 +1950 9 4 0 7 BERYL 22.0 302.4 49 251 +2001 1 1 12 1 NADINE 55.0 317.9 56 323 +1952 10 27 12 13 VALERIE 22.4 7.1 31 275 +1951 1 10 18 22 NADINE 33.9 28.9 160 427 +1975 8 1 12 27 ERNESTO 32.6 17.0 110 800 +1988 12 1 12 21 DEBBY 28.5 174.2 120 629 +1983 9 6 18 23 JOYCE 31.2 281.3 141 26 +1953 5 7 6 6 NADINE 68.8 125.4 162 375 +1995 12 3 12 27 LESLIE 42.7 204.7 157 43 +1973 2 28 0 9 TONY 23.7 140.4 137 643 +1979 2 26 0 24 JOYCE 15.6 13.3 64 23 +1994 7 11 18 7 GORDON 21.3 338.4 67 150 +2001 11 28 0 16 SANDY 69.9 285.4 156 766 +1984 4 28 6 14 JOYCE 44.2 34.2 31 867 +1985 1 23 12 22 CHRIS 28.4 79.5 27 56 +1974 9 20 6 6 KIRK 42.0 274.1 133 79 +1977 3 3 12 26 TONY 50.6 113.9 101 251 +1974 4 12 18 23 HELENE 41.9 73.5 88 284 +2002 11 6 0 10 JOYCE 45.5 68.3 145 589 +1987 8 19 0 28 KIRK 23.7 40.8 84 207 +1990 10 24 6 1 RAFAEL 17.9 195.3 57 277 +1983 2 25 6 11 HELENE 30.6 198.1 40 601 +1950 9 16 18 23 NADINE 24.7 190.8 70 734 +1957 7 25 18 13 JOYCE 20.4 263.7 59 637 +1952 3 27 6 20 FLORENCE 19.8 153.9 129 497 +1996 1 22 6 7 JOYCE 13.0 301.6 63 592 +1963 10 2 0 18 OSCAR 59.5 190.5 160 822 +2001 5 5 18 23 ISAAC 56.6 97.3 123 677 +1952 10 20 12 13 ALBERTO 15.9 161.3 154 595 +1995 11 20 18 19 KIRK 27.1 85.9 67 851 +1954 2 25 18 12 TONY 34.6 241.1 38 623 +1957 12 25 12 8 TONY 53.7 35.4 153 146 +1976 4 1 12 18 HELENE 35.7 62.7 155 225 +1950 1 4 12 5 BERYL 17.0 271.8 42 478 +1975 9 2 12 21 JOYCE 14.2 331.5 118 360 +1967 11 2 18 4 KIRK 16.1 252.6 55 266 +1962 8 16 18 1 PATTY 53.5 88.5 110 503 +2001 10 2 12 14 TONY 43.5 293.0 93 779 +1984 7 7 0 8 HELENE 58.8 18.6 73 47 +1993 1 3 18 28 ISAAC 45.5 234.9 45 801 +1954 5 4 0 9 NADINE 45.4 101.6 85 846 +1999 6 22 18 16 VALERIE 13.7 281.1 16 44 +2003 9 24 18 20 RAFAEL 15.9 150.7 71 544 +1988 8 4 12 25 BERYL 44.3 150.9 101 645 +1982 6 22 18 18 OSCAR 53.6 162.7 17 623 +1964 12 20 18 7 SANDY 15.4 114.3 28 570 +1968 6 12 12 8 TONY 7.1 265.0 58 237 +1953 10 27 0 17 PATTY 22.6 205.8 40 187 +1951 2 10 6 13 ISAAC 15.6 313.8 137 354 +1989 11 8 6 4 WILLIAM 9.6 183.0 155 837 +1955 1 25 18 9 ERNESTO 47.5 288.9 65 624 +1955 5 25 6 12 SANDY 51.3 147.7 96 864 +1976 6 13 6 7 GORDON 40.6 119.1 49 593 +1954 8 9 6 17 RAFAEL 7.5 323.5 130 197 +1992 12 15 6 3 ISAAC 37.0 86.4 22 412 +1968 2 14 0 5 OSCAR 9.7 235.7 53 565 +1971 10 25 6 23 JOYCE 51.3 19.2 120 18 +2004 10 17 12 8 ISAAC 24.7 104.9 140 637 +1981 1 16 0 16 MICHAEL 24.3 247.7 60 22 +2001 2 13 6 6 ISAAC 56.1 35.3 63 812 +2002 12 23 12 17 DEBBY 23.0 357.1 59 112 +1999 9 15 0 2 WILLIAM 22.4 201.9 113 445 +2000 12 16 0 3 NADINE 34.1 307.7 82 27 +1956 7 18 18 9 ERNESTO 17.0 222.9 58 817 +1966 1 21 18 4 ISAAC 20.4 345.0 91 701 +1963 11 22 12 1 RAFAEL 56.4 328.7 44 694 +1951 11 9 12 1 FLORENCE 13.8 64.1 41 782 +1991 5 13 0 8 JOYCE 27.5 333.3 60 178 +1967 10 2 6 1 RAFAEL 59.9 231.7 162 801 +1990 2 26 0 26 OSCAR 48.5 317.8 128 640 +1986 6 16 18 25 BERYL 26.2 243.7 17 617 +1984 6 16 18 14 SANDY 7.4 33.7 48 743 +1963 7 6 0 6 DEBBY 35.7 235.9 76 638 +1996 1 23 0 20 ALBERTO 35.0 35.6 136 94 +1952 10 3 18 1 DEBBY 39.5 224.7 112 438 +1957 2 2 6 14 MICHAEL 35.8 235.5 152 728 +1983 7 6 12 20 OSCAR 17.3 163.3 31 891 +1994 7 11 6 6 BERYL 42.0 173.4 92 128 +1960 7 18 12 21 BERYL 19.2 280.8 83 292 +1985 12 6 18 27 NADINE 31.8 121.7 57 428 +1993 7 23 18 24 OSCAR 44.2 91.5 162 707 +1965 1 17 18 8 HELENE 42.3 341.2 59 825 +1952 6 20 0 7 VALERIE 56.8 341.4 144 100 +1998 1 1 18 22 SANDY 67.2 276.6 32 749 +1998 6 26 6 10 TONY 64.0 35.9 19 536 +2004 4 27 12 22 TONY 36.9 19.6 32 408 +1998 3 25 0 26 CHRIS 45.1 316.4 17 597 +1953 9 9 0 3 BERYL 47.0 279.7 45 507 +1981 5 9 6 21 SANDY 22.4 206.2 128 556 +1965 1 5 0 12 GORDON 17.8 153.3 127 699 +1988 8 19 6 19 MICHAEL 7.9 78.5 152 720 +1996 3 23 18 16 MICHAEL 50.4 41.4 140 181 +1953 2 12 12 19 ISAAC 12.4 78.3 95 700 +1998 5 4 0 10 BERYL 45.2 50.7 74 561 +1989 12 26 12 19 JOYCE 17.6 341.4 52 396 +1953 7 19 18 7 PATTY 33.1 125.5 158 252 +1981 9 14 0 28 MICHAEL 35.2 67.3 96 192 +2003 10 8 6 8 CHRIS 68.3 332.9 133 449 +1975 3 16 6 2 NADINE 64.6 326.5 143 510 +1997 11 23 12 10 ALBERTO 36.7 355.8 22 888 +1956 11 14 18 23 ALBERTO 65.3 207.8 79 848 +1956 3 20 6 5 VALERIE 34.9 213.7 32 122 +1955 10 16 12 27 PATTY 19.1 173.9 18 425 +1973 4 22 18 24 MICHAEL 61.7 182.4 82 112 +1967 6 22 18 11 CHRIS 12.1 357.7 46 839 +1989 1 23 0 24 WILLIAM 9.6 88.9 88 275 +1975 10 17 0 1 OSCAR 47.8 130.8 162 723 +1997 8 11 0 5 KIRK 33.7 248.3 50 211 +1985 1 20 18 27 MICHAEL 62.3 305.3 147 467 +1985 5 6 12 24 RAFAEL 33.2 69.0 97 398 +1953 7 21 0 6 JOYCE 31.8 85.1 93 533 +1974 7 25 18 26 LESLIE 37.6 310.4 36 899 +1958 8 14 18 28 TONY 16.1 130.8 143 303 +1986 12 17 0 6 CHRIS 15.7 304.1 92 144 +1957 7 28 18 18 OSCAR 54.1 199.3 120 532 +1996 5 13 12 12 OSCAR 56.5 160.2 114 516 +2000 12 14 0 10 BERYL 68.2 2.3 32 630 +1982 2 18 12 2 KIRK 55.4 222.3 68 431 +2002 7 12 6 9 KIRK 57.3 177.2 102 713 +1979 12 26 6 16 JOYCE 57.5 107.6 17 839 +1959 3 21 18 6 DEBBY 40.2 150.7 106 254 +1976 6 5 12 25 ALBERTO 26.7 344.4 73 349 +2000 5 14 6 25 MICHAEL 17.1 44.8 56 503 +1967 3 4 0 9 WILLIAM 41.7 315.1 21 317 +1982 4 18 0 12 TONY 56.5 139.6 70 556 +1960 10 1 6 3 GORDON 68.0 239.1 83 229 +1967 7 1 0 14 GORDON 33.3 232.8 148 763 +1964 9 24 6 8 HELENE 10.8 335.2 113 560 +1980 9 5 12 13 JOYCE 30.7 142.1 109 136 +1976 3 8 0 6 MICHAEL 25.8 167.2 103 346 +1986 11 21 0 20 NADINE 61.8 352.9 89 589 +1966 4 14 18 12 FLORENCE 48.8 1.4 144 536 +1982 1 28 6 8 FLORENCE 48.7 242.1 124 33 +1965 8 27 0 28 KIRK 67.3 98.6 50 579 +1964 11 23 18 9 RAFAEL 18.3 156.3 48 681 +1997 7 24 6 19 PATTY 68.3 351.1 49 240 +1954 1 1 12 20 HELENE 14.9 331.6 122 82 +1971 7 9 0 7 CHRIS 50.4 351.5 147 316 +1971 7 11 0 28 TONY 69.9 286.9 23 465 +1985 1 13 12 24 VALERIE 57.6 225.4 92 803 +1968 9 1 6 6 MICHAEL 55.9 43.6 132 500 +1970 11 2 18 5 CHRIS 34.8 292.5 46 300 +1952 5 14 18 17 LESLIE 27.4 37.0 89 789 +1957 3 3 0 2 JOYCE 12.1 152.8 57 514 +1992 2 28 18 6 WILLIAM 62.4 322.1 104 479 +1988 3 8 18 18 JOYCE 42.3 156.8 124 287 +1993 5 27 0 23 JOYCE 51.3 257.7 35 878 +1963 7 23 12 16 HELENE 44.7 288.1 38 25 +1958 12 13 12 6 KIRK 42.4 58.4 55 182 +1957 10 7 0 11 SANDY 54.3 136.9 37 743 +1984 6 23 18 22 WILLIAM 63.8 262.9 31 17 +1978 5 10 0 17 GORDON 15.9 252.9 113 312 +1967 10 27 18 3 KIRK 35.6 197.8 27 708 +1968 1 18 12 2 WILLIAM 38.1 153.4 37 141 +1997 5 21 18 10 VALERIE 10.9 84.2 83 883 +1995 12 5 0 17 JOYCE 29.5 33.2 13 574 +1967 2 17 0 27 TONY 31.2 96.6 43 624 +1952 8 25 0 23 GORDON 65.4 207.5 87 728 +1955 1 8 12 8 BERYL 15.3 264.4 92 652 +1954 12 9 6 9 KIRK 67.3 55.6 34 417 +1979 9 25 12 9 OSCAR 50.1 324.6 111 195 +1994 9 22 18 22 DEBBY 15.0 106.1 37 222 +1988 12 27 0 18 TONY 30.0 89.8 113 39 +1999 5 1 12 22 VALERIE 68.5 264.2 129 557 +1998 11 3 6 3 TONY 49.5 163.0 125 369 +1995 9 2 0 15 ISAAC 18.5 247.4 83 120 +1961 2 27 18 21 ISAAC 31.9 334.8 150 545 +2003 6 13 12 16 LESLIE 57.9 333.8 17 454 +1969 6 19 12 25 JOYCE 67.2 336.2 56 63 +1993 12 3 18 6 OSCAR 46.7 342.9 63 784 +1982 6 6 18 14 KIRK 38.1 341.8 124 300 +1992 1 12 18 21 MICHAEL 53.4 129.5 46 430 +1979 10 11 6 19 ISAAC 30.8 319.9 40 882 +1994 2 3 0 10 ERNESTO 43.4 24.2 91 501 +1950 5 19 12 6 SANDY 22.2 351.4 163 811 +1962 8 25 6 6 TONY 49.1 234.4 30 91 +1951 3 2 18 26 JOYCE 25.6 79.9 54 404 +1951 3 2 18 15 ALBERTO 33.5 211.6 53 601 +1986 12 24 12 27 RAFAEL 67.2 197.1 89 300 +1969 10 8 6 13 CHRIS 47.5 337.1 55 733 +1960 1 28 12 12 TONY 28.7 322.7 67 604 +2002 9 6 12 4 GORDON 54.8 191.9 127 739 +1965 6 2 18 17 TONY 66.1 26.6 53 543 +1952 2 12 6 8 ALBERTO 14.2 125.7 148 224 +1974 5 23 0 24 JOYCE 20.4 123.3 29 819 +1977 3 3 0 3 SANDY 20.0 32.3 67 196 +1986 9 28 6 5 WILLIAM 20.6 303.7 27 496 +1957 10 7 6 19 NADINE 35.5 36.5 154 572 +1972 4 2 12 15 PATTY 47.7 50.8 16 472 +1983 2 2 12 10 VALERIE 31.7 235.8 157 167 +1998 1 27 18 7 DEBBY 66.5 173.0 139 284 +1977 12 3 18 13 WILLIAM 45.9 163.8 111 764 +1966 8 4 6 13 JOYCE 16.5 85.3 25 273 +1962 12 13 18 24 TONY 61.2 37.3 136 298 +1988 10 5 12 15 CHRIS 46.1 5.8 60 107 +1957 6 25 18 18 CHRIS 44.7 171.2 160 570 +1984 1 14 0 27 JOYCE 63.4 195.0 99 123 +1979 11 27 12 21 HELENE 66.4 70.9 34 293 +1975 5 28 12 2 KIRK 29.9 145.5 150 447 +1977 12 14 6 15 ALBERTO 67.3 189.4 159 851 +1966 6 1 6 9 ALBERTO 8.6 16.6 57 134 +2002 7 19 0 21 SANDY 57.0 143.6 80 665 +1954 12 19 6 27 TONY 52.1 168.0 111 587 +1989 11 3 6 20 WILLIAM 69.5 128.7 116 66 +1994 10 13 0 11 HELENE 45.3 272.3 147 440 +1972 2 28 18 26 MICHAEL 10.0 261.6 40 85 +1970 3 24 12 16 JOYCE 43.4 128.2 109 748 +1983 6 1 12 13 WILLIAM 37.3 107.1 30 227 +1996 12 22 18 16 NADINE 65.8 90.1 147 293 +1990 7 23 12 23 OSCAR 18.4 24.3 29 588 +1986 3 6 6 5 CHRIS 31.8 21.6 12 788 +1993 6 17 18 1 ERNESTO 65.9 301.6 109 882 +2004 6 25 6 25 OSCAR 63.9 104.6 58 747 +2003 10 18 12 3 ERNESTO 13.8 335.8 164 763 +2001 7 8 18 2 OSCAR 30.4 67.4 40 135 +1988 5 12 0 24 JOYCE 43.9 203.1 90 771 +1965 2 27 6 28 GORDON 46.3 209.4 71 620 +1954 9 7 18 19 NADINE 9.6 113.3 62 405 +1987 7 26 0 15 KIRK 15.5 315.7 81 276 +1967 6 1 12 17 KIRK 57.4 257.1 151 837 +1984 12 26 12 7 SANDY 22.2 135.5 80 773 +1955 10 15 12 1 VALERIE 29.7 133.3 156 745 +2001 4 15 6 8 JOYCE 7.2 8.6 126 480 +1974 11 14 18 28 PATTY 8.6 278.4 23 60 +1965 1 20 18 28 ISAAC 62.3 337.3 109 318 +2000 5 15 0 28 NADINE 62.0 45.6 32 446 +2002 8 15 12 6 ALBERTO 11.8 32.8 117 219 +1981 12 9 12 7 RAFAEL 23.1 309.2 133 385 +1959 6 13 18 25 PATTY 7.5 198.9 149 815 +1984 7 20 18 13 WILLIAM 16.1 104.2 23 294 +1969 2 23 12 13 CHRIS 14.0 326.3 120 280 +1956 5 23 0 24 OSCAR 50.6 97.9 114 235 +1981 9 23 6 28 OSCAR 36.5 227.8 152 639 +1952 6 20 6 21 ALBERTO 57.7 2.6 135 513 +1997 4 8 6 11 TONY 64.3 91.5 137 725 +1965 8 14 0 26 LESLIE 60.8 282.4 102 136 +1976 3 12 0 27 DEBBY 13.7 228.7 13 413 +1983 10 21 0 28 PATTY 23.2 217.6 100 249 +1998 10 20 6 21 LESLIE 14.9 355.5 102 555 +1966 10 24 12 27 TONY 63.4 328.5 130 784 +1952 7 9 18 25 DEBBY 48.4 236.1 155 599 +1960 8 22 0 21 JOYCE 43.4 165.8 41 876 +1951 11 6 18 11 ALBERTO 29.9 216.6 17 192 +2004 9 10 18 25 KIRK 48.6 2.6 155 495 +1983 4 8 12 27 OSCAR 35.4 185.3 45 683 +1954 8 5 6 11 GORDON 59.4 225.6 111 169 +1977 6 13 18 22 HELENE 49.4 264.0 98 266 +1960 11 20 6 14 HELENE 50.4 114.4 18 760 +1976 9 25 18 7 WILLIAM 61.9 98.5 24 446 +1994 2 9 6 16 CHRIS 40.2 126.2 125 751 +1970 6 10 18 11 CHRIS 29.4 290.5 28 526 +1982 2 22 18 19 BERYL 24.8 101.0 104 475 +2003 1 16 18 15 BERYL 40.1 61.3 14 148 +1972 10 25 18 22 DEBBY 22.9 219.8 152 680 +1993 8 9 12 1 RAFAEL 16.7 209.6 14 343 +1987 11 27 12 18 NADINE 61.7 189.6 144 550 +1953 9 27 0 11 OSCAR 23.8 40.2 72 248 +1964 12 15 12 17 CHRIS 29.2 201.4 102 218 +1968 9 24 6 24 RAFAEL 27.6 243.7 82 829 +1973 8 18 12 8 KIRK 52.0 333.7 32 427 +1997 10 24 0 13 JOYCE 25.1 15.0 19 865 +2000 9 24 18 11 ERNESTO 29.5 157.1 164 96 +1980 9 18 0 18 SANDY 57.6 214.4 146 226 +1957 7 3 18 9 FLORENCE 66.4 9.0 29 898 +1991 12 7 18 14 GORDON 32.1 209.0 24 205 +2003 4 2 0 3 ALBERTO 15.0 122.9 24 316 +1994 4 1 6 17 LESLIE 64.4 194.6 61 856 +1966 11 24 6 8 VALERIE 22.7 137.3 161 883 +1983 10 19 12 4 FLORENCE 46.1 309.0 159 200 +1999 5 12 18 2 CHRIS 58.6 267.2 92 586 +1995 11 1 12 16 PATTY 59.0 322.7 158 807 +1987 6 24 12 8 JOYCE 43.2 346.6 63 141 +1995 8 20 6 2 HELENE 32.4 197.1 92 840 +1959 8 14 0 28 OSCAR 29.4 269.7 82 28 +1958 6 1 0 8 RAFAEL 32.3 67.0 145 780 +1968 4 28 6 24 LESLIE 48.7 9.8 40 130 +1975 11 20 6 18 RAFAEL 13.0 292.2 148 227 +1978 3 13 6 12 ISAAC 66.2 190.3 82 122 +1970 4 26 0 11 NADINE 27.1 22.4 145 92 +1968 7 2 0 5 ALBERTO 53.7 310.4 65 212 +1973 3 24 12 12 VALERIE 58.3 157.3 160 894 +1983 4 1 18 10 PATTY 63.8 6.6 16 231 +1966 10 19 18 10 MICHAEL 9.7 11.2 92 428 +1980 4 18 12 1 RAFAEL 58.2 24.8 66 89 +1985 5 8 0 5 ERNESTO 41.3 264.9 116 804 +1990 10 22 0 28 ISAAC 65.8 85.1 67 191 +1987 2 25 0 7 MICHAEL 22.4 176.5 150 509 +1950 4 9 0 17 ISAAC 60.7 297.6 53 250 +1959 6 14 0 4 HELENE 68.5 43.8 69 378 +1951 9 27 0 13 CHRIS 66.4 288.0 154 781 +1986 3 5 12 24 RAFAEL 21.9 67.0 147 212 +1954 4 20 18 11 LESLIE 20.5 193.7 69 810 +1961 3 17 12 24 MICHAEL 50.3 356.9 119 247 +1959 6 28 6 11 SANDY 33.7 162.5 139 460 +1992 11 2 0 18 NADINE 26.0 329.4 15 149 +1999 8 4 0 15 HELENE 22.8 229.2 81 47 +1951 11 2 18 20 ISAAC 7.4 194.0 62 377 +1997 8 16 6 26 RAFAEL 50.1 84.9 52 541 +1968 5 12 12 7 BERYL 16.1 293.8 130 324 +1973 10 11 12 19 BERYL 66.3 93.4 81 16 +1996 9 19 6 15 LESLIE 49.8 69.0 58 510 +1964 5 3 18 16 TONY 49.3 124.1 125 592 +1966 1 26 0 8 WILLIAM 35.3 102.1 47 738 +1995 7 15 12 17 JOYCE 40.1 128.7 135 351 +1981 9 14 6 7 PATTY 54.6 273.0 114 760 +1966 12 28 18 19 MICHAEL 52.9 63.6 107 510 +1987 3 9 18 14 HELENE 63.2 345.8 11 891 +1958 7 7 12 21 HELENE 39.9 337.4 73 747 +1999 4 16 12 16 VALERIE 19.6 233.3 58 152 +1984 2 5 12 6 MICHAEL 39.6 39.0 40 268 +1966 3 16 18 5 JOYCE 48.6 196.2 151 851 +1994 3 27 6 15 GORDON 60.6 91.8 164 218 +1995 9 21 18 1 RAFAEL 35.4 230.3 146 282 +1999 8 28 0 5 JOYCE 64.5 0.9 28 86 +1975 11 15 12 5 CHRIS 47.0 0.7 68 456 +1966 8 20 18 3 VALERIE 32.9 152.2 76 316 +1991 2 28 12 23 CHRIS 40.3 277.7 156 749 +1958 12 11 12 28 ALBERTO 16.2 273.5 154 511 +1950 9 26 12 10 WILLIAM 16.2 187.7 53 782 +1992 2 19 12 5 NADINE 17.1 177.4 66 719 +1981 5 16 6 27 CHRIS 54.3 178.4 79 275 +1966 11 19 18 1 TONY 60.4 151.6 148 885 +1962 3 8 18 17 SANDY 63.5 140.6 22 526 +1954 6 28 12 7 HELENE 33.2 138.3 80 704 +1986 12 22 0 20 SANDY 13.9 144.5 114 122 +2004 2 15 12 3 FLORENCE 10.1 129.7 68 758 +2002 10 21 6 14 OSCAR 11.4 256.1 127 609 +1970 4 9 6 13 ISAAC 29.2 281.7 52 282 +1995 5 13 18 24 VALERIE 29.0 283.1 98 51 +1978 1 17 12 3 LESLIE 58.0 218.8 74 28 +1957 2 15 6 28 DEBBY 10.1 283.4 23 577 +1957 5 27 6 27 HELENE 40.6 46.3 90 255 +1982 1 1 12 9 VALERIE 51.6 117.8 67 70 +1971 8 6 18 3 SANDY 46.6 176.0 101 662 +1976 8 17 18 4 DEBBY 65.4 106.2 57 746 +1970 6 21 0 26 VALERIE 58.5 206.9 90 201 +1971 8 20 0 27 HELENE 33.8 197.4 110 444 +1984 12 1 12 14 JOYCE 53.2 308.4 29 185 +1992 9 2 12 25 KIRK 13.8 193.6 141 529 +1989 11 27 6 2 LESLIE 37.6 216.2 119 139 +1992 4 18 0 16 FLORENCE 27.2 100.6 147 572 +1985 4 2 12 6 VALERIE 69.3 254.5 68 641 +1958 4 7 18 10 ERNESTO 15.8 158.2 147 15 +1951 5 2 0 28 LESLIE 46.3 319.2 50 479 +1985 1 1 18 12 CHRIS 59.3 6.0 125 3 +1961 8 18 18 8 KIRK 18.7 143.9 22 574 +1989 5 5 18 10 ERNESTO 57.1 279.6 147 408 +1971 12 5 0 18 PATTY 38.4 135.2 24 755 +1993 6 15 12 18 ALBERTO 55.1 212.7 36 375 +1961 4 1 12 11 HELENE 58.1 326.0 128 668 +1982 12 10 12 4 GORDON 58.2 230.7 147 463 +1987 3 18 0 7 WILLIAM 19.2 64.0 124 248 +1990 4 9 12 19 SANDY 9.3 160.4 143 222 +2000 10 14 12 26 BERYL 29.7 185.0 105 587 +1996 11 15 0 7 VALERIE 68.7 217.4 37 101 +1954 6 15 12 22 PATTY 21.7 267.5 14 712 +1975 5 12 0 3 ISAAC 21.7 140.3 122 562 +1961 1 4 6 10 KIRK 22.4 16.0 134 725 +1959 5 19 12 26 VALERIE 50.7 219.9 137 279 +1988 2 8 0 28 LESLIE 69.8 80.0 64 497 +1981 3 11 0 20 ALBERTO 66.2 329.2 40 899 +1966 5 5 6 26 CHRIS 64.9 124.1 74 855 +1963 8 14 0 2 ERNESTO 21.8 298.9 162 413 +1953 1 9 12 4 GORDON 50.1 236.5 90 471 +1992 11 17 0 28 ISAAC 16.9 20.0 59 90 +1992 1 25 12 12 MICHAEL 28.7 39.2 42 670 +1969 3 27 0 9 LESLIE 55.6 46.3 104 201 +1978 8 25 18 5 ERNESTO 40.1 53.1 88 806 +1995 4 23 0 20 ERNESTO 62.7 104.5 27 102 +1993 11 23 18 12 DEBBY 53.7 3.5 87 165 +1953 10 11 0 8 CHRIS 48.8 326.2 19 879 +1990 8 2 12 21 ALBERTO 27.3 146.7 32 461 +1984 10 15 12 28 ALBERTO 18.4 94.1 149 343 +1974 12 9 12 25 ERNESTO 39.4 295.2 144 811 +2003 5 9 12 15 HELENE 29.1 90.5 56 701 +1972 1 10 0 15 JOYCE 44.3 341.6 74 830 +1966 10 16 0 13 SANDY 29.9 36.8 94 533 +2002 6 21 18 16 BERYL 7.2 310.0 104 851 +1986 5 21 12 13 RAFAEL 21.6 282.4 131 460 +1960 9 13 0 21 VALERIE 34.9 298.9 106 531 +1978 7 16 18 25 NADINE 61.4 134.4 115 729 +1989 8 15 12 7 LESLIE 32.7 241.9 23 420 +1964 5 22 6 18 SANDY 29.7 232.8 145 529 +1967 11 15 6 18 JOYCE 34.4 128.3 14 106 +1999 4 6 12 11 VALERIE 13.0 71.8 47 35 +1969 7 27 18 8 PATTY 43.6 181.4 137 152 +1992 3 16 18 11 KIRK 47.8 62.4 34 782 +1997 7 27 6 27 ERNESTO 36.1 306.4 70 417 +1955 5 2 18 15 WILLIAM 33.7 104.3 11 606 +1959 2 13 0 24 WILLIAM 9.7 144.6 74 321 +1980 5 7 18 19 PATTY 32.3 20.8 162 216 +1979 11 14 18 2 CHRIS 66.4 252.9 136 369 +1956 5 9 0 6 ALBERTO 52.6 238.2 24 183 +1991 7 10 18 9 DEBBY 64.0 221.4 146 651 +1995 6 1 18 16 NADINE 15.6 116.4 16 187 +1960 12 22 12 4 PATTY 42.2 75.4 59 317 +1994 12 14 0 17 WILLIAM 40.0 150.5 53 38 +1987 7 8 18 12 BERYL 64.4 333.5 116 300 +1988 10 1 18 5 CHRIS 15.7 170.1 62 648 +1963 7 26 6 2 OSCAR 40.7 324.4 152 40 +1962 2 18 6 13 ERNESTO 31.2 81.9 20 143 +1996 11 23 12 1 GORDON 54.8 211.8 104 349 +1966 1 21 18 20 ISAAC 34.9 89.7 132 244 +1984 3 19 6 1 GORDON 23.0 102.6 48 118 +1951 9 18 0 5 SANDY 26.3 171.4 124 820 +1975 5 1 18 26 JOYCE 12.1 7.4 23 800 +1976 1 24 18 28 TONY 60.9 180.3 11 408 +1957 2 4 6 7 VALERIE 34.8 97.3 51 738 +1964 4 10 12 26 TONY 15.8 83.7 81 777 +1993 4 18 12 3 CHRIS 32.5 170.7 77 356 +1983 10 18 18 8 OSCAR 57.9 187.6 137 450 +2002 4 18 6 22 TONY 68.7 106.6 55 272 +1997 1 3 12 11 DEBBY 29.9 141.7 133 831 +1986 3 22 18 25 CHRIS 43.1 354.3 34 559 +1980 12 23 0 15 LESLIE 14.9 230.8 51 226 +1971 11 24 0 10 VALERIE 49.4 122.7 103 634 +1984 12 23 6 3 WILLIAM 44.8 190.1 56 696 +2002 5 7 12 12 SANDY 27.3 24.6 41 742 +1981 1 6 12 6 GORDON 34.2 334.3 151 264 +1975 3 25 6 6 RAFAEL 46.6 254.0 66 78 +1994 2 23 18 6 FLORENCE 49.0 233.9 68 896 +2002 9 17 18 4 SANDY 44.1 15.0 114 430 +2001 9 10 18 9 LESLIE 18.4 101.7 118 721 +1972 3 27 12 1 MICHAEL 14.5 180.6 139 153 +2003 2 19 12 26 WILLIAM 35.7 211.1 140 697 +2002 10 20 12 26 ISAAC 8.5 115.8 158 804 +1993 1 14 12 7 SANDY 55.5 35.9 115 760 +1965 6 6 18 11 FLORENCE 37.9 73.0 119 806 +1962 4 7 0 10 ALBERTO 14.3 98.5 96 131 +1989 3 11 0 1 ALBERTO 50.7 331.1 132 80 +1972 11 2 18 27 LESLIE 37.8 95.6 76 678 +1955 5 5 6 11 DEBBY 8.6 83.0 88 362 +1974 12 13 0 14 CHRIS 47.9 47.2 128 27 +1957 1 24 0 18 CHRIS 38.8 327.3 10 482 +1996 5 22 0 4 OSCAR 20.4 109.4 111 668 +1997 12 20 12 6 HELENE 44.2 336.2 11 679 +1996 9 18 18 28 RAFAEL 20.7 179.7 77 668 +1996 9 27 12 12 ERNESTO 23.7 281.7 125 848 +1989 2 14 12 11 RAFAEL 63.0 330.4 150 389 +1958 12 11 6 11 SANDY 19.4 343.8 62 508 +1975 10 2 18 28 PATTY 54.8 126.3 126 453 +2000 8 20 6 4 LESLIE 48.7 343.1 61 739 +1999 3 24 12 23 OSCAR 33.1 177.5 12 882 +1963 2 22 18 3 ISAAC 11.4 306.3 133 457 +1983 5 21 12 18 FLORENCE 25.9 240.7 88 366 +1991 3 1 12 19 TONY 35.5 127.5 128 857 +1994 12 20 6 8 PATTY 28.6 160.1 69 207 +1991 6 18 0 3 BERYL 50.5 121.5 146 854 +1972 12 14 18 9 BERYL 16.0 220.0 132 395 +1993 4 25 18 11 BERYL 28.8 33.3 21 779 +1967 11 13 18 13 WILLIAM 13.0 12.1 22 886 +1956 5 26 18 20 OSCAR 17.6 56.6 29 852 +1952 10 8 0 9 SANDY 41.4 297.5 79 865 +1997 2 11 0 13 HELENE 10.8 149.5 112 49 +1953 3 10 0 11 PATTY 53.4 142.9 48 563 +1969 10 12 0 14 ISAAC 48.7 271.4 110 637 +1992 3 2 18 11 GORDON 15.3 277.3 10 349 +1963 11 8 6 12 ERNESTO 64.5 202.8 119 267 +2001 2 4 0 6 ERNESTO 31.4 177.1 39 628 +1963 10 26 18 16 BERYL 65.0 341.8 105 768 +1974 7 27 18 19 NADINE 42.5 302.3 31 135 +1958 3 7 12 10 TONY 42.7 349.8 163 848 +2002 7 14 18 7 ISAAC 35.0 164.6 91 424 +1960 6 2 6 8 HELENE 56.8 163.0 110 14 +1993 2 13 18 14 LESLIE 36.7 325.1 127 805 +1972 10 21 18 27 VALERIE 57.8 110.2 47 415 +1991 1 5 6 24 MICHAEL 53.9 346.3 68 289 +1968 5 17 18 6 LESLIE 59.2 209.6 10 354 +1990 3 24 18 24 VALERIE 42.7 272.1 155 281 +1968 9 8 12 4 FLORENCE 55.5 126.5 68 542 +1968 1 5 12 5 VALERIE 17.6 154.7 28 112 +1964 6 12 0 28 FLORENCE 35.5 12.8 76 379 +1998 7 28 6 27 JOYCE 39.7 158.0 25 339 +1970 8 16 18 19 LESLIE 51.7 84.2 138 90 +1971 4 24 12 1 DEBBY 16.5 70.8 101 783 +1997 7 5 18 15 TONY 42.8 100.8 93 672 +1997 11 6 12 22 RAFAEL 7.2 111.2 103 361 +1981 9 27 6 2 HELENE 49.2 342.3 64 448 +1950 9 10 6 11 TONY 26.4 159.4 141 840 +1966 5 8 12 4 MICHAEL 28.0 227.1 26 519 +1978 3 14 0 23 VALERIE 13.7 323.6 114 477 +2004 6 12 6 17 FLORENCE 65.8 247.1 112 502 +1999 3 23 18 8 FLORENCE 23.6 308.6 144 864 +1968 10 27 6 4 JOYCE 12.5 13.1 90 352 +1975 12 6 6 12 OSCAR 43.4 275.6 12 771 +1979 12 1 0 4 JOYCE 59.1 226.4 117 517 +1964 9 19 0 3 NADINE 21.2 167.6 121 655 +1978 5 5 12 11 KIRK 11.7 224.0 54 696 +1978 2 18 6 25 BERYL 47.8 159.5 160 318 +1982 7 15 6 25 PATTY 57.0 104.0 55 486 +1987 12 27 18 13 DEBBY 14.7 246.1 30 208 +1969 11 22 12 28 DEBBY 30.8 328.1 60 336 +1956 3 28 6 3 KIRK 34.0 314.4 101 856 +1958 3 20 0 3 MICHAEL 58.2 222.2 116 105 +1986 5 19 0 16 JOYCE 7.2 334.3 159 605 +2001 9 26 6 23 GORDON 23.2 125.2 100 478 +1965 8 16 18 18 NADINE 49.1 198.1 107 707 +1970 3 28 12 28 ISAAC 9.4 99.9 98 251 +1955 1 23 6 10 PATTY 20.4 222.6 91 285 +1981 11 27 12 26 RAFAEL 56.8 256.6 50 665 +1984 2 3 18 19 LESLIE 62.6 336.8 109 141 +1950 8 25 12 7 BERYL 17.6 187.4 50 788 +2003 12 22 0 8 JOYCE 39.6 351.3 147 31 +2004 7 27 0 20 CHRIS 47.1 324.5 92 848 +1967 3 9 12 25 TONY 49.2 233.3 75 185 +1973 9 11 0 13 SANDY 68.1 182.4 136 339 +1966 11 21 18 8 RAFAEL 50.4 244.2 49 752 +1966 1 19 0 1 RAFAEL 65.3 301.5 90 894 +1963 4 19 12 26 JOYCE 66.3 299.3 163 832 +1997 3 4 0 19 FLORENCE 43.9 22.3 114 692 +1977 4 20 12 8 OSCAR 10.8 247.1 133 320 +2004 1 4 12 18 LESLIE 40.6 207.6 154 137 +1957 4 28 12 23 VALERIE 63.2 236.1 88 307 +1968 6 17 0 12 GORDON 23.5 167.0 67 380 +1964 3 2 18 21 OSCAR 10.9 208.2 110 369 +1973 3 13 6 13 GORDON 44.7 276.4 132 501 +1964 6 23 12 6 GORDON 67.8 242.6 51 777 +1974 3 16 6 23 SANDY 14.7 130.7 116 518 +1996 2 20 6 19 LESLIE 11.7 252.2 48 635 +1974 3 13 0 2 ISAAC 21.0 85.6 40 537 +1998 5 1 18 28 TONY 10.9 240.6 16 305 +1962 8 12 18 21 SANDY 15.3 55.1 122 526 +1955 10 12 0 26 BERYL 46.1 285.6 79 712 +1976 3 20 6 16 NADINE 42.2 94.0 92 734 +2003 12 7 6 11 ERNESTO 12.8 253.6 140 241 +1950 9 28 6 19 CHRIS 41.4 88.3 61 506 +1992 2 4 12 27 ISAAC 64.0 34.0 67 788 +1989 1 4 6 24 WILLIAM 63.5 11.6 91 491 +2001 12 5 18 21 ALBERTO 26.0 340.6 40 686 +1955 11 2 12 22 NADINE 68.8 82.5 34 614 +1983 7 2 6 12 NADINE 8.2 350.0 47 313 +1992 2 2 0 10 VALERIE 58.1 274.7 85 71 +1982 8 11 0 22 PATTY 39.4 258.1 93 459 +1987 11 8 0 12 HELENE 43.4 24.1 153 97 +1951 10 4 0 18 BERYL 15.3 226.2 92 3 +1971 7 16 6 23 CHRIS 22.8 309.5 68 98 +2003 11 6 18 2 TONY 11.3 311.2 30 811 +1968 9 3 6 28 ALBERTO 23.8 121.3 133 147 +1978 3 13 18 4 CHRIS 23.6 50.1 22 137 +1974 4 18 0 24 BERYL 18.6 150.6 46 205 +1957 12 26 6 7 MICHAEL 25.0 157.7 152 472 +1979 1 20 12 19 VALERIE 69.5 314.4 147 203 +1985 1 2 12 18 JOYCE 61.4 7.5 135 109 +1971 4 1 6 5 JOYCE 43.7 307.8 11 41 +1975 10 7 12 27 KIRK 67.5 89.5 68 757 +1964 8 11 6 5 JOYCE 28.4 274.8 140 316 +1962 5 27 6 2 WILLIAM 53.5 44.4 10 815 +1986 11 14 0 25 NADINE 12.1 230.7 149 97 +1981 2 26 0 25 JOYCE 46.7 77.7 23 868 +1950 3 28 18 8 OSCAR 32.7 282.1 122 874 +1965 5 17 0 21 NADINE 43.7 105.5 164 341 +1985 6 25 12 1 ISAAC 27.6 57.1 19 772 +1996 9 13 6 16 ERNESTO 67.6 140.4 92 380 +2004 2 21 0 25 KIRK 24.1 239.9 48 403 +2000 12 11 18 18 NADINE 65.3 223.0 108 291 +1993 5 3 18 5 ALBERTO 48.9 309.8 161 763 +1988 11 10 0 17 TONY 30.1 219.2 101 230 +1950 5 26 18 12 PATTY 41.5 84.6 118 882 +1987 1 26 12 9 NADINE 16.3 41.6 23 547 +1964 5 22 6 19 DEBBY 62.6 127.2 83 285 +1977 3 20 6 16 JOYCE 34.9 167.8 140 841 +1973 2 3 6 9 CHRIS 61.3 348.3 30 766 +1979 6 12 6 15 NADINE 44.7 30.2 106 317 +1958 2 13 0 7 BERYL 15.4 270.8 43 746 +2000 3 7 18 9 OSCAR 60.0 308.9 13 44 +2000 12 19 18 25 CHRIS 51.0 262.7 136 416 +1960 5 17 18 25 OSCAR 59.1 144.1 151 252 +2002 10 17 12 28 HELENE 12.8 328.7 73 137 +1983 4 1 18 13 OSCAR 45.4 229.2 28 764 +1988 6 17 0 17 TONY 54.7 209.2 137 184 +1994 6 5 18 18 DEBBY 28.2 186.7 33 582 +1994 5 4 18 24 JOYCE 28.0 332.3 148 457 +2003 6 14 18 12 JOYCE 25.7 231.5 13 870 +1956 7 22 6 3 KIRK 26.7 281.5 49 798 +2001 5 11 18 24 RAFAEL 40.7 162.4 138 19 +1991 1 2 12 5 FLORENCE 55.1 15.0 107 343 +1976 8 2 18 19 MICHAEL 10.8 36.6 116 29 +1981 8 4 0 22 ISAAC 68.2 216.0 100 768 +1971 11 8 6 13 DEBBY 10.9 183.6 131 227 +1955 7 5 18 27 SANDY 28.3 41.6 112 216 +2001 10 19 0 19 PATTY 27.2 301.6 131 573 +1984 1 3 18 23 FLORENCE 60.7 66.3 78 130 +1982 3 1 6 6 BERYL 31.3 254.5 125 822 +1987 10 22 6 14 WILLIAM 25.4 96.7 52 690 +1963 10 26 12 17 MICHAEL 35.8 124.3 57 318 +2004 3 20 0 27 VALERIE 26.5 7.4 52 278 +1997 6 2 0 22 ISAAC 50.0 127.1 38 770 +1967 12 14 18 26 NADINE 26.7 13.2 50 733 +1989 4 16 6 12 DEBBY 45.3 42.7 109 396 +1979 7 9 18 11 ISAAC 60.5 300.6 103 391 +1976 6 17 18 6 WILLIAM 8.2 150.4 132 107 +2001 7 7 18 5 BERYL 31.0 194.1 44 751 +2002 6 17 0 12 KIRK 9.7 257.6 97 686 +1987 11 20 0 19 ISAAC 28.8 342.4 11 152 +1958 11 14 6 6 TONY 60.8 208.0 13 683 +1971 3 7 6 2 TONY 14.0 112.3 139 776 +1957 3 28 18 9 ERNESTO 15.6 310.7 136 325 +1969 7 22 12 7 RAFAEL 65.0 9.1 111 500 +1983 3 3 12 9 PATTY 51.6 350.9 86 602 +1966 11 24 0 16 OSCAR 11.1 215.5 83 805 +1983 3 4 12 23 MICHAEL 48.8 186.7 85 520 +1971 11 21 12 13 FLORENCE 36.8 81.8 135 845 +1992 10 12 6 1 SANDY 18.5 189.9 135 853 +1979 12 7 6 5 LESLIE 43.3 192.0 160 188 +1958 5 1 12 9 MICHAEL 45.4 47.8 144 73 +1990 12 9 12 14 ERNESTO 51.8 351.5 56 77 +1983 7 26 0 1 BERYL 32.6 76.6 143 861 +1954 4 12 6 27 SANDY 14.1 211.0 38 744 +1993 8 27 12 18 TONY 25.8 260.4 163 508 +1968 2 22 6 2 KIRK 8.3 70.8 42 354 +2004 3 8 0 6 WILLIAM 61.7 307.8 39 880 +1950 4 23 6 21 FLORENCE 31.4 135.2 63 442 +1957 6 1 0 12 GORDON 55.3 281.4 52 298 +1995 5 26 0 20 NADINE 46.7 355.6 124 429 +1992 12 23 18 20 TONY 54.2 251.6 47 12 +1954 7 22 12 1 SANDY 22.2 62.7 133 869 +1961 8 6 0 7 SANDY 38.2 68.2 32 865 +1998 11 25 6 5 ISAAC 67.4 235.2 130 103 +1989 9 22 12 26 MICHAEL 31.7 344.0 86 358 +1969 10 4 0 13 ERNESTO 52.6 287.0 23 758 +1976 3 21 6 2 DEBBY 62.1 21.8 157 325 +1959 11 6 12 3 TONY 28.1 201.2 99 660 +1974 7 13 0 21 NADINE 42.6 169.5 35 716 +1979 10 2 12 1 PATTY 20.9 182.9 159 476 +1954 9 26 12 24 JOYCE 12.0 346.5 57 569 +1994 10 17 12 21 ALBERTO 43.1 184.2 57 286 +2003 1 9 18 25 FLORENCE 50.8 268.0 161 317 +1975 4 16 18 18 VALERIE 32.3 239.7 128 27 +1955 2 27 18 19 JOYCE 9.1 81.1 63 292 +1974 3 28 0 24 ISAAC 39.3 225.2 76 276 +1981 3 13 0 8 MICHAEL 64.6 50.3 31 482 +1960 5 14 6 2 NADINE 27.0 72.6 155 669 +1994 10 1 18 2 OSCAR 50.0 232.1 71 351 +1966 8 20 12 2 FLORENCE 69.6 294.4 33 636 +1952 3 21 12 4 OSCAR 69.6 55.2 69 506 +1967 3 21 12 23 ALBERTO 16.2 310.2 108 816 +1965 7 20 12 20 ALBERTO 68.0 282.0 102 754 +1995 11 26 18 7 GORDON 32.7 217.1 135 841 +1955 1 26 0 23 VALERIE 21.7 89.4 106 248 +1974 8 28 18 10 ERNESTO 35.0 177.9 74 297 +1983 10 14 12 16 OSCAR 34.8 320.7 130 542 +1952 1 17 0 25 ERNESTO 12.5 16.4 87 461 +1966 9 6 0 7 CHRIS 8.2 201.0 49 37 +1955 3 18 0 3 PATTY 20.0 354.1 95 134 +1976 6 7 0 26 VALERIE 26.1 157.1 55 168 +2001 1 18 12 17 GORDON 15.8 127.0 40 342 +1964 3 12 6 10 PATTY 15.9 116.0 155 255 +1963 7 10 6 10 HELENE 60.7 116.7 48 120 +1984 3 17 18 24 GORDON 18.4 11.7 74 474 +2002 3 16 0 17 MICHAEL 29.4 177.4 81 376 +1982 6 3 6 21 FLORENCE 47.8 88.5 84 163 +1998 8 21 18 17 ISAAC 54.7 241.8 41 729 +2001 10 25 0 11 ERNESTO 66.1 240.9 120 894 +1956 3 23 0 17 SANDY 33.3 104.8 101 452 +1961 11 14 0 28 TONY 28.9 219.0 124 842 +2002 3 22 6 22 TONY 16.5 251.0 103 40 +1955 3 3 12 19 FLORENCE 29.1 196.3 88 813 +1984 3 27 12 16 VALERIE 68.3 212.6 111 640 +1991 11 7 18 9 BERYL 29.7 272.8 156 372 +2002 3 6 18 2 MICHAEL 62.1 28.4 20 784 +1996 4 11 12 25 ALBERTO 42.6 195.8 50 63 +1962 7 22 18 9 TONY 43.3 73.6 115 829 +1989 11 26 0 10 HELENE 61.1 82.3 57 343 +2000 10 19 12 18 ERNESTO 58.8 183.6 58 139 +1981 5 21 0 14 MICHAEL 40.2 83.5 122 1 +1954 5 15 0 7 TONY 38.3 324.1 128 779 +1967 3 21 12 14 PATTY 62.6 89.9 41 274 +1960 1 23 12 24 HELENE 16.8 111.0 37 238 +1950 10 28 0 20 KIRK 63.7 319.5 37 763 +1963 6 10 12 11 SANDY 37.6 335.8 51 452 +1998 12 26 6 18 WILLIAM 15.7 75.6 78 414 +2002 8 25 18 12 NADINE 35.5 273.1 60 396 +1966 3 14 6 18 GORDON 27.9 299.5 29 238 +1971 3 2 0 10 VALERIE 17.0 229.2 22 460 +1956 6 22 6 26 LESLIE 15.2 306.6 110 307 +2002 9 10 6 28 DEBBY 69.5 39.9 52 424 +1973 8 28 18 4 CHRIS 11.5 107.2 74 324 +2001 11 14 12 18 RAFAEL 31.1 11.6 48 264 +2001 3 5 18 16 GORDON 26.6 14.6 61 15 +1971 2 3 0 27 ISAAC 58.0 264.9 49 17 +1967 12 16 18 3 ERNESTO 39.1 34.7 109 172 +1964 10 11 0 2 ALBERTO 32.8 25.1 23 399 +1973 8 23 0 2 FLORENCE 68.6 355.0 101 845 +1977 4 16 18 23 NADINE 66.7 200.4 99 115 +2004 2 18 6 3 CHRIS 69.6 236.7 49 245 +1960 4 6 12 21 SANDY 54.1 346.9 57 734 +1971 1 6 6 22 JOYCE 52.4 291.4 125 739 +2003 5 22 12 9 SANDY 19.5 329.8 164 767 +2004 6 22 12 13 KIRK 68.5 329.3 54 649 +1960 5 16 12 18 ERNESTO 49.3 263.2 150 75 +2004 10 11 6 7 SANDY 31.8 132.0 159 218 +1969 7 22 0 9 BERYL 14.5 253.1 63 506 +1975 5 11 12 4 PATTY 18.0 306.3 95 46 +1989 9 19 12 7 ALBERTO 45.3 301.5 155 791 +2000 6 5 18 10 DEBBY 44.2 321.1 70 331 +1994 8 2 18 20 PATTY 9.8 6.4 60 690 +1998 6 26 0 19 HELENE 9.2 324.2 20 815 +1997 9 21 6 5 MICHAEL 16.4 278.8 31 773 +1993 9 21 12 26 WILLIAM 7.8 190.6 43 196 +1974 10 21 6 17 CHRIS 17.6 235.9 57 815 +1998 1 19 6 14 CHRIS 37.5 31.7 12 462 +1968 8 9 6 10 JOYCE 30.1 45.3 30 635 +1984 8 6 0 17 GORDON 41.6 179.8 67 6 +1986 5 27 0 23 KIRK 51.4 139.9 129 328 +2001 6 26 6 15 RAFAEL 18.1 45.0 143 844 +1975 7 1 0 13 NADINE 46.8 145.4 142 848 +1968 4 7 0 3 TONY 31.5 210.8 31 189 +1973 8 5 6 22 WILLIAM 11.3 41.1 84 610 +1997 5 26 0 2 SANDY 44.2 352.9 12 131 +1992 8 20 12 26 BERYL 12.0 186.8 106 422 +1960 11 20 0 16 HELENE 64.5 193.8 156 330 +1963 8 14 6 15 FLORENCE 24.0 135.0 74 651 +1978 1 7 12 16 ERNESTO 8.1 213.1 34 480 +1998 1 24 0 28 ALBERTO 18.8 47.2 142 711 +1996 11 6 12 23 ISAAC 56.9 278.8 94 241 +1995 11 20 0 22 OSCAR 29.7 141.2 41 349 +1973 9 6 18 25 KIRK 41.9 50.1 55 92 +1982 4 13 0 20 ALBERTO 10.5 28.4 10 861 +1980 11 7 0 3 GORDON 22.3 11.7 95 695 +1999 10 19 0 22 JOYCE 15.2 133.4 144 214 +1961 1 13 0 14 LESLIE 40.8 326.8 104 582 +1977 10 7 6 16 SANDY 11.8 61.1 134 858 +1987 11 22 12 4 DEBBY 21.3 77.5 40 450 +1996 1 11 12 12 PATTY 68.9 81.7 14 563 +1953 9 1 6 24 JOYCE 37.7 228.6 43 519 +1969 1 22 12 1 JOYCE 48.6 284.7 144 899 +1970 6 18 6 13 BERYL 43.6 185.5 103 396 +1968 1 27 18 22 TONY 18.4 344.4 30 798 +1976 3 17 18 7 ALBERTO 13.7 146.8 120 6 +1968 3 19 12 28 SANDY 63.4 11.0 111 233 +1995 4 5 0 11 ERNESTO 66.9 162.6 64 662 +1954 5 15 6 6 SANDY 41.7 286.6 70 613 +1989 4 20 6 5 LESLIE 62.1 245.1 88 657 +2002 2 8 12 17 GORDON 27.0 355.2 40 102 +1988 8 10 6 10 ERNESTO 39.1 103.6 52 185 +1957 4 17 0 24 ERNESTO 50.3 294.6 119 72 +1966 4 12 18 27 VALERIE 45.8 115.5 89 72 +1974 5 20 12 22 FLORENCE 32.6 269.6 147 438 +1979 4 12 18 1 GORDON 33.1 0.7 108 638 +1967 1 16 12 24 CHRIS 34.1 183.5 31 358 +1953 3 17 6 15 WILLIAM 8.1 242.0 137 766 +1982 2 2 6 5 LESLIE 67.0 205.0 11 34 +1983 1 27 12 19 CHRIS 47.5 323.4 30 93 +1964 2 24 6 17 BERYL 33.8 175.3 131 233 +1994 6 26 18 13 VALERIE 24.8 102.6 157 116 +2003 12 17 12 13 BERYL 20.9 49.0 120 572 +1970 2 14 0 23 DEBBY 13.6 235.0 82 521 +1964 11 19 18 6 ALBERTO 39.2 182.1 73 733 +2001 8 2 12 19 JOYCE 33.1 148.0 101 290 +1974 4 6 12 26 FLORENCE 41.3 137.8 44 830 +1983 3 16 18 7 ISAAC 33.2 16.2 147 885 +1958 10 19 18 1 PATTY 39.6 203.1 140 416 +1991 9 8 12 10 MICHAEL 40.5 225.3 144 509 +1988 8 15 12 25 MICHAEL 47.4 354.7 67 314 +1960 6 17 0 21 RAFAEL 43.0 95.2 145 706 +1970 10 11 0 2 RAFAEL 13.9 222.7 70 888 +2002 3 9 0 28 PATTY 16.1 232.6 86 36 +1984 3 2 6 15 HELENE 56.2 32.5 60 768 +1993 6 26 0 6 MICHAEL 33.1 30.8 153 573 +1972 6 27 18 25 NADINE 61.7 218.4 91 821 +1964 5 9 6 3 TONY 37.7 103.2 115 474 +1955 3 9 0 4 TONY 48.0 310.7 149 864 +1966 10 17 12 3 RAFAEL 13.8 149.2 24 160 +1984 4 23 6 14 NADINE 58.6 254.8 100 93 +1990 5 13 12 9 GORDON 36.2 235.6 49 734 +2001 3 20 6 3 ALBERTO 52.4 116.7 107 117 +1996 11 25 6 27 ALBERTO 65.4 120.0 122 104 +1996 6 25 0 13 HELENE 46.9 312.7 156 200 +1988 6 28 12 9 HELENE 23.6 299.8 96 851 +1999 1 4 12 28 FLORENCE 16.4 100.6 76 340 +1989 8 12 0 5 WILLIAM 39.9 118.0 142 734 +1989 10 18 18 17 HELENE 60.5 260.4 27 613 +1968 8 26 0 10 LESLIE 33.6 93.8 94 601 +1974 9 21 6 20 ISAAC 63.4 91.3 136 855 +1998 3 19 6 9 DEBBY 33.6 312.3 54 812 +1977 5 24 18 12 OSCAR 29.0 199.6 116 718 +1970 8 5 12 20 LESLIE 56.3 275.5 152 195 +1976 12 12 12 8 FLORENCE 53.7 181.6 72 742 +1971 3 2 0 17 FLORENCE 7.6 72.7 35 812 +1995 9 3 12 13 ALBERTO 43.6 329.9 56 45 +1989 2 8 12 12 GORDON 39.0 143.8 156 47 +1952 12 3 0 6 HELENE 52.9 86.0 87 845 +1959 8 22 12 12 JOYCE 32.9 14.5 144 41 +1981 2 15 12 28 JOYCE 24.9 181.3 64 779 +1963 7 24 0 18 BERYL 59.6 11.1 86 441 +1954 1 16 6 11 ISAAC 37.1 25.0 57 340 +2001 1 7 6 13 KIRK 31.3 265.2 114 152 +1993 11 11 18 26 RAFAEL 57.9 127.3 138 9 +1993 2 4 0 23 KIRK 60.8 203.2 113 331 +1988 7 16 18 15 ERNESTO 30.1 109.8 79 470 +1955 3 4 0 15 RAFAEL 64.5 252.1 43 892 +1976 3 18 12 14 GORDON 34.3 114.1 24 580 +2000 2 9 6 28 PATTY 63.8 159.4 88 655 +1995 4 25 12 5 VALERIE 50.3 165.8 38 602 +1953 1 25 12 17 JOYCE 7.6 222.8 156 297 +1967 11 27 12 22 DEBBY 67.3 117.6 47 604 +1986 10 1 6 25 NADINE 17.8 174.3 88 51 +1968 9 24 12 4 NADINE 64.9 157.5 49 852 +1966 12 26 12 5 TONY 46.2 125.2 148 778 +2003 6 27 18 25 ISAAC 42.3 70.5 132 163 +1969 12 8 12 27 CHRIS 22.8 22.8 20 181 +1992 9 2 12 27 TONY 37.1 52.3 60 400 +1954 5 6 18 26 MICHAEL 12.5 60.5 131 238 +1964 11 20 0 3 NADINE 46.9 134.1 116 244 +1963 7 12 6 18 VALERIE 48.9 211.1 20 851 +1956 6 8 6 4 HELENE 42.1 56.8 89 683 +1961 4 23 12 27 SANDY 45.6 57.8 51 652 +1983 4 20 6 19 LESLIE 17.1 187.7 154 850 +1993 8 5 12 7 OSCAR 32.0 252.1 112 628 +1996 11 21 18 5 JOYCE 31.9 302.1 60 710 +1970 6 22 0 17 HELENE 9.5 343.8 136 647 +1960 3 22 0 11 TONY 46.1 271.8 13 296 +1982 7 1 6 14 DEBBY 36.6 188.5 113 862 +1984 9 22 0 11 GORDON 64.7 342.5 130 243 +1982 1 3 0 22 NADINE 50.3 291.0 152 51 +1971 5 6 18 18 ERNESTO 28.3 191.6 109 642 +1957 12 19 6 24 KIRK 44.6 12.1 133 887 +1978 6 7 6 11 JOYCE 31.0 140.5 119 787 +1988 6 17 6 21 RAFAEL 52.9 120.3 44 239 +1962 8 25 12 10 MICHAEL 24.7 160.7 118 808 +1966 8 5 18 6 FLORENCE 63.3 244.7 163 20 +1967 9 10 18 7 LESLIE 20.6 36.6 103 68 +1958 6 5 12 5 JOYCE 38.8 9.2 57 799 +1951 1 27 12 24 RAFAEL 36.9 132.2 32 334 +1963 6 20 6 13 PATTY 48.0 198.1 79 84 +1995 3 11 6 22 GORDON 36.1 354.7 98 100 +1980 8 24 12 11 NADINE 31.3 199.8 65 295 +1992 11 9 18 9 VALERIE 46.9 241.4 13 162 +1966 7 2 12 26 JOYCE 27.3 357.7 49 651 +1998 11 24 12 19 PATTY 46.0 187.7 50 655 +1985 5 8 6 3 SANDY 60.5 55.5 43 372 +1963 2 28 18 19 ALBERTO 50.1 130.3 109 862 +1958 10 5 12 27 RAFAEL 34.3 324.5 50 70 +1973 5 11 6 25 LESLIE 21.1 220.6 64 136 +1968 2 19 12 8 BERYL 49.9 300.6 127 805 +1963 11 1 12 5 TONY 69.4 200.4 54 713 +1985 10 9 12 7 NADINE 36.0 259.6 143 318 +1983 1 25 0 10 WILLIAM 57.0 347.8 125 527 +1998 10 11 12 5 ERNESTO 12.0 94.0 105 802 +1965 10 12 6 10 GORDON 57.3 71.3 69 712 +1956 2 24 6 8 NADINE 35.0 196.3 31 94 +1975 4 12 0 3 FLORENCE 57.5 92.0 144 20 +1984 11 16 12 15 VALERIE 35.9 199.9 139 784 +1960 10 26 6 21 OSCAR 46.8 293.2 61 134 +1963 7 27 12 28 ALBERTO 42.8 250.0 66 889 +1951 9 2 12 16 DEBBY 56.9 349.1 11 471 +1967 11 13 18 10 OSCAR 14.8 81.3 151 610 +1984 11 2 18 5 NADINE 26.2 5.2 110 122 +1955 3 17 12 3 ALBERTO 23.3 146.5 110 131 +1967 8 25 6 12 TONY 32.6 127.9 87 89 +1975 11 12 0 24 SANDY 33.4 63.5 74 863 +1984 4 6 0 10 ALBERTO 62.1 144.1 82 181 +1980 2 25 0 23 NADINE 53.0 103.9 94 377 +1955 2 20 6 21 VALERIE 13.5 261.2 144 500 +1960 12 25 18 3 LESLIE 62.3 26.1 163 508 +2000 9 4 6 14 FLORENCE 14.6 219.0 87 872 +1991 12 24 12 27 VALERIE 45.9 350.1 149 208 +2004 12 11 6 1 ISAAC 59.6 118.3 140 309 +2003 9 19 0 15 FLORENCE 61.2 102.4 111 582 +1981 10 26 6 25 OSCAR 22.1 190.8 137 836 +1995 4 10 18 21 MICHAEL 9.3 122.0 23 122 +1954 2 28 18 10 BERYL 18.6 295.8 53 95 +1954 7 16 18 3 FLORENCE 65.5 63.4 112 139 +1974 6 13 18 1 ALBERTO 45.7 353.9 37 322 +1995 4 19 6 10 RAFAEL 32.5 234.8 146 56 +1953 12 6 18 28 FLORENCE 27.6 56.6 78 872 +1991 8 24 0 16 KIRK 69.3 320.5 71 233 +1979 12 3 18 4 BERYL 65.8 224.0 35 572 +1952 2 16 18 28 NADINE 25.1 22.0 30 59 +1955 3 5 18 16 ALBERTO 16.8 332.9 135 761 +1981 7 6 0 24 ERNESTO 10.4 76.6 124 800 +1993 5 23 0 11 ERNESTO 52.6 15.1 28 855 +1980 1 8 0 8 JOYCE 33.8 231.6 36 236 +1955 5 19 12 4 NADINE 69.1 81.6 47 385 +1968 1 3 12 24 LESLIE 40.2 37.2 116 542 +1962 4 22 6 22 RAFAEL 51.9 158.2 119 28 +1979 5 21 18 14 GORDON 23.2 72.7 74 872 +1999 8 18 0 4 LESLIE 45.9 176.2 90 503 +1991 2 28 0 22 ALBERTO 41.3 239.5 41 675 +1987 5 16 6 12 SANDY 27.5 12.4 148 159 +1993 9 19 0 28 PATTY 37.1 6.7 149 225 +1985 5 15 6 14 NADINE 24.5 23.5 57 322 +1978 6 16 0 9 FLORENCE 38.8 37.5 25 644 +1956 10 1 0 1 VALERIE 12.5 66.4 50 817 +1977 9 12 12 20 MICHAEL 65.4 260.6 104 648 +1978 12 11 12 18 PATTY 62.4 347.6 114 273 +1995 1 14 18 13 PATTY 56.8 244.9 115 145 +1985 7 18 18 27 PATTY 12.3 195.5 18 624 +1964 12 19 12 7 PATTY 15.1 90.9 84 792 +2004 5 9 0 9 WILLIAM 11.5 28.0 157 821 +1961 6 5 18 27 GORDON 61.2 357.0 73 19 +1961 6 16 6 11 LESLIE 61.8 337.6 46 731 +2004 4 23 18 27 RAFAEL 22.7 227.2 155 327 +1955 1 3 18 23 WILLIAM 60.9 57.9 45 267 +1975 8 1 0 2 TONY 40.0 81.8 92 144 +1958 5 7 12 2 DEBBY 61.9 196.0 84 825 +1957 2 6 18 16 LESLIE 68.3 315.3 27 418 +1956 8 15 18 5 ISAAC 39.7 112.9 126 887 +1966 9 7 18 24 ISAAC 31.7 107.5 43 566 +1976 9 12 6 25 NADINE 39.6 83.8 72 855 +1957 4 14 6 1 VALERIE 36.8 137.7 17 860 +1998 6 19 18 16 WILLIAM 14.1 70.2 24 868 +1962 8 7 18 25 PATTY 39.5 88.4 139 230 +1969 11 12 6 6 VALERIE 7.4 210.4 145 767 +1957 4 23 6 13 VALERIE 9.8 137.0 105 641 +2003 4 22 0 12 SANDY 62.6 17.1 103 418 +2003 8 4 0 8 NADINE 29.8 345.9 75 783 +1963 11 13 0 15 JOYCE 45.4 275.6 150 354 +1981 3 2 18 13 DEBBY 35.2 333.3 119 681 +1994 9 10 6 15 HELENE 16.8 310.4 30 542 +1965 1 3 18 16 GORDON 29.1 117.4 131 205 +1995 12 18 18 17 NADINE 21.0 145.3 56 381 +1981 4 3 18 24 BERYL 11.7 80.4 58 720 +1984 10 27 0 1 GORDON 60.6 322.2 50 94 +1984 1 9 0 26 TONY 36.8 357.9 160 341 +1960 3 22 0 23 RAFAEL 50.7 167.3 108 317 +2001 11 24 0 10 SANDY 43.1 228.8 29 413 +1986 12 19 18 15 TONY 31.5 202.0 106 470 +1964 8 5 18 7 BERYL 56.5 300.5 76 353 +2002 4 4 18 27 SANDY 41.7 338.3 74 618 +1975 4 22 0 5 FLORENCE 26.5 53.7 156 233 +1976 10 26 0 5 ALBERTO 63.3 89.7 43 712 +1973 8 25 6 10 SANDY 52.5 286.6 135 322 +2003 6 4 0 5 DEBBY 50.4 303.4 20 609 +1968 8 22 18 28 PATTY 56.4 174.3 103 740 +1999 11 15 0 12 PATTY 53.1 166.4 92 442 +2004 4 8 12 23 OSCAR 26.1 252.3 127 563 +2004 7 1 18 22 ISAAC 13.7 111.3 163 581 +1978 6 14 18 27 MICHAEL 22.3 328.0 51 848 +1971 7 21 12 16 ALBERTO 64.0 241.4 124 454 +1982 3 18 18 16 GORDON 28.9 147.7 66 355 +1996 3 8 6 24 BERYL 16.6 186.1 144 148 +1979 11 13 6 2 FLORENCE 20.5 27.4 125 624 +1968 10 1 6 28 ALBERTO 11.8 232.2 57 410 +1978 7 17 18 28 CHRIS 54.0 185.7 113 368 +1987 6 7 18 22 GORDON 8.0 41.1 121 728 +1980 1 28 12 14 ERNESTO 54.4 356.6 128 1 +2004 10 6 0 1 ISAAC 67.1 344.2 121 709 +1989 1 12 0 21 WILLIAM 31.0 293.2 97 856 +1976 8 17 12 24 OSCAR 46.5 54.0 46 52 +1988 5 20 0 4 BERYL 49.1 222.0 111 561 +1980 7 10 18 27 OSCAR 46.2 72.9 106 502 +1992 4 23 12 5 GORDON 36.3 315.2 48 634 +1984 11 9 18 8 ISAAC 51.5 133.8 137 201 +1990 3 24 18 23 ISAAC 8.4 201.7 30 43 +1967 11 13 12 9 ISAAC 29.9 156.2 116 625 +1967 4 21 12 13 RAFAEL 67.3 247.6 108 508 +1981 3 2 6 7 ERNESTO 10.5 169.6 75 891 +1991 6 23 0 11 LESLIE 29.6 328.5 14 676 +1961 11 7 12 2 VALERIE 51.4 141.5 77 512 +1995 3 18 18 16 MICHAEL 63.8 141.0 23 208 +1997 7 6 12 1 PATTY 17.2 103.8 11 114 +1970 3 19 6 26 MICHAEL 17.1 332.0 137 432 +1959 12 13 12 9 DEBBY 47.2 357.4 75 491 +1966 7 21 6 8 ISAAC 57.8 147.2 106 651 +1998 1 9 6 28 RAFAEL 67.8 298.3 39 140 +2001 9 15 12 9 BERYL 46.3 130.7 115 159 +1984 1 3 12 15 ALBERTO 14.2 6.7 64 277 +1958 2 21 12 16 BERYL 20.2 191.0 83 314 +1962 10 11 18 27 DEBBY 65.3 250.8 98 118 +1968 7 17 0 20 VALERIE 42.3 24.5 54 41 +1965 12 17 0 16 ALBERTO 30.8 126.5 18 300 +1995 8 12 6 1 DEBBY 23.4 322.7 117 650 +2001 12 5 12 25 ISAAC 15.2 209.4 101 39 +1974 3 2 12 8 VALERIE 57.6 263.2 62 409 +1959 1 4 6 17 ALBERTO 24.4 332.3 31 416 +1971 10 24 18 6 RAFAEL 60.9 274.2 14 43 +1969 6 13 12 16 TONY 37.3 176.4 113 78 +1993 7 15 6 22 RAFAEL 65.2 101.6 130 550 +1980 2 16 18 17 LESLIE 42.3 202.4 29 675 +1959 1 18 12 20 HELENE 55.3 116.4 118 763 +1969 9 1 18 18 HELENE 55.2 17.6 54 377 +2000 10 24 0 17 ALBERTO 57.5 227.6 16 414 +1997 11 12 0 17 DEBBY 35.8 311.7 51 542 +1979 4 11 0 2 LESLIE 16.0 314.2 33 202 +1951 9 11 12 26 LESLIE 23.5 266.6 101 634 +1950 11 25 12 15 OSCAR 59.2 95.5 23 298 +1954 3 20 6 24 OSCAR 49.1 209.2 150 190 +1985 3 18 6 3 RAFAEL 65.6 327.8 121 285 +1967 6 19 0 4 ISAAC 22.9 236.0 14 800 +1964 12 20 18 11 NADINE 31.4 34.3 159 492 +1983 3 8 18 4 MICHAEL 19.9 231.1 92 32 +1955 9 3 12 7 SANDY 30.4 45.8 105 107 +1960 11 21 0 14 SANDY 67.9 307.6 38 648 +1995 9 2 0 15 ISAAC 37.1 227.0 113 485 +1953 6 2 0 16 HELENE 63.7 63.8 138 773 +2002 10 15 18 9 SANDY 58.8 335.3 140 370 +1977 4 14 12 22 MICHAEL 29.3 221.0 14 575 +1983 1 13 12 21 FLORENCE 33.3 268.7 134 458 +1999 1 22 0 21 SANDY 55.1 119.6 36 28 +1951 3 2 18 8 TONY 21.8 202.3 19 178 +1974 7 13 18 9 WILLIAM 35.5 111.6 91 342 +1975 11 19 18 27 BERYL 41.1 254.9 59 281 +1963 4 8 6 16 WILLIAM 47.7 355.9 157 237 +1996 6 18 18 22 BERYL 40.9 107.0 148 350 +1957 9 8 12 18 MICHAEL 57.4 103.3 119 346 +1958 7 15 12 11 HELENE 24.2 95.1 131 312 +2002 10 14 18 22 NADINE 53.1 24.1 72 220 +2001 4 5 6 27 KIRK 56.9 228.6 103 691 +1976 7 12 18 24 KIRK 45.4 271.8 69 882 +1958 4 16 12 10 PATTY 10.4 254.3 10 256 +1996 8 9 6 14 LESLIE 15.1 205.9 149 816 +1990 9 3 0 15 TONY 53.3 357.4 40 204 +1953 6 3 12 9 JOYCE 31.6 251.4 38 254 +1993 4 12 18 16 OSCAR 27.0 324.5 93 698 +1990 2 12 18 12 GORDON 53.8 206.8 80 256 +1969 8 2 6 7 CHRIS 20.8 201.7 66 150 +1975 11 9 6 8 WILLIAM 40.0 261.1 47 672 +1951 8 8 12 26 HELENE 39.1 78.0 84 254 +1971 2 27 18 24 MICHAEL 32.8 33.0 121 556 +1951 10 13 12 13 GORDON 48.7 248.3 28 491 +2003 9 13 6 21 HELENE 65.4 227.7 129 890 +1953 7 4 0 17 ERNESTO 22.1 134.6 151 399 +2004 3 14 12 1 DEBBY 22.4 168.5 147 143 +1990 6 17 0 12 LESLIE 62.2 100.3 77 606 +1994 7 21 6 27 RAFAEL 16.6 164.8 91 146 +1995 6 25 12 28 TONY 57.7 352.1 23 327 +1959 7 14 0 13 OSCAR 14.7 254.1 20 889 +2003 12 8 6 10 VALERIE 26.3 76.8 51 604 +1975 6 13 12 23 NADINE 66.5 43.0 61 826 +1992 5 6 6 20 SANDY 54.2 292.1 143 69 +1951 8 19 6 20 JOYCE 23.3 302.3 128 29 +1956 3 6 18 6 PATTY 13.2 226.5 106 461 +1954 9 8 18 26 RAFAEL 13.1 189.0 100 70 +1993 5 25 12 14 SANDY 25.6 228.2 54 427 +1979 12 20 12 23 BERYL 66.5 133.4 67 742 +1964 11 25 0 21 RAFAEL 53.8 301.8 29 716 +1998 3 19 6 28 OSCAR 56.2 56.0 111 614 +1984 5 5 12 20 ALBERTO 53.6 23.7 68 296 +1988 9 21 0 8 FLORENCE 55.9 96.5 77 181 +1959 9 9 18 10 WILLIAM 54.5 134.0 75 485 +1978 3 8 12 16 LESLIE 23.4 123.4 117 580 +1951 7 11 0 2 CHRIS 44.6 224.5 42 659 +1960 10 15 6 15 LESLIE 35.5 339.2 153 348 +2000 5 18 0 12 LESLIE 14.5 92.6 23 693 +1954 11 19 0 25 TONY 56.9 173.2 24 557 +1978 9 11 6 23 OSCAR 64.9 147.5 38 628 +1999 12 22 6 3 BERYL 49.7 217.0 139 389 +1958 12 26 18 2 ALBERTO 14.4 353.2 100 421 +1979 10 16 0 9 LESLIE 19.3 20.4 160 366 +1986 5 3 18 10 LESLIE 23.3 336.4 147 267 +1969 9 1 18 16 MICHAEL 27.9 302.2 83 692 +1994 3 2 0 8 OSCAR 32.4 200.0 152 5 +1989 3 27 12 4 LESLIE 55.8 133.4 16 554 +2001 4 1 6 27 LESLIE 33.1 329.0 18 399 +1967 7 14 18 21 LESLIE 14.1 24.6 34 720 +1979 4 24 0 1 VALERIE 37.2 25.2 30 787 +1985 6 15 0 9 MICHAEL 45.2 268.2 155 656 +1959 4 17 18 27 NADINE 16.3 29.4 119 418 +1967 7 8 12 6 GORDON 45.8 323.9 35 408 +1963 2 12 12 19 CHRIS 57.6 51.5 129 563 +1979 9 27 18 28 CHRIS 37.3 153.6 33 682 +1957 12 20 18 20 VALERIE 30.4 145.1 10 249 +1959 12 19 6 7 NADINE 20.9 168.9 82 697 +1951 10 14 12 23 GORDON 48.3 269.1 62 758 +1994 9 21 0 23 MICHAEL 12.7 119.8 78 733 +1999 10 23 0 1 PATTY 18.9 179.7 30 210 +1972 5 9 12 17 KIRK 16.1 267.2 139 331 +1964 1 11 18 12 PATTY 33.1 192.1 66 800 +1958 9 2 0 4 GORDON 44.8 158.8 140 166 +1997 6 9 0 14 MICHAEL 65.5 335.6 44 654 +1992 3 13 6 6 BERYL 19.1 268.2 144 585 +1998 10 17 12 1 JOYCE 29.2 295.7 18 815 +1951 1 26 18 15 MICHAEL 53.8 223.7 64 102 +1989 4 18 12 15 PATTY 20.7 57.6 98 41 +1986 7 10 6 4 RAFAEL 7.8 63.5 153 715 +1968 6 20 6 16 CHRIS 59.7 32.0 27 718 +1961 10 21 12 5 SANDY 42.2 99.1 81 243 +1969 3 4 12 9 CHRIS 12.0 86.6 64 331 +1999 5 24 12 10 JOYCE 53.0 253.5 135 46 +1952 4 15 12 12 MICHAEL 45.4 351.0 123 119 +1959 10 20 12 24 LESLIE 17.2 348.5 26 161 +1986 9 24 18 22 MICHAEL 61.1 181.0 77 821 +1972 12 23 18 28 BERYL 68.5 19.8 32 830 +1999 3 20 6 8 TONY 32.3 62.8 16 797 +1959 9 6 12 13 TONY 9.8 44.2 61 577 +1981 2 20 6 19 PATTY 7.5 335.9 155 16 +1974 12 17 12 2 NADINE 24.0 74.1 23 832 +1994 4 5 18 1 CHRIS 58.9 258.4 100 470 +2004 12 10 0 27 ALBERTO 25.0 171.5 119 543 +1971 4 14 6 14 FLORENCE 68.1 136.6 50 280 +1965 3 19 12 15 MICHAEL 9.6 334.8 49 80 +2001 10 14 6 23 FLORENCE 11.8 250.4 158 677 +1994 10 24 12 24 DEBBY 7.5 109.5 126 126 +1987 12 28 6 26 NADINE 46.1 314.0 154 316 +1962 12 12 6 5 LESLIE 21.3 107.5 13 546 +1990 1 24 12 22 ALBERTO 19.4 16.9 35 821 +1952 5 23 18 20 VALERIE 48.2 56.5 12 16 +1950 4 20 6 26 WILLIAM 49.2 13.6 128 322 +1955 12 15 0 12 RAFAEL 25.2 72.7 88 328 +1968 1 25 6 4 TONY 61.6 346.4 135 66 +1968 2 15 18 28 DEBBY 39.4 260.0 61 704 +1995 3 22 6 19 SANDY 36.8 1.8 104 4 +1984 8 17 12 22 ISAAC 29.8 91.5 22 146 +1957 9 11 6 1 CHRIS 49.2 274.1 127 698 +1958 8 19 6 21 SANDY 24.6 241.3 76 116 +2004 6 13 12 9 MICHAEL 24.3 299.5 135 596 +2004 9 18 6 4 KIRK 58.8 34.5 124 670 +1977 2 17 6 11 SANDY 22.5 51.3 104 837 +1975 2 23 0 17 GORDON 48.5 88.6 67 363 +1964 11 3 12 13 BERYL 51.3 53.9 99 85 +1962 2 16 12 20 WILLIAM 49.0 57.2 42 562 +1954 2 24 12 12 JOYCE 65.8 88.1 82 80 +1974 3 19 0 8 CHRIS 18.6 225.9 17 58 +1984 7 12 12 21 NADINE 55.2 197.2 87 428 +1991 6 25 12 21 VALERIE 37.4 192.1 30 35 +1991 4 28 0 17 OSCAR 44.2 246.3 131 313 +1990 9 20 18 5 ISAAC 28.0 180.0 109 742 +1972 11 4 12 27 ERNESTO 43.1 64.5 30 12 +1968 8 6 0 18 TONY 49.4 74.3 92 664 +1998 11 3 12 19 ISAAC 33.0 14.6 142 418 +2003 10 7 0 15 SANDY 20.7 103.1 157 842 +1987 4 6 12 24 SANDY 54.6 32.1 145 832 +1958 11 26 0 18 KIRK 9.3 341.7 150 735 +1974 12 22 0 9 PATTY 26.9 120.6 106 650 +1996 5 23 0 22 ALBERTO 65.8 284.1 71 143 +1996 6 6 18 27 HELENE 18.0 167.3 49 419 +1957 12 15 12 11 GORDON 65.6 307.7 129 428 +1970 7 15 0 9 LESLIE 24.3 255.9 74 455 +1977 8 9 0 24 SANDY 67.9 296.5 145 722 +2002 6 3 18 24 GORDON 49.5 309.9 117 665 +2003 1 20 18 18 ALBERTO 67.1 328.4 43 407 +1994 11 11 18 4 HELENE 16.9 266.8 20 655 +1971 12 17 0 26 RAFAEL 15.3 206.8 85 659 +1967 9 15 12 15 MICHAEL 17.1 306.3 48 18 +1968 6 3 0 22 BERYL 11.4 7.4 92 330 +1998 7 27 18 21 FLORENCE 55.3 251.8 155 647 +1953 6 2 0 26 DEBBY 57.3 171.2 126 176 +2003 9 25 12 5 GORDON 7.0 233.1 30 123 +1984 1 6 0 26 KIRK 36.7 356.2 13 636 +1973 2 26 6 22 BERYL 40.3 204.1 39 871 +1983 11 26 6 6 VALERIE 29.7 357.0 56 664 +1988 6 16 0 15 GORDON 31.2 161.0 37 360 +1963 8 24 12 2 TONY 22.1 20.6 65 711 +1964 9 28 18 14 ISAAC 51.0 353.4 129 499 +2002 1 9 6 10 RAFAEL 25.6 331.3 12 50 +1987 9 6 18 19 BERYL 19.5 175.3 118 702 +1995 11 26 18 6 ALBERTO 13.3 13.9 62 278 +1999 8 28 12 21 TONY 40.6 6.8 18 412 +1959 7 12 6 12 PATTY 61.5 156.0 86 764 +1995 9 9 18 4 NADINE 16.9 166.9 85 436 +1951 4 18 12 11 JOYCE 29.9 331.4 21 430 +1959 12 9 12 6 TONY 68.7 17.4 63 432 +1987 10 5 18 3 ALBERTO 35.8 276.9 96 356 +1983 5 15 0 19 ISAAC 45.2 41.3 146 458 +1980 10 6 6 5 GORDON 25.2 225.1 13 80 +1959 3 27 6 28 SANDY 51.3 329.9 45 285 +1958 1 27 12 8 PATTY 41.1 177.7 102 231 +1978 10 11 18 23 JOYCE 69.8 342.0 76 79 +1973 9 24 6 21 ERNESTO 21.0 50.7 26 463 +1950 4 7 6 21 TONY 31.4 244.0 29 525 +1959 2 16 0 8 ERNESTO 53.6 178.1 90 374 +1979 5 20 0 10 BERYL 24.8 333.2 152 886 +1954 3 1 0 6 FLORENCE 54.7 210.5 71 635 +1995 10 9 6 9 PATTY 20.0 289.7 148 796 +1962 8 5 18 10 BERYL 25.7 220.3 48 661 +1985 12 3 0 13 LESLIE 33.3 298.9 149 734 +1956 4 19 6 15 LESLIE 38.2 4.2 164 283 +1978 12 22 0 18 LESLIE 65.8 335.5 13 695 +1959 9 6 12 1 KIRK 33.8 258.4 36 406 +1997 7 10 0 2 ISAAC 38.9 185.2 126 144 +1955 8 14 18 8 SANDY 24.9 345.3 119 867 +2004 8 19 6 28 LESLIE 20.1 93.2 32 466 +1981 1 21 0 10 KIRK 63.4 235.1 153 401 +1951 5 22 18 21 DEBBY 59.1 239.2 76 22 +1962 10 28 12 2 SANDY 65.8 220.2 58 18 +1981 7 5 0 25 ERNESTO 9.6 41.1 132 781 +2002 12 3 0 2 FLORENCE 47.9 275.9 16 55 +1966 9 18 12 25 ISAAC 18.1 285.2 104 830 +2004 5 8 18 15 WILLIAM 52.0 341.5 56 736 +1996 11 10 6 21 GORDON 32.3 193.8 124 253 +1997 4 9 6 4 GORDON 63.7 141.6 91 133 +1961 5 26 6 16 JOYCE 29.2 265.6 97 539 +1997 1 2 0 14 KIRK 29.0 6.6 63 615 +1980 7 5 6 25 FLORENCE 63.1 125.1 27 612 +1960 1 8 0 12 TONY 34.4 295.5 162 772 +1980 10 6 6 8 SANDY 49.9 72.3 140 634 +2001 7 10 18 19 KIRK 42.8 75.3 129 816 +1951 12 27 12 25 ERNESTO 7.4 318.1 128 666 +1975 5 4 12 23 SANDY 39.3 122.5 47 406 +1969 11 10 18 26 CHRIS 41.5 342.2 92 389 +1994 1 20 6 9 DEBBY 52.8 283.2 110 653 +1956 8 18 12 25 PATTY 40.0 54.5 15 528 +1990 1 21 18 19 SANDY 59.3 40.6 112 347 +1954 11 12 6 14 LESLIE 41.6 153.7 151 205 +1970 10 5 6 28 NADINE 38.3 202.3 21 116 +1957 7 6 12 14 MICHAEL 28.1 113.0 23 722 +1973 11 10 18 6 PATTY 54.3 3.0 62 781 +1961 12 16 0 22 HELENE 13.7 137.2 75 200 +1998 8 1 12 9 DEBBY 64.0 265.7 109 712 +1987 8 6 12 21 NADINE 39.8 134.5 17 515 +1962 8 9 18 22 WILLIAM 10.5 238.7 66 290 +1967 10 1 18 24 NADINE 21.5 31.4 144 481 +1968 5 17 12 16 RAFAEL 43.8 226.4 122 71 +1987 7 6 12 18 BERYL 59.1 49.9 14 55 +2001 9 7 0 13 NADINE 68.9 17.9 103 515 +1956 12 19 18 6 SANDY 44.8 117.7 64 652 +2000 8 3 12 16 BERYL 28.3 110.1 123 351 +1973 6 12 6 16 OSCAR 7.4 144.3 87 801 +2003 11 23 18 8 ALBERTO 58.4 78.1 32 232 +1955 1 3 12 8 OSCAR 66.3 250.4 51 505 +1951 8 14 12 7 BERYL 67.7 125.0 19 538 +1991 6 13 0 27 KIRK 57.2 142.7 141 163 +1971 3 26 12 20 DEBBY 69.2 353.8 36 718 +1989 7 1 12 1 LESLIE 58.3 307.2 61 441 +1961 8 17 0 7 SANDY 7.3 297.7 158 734 +1956 1 20 0 15 DEBBY 40.6 303.3 11 699 +2003 4 8 18 4 SANDY 31.5 278.4 139 644 +2000 12 7 6 24 ISAAC 8.9 131.9 92 581 +1962 3 6 0 21 ISAAC 18.6 179.2 56 170 +1982 6 3 18 3 FLORENCE 57.5 118.3 151 272 +1987 3 1 12 14 JOYCE 10.1 12.5 41 822 +1951 10 24 0 8 ERNESTO 56.0 111.9 83 72 +1993 7 9 12 28 ALBERTO 33.2 220.1 125 665 +1972 6 12 0 11 TONY 60.7 79.7 152 661 +1989 10 22 0 27 BERYL 38.2 243.8 13 423 +1953 6 19 18 28 PATTY 38.0 2.4 12 294 +1954 10 26 12 5 BERYL 8.1 80.1 44 216 +1961 7 17 6 4 GORDON 57.4 89.8 152 135 +1963 11 14 12 23 NADINE 10.5 89.4 33 169 +1984 1 24 0 1 OSCAR 56.4 336.5 16 691 +1981 8 22 12 22 ISAAC 26.8 209.8 15 632 +1968 2 4 18 28 DEBBY 26.5 162.7 155 264 +1959 7 7 12 16 ERNESTO 12.7 284.8 137 21 +1957 3 17 18 1 HELENE 29.1 305.0 50 756 +1974 10 19 12 6 HELENE 57.5 46.0 144 233 +1965 11 4 18 14 VALERIE 48.4 268.6 148 26 +1987 3 26 18 21 SANDY 34.2 176.4 19 45 +1980 9 24 0 23 BERYL 30.4 176.3 23 843 +1951 10 19 18 7 LESLIE 44.3 20.2 87 683 +1963 11 3 6 13 DEBBY 15.8 354.2 137 122 +1964 4 24 18 5 KIRK 68.6 357.3 152 691 +1981 2 20 18 1 ERNESTO 59.2 301.6 57 573 +1973 1 11 0 18 NADINE 65.6 89.8 24 191 +1986 2 13 6 26 VALERIE 49.5 163.1 160 637 +2000 5 3 0 18 KIRK 27.1 88.3 66 498 +1990 4 10 12 1 HELENE 51.5 138.2 147 162 +1987 12 5 18 20 CHRIS 66.1 71.8 150 52 +1954 4 14 0 24 JOYCE 51.0 255.7 71 677 +2001 7 6 18 1 ALBERTO 14.2 20.8 148 334 +1951 2 25 18 17 PATTY 68.0 180.6 56 53 +1958 2 17 18 5 KIRK 18.2 237.1 144 851 +1971 4 14 18 1 FLORENCE 49.1 304.3 133 858 +2004 7 19 18 11 WILLIAM 25.7 347.3 137 695 +1990 8 19 6 26 OSCAR 65.4 31.3 116 820 +1956 8 13 12 6 HELENE 16.8 240.5 86 281 +2004 11 6 18 4 DEBBY 10.6 292.7 32 893 +1974 5 24 6 15 ALBERTO 31.8 72.7 143 41 +1981 5 16 0 7 ISAAC 30.5 171.9 118 418 +1977 1 17 0 2 ISAAC 14.5 11.1 135 525 +1999 1 19 12 15 WILLIAM 18.9 138.9 161 72 +2002 5 20 6 12 SANDY 33.5 126.0 159 137 +1975 9 13 12 26 TONY 15.7 282.4 62 358 +2001 5 26 6 7 BERYL 68.6 233.9 35 752 +1957 3 20 12 7 ALBERTO 68.1 169.3 70 14 +1961 11 2 18 12 HELENE 25.2 352.6 154 148 +1962 7 14 6 4 OSCAR 21.3 273.8 105 279 +1952 3 9 18 27 SANDY 34.2 81.6 155 500 +1983 10 28 0 8 LESLIE 59.5 272.9 95 626 +1958 2 4 12 3 KIRK 53.6 290.3 17 270 +1950 2 12 6 22 PATTY 30.2 302.9 16 628 +1997 1 20 18 15 PATTY 31.3 282.5 85 118 +1983 3 11 18 12 BERYL 61.1 129.0 40 832 +1998 7 12 6 20 RAFAEL 39.2 133.3 138 314 +1962 2 18 18 22 PATTY 22.1 269.7 103 673 +1955 1 9 12 18 JOYCE 35.0 171.2 27 626 +1955 1 11 0 5 HELENE 21.4 288.7 51 660 +1969 5 21 0 18 ISAAC 50.8 23.3 130 21 +1971 9 24 12 11 RAFAEL 32.3 270.9 150 250 +1972 11 26 12 11 KIRK 33.8 182.6 81 661 +1998 4 1 0 14 TONY 60.6 168.4 36 72 +1964 11 24 0 25 LESLIE 60.3 334.4 42 354 +1963 4 16 18 2 HELENE 69.4 162.4 109 241 +1974 1 26 0 10 HELENE 37.0 58.0 145 205 +1953 11 1 12 3 OSCAR 65.9 53.9 111 811 +1999 5 2 6 5 SANDY 22.9 281.4 161 726 +1974 1 10 18 24 MICHAEL 33.7 198.6 26 754 +1952 6 24 0 6 BERYL 15.2 317.4 18 227 +1996 8 27 12 3 OSCAR 12.0 190.0 111 717 +1958 6 4 18 3 FLORENCE 67.4 60.5 14 753 +1983 2 9 12 3 LESLIE 34.7 146.3 92 526 +1968 3 20 0 9 HELENE 59.3 15.4 102 775 +1957 10 5 0 6 VALERIE 24.5 104.4 119 672 +2002 6 17 18 7 ISAAC 26.2 254.3 110 645 +1958 4 22 12 5 ISAAC 44.4 251.9 32 663 +2004 10 21 6 28 VALERIE 48.2 179.8 12 327 +1982 8 8 0 1 GORDON 7.5 187.2 137 227 +1996 5 20 0 14 OSCAR 21.7 65.2 76 472 +1971 3 16 18 20 JOYCE 33.7 293.1 145 287 +1963 9 17 12 27 RAFAEL 11.4 221.1 104 552 +1960 4 25 6 15 JOYCE 37.1 2.0 46 470 +1980 8 10 6 14 CHRIS 51.1 262.6 34 322 +1955 12 15 0 13 NADINE 9.4 76.3 21 29 +1969 1 3 18 14 VALERIE 48.9 311.0 121 258 +1989 9 27 12 14 GORDON 57.2 302.1 127 626 +1976 3 28 0 15 RAFAEL 68.8 345.7 71 558 +1983 5 21 18 20 CHRIS 20.0 152.5 132 517 +1966 1 7 12 8 BERYL 24.9 60.2 110 700 +2004 4 21 6 24 FLORENCE 62.7 299.4 38 42 +1952 3 5 0 11 KIRK 52.5 92.1 144 80 +1986 5 10 6 9 JOYCE 41.8 251.8 139 618 +1990 6 4 0 10 VALERIE 35.6 62.6 55 480 +1985 3 7 18 15 FLORENCE 16.6 265.2 143 383 +2003 6 28 18 16 DEBBY 52.3 145.3 126 728 +1991 12 15 12 15 DEBBY 25.1 122.0 64 164 +1981 9 10 12 22 PATTY 56.7 153.3 54 548 +1959 4 25 6 8 RAFAEL 17.3 280.3 20 431 +1998 1 17 6 2 LESLIE 43.0 242.3 134 863 +2003 9 2 6 6 BERYL 9.6 334.1 78 721 +2004 12 10 18 12 FLORENCE 26.1 349.0 52 35 +1953 7 13 12 26 VALERIE 59.6 117.4 72 497 +1952 12 22 0 26 ALBERTO 13.3 94.8 138 393 +1961 7 21 0 22 VALERIE 66.7 247.1 97 40 +1996 11 1 12 6 MICHAEL 54.6 345.3 153 598 +1962 3 27 0 2 MICHAEL 7.2 265.5 138 648 +1958 3 7 12 15 BERYL 26.0 108.2 136 377 +1979 10 6 12 2 JOYCE 58.2 70.5 63 822 +2002 11 14 18 2 ISAAC 66.9 320.4 55 764 +1951 6 10 18 13 VALERIE 16.5 159.1 112 754 +1957 12 7 6 18 KIRK 8.3 31.9 80 310 +1983 8 20 12 18 SANDY 26.7 181.8 115 760 +2002 6 2 0 28 HELENE 45.8 286.7 135 422 +1952 9 20 12 25 RAFAEL 50.7 46.1 15 107 +1952 2 9 6 14 ALBERTO 18.7 247.1 73 168 +1973 1 9 0 7 DEBBY 56.1 1.5 31 833 +1990 10 10 12 17 ISAAC 62.3 65.5 53 179 +1994 1 9 18 3 SANDY 33.3 287.0 139 508 +1994 9 23 18 22 MICHAEL 64.8 36.7 138 556 +1980 2 19 18 8 GORDON 21.6 117.1 78 756 +1994 9 11 12 1 VALERIE 56.5 280.6 105 117 +1967 2 15 18 28 FLORENCE 65.6 351.4 132 526 +1960 8 25 6 6 WILLIAM 57.4 78.6 133 608 +1993 10 23 18 11 ISAAC 42.8 7.8 47 602 +1962 10 24 18 20 ISAAC 67.2 255.1 147 368 +1983 3 11 12 27 DEBBY 11.9 189.5 56 229 +2001 6 23 18 25 MICHAEL 10.7 252.9 144 28 +1957 5 4 0 19 PATTY 8.8 195.5 24 169 +1950 10 27 18 7 CHRIS 30.5 321.0 71 473 +1980 7 14 12 20 OSCAR 68.6 202.5 78 318 +1995 2 3 6 20 OSCAR 49.9 354.4 124 837 +1980 3 4 6 18 GORDON 8.7 100.1 137 382 +1965 9 27 0 7 HELENE 13.2 188.6 10 343 +1975 9 8 0 26 GORDON 21.2 130.3 98 389 +1962 11 25 18 10 PATTY 45.5 55.8 150 419 +1973 12 21 12 5 DEBBY 43.6 170.1 156 464 +1986 9 11 12 14 VALERIE 20.9 159.5 109 617 +2001 6 19 12 14 OSCAR 22.2 0.3 37 851 +1993 9 22 12 22 LESLIE 55.0 145.5 87 650 +2004 10 4 6 18 OSCAR 41.6 39.3 144 34 +1983 3 15 12 5 HELENE 26.7 288.6 64 721 +1965 10 17 0 19 SANDY 30.7 48.3 158 805 +1954 5 16 18 17 LESLIE 34.4 6.9 97 346 +1959 4 16 18 18 RAFAEL 14.0 241.9 40 281 +1976 11 2 18 9 KIRK 44.1 353.4 152 879 +1994 3 28 6 26 ISAAC 30.0 26.3 51 338 +2002 10 15 0 27 BERYL 22.1 189.6 78 57 +1966 10 20 6 22 VALERIE 17.2 43.2 124 451 +1996 1 9 12 16 CHRIS 52.2 91.7 50 867 +1968 10 18 18 1 PATTY 28.2 295.6 13 488 +1980 4 25 0 4 ERNESTO 46.9 170.2 81 253 +1979 8 13 6 26 VALERIE 27.5 183.1 32 893 +1978 7 28 18 15 FLORENCE 63.5 289.2 22 673 +1957 6 19 0 21 GORDON 14.0 82.2 85 737 +1959 4 13 12 26 PATTY 38.5 221.9 113 451 +1957 9 25 12 18 ISAAC 13.9 128.1 86 635 +1989 5 8 12 2 MICHAEL 58.1 240.3 79 69 +1987 2 19 18 16 BERYL 69.9 165.1 123 572 +1980 2 20 12 3 VALERIE 36.2 238.5 31 376 +1965 6 12 18 2 BERYL 35.2 205.5 144 441 +1990 3 6 6 25 CHRIS 29.7 172.6 128 162 +1972 9 11 12 10 PATTY 53.3 211.4 16 99 +1975 4 4 18 7 ISAAC 22.7 230.8 44 573 +1951 9 10 0 10 VALERIE 8.8 159.1 56 642 +1971 11 15 12 13 MICHAEL 18.3 141.3 140 575 +1974 3 9 18 28 KIRK 45.4 132.9 145 679 +1999 4 10 18 28 BERYL 58.6 271.5 33 423 +2004 4 14 12 28 ALBERTO 57.0 43.4 37 384 +1983 9 9 12 15 JOYCE 12.7 164.6 155 731 +1960 1 24 18 21 LESLIE 58.1 90.2 93 107 +2003 3 2 0 21 PATTY 63.1 208.2 137 168 +2002 5 25 12 19 NADINE 56.8 91.2 53 100 +1982 12 23 18 24 NADINE 14.4 5.1 62 665 +2003 12 7 18 5 GORDON 54.0 204.3 10 659 +1955 10 2 6 3 KIRK 51.9 243.5 67 457 +1991 3 28 18 7 KIRK 38.2 47.8 146 13 +1977 2 12 18 21 OSCAR 25.3 70.9 34 151 +1973 6 25 18 5 CHRIS 9.7 226.1 95 652 +2003 7 4 12 25 DEBBY 19.2 282.2 84 674 +2003 6 17 12 26 MICHAEL 69.7 183.5 126 45 +1982 2 5 18 14 VALERIE 54.4 57.5 151 448 +1958 9 16 18 28 LESLIE 15.7 180.0 159 349 +1975 4 4 18 25 BERYL 29.5 211.0 81 135 +1951 2 3 12 13 HELENE 59.0 27.3 130 654 +1966 12 4 0 22 CHRIS 50.5 189.1 40 885 +2000 5 28 0 26 ALBERTO 65.8 321.4 150 109 +1993 9 8 0 6 FLORENCE 63.0 343.5 64 100 +1953 3 1 0 16 PATTY 35.0 212.8 140 678 +1954 9 3 6 10 TONY 49.0 202.2 102 665 +1956 5 24 6 3 NADINE 40.1 157.6 86 138 +1954 7 23 12 20 CHRIS 52.8 249.1 17 136 +1970 9 11 12 11 PATTY 51.3 7.9 20 11 +1981 11 21 12 17 BERYL 48.3 339.4 48 454 +1966 2 27 6 21 SANDY 11.0 81.1 82 781 +1986 10 14 6 9 NADINE 40.5 40.5 161 93 +1984 11 4 6 26 CHRIS 20.7 296.7 124 650 +1971 3 17 18 19 BERYL 64.4 290.1 115 122 +1960 5 27 0 10 LESLIE 15.0 280.4 80 820 +1990 12 28 18 1 ALBERTO 59.7 282.0 82 324 +1983 2 15 6 23 BERYL 28.9 25.7 134 400 +1998 8 19 0 8 SANDY 54.7 339.5 159 361 +2004 5 23 12 19 MICHAEL 53.8 106.4 28 782 +1960 7 21 12 25 ISAAC 35.7 39.0 94 693 +2004 8 14 18 8 ERNESTO 14.0 5.0 75 55 +1952 12 21 12 17 FLORENCE 20.0 273.6 86 898 +1966 8 21 6 8 KIRK 29.6 216.0 53 730 +1988 11 7 12 3 LESLIE 17.5 317.9 87 895 +1997 5 7 18 6 ERNESTO 47.3 20.6 106 437 +1956 2 24 0 26 HELENE 42.3 271.9 137 557 +1963 8 3 18 22 FLORENCE 53.1 79.9 15 22 +1961 12 1 6 21 CHRIS 31.8 238.2 108 366 +2003 5 21 12 17 DEBBY 42.8 272.9 36 354 +1958 6 26 0 22 ISAAC 34.0 238.2 108 502 +1992 5 5 12 15 DEBBY 20.2 149.3 28 530 +1988 8 19 12 26 OSCAR 55.8 215.6 103 93 +1982 4 14 12 6 BERYL 58.6 107.9 129 109 +1978 10 19 0 6 PATTY 27.6 277.3 12 390 +1957 3 12 12 17 VALERIE 47.5 108.5 120 700 +1950 9 16 12 18 CHRIS 43.0 179.9 110 46 +1993 2 19 0 3 OSCAR 51.0 80.1 84 862 +1959 2 20 18 7 JOYCE 68.6 160.6 31 31 +1980 12 23 18 10 MICHAEL 51.6 3.4 158 17 +2000 11 3 12 1 VALERIE 37.2 124.1 11 720 +1973 9 14 0 7 VALERIE 30.7 29.6 36 282 +1984 3 19 18 3 JOYCE 50.6 48.6 134 96 +1955 3 2 6 3 VALERIE 46.7 94.8 160 476 +1993 8 14 6 25 FLORENCE 24.4 148.2 117 3 +1976 12 18 18 7 FLORENCE 17.1 172.3 73 754 +1969 4 15 6 22 JOYCE 39.7 297.9 127 586 +1961 2 11 12 19 DEBBY 10.2 114.4 76 738 +1997 2 4 6 11 VALERIE 8.7 206.9 33 754 +1995 6 28 12 22 KIRK 44.0 261.2 19 594 +2004 10 20 0 5 KIRK 56.3 287.2 114 508 +1966 7 7 0 26 TONY 63.5 219.0 84 56 +2000 2 15 18 8 OSCAR 23.1 218.3 81 376 +1952 10 14 12 20 DEBBY 62.1 10.6 67 491 +1999 6 18 6 15 VALERIE 65.1 207.4 133 178 +1986 7 6 18 15 DEBBY 16.6 196.1 89 221 +2002 1 17 18 2 VALERIE 57.9 62.6 79 347 +1986 6 14 6 21 LESLIE 53.1 300.2 77 710 +1951 10 27 0 11 PATTY 53.1 106.7 90 841 +1988 3 17 12 15 NADINE 54.5 135.7 31 74 +1987 7 18 0 9 TONY 26.3 338.4 19 71 +1997 8 19 6 2 KIRK 57.4 61.5 137 46 +1965 3 16 6 25 CHRIS 10.9 342.1 121 544 +1953 7 3 18 20 PATTY 37.4 85.8 139 245 +2001 12 1 12 7 ISAAC 35.7 251.2 126 687 +1994 2 16 0 6 TONY 27.8 215.1 144 688 +1985 7 17 12 8 NADINE 61.5 77.2 71 377 +1962 5 16 18 24 WILLIAM 59.8 77.5 15 380 +1991 5 22 6 4 FLORENCE 25.6 49.4 60 307 +1958 3 2 12 2 WILLIAM 28.1 255.9 123 396 +1960 11 4 18 20 OSCAR 28.7 344.4 66 299 +1996 4 5 6 14 FLORENCE 64.6 22.8 21 200 +1950 6 14 18 4 FLORENCE 28.0 352.5 91 560 +1979 11 20 12 3 DEBBY 60.1 329.7 33 252 +1965 6 25 0 15 WILLIAM 33.3 50.9 14 622 +1956 7 6 0 13 JOYCE 69.7 266.8 94 136 +1977 2 13 18 20 RAFAEL 51.8 18.7 19 16 +1973 1 5 0 27 FLORENCE 23.8 253.7 12 646 +1950 2 17 6 2 GORDON 8.5 112.1 90 857 +1953 5 7 12 17 PATTY 59.6 263.4 162 705 +1964 1 23 0 24 GORDON 29.8 33.7 101 826 +1990 7 16 12 2 LESLIE 25.4 348.4 148 665 +1994 3 8 18 23 RAFAEL 46.8 335.7 67 84 +1998 9 24 0 16 OSCAR 47.5 100.9 134 787 +1975 6 25 18 4 FLORENCE 49.9 164.1 10 480 +1975 9 22 6 20 FLORENCE 31.1 302.0 27 378 +1979 6 6 18 25 SANDY 64.5 286.9 84 231 +1991 10 12 12 25 KIRK 47.0 23.9 26 610 +2004 8 5 12 5 JOYCE 9.4 196.6 64 128 +1975 8 19 18 28 VALERIE 44.8 301.3 52 630 +1951 4 7 0 28 DEBBY 51.0 119.6 63 263 +1975 1 5 6 6 WILLIAM 55.1 140.2 90 171 +1987 8 26 6 10 ISAAC 11.6 37.8 62 268 +1957 3 7 0 25 RAFAEL 24.7 164.0 35 115 +1955 12 8 0 12 NADINE 11.0 202.1 90 264 +1966 7 28 18 5 CHRIS 15.1 92.1 64 881 +1991 6 19 6 26 PATTY 48.6 38.4 102 531 +1964 9 11 12 17 BERYL 64.7 206.8 74 460 +1975 3 10 0 28 CHRIS 14.2 241.2 135 806 +1965 10 28 6 14 KIRK 22.3 324.6 33 760 +1950 5 8 0 6 ALBERTO 28.0 68.2 153 751 +1983 7 27 12 18 HELENE 34.3 188.3 100 565 +1977 8 26 18 15 ERNESTO 68.6 58.2 42 246 +1996 3 8 6 4 ISAAC 61.3 214.1 152 707 +1998 3 14 12 14 JOYCE 44.3 332.6 30 602 +1973 4 13 6 19 BERYL 40.9 322.6 124 407 +1962 1 25 0 28 MICHAEL 63.9 257.3 118 842 +2003 3 4 6 8 JOYCE 46.0 293.2 164 639 +1969 4 11 6 26 LESLIE 51.1 209.5 86 154 +1957 4 9 0 21 NADINE 25.4 110.8 47 101 +1971 3 5 12 7 PATTY 57.3 320.8 135 474 +1982 7 26 6 4 MICHAEL 14.6 114.8 107 452 +1992 11 22 6 17 DEBBY 8.8 169.6 136 757 +1970 5 5 0 5 TONY 46.7 255.2 24 470 +1975 5 14 6 5 WILLIAM 45.4 16.7 141 218 +2002 12 11 12 27 DEBBY 16.9 163.1 50 221 +1995 10 4 0 22 GORDON 29.4 265.8 23 103 +1973 1 4 12 4 ALBERTO 15.7 39.9 158 102 +1972 12 21 0 11 ALBERTO 58.0 281.8 115 482 +1951 8 18 0 4 ISAAC 21.4 321.9 93 664 +1963 10 27 12 16 HELENE 37.0 137.6 124 719 +1995 6 8 6 27 ERNESTO 40.2 342.4 38 97 +1956 11 25 12 9 WILLIAM 60.9 32.5 147 9 +1974 6 1 18 14 PATTY 50.0 115.7 32 847 +1996 11 10 12 27 CHRIS 7.3 100.2 158 262 +1976 12 26 12 28 GORDON 20.5 281.4 61 165 +1992 4 7 6 25 JOYCE 29.9 71.8 162 45 +1990 8 26 0 11 WILLIAM 58.7 18.3 56 201 +1974 2 16 12 19 MICHAEL 50.8 118.2 143 99 +1958 5 21 6 11 ALBERTO 69.3 54.2 15 461 +1995 8 9 0 20 WILLIAM 57.4 313.5 154 245 +1952 3 28 18 9 WILLIAM 7.6 164.6 87 726 +1998 8 4 0 12 HELENE 27.7 316.5 64 85 +1993 1 12 6 18 FLORENCE 52.1 96.0 18 881 +1972 11 24 6 1 ISAAC 56.9 240.8 21 713 +1972 4 12 6 1 LESLIE 29.4 47.5 154 478 +2002 11 24 6 5 WILLIAM 44.6 112.8 157 859 +1989 4 14 18 19 TONY 27.8 54.9 147 466 +1997 1 21 12 11 WILLIAM 46.4 287.2 54 527 +1985 10 14 12 19 MICHAEL 57.2 236.8 17 663 +1990 1 9 18 21 BERYL 33.0 256.4 82 340 +1990 4 7 6 19 ERNESTO 67.4 192.9 42 701 +1994 10 9 18 8 MICHAEL 22.4 337.8 86 859 +2000 9 17 18 26 ALBERTO 33.8 98.7 104 777 +1997 10 24 12 28 BERYL 61.9 94.6 129 867 +1968 5 12 12 11 KIRK 40.3 2.8 95 787 +1958 2 4 0 24 GORDON 12.3 3.0 13 727 +1954 1 26 18 16 TONY 44.3 73.6 51 77 +1982 8 17 6 22 VALERIE 18.6 182.3 54 587 +1990 6 7 0 19 KIRK 43.3 77.5 68 167 +2002 12 26 18 22 WILLIAM 26.0 49.4 89 379 +1973 8 15 18 24 OSCAR 38.5 296.8 80 886 +1957 7 8 0 24 VALERIE 9.2 356.4 61 545 +1960 3 10 6 16 TONY 54.1 270.3 88 365 +1990 10 21 6 20 ALBERTO 47.3 43.0 122 515 +2004 5 23 18 18 SANDY 47.3 240.0 131 78 +1992 2 10 18 13 ERNESTO 32.9 221.6 86 428 +1969 2 21 0 4 LESLIE 52.2 243.9 116 638 +1976 6 11 6 16 OSCAR 17.9 229.6 38 509 +1986 1 3 18 26 ERNESTO 25.4 245.5 110 813 +1969 9 28 12 11 TONY 17.0 166.6 102 757 +1984 7 22 0 17 FLORENCE 68.4 317.3 11 119 +2003 9 9 12 1 FLORENCE 49.1 277.6 103 56 +1999 12 2 12 10 KIRK 32.5 188.5 163 10 +1992 6 24 18 22 FLORENCE 9.8 168.8 15 0 +1996 4 18 6 22 JOYCE 59.2 225.8 73 240 +1991 7 13 18 4 SANDY 68.5 122.8 45 783 +1968 12 6 6 3 BERYL 69.1 6.5 25 542 +1953 8 20 6 22 GORDON 58.5 190.3 157 564 +1988 9 2 0 18 ERNESTO 21.5 20.7 138 368 +1984 9 26 18 15 MICHAEL 36.6 337.3 87 361 +1990 11 5 18 13 JOYCE 15.8 202.7 100 335 +1991 12 22 6 26 FLORENCE 55.7 58.8 61 630 +1998 2 11 12 26 RAFAEL 43.4 28.6 24 486 +1973 11 10 0 19 DEBBY 7.6 328.5 163 265 +1950 4 22 12 19 WILLIAM 69.0 272.1 11 463 +2003 10 24 0 25 DEBBY 28.3 16.2 151 591 +1970 10 9 12 7 VALERIE 24.5 154.3 107 572 +1967 7 14 0 18 SANDY 15.2 337.9 32 237 +1974 4 20 12 13 MICHAEL 45.7 55.2 119 433 +1972 8 22 18 9 DEBBY 50.6 130.6 112 507 +1959 2 8 6 25 MICHAEL 23.7 315.7 149 27 +1976 6 10 12 27 NADINE 68.9 43.4 111 731 +1971 10 14 18 11 GORDON 41.3 19.9 97 657 +1961 2 12 6 4 GORDON 32.5 344.1 161 239 +1982 10 11 12 24 GORDON 39.3 192.0 103 275 +2002 6 22 18 12 RAFAEL 10.5 354.9 82 569 +1974 9 17 0 11 KIRK 38.0 163.8 95 106 +1992 1 23 18 8 KIRK 55.9 163.4 27 138 +1993 7 21 12 19 GORDON 19.2 167.4 96 253 +1992 7 2 12 21 CHRIS 21.7 162.2 107 179 +1999 12 5 18 28 TONY 42.6 105.7 73 154 +1989 7 5 0 3 LESLIE 12.5 294.8 17 866 +1980 8 20 12 7 OSCAR 7.5 313.3 26 334 +1971 1 22 18 7 ISAAC 57.3 307.7 44 132 +1991 9 2 18 24 HELENE 68.2 281.4 80 442 +1993 2 10 18 28 JOYCE 47.2 222.1 91 870 +1970 8 11 0 17 ERNESTO 22.8 356.4 155 469 +1978 6 7 0 3 GORDON 47.9 124.8 19 815 +1961 12 15 18 3 FLORENCE 30.1 131.1 98 308 +1997 2 18 18 15 GORDON 28.3 295.3 120 549 +1979 3 25 6 26 MICHAEL 64.0 59.3 59 284 +1959 10 18 18 5 TONY 45.9 261.2 86 233 +1985 5 13 12 4 ALBERTO 32.2 79.7 157 815 +1997 2 20 18 17 ALBERTO 18.9 198.8 103 424 +1981 11 15 6 23 DEBBY 60.8 323.3 135 390 +1956 3 19 18 3 HELENE 67.4 114.2 98 777 +1954 10 22 0 6 BERYL 27.0 270.2 142 856 +1953 3 22 0 21 WILLIAM 37.3 183.8 42 617 +1970 12 9 0 21 FLORENCE 22.6 264.9 108 158 +1954 11 6 0 6 BERYL 40.8 316.2 46 173 +1981 7 8 6 27 CHRIS 58.5 337.3 77 119 +1961 8 13 12 10 BERYL 29.5 296.7 121 526 +1984 12 2 0 6 WILLIAM 63.9 346.1 96 781 +1972 1 8 18 12 OSCAR 56.2 16.4 137 69 +1957 5 9 12 11 CHRIS 23.4 234.3 92 784 +1997 9 1 0 9 BERYL 8.2 5.1 161 644 +1953 4 10 6 16 ERNESTO 13.4 207.9 160 99 +1981 11 14 18 8 ISAAC 65.1 273.4 155 116 +1956 3 26 12 11 DEBBY 69.0 347.4 119 288 +2001 7 9 12 17 ISAAC 8.0 57.0 85 837 +1996 1 15 6 24 SANDY 14.2 287.4 17 759 +1950 11 23 6 7 GORDON 66.4 194.3 74 368 +1996 1 6 12 3 CHRIS 12.0 301.2 117 641 +1955 8 22 6 11 SANDY 52.5 352.7 127 781 +1968 9 10 0 6 RAFAEL 28.9 303.9 40 298 +1987 12 27 0 1 DEBBY 7.5 152.0 107 894 +1961 2 13 6 12 MICHAEL 34.3 137.1 102 384 +1959 4 7 12 21 VALERIE 63.7 267.2 84 757 +1957 4 10 18 15 BERYL 30.3 43.9 40 110 +1969 2 18 18 21 KIRK 54.3 319.6 71 159 +1958 7 23 12 10 MICHAEL 14.8 248.6 93 578 +1961 7 4 18 16 DEBBY 46.5 118.4 21 259 +1999 12 27 18 15 BERYL 7.4 293.5 101 752 +1966 2 2 6 28 CHRIS 69.7 58.6 85 815 +1956 1 20 12 13 HELENE 9.1 136.7 44 396 +2001 11 16 18 28 HELENE 9.3 32.2 106 57 +1971 11 21 18 1 LESLIE 56.7 237.2 97 323 +1991 1 8 0 17 DEBBY 8.8 163.3 164 881 +1994 5 11 18 7 SANDY 52.2 76.3 149 243 +1964 1 12 0 23 VALERIE 45.7 111.6 41 19 +1978 1 25 18 5 GORDON 67.3 9.1 12 587 +1971 3 18 6 28 GORDON 59.4 180.0 140 72 +2002 4 5 6 23 ERNESTO 24.4 135.3 15 65 +1950 5 8 0 24 ISAAC 42.3 44.3 50 29 +1985 5 14 0 21 CHRIS 26.0 297.7 85 483 +1957 2 21 18 15 ALBERTO 11.7 344.5 109 379 +1999 5 18 6 10 OSCAR 27.6 277.5 83 489 +1997 10 16 18 7 PATTY 19.2 240.5 63 291 +1985 1 1 18 28 CHRIS 12.2 103.1 82 370 +1977 8 27 12 1 PATTY 68.2 269.2 91 33 +1987 4 19 12 7 BERYL 13.6 235.5 77 181 +1985 7 5 0 15 PATTY 33.0 174.1 161 480 +1973 1 3 6 23 FLORENCE 10.5 268.0 101 296 +1975 9 6 0 23 PATTY 16.9 172.7 29 252 +1997 12 28 6 8 HELENE 14.8 246.5 51 351 +1976 11 12 6 8 GORDON 66.3 271.1 115 145 +1996 4 6 6 9 GORDON 25.9 53.0 132 448 +1971 1 19 12 25 JOYCE 57.6 70.6 67 80 +1963 6 7 12 28 FLORENCE 42.7 25.6 10 859 +1972 11 25 0 16 VALERIE 26.9 52.9 32 844 +1967 10 27 6 15 VALERIE 67.3 259.4 84 290 +1969 9 18 12 22 WILLIAM 42.7 57.3 78 518 +1956 9 17 6 16 PATTY 57.8 151.2 148 824 +1999 4 15 6 20 ALBERTO 48.2 106.8 41 643 +1966 7 12 0 21 ISAAC 15.7 336.0 150 303 +1950 10 5 0 26 PATTY 44.5 93.2 138 632 +2003 12 28 18 5 BERYL 48.1 90.7 61 83 +1955 2 14 12 5 KIRK 48.7 341.9 32 182 +1978 5 14 6 3 PATTY 69.7 241.8 82 841 +1976 11 27 18 17 HELENE 7.3 349.1 162 209 +1973 11 13 6 8 HELENE 44.4 324.7 142 66 +1991 6 19 18 27 DEBBY 60.8 263.0 141 167 +1968 4 11 6 11 HELENE 58.8 24.3 73 624 +1950 3 12 18 20 GORDON 15.5 292.7 133 646 +1974 3 26 18 2 DEBBY 36.7 42.4 94 484 +1953 1 7 0 4 LESLIE 36.6 246.8 35 307 +1954 11 10 12 19 VALERIE 30.7 35.8 30 790 +1993 6 15 12 7 ALBERTO 58.1 160.1 32 835 +1994 2 6 18 9 LESLIE 51.6 314.5 102 494 +1960 7 2 0 5 NADINE 46.7 320.3 100 862 +1959 11 28 0 16 ERNESTO 17.8 186.1 148 211 +1983 5 6 18 13 ALBERTO 52.9 288.2 17 848 +1995 6 6 6 18 VALERIE 58.2 132.2 25 485 +1993 7 21 12 15 MICHAEL 34.3 255.8 131 577 +1964 2 13 12 25 TONY 62.7 118.3 146 326 +1969 11 5 6 18 ISAAC 29.2 158.9 156 347 +1999 6 13 12 13 CHRIS 41.5 273.7 96 353 +1972 7 12 0 3 ALBERTO 69.7 298.5 122 250 +1956 3 4 6 2 ERNESTO 36.6 191.5 129 97 +1977 4 16 6 4 ISAAC 55.3 168.5 58 700 +1988 5 14 0 15 BERYL 33.8 148.6 17 567 +2004 9 26 12 21 RAFAEL 49.9 259.8 122 806 +1978 5 10 6 15 OSCAR 43.1 48.9 105 162 +1969 2 18 6 4 TONY 37.3 265.3 49 849 +1987 8 26 12 28 KIRK 61.9 267.4 13 614 +1964 1 13 6 19 VALERIE 37.9 5.8 160 748 +2003 9 26 0 3 RAFAEL 58.9 210.5 46 103 +1998 4 18 6 25 ISAAC 28.3 200.6 35 707 +1985 11 13 0 12 PATTY 12.6 143.3 12 821 +1994 4 7 0 27 MICHAEL 14.9 127.3 124 704 +1966 6 6 12 6 KIRK 66.9 100.5 78 189 +1952 11 23 0 1 GORDON 31.4 147.3 95 377 +2001 8 2 0 1 PATTY 44.7 169.3 66 744 +2000 10 14 18 15 WILLIAM 24.4 154.1 60 280 +1973 7 25 12 23 BERYL 67.0 356.8 144 724 +1981 11 27 12 1 MICHAEL 38.3 129.2 115 622 +1952 6 14 6 5 HELENE 63.7 241.9 25 221 +1979 1 1 18 21 PATTY 48.9 17.7 77 557 +1969 12 3 0 24 OSCAR 43.6 185.3 76 621 +1971 5 22 0 15 NADINE 39.1 315.6 161 399 +1967 5 3 6 27 ALBERTO 68.4 29.3 110 762 +1991 1 7 6 13 VALERIE 14.4 88.9 116 511 +1969 8 17 6 26 MICHAEL 65.8 121.8 113 199 +1980 7 22 12 12 PATTY 19.5 154.7 62 616 +2000 1 10 18 17 ISAAC 45.0 270.6 95 688 +1971 10 15 6 13 GORDON 52.3 291.0 15 486 +1997 10 23 12 13 VALERIE 41.1 1.9 61 583 +1955 2 2 6 17 ALBERTO 54.5 188.6 60 551 +1988 6 11 0 11 NADINE 33.1 155.5 56 21 +1976 1 9 18 1 VALERIE 53.4 306.3 73 320 +1952 3 25 18 3 JOYCE 49.6 116.4 25 272 +1997 7 17 12 28 FLORENCE 10.6 325.1 148 375 +1967 5 28 18 13 BERYL 69.4 6.1 163 212 +1959 8 24 12 25 RAFAEL 57.6 221.7 105 653 +1981 8 21 6 21 NADINE 50.0 287.8 75 191 +1979 11 1 12 3 ISAAC 9.8 24.6 131 196 +1951 5 2 12 8 ISAAC 35.3 344.7 92 232 +2001 12 12 12 7 WILLIAM 36.2 290.0 144 891 +1974 3 14 18 21 LESLIE 13.3 252.0 82 598 +1957 4 8 6 16 OSCAR 45.2 161.8 89 835 +1991 4 22 0 14 NADINE 64.1 346.4 115 232 +1998 5 23 6 21 JOYCE 65.1 95.3 69 49 +1998 8 12 12 1 KIRK 47.0 23.2 76 813 +1985 7 23 0 7 JOYCE 22.8 326.5 114 627 +2000 11 13 12 14 WILLIAM 39.3 239.0 66 214 +1961 7 14 18 6 ERNESTO 38.1 97.0 123 185 +1985 8 11 18 23 NADINE 24.0 86.6 119 709 +1996 10 9 0 13 SANDY 40.0 192.7 61 639 +1959 3 22 18 5 JOYCE 39.7 242.1 47 20 +1982 11 16 0 9 ISAAC 22.8 329.3 36 513 +1964 1 22 0 5 WILLIAM 59.0 314.0 110 194 +1951 5 12 12 3 MICHAEL 33.3 105.4 99 462 +1966 1 13 18 14 KIRK 20.2 58.1 159 275 +1972 1 13 18 2 VALERIE 67.6 248.1 13 422 +1989 6 2 12 19 FLORENCE 44.4 355.9 14 813 +1988 4 9 0 25 CHRIS 26.7 152.5 16 177 +1988 11 15 18 4 LESLIE 30.3 96.9 28 444 +1963 6 1 12 10 WILLIAM 23.5 67.0 142 206 +1980 4 4 0 28 RAFAEL 12.8 204.9 119 9 +1995 9 13 0 16 WILLIAM 50.1 349.0 23 201 +1957 11 25 6 15 ALBERTO 19.3 226.0 104 379 +1995 9 18 0 10 WILLIAM 22.4 307.8 151 502 +1967 1 5 18 6 RAFAEL 19.1 258.3 162 144 +1995 6 27 6 28 HELENE 53.2 163.6 161 626 +1967 9 6 6 23 DEBBY 69.3 33.4 87 302 +2004 7 16 6 8 SANDY 41.8 204.2 118 174 +1951 11 1 0 5 ISAAC 47.3 334.4 132 92 +1976 3 11 6 27 VALERIE 14.3 22.9 164 125 +2003 12 14 18 7 RAFAEL 69.9 224.7 135 842 +1987 9 5 12 9 NADINE 27.1 130.0 39 692 +1972 5 14 18 8 GORDON 43.2 2.1 91 496 +1983 9 2 18 18 ALBERTO 66.4 52.2 105 792 +1991 10 25 18 11 PATTY 29.2 109.3 88 401 +1968 7 22 6 28 HELENE 39.0 92.0 164 517 +2003 10 14 12 12 JOYCE 29.4 225.8 39 95 +2002 12 15 6 25 MICHAEL 31.1 26.0 144 769 +1967 7 27 18 14 PATTY 31.2 18.5 21 885 +1981 10 15 12 24 FLORENCE 27.9 3.1 153 205 +1980 7 6 6 14 FLORENCE 57.2 88.5 156 66 +1959 12 15 6 21 VALERIE 23.6 285.1 70 373 +2001 7 1 0 18 JOYCE 33.5 110.6 54 579 +1968 6 24 6 11 SANDY 61.2 333.7 151 769 +1982 1 12 18 2 ERNESTO 34.8 244.3 101 723 +1953 6 25 12 4 JOYCE 55.4 124.5 79 895 +1959 9 21 18 18 CHRIS 56.8 44.6 37 575 +1959 6 12 12 18 VALERIE 7.4 194.0 55 699 +1968 9 20 6 13 MICHAEL 26.6 256.0 32 801 +1994 3 16 6 11 LESLIE 33.6 324.3 134 132 +1996 10 18 6 24 GORDON 48.9 207.7 52 242 +1984 3 1 18 4 JOYCE 37.7 30.7 38 702 +1982 12 5 18 11 TONY 59.3 31.6 151 545 +1966 3 6 18 15 ALBERTO 48.3 34.9 23 549 +1983 2 27 0 18 SANDY 47.6 14.7 33 194 +1964 2 28 18 15 LESLIE 30.5 281.9 78 201 +1967 6 20 18 24 CHRIS 54.5 61.7 150 151 +1964 2 2 0 8 ALBERTO 14.2 269.6 143 743 +1989 10 5 12 4 RAFAEL 59.5 257.1 43 829 +1960 10 17 0 17 VALERIE 16.1 123.2 149 205 +1973 11 23 6 13 MICHAEL 40.9 349.4 33 8 +1995 5 22 12 7 HELENE 28.2 285.5 143 403 +2002 5 4 6 9 BERYL 18.0 41.2 121 453 +1955 3 17 6 19 VALERIE 63.8 208.7 15 59 +1974 5 17 0 16 OSCAR 61.9 297.6 60 889 +1973 7 19 12 27 JOYCE 44.1 213.4 19 284 +1987 6 20 6 9 PATTY 19.2 350.4 49 383 +1959 5 3 12 11 FLORENCE 26.8 298.3 60 451 +1972 2 26 12 10 BERYL 46.9 310.1 110 130 +1990 12 20 6 24 GORDON 20.2 134.8 95 766 +1953 6 10 12 20 HELENE 30.6 134.2 51 129 +1955 6 8 12 23 PATTY 16.7 3.4 164 80 +1993 7 13 18 19 CHRIS 22.6 7.0 25 307 +1965 9 28 0 12 RAFAEL 9.5 160.5 158 221 +1988 2 10 6 5 OSCAR 36.6 355.3 129 211 +1989 12 8 6 2 FLORENCE 8.3 309.9 69 676 +1994 9 20 18 22 ERNESTO 26.6 11.4 24 605 +1962 2 9 0 28 ALBERTO 64.1 309.8 73 490 +1951 10 17 6 9 MICHAEL 26.8 328.3 48 751 +1973 5 26 18 22 MICHAEL 38.1 311.8 59 36 +1973 1 10 6 16 JOYCE 62.8 310.5 59 220 +1968 7 6 0 17 RAFAEL 64.6 41.8 85 578 +2003 7 28 6 25 GORDON 18.2 350.2 161 195 +1958 2 27 6 2 PATTY 23.8 107.8 79 137 +1951 8 20 12 16 KIRK 14.3 339.9 140 207 +1991 4 28 0 23 ISAAC 36.3 154.8 140 776 +1989 4 12 18 20 WILLIAM 53.7 244.5 133 369 +1969 8 2 0 8 JOYCE 68.1 20.1 10 57 +1964 1 10 18 11 GORDON 37.2 14.8 107 189 +1974 12 10 18 16 MICHAEL 18.4 65.6 75 610 +1952 10 15 0 17 DEBBY 48.6 346.1 57 132 +1990 8 21 12 22 LESLIE 12.0 159.7 134 790 +1980 12 28 18 7 BERYL 49.2 113.3 69 849 +2001 5 11 18 11 WILLIAM 34.6 39.6 149 487 +1987 10 15 18 10 ALBERTO 63.0 328.3 96 810 +1982 3 10 6 18 ISAAC 43.2 185.0 64 848 +1964 11 20 6 4 KIRK 23.5 175.2 117 555 +1969 8 13 18 1 SANDY 28.9 27.7 65 548 +1967 2 8 6 15 PATTY 17.5 346.8 95 451 +1963 5 27 12 4 TONY 61.9 254.0 39 76 +1962 7 25 6 17 ALBERTO 17.8 227.2 156 654 +1956 3 21 0 3 TONY 21.7 135.9 143 352 +1971 10 23 12 16 BERYL 20.2 125.2 58 529 +1983 2 6 6 7 VALERIE 49.1 216.3 121 762 +1955 10 26 6 20 FLORENCE 57.6 242.0 117 10 +1990 12 12 18 19 PATTY 59.7 69.4 35 70 +2003 12 5 6 24 SANDY 11.7 115.0 53 523 +1962 6 26 6 25 FLORENCE 9.4 206.9 11 282 +2001 2 27 0 8 ERNESTO 19.4 147.0 22 17 +1953 9 21 0 6 PATTY 10.1 199.2 56 705 +1959 4 24 12 6 WILLIAM 41.7 163.3 133 597 +1969 6 6 18 9 BERYL 9.6 48.1 137 303 +1992 12 15 6 24 NADINE 49.2 33.9 76 431 +2003 4 10 12 19 WILLIAM 41.9 354.6 140 194 +2003 3 11 6 11 DEBBY 42.7 178.1 114 878 +1997 2 20 18 11 FLORENCE 60.9 347.9 135 855 +1962 10 7 12 6 KIRK 43.1 24.3 120 820 +1999 6 19 0 17 ALBERTO 40.2 342.5 121 768 +1959 8 12 0 15 NADINE 39.2 166.1 71 627 +1956 1 24 6 28 MICHAEL 12.0 340.1 139 138 +1990 7 25 0 4 JOYCE 26.8 68.7 155 83 +1974 4 26 18 2 RAFAEL 12.6 191.0 78 297 +1950 9 27 6 5 RAFAEL 57.7 73.0 151 92 +1988 9 8 12 13 VALERIE 19.0 79.6 88 270 +1952 9 8 18 28 NADINE 40.7 165.2 131 333 +1966 3 13 12 19 RAFAEL 24.2 175.4 145 235 +1984 8 2 0 26 JOYCE 61.5 335.5 32 566 +1987 6 17 0 17 RAFAEL 18.7 86.7 144 604 +1959 2 13 18 28 WILLIAM 34.5 63.4 18 747 +1993 7 14 18 17 ISAAC 9.7 301.3 17 459 +1979 5 1 18 11 GORDON 24.3 93.8 101 212 +1984 6 19 0 14 KIRK 39.0 149.4 109 619 +2003 2 19 12 12 ALBERTO 65.9 2.7 52 532 +1992 1 27 12 22 CHRIS 12.0 185.2 64 619 +1963 5 21 12 1 HELENE 44.9 331.7 132 65 +1959 11 23 18 1 FLORENCE 36.4 129.4 74 808 +1971 12 21 0 1 ERNESTO 47.0 44.9 54 29 +2002 3 13 0 19 WILLIAM 64.1 165.3 69 848 +1981 11 27 6 25 OSCAR 43.7 7.4 26 393 +1970 11 17 12 14 FLORENCE 66.8 276.1 157 596 +1981 11 17 18 18 TONY 7.6 19.1 15 422 +2003 6 26 6 11 DEBBY 31.5 106.9 97 847 +1997 6 28 12 17 RAFAEL 36.4 117.8 43 71 +1985 12 27 18 9 VALERIE 32.6 8.7 137 607 +1957 6 24 0 1 JOYCE 49.4 52.2 60 122 +1972 9 11 18 19 OSCAR 58.0 248.7 142 541 +1957 9 18 0 23 TONY 58.8 234.6 104 12 +1969 12 5 6 18 FLORENCE 17.9 7.5 44 484 +2004 8 25 18 13 ISAAC 20.7 225.5 148 553 +1998 11 18 6 5 JOYCE 9.4 8.1 77 269 +1958 4 8 6 17 HELENE 65.6 18.0 128 112 +1988 3 17 18 20 LESLIE 40.8 194.6 141 794 +1992 5 19 12 11 NADINE 19.0 318.8 131 258 +1969 8 18 0 21 OSCAR 52.3 145.4 131 349 +1980 8 2 12 21 ALBERTO 18.0 235.2 158 769 +1981 8 28 18 27 HELENE 34.6 223.6 135 329 +2001 3 11 0 3 FLORENCE 31.0 95.9 121 785 +1969 10 1 6 24 ISAAC 24.3 306.7 91 686 +1970 10 16 0 17 ISAAC 23.2 351.4 84 265 +1954 8 5 12 11 LESLIE 44.3 85.3 134 209 +1967 9 6 0 11 FLORENCE 23.2 256.2 12 290 +1993 5 13 12 13 BERYL 19.7 124.5 56 772 +1966 9 21 6 13 RAFAEL 58.8 256.3 136 617 +1983 5 28 18 11 WILLIAM 45.5 104.0 138 262 +1989 11 1 18 19 LESLIE 23.4 239.3 62 174 +1986 7 8 0 19 DEBBY 51.9 172.1 62 390 +1993 12 24 0 5 CHRIS 29.8 327.3 54 835 +1979 12 2 6 20 DEBBY 69.2 118.2 110 620 +1973 4 12 18 9 ERNESTO 33.2 210.8 41 281 +1996 9 23 6 20 ERNESTO 32.2 51.4 105 193 +1999 1 19 0 20 DEBBY 42.5 316.8 129 596 +1954 8 15 12 6 LESLIE 11.4 310.4 142 204 +2003 7 16 0 20 RAFAEL 48.4 163.9 81 500 +1972 2 23 0 2 TONY 34.4 303.9 46 888 +1993 8 10 18 25 MICHAEL 40.3 129.3 49 276 +1980 10 1 0 2 CHRIS 61.1 252.4 50 547 +1986 4 22 0 2 LESLIE 54.0 35.2 76 206 +1985 11 22 6 13 HELENE 20.1 203.2 163 872 +1971 4 7 6 14 CHRIS 34.1 98.8 63 29 +1987 1 26 6 18 CHRIS 66.3 280.4 29 223 +1950 10 11 6 24 GORDON 8.6 111.4 115 635 +1963 12 15 6 5 GORDON 13.5 273.2 25 39 +1984 1 10 18 9 GORDON 57.2 240.0 116 476 +1983 2 11 0 22 GORDON 42.1 106.4 120 861 +1953 6 14 0 6 MICHAEL 18.1 2.6 34 118 +1982 8 14 12 20 HELENE 64.0 65.6 125 786 +1992 10 1 18 23 JOYCE 37.6 291.4 125 690 +1959 8 25 6 24 FLORENCE 48.3 182.8 70 456 +1953 5 15 12 26 ISAAC 61.3 329.4 139 523 +1964 8 28 12 5 KIRK 67.2 33.1 29 899 +1991 4 28 18 21 ISAAC 46.3 125.6 13 871 +1972 1 28 12 7 ALBERTO 48.3 63.7 27 893 +1992 6 21 0 23 CHRIS 10.6 154.0 104 695 +1969 4 13 0 17 SANDY 9.0 254.5 127 750 +1957 9 18 6 13 BERYL 26.7 248.6 143 414 +1953 9 24 12 27 KIRK 25.1 310.1 52 846 +1976 2 4 12 4 ERNESTO 31.7 326.6 107 467 +1957 9 21 6 15 KIRK 9.5 171.7 19 563 +1987 1 25 6 7 LESLIE 68.0 30.7 142 554 +1996 1 19 0 15 BERYL 9.5 229.3 98 146 +1959 5 4 6 20 TONY 16.6 258.6 149 173 +1960 3 2 0 18 SANDY 45.9 276.5 75 767 +1993 6 19 18 5 WILLIAM 51.2 101.2 104 526 +1965 2 28 0 6 NADINE 47.7 60.1 88 461 +1959 10 28 0 2 PATTY 50.7 219.7 130 484 +1980 9 9 18 13 CHRIS 54.7 223.3 114 269 +1992 5 25 18 8 VALERIE 37.6 222.9 30 581 +2004 3 10 6 28 KIRK 35.4 65.8 73 290 +1958 10 17 18 7 TONY 52.5 116.3 152 723 +1983 5 11 18 20 RAFAEL 47.8 69.5 151 67 +1979 8 20 0 21 TONY 67.7 311.5 61 329 +1970 4 27 12 28 JOYCE 45.5 36.8 154 218 +1954 2 24 6 23 ERNESTO 46.9 272.6 128 560 +1953 12 1 6 22 GORDON 54.1 56.7 64 557 +1981 8 24 12 8 RAFAEL 21.6 45.9 124 536 +1979 2 14 0 21 OSCAR 19.3 133.6 22 530 +1977 2 19 18 23 JOYCE 39.7 56.9 110 577 +1953 1 11 12 12 ALBERTO 65.2 149.6 157 677 +1998 12 24 12 9 SANDY 52.2 214.1 93 82 +1985 9 21 0 5 VALERIE 34.5 163.0 110 831 +1997 10 11 0 9 LESLIE 15.9 71.2 105 53 +1981 4 15 0 3 RAFAEL 11.2 9.6 79 575 +1952 11 25 0 23 BERYL 9.2 262.7 57 480 +1961 1 6 0 19 MICHAEL 50.3 320.1 48 702 +1955 9 11 12 28 VALERIE 42.4 101.9 129 867 +1977 11 14 12 13 KIRK 61.9 272.1 156 874 +1999 7 17 0 20 LESLIE 23.4 197.7 54 70 +1954 6 26 0 20 DEBBY 25.8 77.8 105 339 +2004 10 28 6 18 WILLIAM 32.7 78.3 164 605 +1983 2 4 12 14 GORDON 34.1 137.8 113 724 +1979 5 14 6 10 CHRIS 59.8 162.2 133 275 +1987 8 10 18 9 VALERIE 19.4 77.2 127 342 +1997 10 4 0 24 VALERIE 12.7 34.0 57 836 +1995 5 11 6 10 DEBBY 23.3 1.7 130 185 +1985 2 21 18 22 HELENE 21.4 209.4 153 630 +2000 11 1 18 1 ISAAC 54.5 228.4 86 313 +1966 2 12 12 12 PATTY 35.1 222.9 134 193 +1952 6 3 0 27 JOYCE 55.2 91.8 39 245 +1961 2 26 0 1 RAFAEL 24.6 212.9 101 305 +1951 11 11 0 19 SANDY 21.9 88.1 135 758 +2000 4 9 0 23 KIRK 36.0 271.2 121 403 +1992 12 8 12 9 NADINE 68.7 134.3 139 338 +1962 7 22 6 27 MICHAEL 50.6 72.8 105 679 +1986 4 6 18 6 ERNESTO 14.2 332.6 120 810 +1980 5 19 0 27 PATTY 31.2 277.6 156 60 +1950 12 6 6 27 PATTY 35.8 264.3 123 635 +1982 10 10 18 8 PATTY 50.3 63.9 135 179 +1980 2 20 0 13 HELENE 68.6 75.1 72 425 +1974 4 17 18 14 ISAAC 24.4 352.2 108 467 +1957 11 11 6 19 LESLIE 18.5 134.2 87 210 +2003 3 9 12 25 VALERIE 19.9 73.0 140 471 +1951 11 10 18 15 ALBERTO 18.9 27.7 109 885 +1988 10 19 18 22 OSCAR 37.8 202.3 141 394 +1981 4 16 18 28 ISAAC 29.0 317.5 70 55 +1995 6 15 6 14 KIRK 34.0 309.5 141 504 +1998 1 9 18 3 RAFAEL 31.2 86.2 50 514 +1994 7 6 0 27 NADINE 52.8 76.6 86 390 +1950 8 6 18 1 ERNESTO 69.9 278.1 47 122 +1977 2 3 6 10 OSCAR 66.0 261.3 137 737 +1950 9 5 0 11 SANDY 63.7 110.0 24 680 +1983 5 26 18 21 ISAAC 7.9 74.1 58 603 +1986 1 12 18 9 VALERIE 13.6 24.3 65 538 +2001 8 2 12 8 CHRIS 64.3 199.8 132 559 +1953 7 27 12 23 MICHAEL 18.5 255.0 125 895 +1954 7 8 18 28 DEBBY 15.6 81.0 20 99 +1980 6 26 0 5 ERNESTO 41.8 33.0 29 110 +1998 8 6 12 16 KIRK 62.7 73.6 150 837 +1967 9 15 6 4 DEBBY 53.3 39.7 61 60 +1992 2 21 0 15 HELENE 46.4 194.3 93 834 +1981 7 14 0 4 PATTY 11.9 326.5 60 679 +1954 4 9 6 9 HELENE 61.6 79.0 68 729 +2001 11 9 18 19 FLORENCE 64.6 45.2 118 775 +1992 2 21 6 26 KIRK 54.4 199.2 160 794 +1970 9 7 12 3 DEBBY 21.8 60.3 90 126 +1972 7 12 0 1 BERYL 38.9 235.0 106 566 +1998 9 13 18 19 ALBERTO 20.7 176.1 55 490 +1968 9 6 12 5 WILLIAM 40.0 231.6 164 341 +1975 10 4 0 25 FLORENCE 8.7 199.2 33 321 +1997 12 12 18 4 ALBERTO 58.9 89.4 46 427 +1989 4 21 12 23 HELENE 19.5 252.8 146 223 +1998 12 2 6 23 ISAAC 23.6 149.9 92 618 +1961 6 3 0 12 ALBERTO 12.3 106.7 13 474 +1958 7 1 18 14 RAFAEL 47.1 201.3 65 67 +1954 8 16 12 18 SANDY 68.6 222.1 97 315 +1983 10 13 18 13 VALERIE 11.0 330.9 90 5 +1956 7 13 0 18 SANDY 35.6 280.3 36 650 +1989 11 17 12 6 BERYL 15.2 58.7 86 61 +1954 7 15 0 9 ISAAC 66.6 129.0 27 213 +1988 4 15 0 27 SANDY 14.9 337.4 31 432 +1982 1 1 12 22 ERNESTO 63.3 292.2 10 642 +1979 9 7 18 11 ERNESTO 10.8 3.2 142 168 +1955 9 25 12 3 OSCAR 28.4 27.8 27 340 +1957 10 23 6 7 CHRIS 42.6 20.8 60 750 +1990 1 17 6 20 LESLIE 19.2 254.2 139 401 +1971 3 22 0 1 PATTY 42.2 14.2 149 538 +1977 6 8 12 28 FLORENCE 51.1 332.0 118 310 +1988 3 13 12 25 OSCAR 26.1 132.2 37 27 +1971 10 7 18 1 GORDON 29.8 294.9 66 595 +1970 3 11 18 4 PATTY 39.4 347.1 161 633 +1964 10 23 6 3 BERYL 19.0 76.7 139 512 +1986 3 12 12 4 DEBBY 28.4 120.6 17 625 +2004 8 22 0 26 OSCAR 40.0 185.0 128 398 +2002 9 25 18 16 FLORENCE 8.3 291.7 42 538 +1982 10 14 0 19 LESLIE 37.6 119.4 37 174 +1953 8 7 12 16 ERNESTO 18.9 71.9 140 302 +1951 5 20 6 27 CHRIS 59.1 54.1 161 558 +2003 12 24 6 23 RAFAEL 26.1 145.3 121 200 +1969 5 26 18 6 KIRK 11.0 124.2 106 80 +1973 5 14 12 10 ISAAC 30.7 298.0 144 381 +1952 2 2 12 24 TONY 11.4 250.2 30 485 +1995 8 5 0 16 WILLIAM 56.6 193.2 77 627 +1968 1 1 18 21 ISAAC 8.7 27.5 22 198 +1950 4 6 18 12 GORDON 30.4 2.2 153 757 +1952 2 28 18 28 JOYCE 61.5 213.1 69 440 +1958 1 7 0 27 ALBERTO 38.9 91.8 100 344 +1957 1 14 12 2 FLORENCE 21.0 47.0 115 587 +1958 10 21 6 1 ERNESTO 17.3 64.3 27 535 +1953 12 13 18 12 ERNESTO 44.5 24.3 45 678 +1963 9 14 18 23 WILLIAM 46.7 46.6 63 666 +1973 7 27 18 14 WILLIAM 30.8 310.1 160 633 +1976 2 24 18 23 BERYL 63.9 334.8 144 270 +2002 9 23 6 16 ERNESTO 27.9 201.2 136 870 +2002 9 18 12 7 BERYL 52.9 141.1 45 45 +1950 2 16 18 4 RAFAEL 49.9 10.2 31 515 +2002 7 6 6 1 NADINE 46.1 122.6 10 829 +1985 7 23 12 14 HELENE 56.8 297.6 16 42 +1953 6 14 18 10 CHRIS 40.2 59.0 110 747 +1961 10 12 0 14 PATTY 40.9 79.0 94 414 +1965 12 12 0 15 MICHAEL 27.4 125.8 31 864 +1955 11 18 18 26 NADINE 33.3 281.9 155 409 +1954 12 10 6 19 VALERIE 20.7 27.4 125 633 +1954 3 11 0 18 GORDON 30.1 120.1 75 5 +1950 11 13 0 9 JOYCE 11.6 28.5 123 380 +1994 1 1 12 8 ALBERTO 24.7 135.7 22 827 +1965 6 1 6 28 DEBBY 40.2 153.5 55 411 +1968 10 28 18 24 MICHAEL 26.2 151.6 119 16 +1993 2 1 6 4 GORDON 14.2 2.6 93 673 +1984 10 22 6 1 DEBBY 20.2 74.6 83 674 +2000 6 9 6 26 ISAAC 25.0 20.7 41 614 +1982 10 3 0 7 SANDY 59.3 191.7 129 81 +1995 10 24 18 18 MICHAEL 40.9 313.3 152 306 +1950 5 19 6 23 DEBBY 22.0 139.3 78 883 +1998 2 12 0 9 ISAAC 37.1 49.0 96 277 +1985 10 8 6 23 MICHAEL 50.3 236.5 28 116 +1999 7 25 18 5 WILLIAM 21.2 188.2 135 842 +1968 9 16 12 26 RAFAEL 36.8 330.3 18 807 +1952 11 21 18 25 ALBERTO 51.7 0.0 132 282 +1992 2 26 12 8 DEBBY 37.9 183.4 122 414 +1990 11 12 0 21 RAFAEL 69.2 139.1 138 13 +1959 4 17 6 20 OSCAR 32.8 143.7 72 238 +1976 4 7 18 5 ISAAC 15.3 331.3 30 239 +1968 1 1 0 9 GORDON 20.9 80.2 82 451 +1991 6 28 12 11 MICHAEL 49.5 99.9 65 21 +1982 1 2 18 18 NADINE 34.4 100.5 147 846 +1996 10 6 18 8 HELENE 25.0 349.6 164 818 +1958 2 11 12 1 HELENE 44.9 100.0 107 367 +1966 3 20 6 6 NADINE 25.7 153.1 158 588 +1962 4 3 12 22 RAFAEL 11.7 61.6 128 393 +1975 4 23 18 13 ISAAC 24.1 94.0 149 800 +1979 4 18 18 17 VALERIE 24.0 312.0 134 585 +1976 7 8 12 18 NADINE 11.9 335.2 17 159 +2004 5 12 12 12 PATTY 49.2 65.8 137 307 +1963 12 19 6 17 SANDY 31.0 355.6 141 642 +1952 8 9 0 1 PATTY 49.6 28.6 110 97 +1994 10 7 6 22 LESLIE 58.4 163.7 133 586 +1994 2 16 18 24 ISAAC 34.1 122.8 114 198 +1998 10 27 18 21 TONY 27.1 141.1 117 506 +1961 10 13 18 24 GORDON 17.1 110.8 45 44 +1954 4 26 6 2 WILLIAM 15.1 246.5 53 756 +1982 6 24 18 21 MICHAEL 69.5 195.3 155 40 +1958 3 11 0 7 RAFAEL 21.2 156.4 19 667 +1973 12 2 0 2 LESLIE 22.5 310.9 86 64 +1986 4 11 6 9 JOYCE 63.9 181.8 64 180 +1977 10 11 0 24 BERYL 55.8 263.0 101 602 +1986 4 7 0 4 TONY 26.5 118.9 16 496 +1979 3 26 0 26 SANDY 69.0 219.2 38 338 +1974 1 10 0 11 TONY 45.5 330.4 46 279 +1962 3 12 6 6 TONY 36.8 15.0 56 619 +1972 1 24 0 23 OSCAR 56.8 328.3 74 782 +1969 2 13 6 15 VALERIE 67.4 316.2 162 678 +1987 8 7 0 23 KIRK 40.2 348.7 59 596 +1973 11 2 12 8 KIRK 65.0 158.5 74 534 +2002 10 3 12 10 NADINE 29.2 30.6 26 644 +1956 1 28 12 23 DEBBY 65.0 119.4 73 104 +2001 11 1 0 23 FLORENCE 41.3 68.2 13 683 +1975 8 24 6 23 RAFAEL 34.8 212.5 92 98 +1997 8 16 0 20 TONY 57.3 212.7 101 242 +1995 2 28 18 15 ALBERTO 38.8 155.8 125 319 +1966 9 15 12 18 FLORENCE 41.5 108.3 111 638 +1957 9 10 6 1 DEBBY 30.2 139.6 22 479 +1997 8 18 12 7 DEBBY 58.9 6.9 133 445 +1954 1 14 6 9 LESLIE 8.4 7.5 60 269 +1999 12 15 12 11 ALBERTO 12.5 150.1 93 776 +1977 12 10 18 16 WILLIAM 11.4 334.4 120 556 +1953 8 22 6 5 CHRIS 55.4 273.4 97 49 +1981 1 17 12 7 ALBERTO 41.0 323.6 49 325 +1967 9 4 0 15 GORDON 45.5 67.0 87 303 +1985 10 4 6 27 OSCAR 67.0 94.4 89 856 +1979 9 26 18 25 MICHAEL 43.3 230.4 41 592 +1963 1 27 12 10 ERNESTO 55.6 173.5 59 761 +1985 5 13 0 20 ALBERTO 15.8 217.0 145 553 +1989 9 14 12 12 GORDON 69.3 231.9 32 162 +1974 10 27 6 3 GORDON 54.2 77.8 103 146 +1952 7 28 6 5 RAFAEL 42.8 323.4 161 83 +1981 2 14 6 19 ERNESTO 27.4 193.4 76 273 +1964 6 19 18 1 ERNESTO 19.6 133.6 113 397 +1954 8 27 0 7 ISAAC 48.3 21.0 79 847 +1960 3 1 12 25 PATTY 52.8 181.5 76 199 +1965 7 12 12 12 CHRIS 35.5 323.6 120 589 +1993 1 28 18 14 FLORENCE 36.5 230.9 162 316 +1962 12 22 0 14 LESLIE 69.8 83.7 120 130 +1958 4 24 6 6 HELENE 60.6 230.7 151 302 +2004 10 15 18 21 KIRK 10.6 28.6 26 81 +1981 1 12 6 15 VALERIE 64.3 125.5 136 764 +1965 8 12 18 17 DEBBY 7.8 21.3 47 499 +1959 4 10 6 1 OSCAR 62.3 221.1 112 597 +1983 3 3 6 14 PATTY 32.8 105.7 119 379 +1964 4 4 0 8 GORDON 16.7 243.3 105 201 +1972 1 4 12 20 BERYL 69.4 230.3 106 593 +2001 4 14 0 24 CHRIS 58.4 343.1 112 506 +1960 2 19 12 15 BERYL 24.3 134.3 32 211 +1992 5 5 0 19 BERYL 58.9 247.5 107 492 +1954 9 5 6 24 OSCAR 9.8 16.8 100 144 +1978 6 3 6 8 KIRK 33.4 204.4 69 312 +1993 10 8 18 25 ERNESTO 47.4 81.7 135 538 +1977 5 27 18 2 ALBERTO 15.0 157.7 64 824 +1970 4 12 12 12 HELENE 8.4 260.1 26 529 +1993 4 17 0 8 SANDY 58.0 324.8 21 514 +2003 8 17 18 22 FLORENCE 67.5 217.2 40 155 +1978 6 9 18 16 BERYL 32.1 312.4 81 515 +1963 4 16 6 10 ALBERTO 55.2 302.7 22 564 +1978 7 10 18 23 SANDY 8.4 174.7 98 601 +1959 8 26 0 24 NADINE 42.9 157.6 93 542 +1957 5 14 0 8 NADINE 18.8 120.1 65 820 +1967 5 6 6 15 BERYL 45.4 350.9 42 447 +1996 6 4 0 1 KIRK 59.0 44.5 75 416 +1990 8 12 12 22 FLORENCE 19.1 149.4 104 843 +1964 10 18 0 10 BERYL 29.3 59.0 96 485 +1989 7 9 0 15 ISAAC 61.2 226.7 93 580 +1960 1 9 6 9 CHRIS 29.7 93.1 135 845 +1951 6 18 18 25 CHRIS 39.6 259.7 148 682 +1969 2 25 12 22 VALERIE 64.8 355.3 70 453 +1963 9 7 0 19 GORDON 68.1 10.6 118 848 +1977 1 22 18 5 KIRK 61.0 183.5 152 764 +2004 9 7 0 3 MICHAEL 49.6 357.7 69 139 +1983 2 17 18 1 DEBBY 40.1 21.9 23 132 +1992 4 1 12 14 WILLIAM 48.2 176.7 22 8 +1985 3 25 12 27 ALBERTO 35.5 271.9 152 765 +1957 5 22 6 17 KIRK 55.6 121.2 15 304 +1957 12 5 6 25 MICHAEL 37.3 71.4 135 717 +1953 3 20 18 1 KIRK 32.4 255.5 160 188 +1956 8 20 0 25 OSCAR 39.1 53.3 65 571 +2001 3 6 18 4 VALERIE 11.5 123.1 53 355 +2003 5 21 18 3 RAFAEL 37.4 14.8 151 103 +1996 4 15 6 4 SANDY 51.9 102.0 76 832 +1970 1 10 12 9 LESLIE 37.6 274.1 71 216 +1965 10 4 18 16 KIRK 39.2 83.9 146 100 +1956 1 24 0 19 NADINE 51.7 300.4 86 694 +1986 5 19 12 6 VALERIE 62.7 334.5 124 825 +1954 12 16 0 16 VALERIE 45.5 176.2 143 452 +1995 9 26 0 8 ISAAC 17.9 146.1 33 257 +1957 12 28 18 5 DEBBY 50.8 343.0 19 855 +1974 4 15 0 11 TONY 41.4 235.0 52 61 +1960 1 1 6 26 OSCAR 24.9 270.1 144 352 +1979 8 12 12 28 ERNESTO 7.3 89.7 100 867 +1988 6 9 12 15 TONY 57.9 286.0 15 2 +1974 7 21 0 9 LESLIE 23.6 205.8 36 464 +1991 3 22 12 22 HELENE 12.8 247.3 129 705 +1965 4 5 0 16 ERNESTO 43.6 37.5 111 223 +1951 5 7 12 17 ISAAC 59.2 245.1 145 142 +1987 11 21 12 13 PATTY 23.4 67.1 154 6 +1992 6 3 0 4 RAFAEL 67.0 126.4 118 566 +1997 7 13 18 19 BERYL 16.9 284.3 148 178 +1974 9 26 6 25 LESLIE 58.3 127.0 164 44 +1968 2 2 12 12 BERYL 66.5 96.1 115 748 +1990 2 19 12 19 BERYL 16.5 236.8 65 398 +1975 1 25 12 19 ALBERTO 38.6 238.4 157 277 +1964 5 15 12 21 ALBERTO 16.7 272.7 74 721 +1987 9 10 0 6 ISAAC 50.5 174.3 33 236 +1972 5 19 18 1 ALBERTO 42.5 268.0 18 438 +1988 6 4 12 26 DEBBY 37.9 210.6 110 616 +1982 4 28 6 27 SANDY 55.6 157.8 15 289 +1971 3 15 12 22 TONY 19.7 247.0 63 187 +1998 12 16 0 8 KIRK 64.1 137.6 54 93 +1963 1 1 6 13 PATTY 44.4 307.9 126 602 +1965 5 4 12 4 SANDY 49.8 213.2 66 637 +1972 3 8 18 15 TONY 62.3 310.6 141 226 +1980 2 4 0 11 ERNESTO 11.5 237.0 26 526 +2001 12 1 6 4 SANDY 24.0 74.7 59 482 +1985 4 1 12 17 HELENE 13.1 7.8 133 115 +1963 7 27 12 25 LESLIE 23.9 128.8 103 142 +2002 9 22 18 14 DEBBY 20.6 17.6 144 807 +1990 4 19 12 4 JOYCE 36.6 165.0 51 37 +1995 6 22 6 26 VALERIE 28.3 48.1 72 112 +1991 9 26 0 15 HELENE 59.1 197.1 66 855 +1956 1 14 6 8 GORDON 17.6 251.2 141 300 +2002 8 21 0 21 LESLIE 27.7 298.8 61 102 +1953 5 7 0 7 NADINE 49.0 277.6 80 304 +1953 10 4 12 5 MICHAEL 28.6 78.1 149 283 +2000 10 17 12 27 JOYCE 38.6 274.3 45 120 +1965 4 19 6 25 NADINE 58.9 350.9 151 474 +1988 5 9 12 4 NADINE 12.7 107.4 137 469 +1999 6 18 12 17 SANDY 8.1 334.1 23 273 +1954 9 21 6 26 ERNESTO 59.2 352.5 47 453 +1999 10 23 0 20 OSCAR 9.2 112.0 158 132 +1990 6 18 0 23 JOYCE 8.9 248.3 110 541 +1986 4 4 12 28 CHRIS 50.8 202.8 156 392 +1963 12 20 18 11 ERNESTO 18.7 20.1 54 461 +1950 1 19 0 11 VALERIE 23.4 204.9 71 299 +2001 8 25 0 9 DEBBY 18.9 290.9 29 270 +1967 8 21 18 13 BERYL 28.2 4.8 22 20 +1990 6 23 6 7 ISAAC 7.5 267.0 124 670 +1973 6 15 6 2 CHRIS 50.3 18.4 65 362 +1964 3 3 0 26 ALBERTO 8.1 79.1 85 245 +1987 8 8 18 4 SANDY 56.7 120.2 92 707 +1992 3 6 0 14 FLORENCE 55.6 174.3 15 369 +1960 2 20 12 13 GORDON 18.3 62.6 151 452 +1971 8 10 6 4 DEBBY 61.3 115.6 120 419 +1958 6 19 0 2 SANDY 69.0 33.7 58 28 +1970 2 11 12 17 OSCAR 59.4 120.1 102 295 +1978 1 22 0 26 VALERIE 65.9 331.9 50 174 +1972 7 27 0 15 JOYCE 67.2 204.3 39 130 +1952 2 18 18 6 NADINE 53.6 131.0 14 786 +1959 9 11 6 19 CHRIS 10.6 93.6 60 559 +2000 11 5 0 18 RAFAEL 57.1 194.1 105 825 +1956 6 22 6 6 JOYCE 48.0 134.7 24 428 +1973 11 19 12 25 HELENE 28.7 195.9 130 276 +1984 2 1 6 2 MICHAEL 59.2 7.6 98 334 +1997 3 5 0 25 MICHAEL 11.1 114.8 145 756 +1972 6 12 18 11 SANDY 66.0 147.6 68 556 +2002 7 23 0 7 WILLIAM 12.1 157.2 10 16 +1978 5 6 12 16 NADINE 58.5 248.9 51 80 +1995 10 8 18 10 KIRK 33.7 34.6 56 728 +1979 1 10 12 20 ERNESTO 64.5 176.6 93 57 +1979 10 16 12 23 HELENE 66.1 211.0 52 615 +1974 5 20 0 11 PATTY 55.4 314.6 28 391 +1983 5 17 6 9 FLORENCE 9.1 1.9 142 546 +1953 3 17 0 25 OSCAR 12.0 143.9 140 35 +1954 4 21 0 17 PATTY 47.7 119.3 137 695 +1974 11 16 6 9 OSCAR 32.5 160.9 119 125 +1997 7 16 12 10 HELENE 33.0 239.2 10 685 +1986 5 14 18 17 OSCAR 14.6 248.6 140 540 +1956 8 23 0 11 MICHAEL 28.5 98.6 159 869 +1985 3 15 0 9 SANDY 39.8 224.7 45 440 +1982 10 19 0 15 MICHAEL 61.4 64.6 45 823 +1964 5 3 0 26 OSCAR 61.5 353.5 154 565 +2004 7 22 18 5 ERNESTO 61.9 261.8 117 840 +1996 9 15 18 12 RAFAEL 18.3 156.9 112 519 +1950 9 1 6 1 SANDY 47.6 154.7 11 379 +1987 12 12 12 13 WILLIAM 31.9 338.2 24 207 +1960 2 27 0 19 NADINE 23.3 96.1 95 778 +1999 6 27 12 14 VALERIE 69.2 353.7 82 453 +1982 2 22 6 19 NADINE 43.8 203.6 39 679 +1980 4 10 18 12 HELENE 23.2 162.6 61 661 +1951 12 8 18 1 BERYL 45.0 144.8 64 30 +1974 8 27 18 20 NADINE 21.2 113.7 30 172 +1973 5 4 18 5 ALBERTO 49.4 130.8 10 396 +2001 11 10 12 8 KIRK 56.5 55.9 95 542 +1974 3 15 12 7 PATTY 26.7 315.0 69 186 +1991 6 25 18 2 PATTY 67.5 164.8 122 875 +1977 8 21 12 10 JOYCE 56.3 237.4 93 553 +1951 3 23 6 5 TONY 41.1 100.7 33 157 +2000 10 26 6 14 PATTY 12.3 321.7 119 240 +1956 9 23 6 19 ALBERTO 17.4 175.1 111 117 +1973 7 2 12 2 TONY 25.9 35.2 64 514 +1955 2 24 0 4 PATTY 26.0 217.8 26 273 +1965 9 24 18 21 GORDON 31.4 268.5 151 50 +1988 6 2 12 15 FLORENCE 65.2 191.5 142 766 +1973 10 12 12 6 ISAAC 56.6 299.6 151 833 +1999 7 4 12 1 FLORENCE 66.0 303.3 104 60 +1999 4 17 6 28 GORDON 42.1 244.1 101 803 +1964 9 15 0 16 SANDY 14.2 286.3 33 446 +1990 7 20 18 20 WILLIAM 15.6 166.5 75 105 +1988 12 1 6 22 NADINE 48.8 266.5 71 727 +1953 8 1 6 1 OSCAR 8.2 303.2 82 480 +1970 4 19 18 27 ERNESTO 41.8 75.5 42 618 +1972 1 24 12 15 ALBERTO 60.3 230.5 163 836 +1955 10 2 6 9 DEBBY 63.3 153.5 19 736 +1988 3 23 18 8 RAFAEL 21.1 258.0 46 796 +1963 5 18 12 23 MICHAEL 44.7 158.3 89 393 +1958 9 4 18 25 FLORENCE 19.2 261.6 69 610 +1998 4 20 0 9 BERYL 38.7 349.9 126 769 +1995 11 12 0 22 ERNESTO 12.1 124.3 76 723 +1958 6 6 0 19 VALERIE 53.4 142.3 75 642 +1984 9 5 18 22 SANDY 46.9 70.0 148 227 +1985 12 11 0 13 HELENE 22.1 207.6 67 771 +1996 8 5 6 6 WILLIAM 63.3 51.1 54 230 +1955 11 17 6 18 JOYCE 11.6 315.6 117 709 +1981 7 3 6 11 ALBERTO 37.5 95.1 154 208 +2001 12 3 12 23 CHRIS 48.1 184.7 97 731 +1973 5 11 0 21 DEBBY 66.6 167.5 81 126 +2004 3 9 18 4 NADINE 48.0 126.4 134 825 +2002 9 20 0 10 NADINE 20.1 71.1 15 811 +1952 12 8 18 24 RAFAEL 58.7 167.2 110 362 +1988 7 4 18 17 BERYL 67.6 87.9 13 241 +1971 6 2 0 4 TONY 27.7 193.1 154 485 +1994 8 21 12 1 JOYCE 15.0 85.3 137 849 +1969 12 16 12 23 NADINE 51.3 106.1 146 246 +1979 9 14 6 27 KIRK 26.5 58.9 100 374 +1989 5 11 12 5 ISAAC 64.6 271.7 121 496 +1965 2 5 12 7 FLORENCE 63.0 82.7 30 499 +1980 5 5 12 20 GORDON 14.3 24.4 85 304 +1974 11 28 12 22 RAFAEL 57.1 131.1 25 251 +1951 3 22 18 20 ERNESTO 56.5 310.3 78 575 +1986 10 16 12 5 LESLIE 29.7 207.8 34 154 +1988 1 19 18 3 KIRK 7.1 107.7 90 651 +1959 11 15 18 13 KIRK 39.9 160.0 48 869 +1979 1 11 6 26 DEBBY 31.1 211.7 57 711 +1950 11 25 12 11 CHRIS 14.1 288.2 107 843 +2000 4 27 6 14 CHRIS 49.7 193.5 140 97 +1950 12 1 12 6 OSCAR 12.7 31.5 151 755 +1987 9 18 6 9 WILLIAM 45.2 309.5 37 27 +2003 5 6 6 18 ALBERTO 35.5 267.8 73 468 +2001 12 17 0 15 GORDON 20.6 337.6 77 283 +1986 4 4 0 14 KIRK 12.3 115.8 164 842 +1954 8 2 0 3 LESLIE 52.0 130.8 97 432 +1983 1 22 18 6 ERNESTO 67.1 215.1 19 104 +1974 3 21 12 15 ERNESTO 36.8 68.1 67 807 +1994 4 25 0 9 SANDY 16.6 347.3 69 874 +1971 2 23 6 23 OSCAR 37.1 232.3 103 570 +1991 12 18 18 23 ERNESTO 25.0 112.5 36 748 +1997 9 14 0 3 DEBBY 41.4 202.8 17 664 +1953 7 1 12 1 ALBERTO 24.4 270.4 66 752 +1994 4 9 18 6 ERNESTO 19.2 265.7 69 261 +1977 5 6 18 2 BERYL 58.0 13.6 51 637 +1980 8 4 6 26 ALBERTO 48.2 51.0 115 698 +1968 4 11 6 8 JOYCE 62.4 167.4 82 856 +1951 12 1 18 28 JOYCE 39.1 265.4 117 862 +1957 10 12 6 8 MICHAEL 57.7 307.1 81 809 +1960 5 14 6 17 NADINE 32.5 120.2 10 755 +1962 4 26 0 24 OSCAR 35.8 262.3 83 165 +1977 10 3 12 8 TONY 68.0 131.3 30 521 +1992 12 1 6 25 BERYL 7.1 236.3 117 385 +1997 12 6 0 18 ALBERTO 19.8 231.3 162 58 +1963 12 16 6 24 LESLIE 41.4 239.2 14 852 +2001 4 21 0 5 HELENE 39.7 256.6 83 589 +2004 5 4 0 21 FLORENCE 29.8 92.7 129 782 +1951 10 16 12 1 JOYCE 10.2 230.1 60 77 +1985 10 8 12 20 ALBERTO 27.6 70.1 22 386 +1951 1 3 6 15 ISAAC 10.8 258.0 121 454 +1961 1 10 0 27 TONY 38.4 179.5 134 885 +1991 8 2 6 20 JOYCE 26.6 200.2 22 884 +1950 12 6 18 2 VALERIE 54.8 192.3 59 470 +1971 12 16 0 5 SANDY 56.2 26.0 25 337 +1963 4 8 0 22 KIRK 29.8 202.8 141 279 +1956 5 26 6 15 KIRK 19.3 160.7 102 879 +1956 12 11 0 23 OSCAR 57.5 92.6 33 243 +1983 1 3 12 15 PATTY 51.3 183.3 136 451 +1997 12 18 0 15 NADINE 58.8 22.9 24 729 +1992 4 22 18 21 KIRK 17.8 311.8 94 879 +1984 10 19 18 9 FLORENCE 51.6 34.9 28 888 +1983 7 18 6 1 HELENE 68.5 149.1 156 609 +1980 5 3 12 22 FLORENCE 11.6 145.2 19 472 +1979 3 7 0 19 HELENE 53.0 46.7 28 688 +1985 6 15 6 10 GORDON 42.6 213.7 141 536 +1980 2 17 12 10 CHRIS 29.1 98.8 134 549 +2002 6 11 12 20 WILLIAM 32.8 165.7 62 496 +1958 7 26 18 28 OSCAR 36.8 298.4 163 407 +1966 4 15 12 13 OSCAR 44.2 149.4 29 846 +1967 5 26 12 6 ALBERTO 49.8 326.2 75 863 +1967 9 27 18 25 SANDY 20.9 24.4 62 319 +1988 11 27 12 5 JOYCE 69.6 184.0 144 389 +1964 9 20 0 21 ERNESTO 47.3 131.1 144 131 +1953 11 24 18 20 JOYCE 56.5 346.8 64 76 +1984 6 12 18 25 RAFAEL 36.0 140.5 96 662 +1956 12 5 18 26 KIRK 53.7 19.3 146 758 +1996 7 21 0 27 BERYL 60.1 312.3 141 830 +1980 3 27 18 19 VALERIE 16.2 175.8 29 882 +1983 12 1 6 26 ERNESTO 32.0 63.6 86 341 +2002 10 8 6 2 OSCAR 31.1 93.1 162 734 +1970 11 1 6 1 LESLIE 64.8 252.1 109 390 +1986 11 4 18 2 GORDON 10.5 306.8 123 199 +2004 6 18 0 24 DEBBY 62.5 137.8 34 21 +1990 4 20 0 7 DEBBY 69.2 158.3 138 381 +1983 11 6 6 15 GORDON 56.4 108.0 81 40 +1980 7 23 6 1 OSCAR 57.2 27.0 35 879 +1995 11 23 18 16 HELENE 9.8 193.3 99 535 +1991 12 11 12 26 HELENE 40.8 298.1 78 524 +1974 11 25 0 24 KIRK 16.2 178.6 109 882 +1956 8 21 12 14 KIRK 9.1 30.1 43 352 +1982 4 15 12 17 MICHAEL 48.7 345.3 91 279 +1953 12 3 12 17 NADINE 9.7 257.8 159 644 +1975 3 16 12 23 ALBERTO 10.3 311.9 64 140 +1964 7 20 6 11 JOYCE 54.5 250.1 48 162 +1960 6 5 12 22 VALERIE 37.9 336.2 52 284 +1965 10 6 6 10 TONY 20.5 275.6 162 61 +1956 8 20 6 2 TONY 13.4 225.1 161 350 +1988 9 28 12 3 RAFAEL 32.3 277.1 144 804 +1950 6 16 0 3 NADINE 66.4 0.0 103 591 +1985 12 4 12 24 ERNESTO 17.6 350.1 71 404 +1950 8 28 12 12 OSCAR 69.9 351.4 90 371 +1968 4 10 12 13 ERNESTO 27.0 103.3 145 526 +1980 10 26 6 11 MICHAEL 20.7 345.9 156 321 +1962 11 26 12 1 HELENE 30.1 127.3 26 102 +1988 2 25 6 4 RAFAEL 17.4 192.4 45 612 +1968 5 18 0 13 ERNESTO 7.8 19.1 126 575 +1965 9 25 12 28 JOYCE 26.5 189.5 91 260 +1956 7 3 18 28 SANDY 46.6 211.7 72 450 +1987 7 8 18 18 DEBBY 20.1 172.7 134 585 +1969 2 8 6 2 CHRIS 48.7 88.2 118 395 +1989 9 22 18 25 VALERIE 47.8 313.7 97 758 +1974 4 17 0 24 SANDY 65.2 11.2 90 675 +1961 10 26 18 10 NADINE 18.7 159.8 44 588 +1956 11 2 18 15 MICHAEL 45.1 225.4 134 420 +1970 4 15 18 17 KIRK 32.0 147.3 101 212 +2002 10 7 12 22 NADINE 15.3 210.9 80 181 +1960 6 2 12 23 TONY 39.5 173.7 27 509 +1969 11 9 6 3 DEBBY 37.7 353.0 11 274 +2000 11 19 12 23 PATTY 21.7 71.0 59 493 +1956 8 6 6 4 PATTY 39.3 128.0 13 254 +1974 6 5 12 26 KIRK 28.8 118.3 115 300 +1954 4 24 12 13 ERNESTO 19.4 37.0 150 367 +1957 12 18 12 19 JOYCE 27.6 301.1 61 534 +1969 8 20 18 22 FLORENCE 27.4 203.0 76 826 +1989 12 14 18 17 RAFAEL 64.2 180.0 41 647 +1967 7 12 6 8 BERYL 44.7 186.7 71 610 +1963 5 20 0 12 OSCAR 36.6 129.7 85 379 +1957 10 11 18 6 TONY 40.3 224.1 22 454 +1950 11 3 18 19 WILLIAM 49.6 98.5 160 518 +1974 12 26 18 4 HELENE 37.9 8.4 73 135 +1985 3 17 18 16 OSCAR 39.6 197.8 123 131 +1958 3 16 6 23 CHRIS 7.1 317.0 144 231 +1965 1 10 18 2 VALERIE 52.9 10.8 20 615 +1967 8 6 6 24 DEBBY 48.7 216.2 127 607 +1997 2 14 0 15 GORDON 25.8 255.4 159 360 +1950 5 7 12 19 KIRK 35.6 94.9 84 681 +1967 5 4 12 1 RAFAEL 40.9 329.3 160 77 +1952 2 9 6 15 WILLIAM 42.2 228.7 45 232 +1995 10 11 6 10 VALERIE 9.8 249.9 29 643 +1967 4 1 12 3 FLORENCE 67.4 245.5 157 710 +2000 3 16 18 22 WILLIAM 43.2 258.6 64 649 +2001 12 9 18 21 DEBBY 64.0 344.4 116 558 +1971 1 7 0 20 GORDON 58.2 225.3 136 402 +2004 7 23 0 25 ISAAC 27.0 83.6 137 246 +1976 2 6 18 9 NADINE 17.9 113.6 131 191 +1991 1 16 6 11 GORDON 54.5 331.8 58 785 +2003 4 26 6 5 RAFAEL 45.5 50.6 163 130 +1996 4 25 18 28 ALBERTO 59.9 108.7 147 356 +1956 8 19 12 25 SANDY 38.3 169.6 73 727 +2002 10 11 0 3 CHRIS 48.1 267.0 131 189 +1996 5 1 18 3 HELENE 56.9 248.3 47 523 +1991 11 4 12 16 HELENE 66.8 355.5 145 97 +1976 1 9 6 11 GORDON 27.7 256.5 163 305 +1990 2 21 6 8 ERNESTO 40.8 184.5 136 504 +1963 10 6 0 22 CHRIS 14.9 351.8 110 525 +1989 9 9 12 12 SANDY 13.7 146.6 151 163 +1966 12 10 12 27 KIRK 35.7 265.4 25 768 +1971 2 5 12 19 FLORENCE 60.9 242.6 69 29 +1970 4 20 12 27 ERNESTO 7.5 309.5 20 592 +1976 2 16 0 14 NADINE 12.2 24.8 110 562 +1992 3 1 18 11 KIRK 11.8 337.6 89 506 +1952 2 23 0 5 SANDY 49.8 47.4 72 332 +1967 10 18 18 18 TONY 40.3 339.7 112 471 +1998 8 5 12 25 KIRK 13.5 292.4 55 408 +1958 1 3 18 13 VALERIE 33.2 143.5 73 646 +1984 12 2 6 18 JOYCE 41.7 197.5 28 387 +1955 7 7 0 9 WILLIAM 43.3 152.2 69 346 +1978 12 26 18 4 MICHAEL 22.7 353.0 138 840 +1962 3 9 12 24 OSCAR 50.9 228.0 162 716 +1958 8 26 0 7 OSCAR 15.2 215.7 27 231 +1992 5 7 6 12 PATTY 62.4 293.9 89 196 +1973 4 16 18 20 TONY 64.6 248.3 69 552 +1991 10 9 0 1 FLORENCE 12.8 115.0 37 399 +1989 6 26 18 7 DEBBY 40.8 121.7 91 53 +1992 4 20 18 5 FLORENCE 50.8 178.9 52 295 +1989 2 11 12 8 MICHAEL 58.9 253.7 18 233 +1974 12 15 12 3 ISAAC 27.2 41.8 56 565 +1990 11 3 12 3 ISAAC 41.4 333.3 36 748 +1957 8 1 0 11 WILLIAM 28.7 276.0 75 461 +2003 10 1 18 4 ALBERTO 28.3 268.9 23 160 +1994 12 13 0 28 HELENE 12.4 254.3 153 600 +1967 7 16 18 3 ISAAC 14.0 254.9 37 373 +1987 4 2 12 27 OSCAR 61.3 68.9 52 393 +1969 2 11 0 26 BERYL 66.7 279.6 93 583 +2001 3 28 18 28 JOYCE 13.0 176.7 26 786 +1983 5 24 18 16 OSCAR 8.9 325.5 95 451 +1995 4 26 18 4 FLORENCE 52.7 233.0 79 195 +1989 6 28 0 12 VALERIE 63.4 325.6 88 805 +1980 2 27 0 9 KIRK 37.4 107.7 146 175 +1971 9 5 18 27 BERYL 17.1 252.4 41 393 +1995 1 7 0 15 RAFAEL 59.8 279.8 163 215 +2003 5 26 18 17 TONY 39.5 303.8 157 817 +1993 9 8 6 14 ERNESTO 51.1 146.8 40 588 +1983 10 22 6 17 DEBBY 68.6 90.7 47 686 +1989 11 26 18 26 BERYL 23.4 190.9 51 860 +1953 12 9 18 21 SANDY 44.5 73.8 116 557 +1953 5 11 12 11 DEBBY 45.7 264.8 124 805 +1957 6 22 18 18 WILLIAM 57.4 324.9 69 70 +1978 3 25 0 17 FLORENCE 36.6 203.8 142 359 +1980 8 6 12 2 HELENE 15.1 237.9 44 485 +1964 1 17 6 20 ISAAC 52.2 37.3 37 745 +1950 12 10 6 4 JOYCE 53.5 235.9 25 192 +1974 7 3 18 8 NADINE 21.9 197.3 79 510 +1999 4 5 18 12 DEBBY 7.2 332.1 157 209 +1959 11 14 0 28 DEBBY 15.1 252.5 48 137 +1958 7 20 12 11 BERYL 7.5 349.6 162 42 +1981 5 3 6 23 CHRIS 23.4 108.2 82 557 +1967 4 9 12 11 CHRIS 59.1 230.8 79 682 +1952 8 8 6 21 ALBERTO 62.9 297.9 151 573 +1963 1 9 0 5 GORDON 67.5 315.1 122 137 +1959 2 11 6 8 BERYL 36.4 295.6 46 273 +1960 7 26 6 1 WILLIAM 37.4 333.9 109 407 +1976 4 16 6 22 GORDON 55.1 352.9 47 637 +1971 7 4 0 18 KIRK 22.0 81.8 161 503 +1980 3 6 6 14 GORDON 67.6 337.5 17 636 +1981 1 7 12 18 DEBBY 14.7 12.7 87 125 +1969 11 28 18 6 ISAAC 54.1 79.2 33 254 +1980 5 10 18 12 GORDON 25.0 132.9 93 56 +1982 5 17 18 16 TONY 66.8 37.8 163 772 +1965 10 8 0 27 TONY 56.6 79.8 79 34 +2004 9 14 0 7 MICHAEL 13.6 346.5 100 57 +1963 3 13 6 19 TONY 31.9 92.6 56 266 +1967 4 13 12 19 CHRIS 33.0 240.8 102 120 +2001 6 3 6 19 ALBERTO 56.4 320.6 103 724 +1994 11 22 18 19 ERNESTO 21.3 117.1 154 767 +2004 8 5 6 9 OSCAR 51.9 196.3 29 525 +1952 5 14 12 28 JOYCE 11.3 341.4 139 0 +1951 11 14 12 5 DEBBY 33.4 254.3 91 557 +1950 8 9 6 9 GORDON 36.0 53.3 49 73 +1988 2 24 0 18 RAFAEL 51.4 205.0 27 555 +1998 12 8 18 10 KIRK 59.1 280.2 56 828 +1975 1 5 0 5 OSCAR 44.4 141.3 36 158 +1954 9 5 12 19 BERYL 7.2 236.9 89 737 +1987 10 6 6 19 TONY 63.5 129.7 156 563 +1998 2 3 12 14 DEBBY 67.8 23.1 65 259 +1981 10 1 12 27 ALBERTO 57.7 306.6 63 232 +1979 10 17 18 19 OSCAR 23.4 154.9 136 644 +1977 10 6 18 19 JOYCE 30.5 321.0 15 53 +1965 3 13 12 5 HELENE 66.0 145.1 30 679 +1973 11 4 12 28 MICHAEL 42.9 244.3 23 344 +2004 8 25 0 4 BERYL 32.1 33.2 91 625 +1985 5 2 12 12 CHRIS 43.2 72.8 71 815 +1978 1 5 18 21 NADINE 53.4 336.0 29 563 +1984 1 19 18 14 DEBBY 22.1 156.0 82 160 +1975 4 9 18 5 DEBBY 27.0 134.8 106 591 +2004 2 6 12 13 NADINE 15.2 177.5 149 93 +1977 2 25 6 16 NADINE 32.6 243.9 47 125 +1983 4 8 12 6 SANDY 23.0 304.0 144 6 +1957 12 22 6 8 GORDON 35.9 94.5 162 159 +1961 4 26 6 22 CHRIS 31.1 301.8 55 110 +1981 2 22 6 5 RAFAEL 14.8 217.5 53 474 +1989 3 23 18 13 HELENE 38.3 293.1 133 181 +1970 9 15 12 3 FLORENCE 8.6 268.4 149 640 +1969 10 7 0 11 ALBERTO 48.0 123.3 12 732 +1955 7 25 0 10 OSCAR 39.3 246.5 28 80 +1958 9 6 12 14 ERNESTO 32.9 68.3 106 178 +1983 6 28 6 15 CHRIS 45.2 55.6 98 182 +2001 2 9 12 14 ALBERTO 13.3 214.5 21 750 +2000 1 17 12 14 TONY 46.0 340.1 148 786 +1982 3 22 6 13 SANDY 42.1 225.3 129 682 +1997 1 3 6 16 ALBERTO 54.9 352.1 14 137 +1986 1 17 18 22 PATTY 23.1 335.7 47 279 +1976 11 4 0 4 ISAAC 30.3 215.9 134 24 +1950 10 10 6 14 VALERIE 20.7 309.2 65 86 +1995 1 12 18 7 FLORENCE 51.7 96.7 81 287 +1950 4 24 18 24 FLORENCE 11.6 287.0 104 234 +1958 8 19 6 15 KIRK 38.7 337.1 24 127 +1977 12 13 0 17 TONY 29.7 181.9 113 859 +1977 9 16 0 13 RAFAEL 42.4 199.2 153 750 +1985 3 13 0 21 BERYL 13.9 101.9 121 258 +1988 8 1 18 18 VALERIE 52.8 180.9 74 131 +1955 11 5 6 8 KIRK 37.8 254.1 72 365 +2000 2 6 18 6 HELENE 34.8 307.2 127 67 +1964 4 8 12 25 LESLIE 31.8 291.7 163 809 +1998 7 16 0 6 TONY 57.3 234.7 143 371 +1957 12 9 12 21 DEBBY 39.3 114.5 91 240 +1963 4 7 0 9 TONY 62.1 345.3 74 769 +1992 9 9 0 20 JOYCE 49.4 7.6 32 521 +1957 3 2 6 19 NADINE 23.4 334.5 76 514 +1978 8 15 6 25 ERNESTO 10.3 2.6 137 245 +1963 2 25 6 14 ERNESTO 7.5 210.5 10 179 +1951 5 13 12 17 ISAAC 62.5 294.7 78 2 +1963 10 16 12 28 ALBERTO 29.7 142.5 133 219 +1966 3 18 12 3 VALERIE 57.2 146.8 107 797 +1997 5 28 12 28 CHRIS 51.9 191.7 101 646 +1978 1 2 12 24 BERYL 27.0 165.6 100 266 +1995 9 5 18 18 GORDON 19.0 210.9 122 399 +1968 12 15 0 28 GORDON 31.3 111.5 39 691 +1958 4 13 18 7 OSCAR 60.0 219.1 148 892 +1997 4 23 12 23 SANDY 45.2 5.3 114 452 +1990 10 20 18 17 RAFAEL 27.6 225.1 119 743 +1995 2 20 0 20 VALERIE 20.3 114.4 127 829 +1957 1 13 6 21 SANDY 32.9 297.6 107 586 +1974 1 15 18 27 TONY 29.9 74.7 155 587 +1982 1 20 18 27 BERYL 66.7 320.2 87 666 +1997 9 10 12 6 FLORENCE 59.9 348.4 121 151 +1969 9 23 6 6 RAFAEL 42.8 12.3 19 812 +1975 10 12 18 22 NADINE 21.8 237.1 27 268 +1985 9 12 18 3 NADINE 52.2 297.5 82 362 +1987 1 17 6 5 ALBERTO 38.5 312.8 59 324 +1990 3 11 12 14 PATTY 40.1 126.6 38 348 +1963 3 17 18 28 ERNESTO 16.3 121.6 133 465 +1965 2 7 12 10 ERNESTO 12.0 148.7 75 83 +1975 9 17 0 18 ERNESTO 37.4 112.3 63 150 +1982 1 1 12 15 ISAAC 7.2 23.3 141 626 +1973 2 12 18 2 HELENE 15.3 8.3 20 407 +1975 5 16 6 5 OSCAR 44.8 219.6 51 43 +1966 7 14 0 17 MICHAEL 36.0 276.4 26 751 +1970 11 24 6 2 NADINE 9.4 34.3 121 163 +1986 8 9 18 12 GORDON 11.0 134.5 128 370 +1972 3 8 12 25 VALERIE 43.6 4.6 103 539 +1972 3 23 6 3 ISAAC 28.9 188.5 30 465 +1968 10 9 18 1 HELENE 51.4 101.7 161 85 +1998 5 13 18 25 LESLIE 41.3 127.7 69 697 +1975 10 10 12 23 VALERIE 32.6 234.1 27 529 +1963 10 18 18 19 WILLIAM 39.3 72.8 108 808 +2001 1 1 12 26 NADINE 58.8 112.2 25 157 +1958 11 13 0 6 ERNESTO 50.7 134.8 99 51 +1960 1 27 18 6 ALBERTO 40.4 283.2 58 306 +1960 10 24 18 14 ISAAC 20.5 303.9 19 501 +2002 8 22 0 23 DEBBY 10.3 152.2 24 313 +2002 9 22 0 12 PATTY 50.3 173.5 94 829 +1975 4 7 18 4 PATTY 9.8 260.1 146 410 +1957 4 5 12 14 GORDON 42.5 88.2 161 220 +2004 1 9 12 19 MICHAEL 9.9 234.2 133 13 +1992 5 26 12 2 VALERIE 54.1 69.0 12 154 +1956 9 23 12 26 PATTY 8.7 137.7 131 29 +1987 7 12 18 5 KIRK 37.6 182.7 31 89 +1982 7 17 18 24 HELENE 57.8 24.4 97 475 +1998 1 28 12 15 ALBERTO 28.5 73.5 126 643 +1970 4 11 12 9 HELENE 55.3 89.8 91 4 +1980 8 9 0 27 GORDON 13.1 181.5 91 830 +1958 1 14 18 16 KIRK 37.6 187.4 109 543 +1992 1 7 0 5 FLORENCE 31.8 17.2 157 382 +1976 6 8 18 28 BERYL 25.7 61.6 143 402 +1988 5 17 12 14 GORDON 63.1 260.2 115 536 +1969 10 20 0 11 ALBERTO 56.4 91.3 82 22 +1959 4 22 0 19 JOYCE 11.0 320.3 70 709 +1995 10 26 18 6 GORDON 39.5 226.1 36 154 +1961 4 10 18 6 ALBERTO 45.9 194.4 45 585 +1968 6 27 12 13 FLORENCE 58.4 269.7 61 776 +1987 3 6 18 25 KIRK 37.7 72.2 147 411 +1971 6 14 0 13 WILLIAM 53.7 245.3 24 446 +1966 1 18 6 2 CHRIS 13.2 106.8 12 434 +1973 11 8 0 2 GORDON 47.5 287.1 143 551 +1975 6 19 12 26 KIRK 57.7 147.3 144 873 +1987 10 23 0 25 VALERIE 49.5 169.8 133 334 +1957 10 23 18 11 OSCAR 25.1 235.5 29 333 +1972 7 13 18 5 MICHAEL 59.8 346.8 135 798 +1963 9 5 12 19 FLORENCE 8.8 36.4 45 852 +1994 1 8 0 18 MICHAEL 57.3 289.9 68 331 +1984 6 18 6 1 ISAAC 31.9 129.6 55 545 +1958 8 16 18 7 OSCAR 17.8 111.2 130 463 +1968 8 17 18 6 ALBERTO 16.5 91.8 146 59 +1997 5 1 12 16 BERYL 57.6 188.7 123 64 +1957 9 4 6 28 JOYCE 62.0 3.9 71 266 +1983 11 26 6 14 RAFAEL 40.4 32.8 114 885 +1962 2 11 12 1 VALERIE 47.3 100.7 75 57 +1972 7 26 0 4 VALERIE 24.6 221.3 124 484 +1994 5 25 18 25 MICHAEL 8.7 298.3 33 352 +1967 1 6 18 3 LESLIE 29.6 123.5 163 33 +1966 8 24 12 27 DEBBY 14.5 4.6 163 327 +1950 5 8 6 16 WILLIAM 53.7 111.4 106 175 +1985 9 21 18 7 SANDY 30.3 138.9 29 311 +2001 6 24 0 1 OSCAR 8.9 5.3 49 446 +1994 10 12 6 21 CHRIS 60.6 94.2 90 592 +1968 11 18 18 7 ISAAC 12.8 194.1 83 782 +1987 5 17 12 15 ALBERTO 33.4 257.4 136 870 +1978 4 13 6 5 NADINE 28.7 95.7 38 811 +1977 5 4 6 14 LESLIE 10.5 235.6 89 794 +1984 9 9 12 9 ISAAC 49.8 294.7 156 787 +1981 2 3 0 13 DEBBY 9.3 98.6 135 309 +1984 6 6 6 6 SANDY 59.8 172.3 78 424 +1996 9 16 0 17 SANDY 26.6 248.0 94 463 +1964 11 20 6 9 ERNESTO 28.9 323.4 17 58 +1994 3 1 6 2 DEBBY 37.4 18.2 103 373 +1993 8 21 12 13 VALERIE 29.0 266.7 17 807 +1995 7 6 12 7 NADINE 24.9 270.5 28 675 +1983 6 7 12 14 HELENE 48.7 1.0 91 125 +1969 6 24 12 11 BERYL 60.3 342.3 50 834 +1965 2 18 0 11 PATTY 30.5 221.2 85 399 +2000 10 12 18 25 RAFAEL 56.8 289.7 154 277 +1965 8 17 12 26 FLORENCE 46.3 93.6 92 69 +1988 3 18 18 8 TONY 27.6 154.9 19 425 +1969 9 6 0 8 OSCAR 37.8 146.9 16 107 +1995 4 15 12 14 WILLIAM 20.5 265.3 149 474 +1964 6 8 6 4 ISAAC 56.2 98.0 115 24 +1997 10 22 0 24 BERYL 18.5 209.7 75 376 +1957 10 8 6 11 RAFAEL 67.6 257.4 14 110 +1959 4 10 18 20 BERYL 52.8 180.2 104 117 +1992 2 4 0 20 ALBERTO 15.2 179.6 125 824 +2002 7 12 6 2 OSCAR 30.3 320.5 139 731 +1977 8 21 18 13 CHRIS 14.3 26.2 112 839 +2000 10 1 12 22 BERYL 39.6 260.6 157 751 +1978 12 25 12 8 SANDY 48.0 127.7 53 91 +1964 2 9 0 14 LESLIE 37.3 213.5 135 682 +1958 10 22 6 12 FLORENCE 37.2 324.4 42 104 +2004 5 14 0 6 LESLIE 50.1 88.1 159 691 +1977 1 10 6 13 HELENE 34.9 122.2 159 817 +1973 9 15 18 22 HELENE 38.9 173.0 19 890 +1956 2 25 0 9 FLORENCE 19.3 170.4 122 286 +1976 7 10 18 4 MICHAEL 67.9 87.2 156 438 +1967 2 21 0 27 OSCAR 14.6 74.3 89 899 +1976 1 24 12 14 ALBERTO 18.7 291.2 112 887 +1986 8 6 0 6 ERNESTO 31.7 7.8 162 299 +1963 1 12 12 8 HELENE 64.3 218.7 86 217 +1973 12 1 12 13 BERYL 63.8 108.1 127 611 +1976 2 9 18 25 NADINE 25.3 184.7 13 678 +1999 5 19 0 22 CHRIS 50.4 286.0 87 880 +1970 7 16 18 18 JOYCE 26.1 69.4 12 631 +1999 5 20 0 14 JOYCE 48.3 112.7 34 7 +1956 9 11 0 27 SANDY 12.1 70.5 51 815 +1964 7 11 0 19 NADINE 12.6 214.9 156 165 +1987 2 24 18 22 OSCAR 36.2 239.6 89 527 +1951 11 12 18 18 NADINE 64.8 181.1 75 135 +1964 3 16 12 7 KIRK 57.8 26.8 77 29 +1995 6 16 6 11 TONY 27.0 317.9 20 368 +1951 3 3 0 16 OSCAR 16.1 3.3 84 725 +1999 9 10 6 4 NADINE 47.3 255.8 39 16 +1950 5 28 18 5 TONY 27.3 95.0 93 892 +1950 1 26 18 20 SANDY 50.7 271.2 14 688 +1956 2 28 18 28 ERNESTO 21.7 54.6 105 588 +1966 4 21 6 2 PATTY 13.1 352.0 137 863 +1953 5 28 12 3 KIRK 55.1 286.8 147 134 +1983 10 26 6 5 MICHAEL 25.6 1.7 155 477 +1992 1 19 12 20 VALERIE 16.2 340.6 35 144 +2003 9 9 0 3 HELENE 44.7 357.0 105 664 +1953 8 14 0 6 TONY 28.4 145.7 162 522 +1965 3 3 18 3 FLORENCE 60.6 119.8 156 685 +1993 4 6 12 4 HELENE 54.3 3.2 154 66 +1976 2 22 12 5 WILLIAM 39.4 152.7 64 402 +1957 5 28 12 9 LESLIE 46.0 147.7 130 251 +1992 1 12 18 20 TONY 47.6 46.3 154 376 +1965 7 2 0 14 WILLIAM 38.4 200.3 144 262 +1962 2 10 6 26 HELENE 29.4 13.7 155 414 +2002 2 2 12 8 BERYL 12.2 294.2 123 201 +1985 12 21 18 14 BERYL 48.8 113.7 108 844 +1980 6 13 6 14 ERNESTO 14.6 45.3 160 140 +1951 5 1 12 13 CHRIS 10.4 224.8 59 550 +1981 10 6 6 21 TONY 26.8 0.1 148 829 +1994 4 21 18 20 KIRK 53.6 233.3 144 271 +2004 1 1 6 9 VALERIE 67.5 241.2 93 30 +2001 5 21 18 15 VALERIE 54.2 87.5 25 578 +1969 8 17 12 28 GORDON 50.9 217.8 27 445 +1987 2 16 18 27 ISAAC 42.2 268.6 31 865 +1992 10 10 0 23 DEBBY 22.1 334.5 41 595 +1980 7 24 6 20 TONY 23.9 197.4 154 735 +1986 2 14 12 11 LESLIE 21.7 109.5 120 550 +2000 8 13 6 20 ALBERTO 28.3 188.8 138 846 +1994 8 24 6 6 SANDY 66.2 120.9 96 354 +1979 2 15 18 7 DEBBY 62.1 300.3 72 657 +1978 6 2 0 22 OSCAR 11.9 265.5 105 226 +1951 8 8 0 10 HELENE 30.8 141.9 44 481 +1989 11 13 18 1 NADINE 7.4 255.1 63 568 +1984 6 6 6 19 NADINE 54.3 77.3 37 628 +1974 3 27 18 17 FLORENCE 60.3 47.1 159 473 +1990 5 16 6 2 NADINE 34.1 3.7 42 33 +1972 10 1 0 1 ALBERTO 20.6 164.6 25 282 +1987 12 20 18 20 WILLIAM 45.1 104.5 99 896 +1967 12 22 6 2 MICHAEL 26.0 202.7 106 52 +1961 9 21 12 20 HELENE 38.0 112.6 139 170 +1990 11 8 0 19 WILLIAM 19.7 12.0 10 307 +1973 2 19 6 14 ISAAC 7.4 59.0 21 17 +2002 12 4 0 3 ALBERTO 8.3 222.7 58 488 +1963 2 2 6 8 LESLIE 40.9 315.3 127 262 +1978 11 8 6 5 BERYL 68.2 334.2 128 343 +1950 12 28 0 22 ERNESTO 41.2 346.9 156 57 +1964 2 13 18 4 ERNESTO 19.9 229.2 157 39 +1954 8 17 18 6 OSCAR 40.4 157.5 61 486 +1986 5 12 0 27 LESLIE 43.2 182.4 94 793 +1950 6 9 6 11 SANDY 9.6 266.3 126 326 +1973 11 14 0 4 GORDON 42.1 339.5 12 559 +1956 11 20 0 13 HELENE 69.6 65.0 102 734 +1958 1 28 0 6 ERNESTO 8.7 207.8 157 765 +1997 5 19 6 27 NADINE 55.1 282.7 52 150 +1954 12 26 12 18 WILLIAM 46.0 135.3 50 319 +1975 4 28 6 19 OSCAR 22.3 14.4 54 402 +1990 6 8 18 1 WILLIAM 38.1 203.1 142 348 +1951 6 14 6 10 TONY 9.1 329.6 133 108 +1959 12 12 0 1 JOYCE 16.8 346.3 47 753 +1997 2 24 6 16 DEBBY 30.6 245.1 122 530 +1950 9 27 18 20 GORDON 40.8 239.4 62 243 +2002 12 25 12 14 RAFAEL 54.9 4.7 46 588 +1952 2 8 18 21 WILLIAM 32.7 127.4 64 794 +2004 9 27 18 23 ERNESTO 62.5 299.2 137 430 +1991 5 6 18 12 ALBERTO 28.0 10.4 158 191 +1990 7 2 0 27 NADINE 60.9 318.3 147 420 +1950 2 20 18 13 NADINE 29.0 199.2 44 684 +2003 5 11 12 7 FLORENCE 57.5 35.6 30 248 +1992 11 19 12 7 WILLIAM 64.2 7.8 157 173 +1956 7 21 0 17 OSCAR 45.2 210.2 73 64 +1955 8 21 18 26 JOYCE 58.6 265.6 74 684 +1974 6 2 18 11 PATTY 29.2 29.7 72 676 +1977 2 13 0 25 NADINE 41.8 125.6 140 138 +1994 12 16 12 10 WILLIAM 18.8 21.4 88 241 +1972 12 3 0 9 TONY 18.8 355.8 71 369 +1997 9 27 18 22 ISAAC 61.4 46.1 68 631 +1963 3 3 6 14 OSCAR 8.0 240.5 144 113 +1997 2 9 6 26 ERNESTO 42.1 100.4 134 53 +1964 4 28 12 16 KIRK 7.4 5.0 31 502 +1964 6 9 12 7 VALERIE 68.5 341.9 15 396 +1996 7 5 12 12 KIRK 32.7 104.6 40 133 +1978 9 4 0 17 HELENE 49.6 84.3 112 284 +1994 8 20 18 27 FLORENCE 61.9 101.7 90 125 +1967 12 21 0 7 WILLIAM 22.9 288.6 119 835 +1956 6 2 6 5 RAFAEL 34.4 78.4 85 306 +1991 9 23 6 13 ALBERTO 15.6 92.9 24 31 +1965 10 13 18 7 BERYL 18.6 295.6 155 75 +1981 8 21 0 24 ISAAC 13.9 330.6 117 508 +1978 7 11 18 1 BERYL 69.4 348.9 115 704 +1976 4 10 0 8 ERNESTO 32.7 253.3 69 113 +2002 3 5 0 12 MICHAEL 47.9 208.9 48 822 +1970 8 5 12 18 MICHAEL 9.5 72.3 136 463 +1972 12 4 0 28 ALBERTO 40.1 114.0 162 349 +1967 8 20 18 26 OSCAR 29.7 213.3 19 140 +1961 12 28 18 24 ALBERTO 21.8 169.2 149 316 +1980 11 16 12 16 BERYL 69.7 299.7 73 514 +1986 2 13 6 23 PATTY 55.6 147.5 21 234 +1969 7 20 18 17 HELENE 48.1 287.9 71 99 +1963 10 4 6 8 OSCAR 24.2 242.3 145 162 +1964 5 20 18 1 MICHAEL 31.0 44.2 127 70 +1997 11 27 6 21 VALERIE 47.5 238.7 18 434 +1972 3 8 12 3 OSCAR 63.6 119.0 113 54 +1958 11 14 12 8 NADINE 69.6 72.6 160 186 +1989 10 28 12 26 SANDY 50.0 196.4 124 847 +1987 4 6 18 4 FLORENCE 24.5 89.1 69 132 +1978 10 6 6 13 WILLIAM 26.3 18.9 70 261 +1993 2 5 12 22 WILLIAM 48.8 129.5 60 181 +2004 9 5 18 4 WILLIAM 53.7 239.7 48 799 +2003 5 12 18 21 HELENE 68.7 6.2 37 839 +1972 9 2 0 8 SANDY 65.3 97.9 102 323 +1971 4 19 18 8 HELENE 63.2 191.3 31 311 +1951 6 25 0 7 JOYCE 49.3 56.3 21 439 +1966 8 25 0 22 RAFAEL 67.2 350.5 118 294 +1966 8 9 12 26 CHRIS 28.5 253.3 149 881 +2000 9 7 12 2 DEBBY 44.9 49.2 18 92 +1979 1 18 0 14 ALBERTO 30.7 67.6 36 634 +1999 6 11 0 12 RAFAEL 16.8 311.3 84 800 +1952 2 10 18 28 NADINE 53.1 73.9 68 613 +1966 8 7 12 14 RAFAEL 28.3 162.6 157 637 +1977 4 11 6 20 FLORENCE 14.1 219.3 108 547 +2002 2 13 6 22 VALERIE 27.7 98.8 105 569 +1954 3 4 0 15 JOYCE 62.6 229.7 91 464 +1953 4 1 12 27 MICHAEL 7.5 8.8 143 641 +1971 1 13 12 10 RAFAEL 18.1 356.7 111 514 +1988 2 24 6 11 WILLIAM 67.0 92.9 124 275 +1999 12 16 0 25 OSCAR 43.3 148.5 52 219 +1989 5 27 6 28 SANDY 66.2 253.6 106 49 +1990 12 26 0 26 SANDY 7.1 53.4 55 396 +1959 1 15 12 3 SANDY 50.6 193.4 87 158 +1952 4 18 18 23 BERYL 7.8 133.2 119 834 +1974 9 13 6 28 CHRIS 45.0 250.2 118 256 +2000 3 20 12 22 ISAAC 55.5 111.6 86 69 +1957 2 7 6 24 ISAAC 32.7 316.6 134 587 +1950 9 19 0 13 PATTY 54.3 155.1 24 818 +1960 10 9 12 20 ALBERTO 51.9 74.0 59 594 +1982 5 16 12 21 BERYL 8.0 271.6 139 59 +1998 12 4 6 20 BERYL 18.1 342.5 92 457 +1977 1 13 18 3 LESLIE 25.5 265.1 140 89 +2001 10 25 12 2 ALBERTO 54.5 147.5 11 556 +2000 4 28 18 8 GORDON 55.5 54.3 88 663 +1989 2 4 12 3 ALBERTO 61.7 173.0 81 605 +1970 7 3 18 28 OSCAR 17.9 342.2 131 247 +1954 1 4 18 10 CHRIS 63.7 46.2 91 826 +1998 4 20 0 20 JOYCE 18.0 191.7 82 260 +1987 9 2 6 8 KIRK 57.9 135.3 134 406 +1979 5 1 18 27 ALBERTO 10.1 212.2 78 663 +1992 9 25 12 20 RAFAEL 36.0 13.5 14 636 +1982 8 28 12 20 RAFAEL 18.5 213.9 119 452 +1950 1 16 6 14 FLORENCE 49.3 112.6 27 221 +1999 11 7 12 14 DEBBY 47.0 299.9 76 726 +1994 5 18 0 2 ISAAC 19.1 284.6 38 653 +1959 6 15 18 6 VALERIE 60.9 140.7 50 501 +1978 12 24 6 1 BERYL 39.3 10.2 109 569 +1993 4 1 6 11 LESLIE 38.1 128.8 61 451 +1957 3 11 18 26 ISAAC 10.1 319.5 73 34 +1990 2 14 18 23 RAFAEL 35.0 342.0 87 449 +1958 1 25 0 6 NADINE 15.1 88.8 10 293 +1958 12 18 12 4 JOYCE 43.3 23.5 17 57 +1957 8 6 0 6 CHRIS 15.7 129.7 19 468 +1995 9 21 18 19 LESLIE 39.4 142.8 111 198 +1995 9 6 18 14 TONY 14.5 161.6 89 652 +1962 12 4 0 9 JOYCE 28.5 97.7 118 889 +1966 1 25 6 23 SANDY 49.5 103.8 55 470 +1951 2 22 18 28 VALERIE 15.9 243.4 107 143 +1982 9 20 6 5 NADINE 19.0 228.6 77 264 +2002 9 3 0 14 CHRIS 41.3 186.3 14 864 +1971 12 25 12 2 LESLIE 19.0 45.7 64 406 +1979 5 18 6 24 ALBERTO 28.0 179.3 51 72 +1986 3 22 12 15 HELENE 36.3 216.5 101 437 +1982 11 18 6 16 ISAAC 51.9 280.3 23 377 +1984 5 12 12 12 SANDY 46.6 0.9 81 842 +1959 3 1 0 10 RAFAEL 12.8 50.9 109 225 +1954 3 20 18 24 ERNESTO 21.2 110.5 52 777 +1988 4 27 12 20 OSCAR 19.5 251.7 45 768 +1960 3 26 18 22 HELENE 9.2 252.8 59 761 +1989 2 24 18 1 MICHAEL 13.1 24.8 119 47 +1993 11 15 18 16 KIRK 58.4 288.8 127 209 +1960 12 8 18 23 SANDY 62.7 155.6 59 430 +1983 3 21 0 21 LESLIE 13.9 49.6 140 130 +1990 7 23 0 8 RAFAEL 46.7 68.6 33 794 +1964 12 6 18 3 PATTY 52.6 285.0 21 857 +1950 6 22 18 8 WILLIAM 45.2 80.0 94 160 +1973 10 1 12 4 GORDON 39.0 326.6 92 305 +1995 7 28 18 9 FLORENCE 18.3 7.0 96 34 +1969 9 2 12 21 HELENE 23.0 4.1 77 801 +1982 1 27 6 7 JOYCE 45.4 223.2 123 665 +1957 4 9 18 9 SANDY 16.4 167.0 103 795 +1954 12 13 18 11 ISAAC 63.1 306.4 150 230 +1997 10 10 18 16 LESLIE 64.1 309.0 67 351 +2001 6 26 12 9 DEBBY 22.4 320.5 10 330 +1983 9 17 12 8 WILLIAM 8.9 216.5 157 244 +1957 9 12 0 26 JOYCE 58.8 155.5 19 348 +2000 9 6 12 15 DEBBY 48.0 293.2 124 169 +1981 11 15 0 25 MICHAEL 69.7 259.2 157 756 +1974 11 26 6 16 SANDY 34.0 220.5 32 807 +1992 4 12 6 21 ALBERTO 31.8 182.9 98 620 +1952 4 15 18 12 JOYCE 46.6 126.9 135 369 +1991 1 3 6 11 NADINE 23.2 82.5 101 92 +1988 6 1 12 10 PATTY 51.9 54.0 57 34 +1989 12 20 12 13 TONY 55.7 87.8 160 48 +1968 2 19 18 22 RAFAEL 51.0 36.5 106 579 +1975 12 9 12 24 HELENE 65.0 282.3 144 536 +1988 11 13 12 16 ERNESTO 50.6 311.8 160 288 +1957 1 20 18 17 TONY 45.5 152.2 126 558 +1961 2 23 18 17 SANDY 56.7 128.2 69 138 +1956 1 13 6 25 WILLIAM 27.2 353.5 155 49 +1967 4 17 18 19 LESLIE 49.0 146.6 27 350 +1977 1 28 12 9 ALBERTO 43.7 188.4 125 166 +1995 11 17 12 8 WILLIAM 39.2 261.2 30 237 +1998 4 16 0 27 OSCAR 19.7 288.1 52 329 +1961 1 28 6 13 KIRK 64.7 90.0 12 364 +1991 8 17 0 25 ISAAC 66.7 38.2 78 496 +1969 10 15 6 12 JOYCE 65.8 64.4 100 690 +1980 5 8 12 25 TONY 56.5 161.0 77 6 +1998 11 9 18 8 NADINE 38.3 184.9 66 536 +1963 11 12 18 1 DEBBY 49.9 149.0 138 796 +1994 7 26 18 6 ALBERTO 34.1 159.5 118 704 +2002 3 4 6 18 ALBERTO 50.4 138.5 122 794 +1964 4 16 0 22 OSCAR 40.0 337.7 16 215 +1972 3 15 6 13 KIRK 69.8 39.7 43 536 +1977 5 3 18 9 SANDY 47.9 73.8 128 140 +1962 6 6 12 4 OSCAR 52.4 300.1 159 324 +1994 7 22 12 20 FLORENCE 16.5 122.0 27 32 +1986 7 17 18 16 GORDON 52.0 297.0 99 61 +1971 8 17 12 3 VALERIE 17.6 106.1 114 283 +1990 3 5 12 16 ISAAC 68.0 262.9 86 853 +1963 2 2 12 10 WILLIAM 33.0 12.5 21 612 +1977 2 23 6 9 ERNESTO 28.3 64.2 128 575 +2000 1 19 12 16 NADINE 51.8 249.9 140 427 +1967 9 16 18 17 ERNESTO 15.4 212.7 80 103 +1975 11 11 0 16 RAFAEL 30.9 49.4 30 144 +1992 11 1 0 20 ALBERTO 36.1 170.0 94 710 +1979 9 16 12 11 BERYL 60.2 237.2 70 638 +1979 7 23 6 6 KIRK 21.0 82.4 99 29 +1956 9 23 6 23 BERYL 43.0 172.5 42 244 +1963 3 23 18 7 ISAAC 23.2 229.1 128 716 +1957 7 25 6 1 HELENE 67.7 2.5 62 899 +1980 6 22 6 20 SANDY 21.6 35.4 160 783 +1994 12 15 12 16 DEBBY 66.7 334.0 17 386 +1968 4 27 18 1 NADINE 7.3 204.1 118 624 +1982 2 16 18 1 KIRK 29.1 214.0 64 455 +1957 1 11 12 8 FLORENCE 38.5 333.5 125 417 +2004 5 17 12 17 BERYL 43.0 313.2 94 789 +1950 6 9 12 15 OSCAR 11.4 171.8 91 438 +1989 6 5 18 20 GORDON 47.8 231.2 113 517 +1969 5 13 6 2 OSCAR 23.3 207.1 129 257 +1950 9 25 0 21 ISAAC 10.0 178.7 122 197 +1961 2 3 6 18 RAFAEL 22.4 229.6 155 898 +2001 5 19 18 15 BERYL 47.0 34.5 94 516 +1986 10 19 6 8 HELENE 10.0 24.5 63 289 +1988 11 7 12 10 MICHAEL 53.4 184.5 31 6 +1979 3 11 18 16 ERNESTO 30.3 23.5 46 374 +1975 8 6 0 9 NADINE 9.5 269.0 87 377 +1969 6 7 18 17 SANDY 41.1 241.8 83 813 +1991 2 20 0 3 ALBERTO 40.8 116.0 32 494 +2000 8 1 6 11 WILLIAM 12.1 305.5 55 565 +1955 10 13 12 10 DEBBY 11.5 223.1 140 109 +1961 9 8 0 5 RAFAEL 65.8 154.6 141 658 +1998 11 8 0 8 RAFAEL 38.0 308.2 32 653 +1999 1 16 12 8 MICHAEL 10.5 4.3 139 770 +1987 5 1 18 8 NADINE 32.2 89.0 90 269 +1968 4 25 18 5 GORDON 40.8 286.9 88 53 +1976 1 19 0 19 KIRK 38.2 12.9 128 296 +1972 2 19 6 2 ERNESTO 26.2 268.4 73 49 +1950 6 5 18 10 ISAAC 7.4 72.7 55 885 +1992 7 27 18 10 BERYL 61.4 338.6 134 582 +1987 4 11 18 22 KIRK 56.8 127.5 139 73 +1996 6 9 6 17 MICHAEL 30.3 221.0 27 399 +1950 3 16 0 9 NADINE 33.9 182.0 16 656 +1999 12 19 18 13 SANDY 55.7 193.1 26 613 +1988 12 6 12 21 FLORENCE 67.8 202.9 23 67 +1952 12 15 18 9 LESLIE 25.6 117.9 27 149 +1956 12 2 18 12 GORDON 29.0 21.4 159 106 +1974 5 11 0 17 ERNESTO 65.0 63.0 126 367 +1988 9 28 0 4 ISAAC 32.8 122.0 158 889 +1983 5 10 12 15 OSCAR 32.2 226.0 31 532 +1978 3 18 6 13 HELENE 68.3 64.3 144 293 +1972 5 19 0 8 MICHAEL 62.9 46.9 26 436 +1989 6 21 6 25 DEBBY 67.1 296.7 57 158 +1980 8 10 6 24 NADINE 56.4 58.1 48 415 +1950 4 16 6 2 BERYL 49.7 174.9 106 666 +1998 9 9 18 19 ALBERTO 64.5 342.1 130 162 +1972 7 13 12 7 ISAAC 29.2 355.3 96 894 +1978 4 13 18 14 WILLIAM 65.1 36.9 144 767 +1960 7 22 18 13 DEBBY 61.0 254.4 50 485 +1978 7 27 0 28 GORDON 30.8 7.5 32 592 +1977 7 19 18 8 HELENE 38.1 160.0 139 181 +1992 9 16 12 18 MICHAEL 68.4 302.8 60 82 +1953 7 4 0 5 NADINE 22.2 145.3 119 577 +1983 9 22 0 12 NADINE 50.3 74.6 138 325 +1992 12 6 0 9 JOYCE 17.1 131.2 56 503 +1950 4 23 12 23 BERYL 21.4 54.8 27 375 +1954 1 11 0 22 ALBERTO 45.8 76.9 35 18 +2004 10 1 12 19 NADINE 45.9 7.9 144 767 +1976 8 10 6 16 WILLIAM 32.0 9.7 72 180 +1984 9 20 18 15 MICHAEL 34.5 327.8 19 585 +2004 10 5 0 2 HELENE 69.5 252.9 21 567 +1961 12 8 18 26 MICHAEL 69.5 211.8 30 833 +1969 4 8 6 9 NADINE 43.7 49.5 152 672 +1987 1 3 18 14 OSCAR 61.0 239.1 19 729 +1952 1 20 18 20 PATTY 11.5 40.9 132 796 +1951 1 1 6 3 LESLIE 48.3 141.2 68 567 +1966 8 17 0 13 KIRK 38.3 203.4 34 820 +1977 9 13 6 28 PATTY 39.8 308.0 36 828 +1963 10 27 6 6 DEBBY 9.1 307.7 15 514 +1953 11 15 12 4 CHRIS 26.7 34.4 127 113 +1992 1 16 18 15 SANDY 30.2 267.6 34 100 +1973 8 22 6 8 BERYL 37.7 225.5 104 180 +1986 11 6 12 22 WILLIAM 38.5 243.9 66 769 +1966 1 8 18 23 MICHAEL 15.8 356.0 10 529 +1958 4 7 6 26 ALBERTO 36.0 33.3 93 348 +1992 3 19 6 22 JOYCE 53.3 298.7 63 362 +1973 1 25 12 2 DEBBY 49.1 154.1 156 235 +1967 10 5 12 13 MICHAEL 23.7 144.9 94 515 +1962 2 5 0 8 LESLIE 62.7 306.0 132 731 +2003 10 26 0 3 PATTY 36.8 71.8 157 161 +1952 2 24 12 19 ALBERTO 67.5 203.1 102 899 +1965 2 4 6 17 BERYL 23.4 266.4 82 432 +1987 7 21 12 4 SANDY 17.0 332.6 58 170 +1961 11 5 0 24 TONY 14.4 215.0 71 713 +1997 5 16 6 18 LESLIE 9.0 236.7 140 399 +2004 10 7 0 24 VALERIE 50.1 73.3 84 96 +1965 12 28 18 10 ALBERTO 51.6 204.0 37 136 +1986 11 28 6 20 FLORENCE 51.6 113.5 112 393 +1961 12 26 12 23 MICHAEL 55.2 50.5 21 489 +1965 5 26 12 9 KIRK 59.0 164.3 72 827 +1981 3 8 18 1 DEBBY 57.2 337.1 126 205 +1978 2 14 12 16 KIRK 68.2 118.4 150 134 +1958 5 20 12 14 ERNESTO 12.5 81.5 133 367 +1995 12 13 0 6 HELENE 29.8 135.0 33 287 +1962 6 7 12 27 HELENE 8.4 42.4 116 569 +1992 10 10 18 23 HELENE 38.2 229.2 73 24 +1956 7 1 12 10 WILLIAM 63.1 245.9 102 117 +1952 5 7 0 13 SANDY 47.1 289.0 53 300 +1967 3 9 12 6 BERYL 51.7 99.3 49 48 +1961 11 14 0 11 KIRK 66.2 130.5 149 158 +1968 8 3 12 15 JOYCE 19.5 42.0 131 510 +1989 2 5 18 12 LESLIE 25.8 299.5 88 585 +1988 10 12 0 18 HELENE 61.1 8.7 39 874 +1982 12 4 0 27 NADINE 38.2 266.3 34 366 +1957 3 7 18 24 DEBBY 41.5 29.5 27 152 +1961 9 19 0 2 JOYCE 24.1 231.5 71 151 +1999 5 27 12 20 NADINE 46.2 113.1 33 722 +1984 3 12 18 10 DEBBY 47.1 92.9 124 627 +1999 5 5 6 19 OSCAR 10.8 274.5 64 393 +1950 9 9 18 3 MICHAEL 12.1 98.8 95 365 +1998 2 27 0 24 ALBERTO 39.4 212.7 120 214 +1959 6 1 0 5 LESLIE 31.0 254.3 37 46 +1998 5 17 18 25 MICHAEL 9.8 225.3 17 775 +1981 7 27 6 15 BERYL 67.7 158.6 44 316 +1985 10 13 6 27 VALERIE 30.5 113.9 105 687 +1961 5 11 12 27 VALERIE 45.0 326.3 36 284 +1990 2 9 12 18 PATTY 27.2 34.0 58 263 +1977 9 25 0 21 KIRK 62.7 60.2 130 162 +1954 3 11 6 26 FLORENCE 17.0 136.8 105 885 +1989 2 5 0 16 LESLIE 51.8 170.3 94 272 +1953 10 4 6 8 CHRIS 50.6 186.1 26 720 +1989 5 21 0 23 FLORENCE 19.6 295.4 59 251 +1999 1 5 12 5 MICHAEL 55.9 263.6 84 686 +1990 6 9 6 8 SANDY 49.2 344.1 67 630 +1991 3 4 18 21 ISAAC 68.4 135.6 112 817 +1971 11 27 12 5 NADINE 44.2 354.7 24 677 +1996 2 13 6 19 BERYL 61.2 102.5 106 710 +1967 11 13 0 13 ALBERTO 29.3 149.6 52 407 +1999 4 23 18 2 SANDY 41.5 19.1 61 601 +1979 3 4 18 2 KIRK 40.1 143.0 146 350 +1987 12 15 12 25 HELENE 23.6 244.7 142 529 +1962 5 8 12 9 ISAAC 47.0 221.2 17 286 +1979 12 4 0 6 DEBBY 32.6 70.7 105 844 +1987 1 6 12 25 ALBERTO 22.5 338.0 24 126 +1997 1 24 18 3 WILLIAM 42.1 43.2 105 5 +1951 12 18 12 9 ALBERTO 17.0 68.6 157 44 +1980 9 7 18 1 ERNESTO 8.2 91.8 65 705 +1976 11 15 6 28 OSCAR 52.3 105.7 108 581 +1989 12 4 18 8 BERYL 38.7 0.8 157 80 +1993 7 27 0 28 TONY 34.7 32.8 140 685 +1961 1 24 18 12 OSCAR 15.6 174.3 156 61 +1951 3 20 6 25 ISAAC 30.2 54.6 69 334 +1971 3 8 6 19 LESLIE 45.6 67.3 98 268 +2001 5 28 18 6 KIRK 62.5 282.1 72 408 +1993 5 18 12 21 KIRK 20.8 124.7 37 418 +1977 9 19 6 23 ISAAC 54.4 318.3 75 571 +2003 11 2 18 3 FLORENCE 25.8 105.1 107 599 +1961 12 4 12 3 OSCAR 18.9 168.8 106 258 +1964 2 14 0 19 GORDON 66.3 47.8 156 519 +1971 8 22 18 16 GORDON 61.2 174.3 134 702 +1965 9 6 12 25 OSCAR 13.9 301.7 87 91 +1997 6 5 6 26 FLORENCE 53.5 161.6 16 314 +1985 1 8 12 23 VALERIE 60.5 145.2 101 327 +1966 7 26 0 8 HELENE 40.2 63.8 150 781 +1981 10 7 0 12 SANDY 40.0 125.4 48 833 +1977 2 10 0 12 ALBERTO 8.9 357.5 129 68 +1988 10 12 18 10 VALERIE 14.8 39.9 58 369 +1960 9 20 6 19 HELENE 32.0 259.9 35 648 +2004 9 11 6 2 PATTY 57.6 114.0 63 387 +2000 12 18 18 23 CHRIS 10.8 71.0 138 350 +1992 5 11 18 2 MICHAEL 17.1 264.4 116 899 +1957 9 16 6 3 MICHAEL 8.8 100.3 125 440 +1970 7 13 6 14 MICHAEL 42.7 286.2 73 891 +1968 4 17 12 3 HELENE 67.9 108.0 13 669 +1974 7 18 12 13 CHRIS 68.0 68.8 147 431 +1970 8 28 18 2 GORDON 60.4 38.6 85 640 +1995 1 22 12 2 WILLIAM 65.8 232.2 35 430 +1967 6 13 12 25 NADINE 40.9 235.0 97 481 +1955 8 23 12 8 ALBERTO 64.9 202.1 146 423 +1953 8 17 12 8 LESLIE 39.0 315.4 66 419 +1973 4 1 0 17 LESLIE 69.9 70.6 49 135 +1968 10 22 0 3 BERYL 38.5 335.8 122 143 +1978 1 19 12 10 MICHAEL 15.2 261.8 82 851 +1983 5 16 18 21 RAFAEL 55.9 209.6 155 413 +1961 4 12 0 8 SANDY 28.3 263.8 54 49 +1975 2 20 0 4 ISAAC 8.4 205.0 149 643 +1960 5 27 18 1 BERYL 11.5 114.1 157 847 +1974 1 25 6 26 MICHAEL 39.5 131.4 111 380 +1959 8 3 18 10 BERYL 18.2 48.8 144 840 +1959 5 10 12 20 JOYCE 13.6 284.4 162 852 +1998 2 20 12 20 FLORENCE 55.1 165.6 125 451 +1999 11 17 0 20 MICHAEL 51.1 283.8 19 661 +1992 5 3 18 15 TONY 15.2 275.7 47 438 +1973 1 7 12 22 ISAAC 18.0 304.3 112 632 +1959 10 10 0 24 KIRK 62.1 323.7 156 115 +1951 3 28 0 9 OSCAR 62.2 257.7 120 134 +1958 4 24 18 2 DEBBY 68.8 328.8 119 377 +1991 1 14 18 5 LESLIE 43.9 312.4 163 390 +1957 8 8 0 13 DEBBY 30.9 247.3 63 286 +1961 3 6 6 16 TONY 51.0 223.1 53 577 +1999 5 7 18 23 GORDON 46.6 33.9 46 287 +1950 9 28 18 8 KIRK 66.7 202.1 88 676 +1996 6 26 6 18 NADINE 64.4 222.8 137 400 +1982 11 18 18 16 ERNESTO 57.0 125.3 142 502 +1983 2 21 0 4 OSCAR 25.0 331.9 39 278 +1994 3 5 18 16 MICHAEL 57.1 242.4 120 239 +1987 7 9 18 21 ERNESTO 42.4 305.1 48 416 +1987 10 20 18 28 NADINE 26.0 314.6 45 341 +1977 3 18 18 20 PATTY 30.5 83.4 145 764 +1969 2 13 18 4 JOYCE 24.5 243.3 61 822 +1991 11 27 6 5 NADINE 11.6 32.5 126 599 +1976 6 24 0 13 KIRK 51.9 355.5 90 338 +1972 3 13 6 2 NADINE 22.6 342.1 100 802 +1965 9 7 0 17 VALERIE 49.3 0.5 90 302 +1972 11 14 18 4 SANDY 51.4 219.2 99 234 +1999 8 20 6 14 PATTY 32.3 126.3 134 388 +1995 2 22 6 16 JOYCE 15.3 103.2 114 896 +1976 6 26 0 4 PATTY 35.3 93.7 101 653 +1984 3 3 18 25 BERYL 54.8 207.2 43 512 +1998 4 5 6 12 PATTY 10.1 31.9 86 753 +1970 11 8 12 17 GORDON 45.4 211.0 37 592 +1978 6 12 18 3 ISAAC 30.8 139.7 27 661 +1981 9 17 6 24 BERYL 63.2 238.7 86 238 +1960 9 2 0 7 LESLIE 27.1 309.7 135 571 +1958 3 23 18 23 SANDY 36.1 134.6 80 605 +1961 3 4 12 21 FLORENCE 21.0 261.1 66 401 +1968 5 14 12 8 OSCAR 51.4 297.5 85 321 +1954 2 1 18 23 ALBERTO 26.2 40.7 69 166 +1981 1 19 12 11 ISAAC 52.7 119.6 147 473 +1956 1 15 6 9 ALBERTO 63.1 103.5 39 363 +1988 3 14 6 22 ERNESTO 46.5 315.3 130 384 +1961 4 25 0 9 DEBBY 67.0 249.2 126 603 +1962 3 6 0 13 WILLIAM 15.3 203.7 155 810 +1983 12 8 18 14 VALERIE 68.1 285.8 113 165 +1966 3 21 0 27 DEBBY 36.6 129.5 17 226 +1988 2 6 6 7 RAFAEL 31.8 308.8 94 881 +1966 10 18 12 25 GORDON 24.5 28.5 130 686 +1976 7 16 0 25 CHRIS 32.0 197.2 56 413 +1978 3 5 6 14 LESLIE 12.9 252.7 66 185 +1960 12 4 12 28 JOYCE 52.7 6.8 144 80 +2003 6 9 0 25 VALERIE 34.2 180.4 60 469 +1958 5 16 6 13 FLORENCE 46.1 113.1 149 842 +1972 5 17 12 25 CHRIS 26.7 35.7 57 680 +1968 7 26 12 8 ISAAC 68.5 237.9 23 684 +1996 4 3 6 17 MICHAEL 29.6 227.9 154 679 +1966 12 1 6 27 OSCAR 16.3 276.0 56 799 +1992 6 24 6 25 CHRIS 40.9 225.6 35 195 +1987 9 2 12 16 KIRK 15.4 106.0 40 252 +1992 5 17 18 24 JOYCE 67.9 330.4 151 644 +1987 7 8 0 27 ERNESTO 51.1 320.3 97 591 +1961 1 6 6 23 ALBERTO 42.0 1.0 48 591 +1970 3 25 0 28 NADINE 24.7 186.6 41 246 +1950 8 11 0 21 DEBBY 9.0 53.7 13 879 +1960 2 13 6 16 TONY 15.0 348.0 40 315 +2004 10 20 12 11 DEBBY 59.8 107.4 50 759 +1968 12 7 6 5 BERYL 25.6 204.4 159 507 +1960 4 12 6 9 ISAAC 25.2 199.6 138 663 +1954 3 15 18 6 RAFAEL 46.6 334.6 146 156 +1954 11 18 18 3 SANDY 66.4 29.9 129 759 +1968 10 15 0 1 JOYCE 8.3 268.9 119 447 +1973 10 1 12 7 ALBERTO 48.3 48.9 59 174 +1973 9 15 12 8 FLORENCE 55.5 204.7 129 797 +1969 2 10 12 11 RAFAEL 25.2 55.7 25 604 +1955 11 23 18 2 KIRK 13.2 336.8 82 187 +1968 1 17 0 1 SANDY 9.8 11.1 58 385 +1969 8 3 18 13 TONY 64.5 332.8 88 413 +2000 4 11 6 28 JOYCE 30.9 18.5 139 816 +1979 1 1 6 15 GORDON 59.2 57.1 63 241 +2004 8 25 18 13 FLORENCE 22.6 66.2 47 141 +1973 11 13 0 20 GORDON 27.8 223.7 141 413 +1958 7 26 6 24 BERYL 57.2 236.8 152 124 +1955 8 11 18 3 HELENE 11.5 293.4 51 578 +1973 7 12 0 28 OSCAR 62.1 0.1 138 824 +1985 11 28 0 10 SANDY 7.4 173.3 117 47 +1965 4 22 12 26 RAFAEL 12.2 261.0 30 65 +1951 9 9 18 9 WILLIAM 44.7 25.5 44 464 +1959 3 4 0 22 VALERIE 45.0 255.4 85 214 +1958 12 1 18 20 BERYL 64.7 111.2 28 823 +1977 5 21 0 27 SANDY 32.7 87.2 125 116 +1983 3 9 0 8 HELENE 32.0 63.2 105 850 +1971 5 9 6 10 MICHAEL 27.8 275.3 71 139 +2001 1 14 6 25 MICHAEL 46.1 199.1 71 628 +1958 2 6 0 25 JOYCE 38.3 207.0 48 389 +1984 10 17 12 11 SANDY 19.6 132.0 159 675 +1962 7 15 12 24 KIRK 45.1 297.8 24 695 +1950 8 3 6 3 SANDY 42.0 145.9 19 221 +1989 11 10 0 5 ERNESTO 60.8 26.6 82 279 +1968 8 25 18 24 VALERIE 58.8 239.2 96 381 +1978 12 9 6 7 RAFAEL 31.8 302.6 37 347 +1959 5 18 6 3 BERYL 9.5 275.7 87 816 +1967 9 13 6 21 VALERIE 17.9 356.0 94 179 +1956 4 7 6 7 CHRIS 28.9 352.2 94 189 +1959 7 12 0 28 LESLIE 28.3 144.0 113 113 +1986 9 9 18 8 BERYL 24.1 46.1 148 70 +1982 9 23 12 6 MICHAEL 61.8 212.2 134 278 +1967 2 8 0 23 ISAAC 12.6 223.8 79 108 +1977 3 11 18 8 CHRIS 14.7 102.4 152 335 +1984 1 5 18 15 ALBERTO 58.2 45.5 55 471 +1989 9 25 12 20 WILLIAM 17.8 324.4 28 718 +1951 5 10 6 25 ERNESTO 52.6 288.9 12 572 +1961 2 15 18 22 DEBBY 65.3 19.6 72 352 +1967 4 16 6 14 BERYL 25.5 81.3 142 765 +2002 12 27 0 25 SANDY 56.6 19.2 86 736 +2001 12 15 6 26 TONY 56.0 14.8 125 531 +1978 1 21 18 5 PATTY 20.7 197.5 117 333 +1971 7 23 6 22 ALBERTO 37.9 294.6 17 739 +1997 2 16 6 19 WILLIAM 35.9 44.9 143 516 +1978 4 7 0 27 VALERIE 58.2 117.7 43 776 +2002 7 19 0 15 ERNESTO 69.2 314.5 67 176 +1959 1 25 0 10 WILLIAM 18.5 286.5 87 597 +2003 2 18 18 9 FLORENCE 43.1 208.7 81 23 +1965 8 25 0 12 ISAAC 41.7 313.4 32 384 +1999 1 21 12 17 NADINE 69.6 193.5 72 206 +1988 7 10 12 13 DEBBY 54.1 38.6 158 447 +1950 8 19 6 25 JOYCE 45.2 190.7 159 422 +1979 9 15 0 25 MICHAEL 13.0 249.3 125 223 +1952 3 19 18 25 JOYCE 13.1 254.6 143 776 +1965 1 21 0 27 VALERIE 10.7 240.2 94 286 +1955 12 18 0 11 KIRK 23.2 146.9 41 9 +1970 8 12 18 25 OSCAR 61.3 102.2 115 717 +1973 1 27 18 13 MICHAEL 39.9 82.7 16 512 +2003 6 2 6 24 FLORENCE 29.6 342.9 94 866 +1997 11 9 12 19 CHRIS 65.1 30.9 128 754 +1975 10 28 12 25 RAFAEL 64.3 166.8 53 653 +1981 6 21 0 3 SANDY 54.9 292.5 10 158 +1999 12 26 12 13 FLORENCE 21.5 263.9 50 721 +1996 5 23 6 28 WILLIAM 61.8 38.3 41 4 +1968 8 3 6 18 FLORENCE 61.9 309.1 109 693 +1996 8 6 12 15 MICHAEL 26.0 343.7 72 91 +1999 3 1 6 2 LESLIE 15.2 153.0 141 383 +1979 7 1 0 12 TONY 51.0 49.5 111 166 +1967 7 5 12 26 VALERIE 60.7 144.7 92 515 +1958 1 3 6 13 HELENE 20.5 104.4 128 507 +1989 3 8 0 18 ISAAC 34.7 270.3 76 169 +1992 1 18 0 7 GORDON 26.7 127.8 164 788 +1979 9 20 18 18 FLORENCE 21.7 295.1 134 627 +1974 6 16 12 13 ERNESTO 57.2 305.1 154 13 +1994 6 13 0 4 OSCAR 41.7 356.7 96 622 +1956 8 9 0 21 FLORENCE 37.1 77.4 124 221 +1953 9 4 0 26 HELENE 22.8 56.2 109 73 +1992 11 20 12 18 GORDON 29.5 1.2 155 219 +1965 5 23 6 20 ISAAC 20.1 25.9 158 577 +1997 8 20 6 18 OSCAR 13.2 9.6 92 816 +1986 3 22 12 12 GORDON 17.8 248.9 106 10 +1953 1 12 12 18 CHRIS 53.8 100.7 79 49 +1950 8 10 12 18 TONY 52.2 51.5 58 33 +1966 4 6 0 24 BERYL 28.7 180.6 29 700 +1958 7 25 6 21 GORDON 17.2 222.1 133 470 +1954 5 15 0 16 SANDY 50.0 73.3 23 74 +1985 4 21 18 25 ISAAC 37.4 38.4 31 602 +1975 3 27 6 19 DEBBY 31.3 255.3 120 35 +1962 12 13 0 2 ERNESTO 28.7 196.6 85 604 +2003 6 26 0 14 JOYCE 60.0 128.8 158 384 +1992 6 6 0 27 NADINE 9.1 242.6 60 571 +1974 8 7 0 7 PATTY 28.1 151.3 27 22 +1988 9 17 0 10 BERYL 33.7 308.3 101 662 +2004 5 27 18 28 VALERIE 35.5 299.2 157 619 +1981 12 12 12 6 ERNESTO 37.5 106.1 32 1 +2004 1 4 18 28 RAFAEL 22.2 55.8 114 12 +1987 12 9 18 25 SANDY 49.7 67.1 155 552 +1974 9 20 12 22 CHRIS 65.1 115.7 49 874 +1963 3 23 0 15 ALBERTO 53.8 305.1 26 869 +1975 6 19 18 16 PATTY 22.4 136.8 25 740 +1999 4 22 0 11 OSCAR 28.5 212.9 154 714 +1994 4 4 0 13 PATTY 68.7 220.7 160 587 +1986 1 13 6 23 MICHAEL 60.8 293.5 132 813 +1976 2 8 18 19 BERYL 17.9 87.1 98 390 +1992 4 16 18 1 ISAAC 58.8 239.6 149 738 +1987 5 26 6 19 OSCAR 53.0 12.3 74 648 +1998 8 2 6 13 BERYL 44.4 330.6 125 58 +1954 3 18 6 21 PATTY 7.6 87.9 29 867 +1992 11 10 0 13 ISAAC 33.6 84.2 39 679 +1962 10 28 0 20 JOYCE 61.6 282.9 73 549 +1951 7 24 6 8 ALBERTO 18.8 207.4 148 193 +1994 4 6 18 10 PATTY 48.9 173.2 82 819 +1961 2 9 0 14 KIRK 25.6 327.0 81 545 +1995 11 28 6 25 GORDON 17.6 210.4 90 877 +1998 4 13 6 14 NADINE 39.6 51.2 54 554 +1962 8 16 12 17 LESLIE 34.6 287.7 156 592 +1974 4 26 18 3 OSCAR 27.2 232.3 17 259 +1960 5 21 12 18 VALERIE 47.4 50.6 151 324 +1961 7 17 18 14 GORDON 56.8 44.0 58 166 +1985 2 27 6 3 HELENE 68.9 291.5 119 564 +1972 2 10 12 9 DEBBY 36.1 42.3 99 547 +1986 12 21 6 13 OSCAR 62.2 301.8 154 695 +1960 11 16 18 11 FLORENCE 64.1 18.9 148 170 +1963 7 28 18 6 KIRK 61.7 22.1 116 527 +2003 9 24 18 3 JOYCE 50.6 56.6 148 5 +1989 6 10 0 3 SANDY 11.0 86.2 50 332 +1956 1 7 18 19 OSCAR 43.3 322.4 55 500 +1987 8 20 0 18 PATTY 10.1 114.1 101 220 +1978 12 16 12 10 WILLIAM 67.6 246.0 162 163 +2003 9 5 6 11 ERNESTO 50.4 214.4 139 557 +1973 7 22 0 14 FLORENCE 50.5 41.6 141 727 +1988 9 10 6 18 VALERIE 26.9 350.7 77 270 +1980 12 7 0 21 TONY 54.0 194.4 119 529 +1997 5 7 18 21 VALERIE 27.6 147.3 150 886 +2004 2 19 18 10 ALBERTO 21.3 85.2 104 163 +1991 1 23 12 9 TONY 61.3 59.0 60 105 +1971 3 6 18 23 ISAAC 68.5 220.9 102 6 +1973 10 5 6 17 FLORENCE 7.2 235.1 80 423 +1973 8 13 6 5 DEBBY 18.3 23.4 161 241 +1994 3 19 18 6 LESLIE 56.6 237.6 75 863 +1984 3 14 12 10 RAFAEL 31.1 17.5 63 240 +1992 5 11 12 25 CHRIS 64.6 28.8 36 288 +1993 7 21 0 20 HELENE 34.7 22.8 115 97 +1980 4 28 6 2 WILLIAM 49.0 30.1 116 142 +1980 3 17 12 26 PATTY 59.3 12.2 60 496 +1957 7 4 18 24 ALBERTO 40.7 87.4 79 228 +1992 8 17 18 3 CHRIS 52.7 165.0 46 82 +1988 11 13 0 1 KIRK 13.0 349.7 40 477 +1993 5 3 18 9 FLORENCE 46.8 289.7 114 161 +1975 11 4 6 9 HELENE 23.7 61.6 45 781 +1953 6 26 0 18 MICHAEL 63.9 118.6 20 568 +2000 9 6 12 10 NADINE 25.6 45.3 134 21 +1972 2 19 0 2 ALBERTO 33.5 238.3 43 497 +1958 7 27 18 2 WILLIAM 45.7 349.9 18 152 +1952 12 18 0 28 CHRIS 56.6 141.7 129 804 +1997 5 21 18 28 FLORENCE 34.9 333.0 109 656 +1981 9 2 6 6 FLORENCE 19.3 314.8 145 487 +1977 10 22 6 26 HELENE 43.0 332.8 60 328 +1974 4 3 12 11 VALERIE 64.5 254.3 19 209 +1964 4 14 6 23 ALBERTO 65.0 255.4 64 152 +1972 7 28 18 25 ALBERTO 26.4 13.1 111 519 +1964 1 8 12 13 LESLIE 63.1 304.6 112 310 +1968 12 27 6 19 KIRK 24.8 254.3 58 346 +1979 3 11 0 9 HELENE 50.3 299.8 129 463 +1970 4 13 12 5 TONY 32.7 220.6 20 520 +1985 10 6 6 23 RAFAEL 9.2 229.5 95 427 +1950 1 21 0 15 FLORENCE 62.0 143.1 28 783 +1951 9 14 12 9 SANDY 38.8 243.6 157 741 +1974 12 8 18 2 HELENE 42.5 123.8 161 807 +1981 11 1 6 24 RAFAEL 69.4 76.4 127 440 +2001 8 12 12 19 LESLIE 67.9 155.4 105 468 +2002 12 7 0 27 PATTY 34.4 25.0 101 98 +1974 7 25 6 5 ALBERTO 41.3 334.3 155 662 +2003 11 18 18 10 BERYL 49.1 78.7 147 804 +1997 6 9 6 27 OSCAR 49.7 293.2 61 861 +1974 10 2 0 19 ALBERTO 26.3 2.8 105 232 +1993 2 2 0 24 CHRIS 10.1 286.8 56 414 +1957 10 9 0 17 ERNESTO 15.4 83.3 37 75 +1989 1 22 12 1 NADINE 67.2 159.4 81 448 +1963 5 18 6 14 OSCAR 57.9 300.6 85 244 +1994 4 18 6 19 FLORENCE 31.6 325.9 128 428 +1950 7 24 12 10 WILLIAM 18.8 342.7 128 627 +1967 8 13 12 20 SANDY 31.9 116.3 103 614 +1974 9 9 12 23 KIRK 55.6 11.0 114 735 +1970 8 7 12 3 ALBERTO 51.9 167.5 48 297 +1953 5 1 18 13 LESLIE 32.8 237.9 123 279 +1987 9 3 6 3 RAFAEL 45.8 34.3 111 440 +1979 7 21 12 13 SANDY 58.9 59.4 127 191 +1981 9 20 12 11 GORDON 55.8 145.4 131 39 +2001 11 22 12 25 GORDON 46.6 200.1 62 737 +1963 11 13 0 6 HELENE 41.0 135.6 81 373 +1995 10 2 6 10 GORDON 37.4 303.7 66 697 +1952 6 23 6 13 ISAAC 38.3 79.1 127 17 +1982 7 12 0 14 CHRIS 69.0 234.7 131 666 +1989 5 4 18 23 CHRIS 69.3 11.4 125 720 +1973 7 3 0 13 CHRIS 29.8 223.4 86 496 +1953 5 27 18 24 LESLIE 16.5 259.9 130 63 +1986 1 7 12 24 ALBERTO 56.7 326.7 43 885 +1986 2 28 18 6 RAFAEL 23.2 85.4 71 676 +1996 2 2 0 14 DEBBY 26.4 350.7 88 665 +1990 1 2 6 25 ALBERTO 49.3 342.3 64 51 +2000 5 28 0 23 MICHAEL 13.1 90.6 107 425 +1985 5 14 6 15 CHRIS 53.6 153.6 120 347 +1978 3 25 0 20 ERNESTO 36.5 62.5 12 122 +1956 3 24 12 9 BERYL 53.1 206.9 21 783 +1991 1 7 0 13 JOYCE 17.3 16.4 136 75 +1972 8 21 0 3 JOYCE 19.2 268.6 153 380 +1988 12 8 6 18 MICHAEL 55.5 256.5 151 373 +1958 11 12 0 11 JOYCE 29.4 35.3 66 144 +1974 9 14 12 14 KIRK 32.2 48.9 84 293 +1953 9 24 12 12 KIRK 50.4 210.9 47 106 +1967 4 22 18 8 PATTY 50.7 76.3 91 377 +1966 2 21 0 20 ALBERTO 30.0 4.2 129 603 +1987 4 20 12 15 MICHAEL 20.5 210.6 121 778 +1955 2 8 6 14 ISAAC 47.7 94.6 52 735 +1983 9 25 18 21 MICHAEL 38.6 93.7 113 257 +1999 6 25 18 7 JOYCE 57.4 356.4 150 170 +1965 8 13 0 26 PATTY 43.1 198.6 155 67 +1990 6 10 18 20 OSCAR 31.0 313.8 114 385 +1978 4 18 0 21 LESLIE 30.1 8.9 18 830 +1967 4 27 18 24 CHRIS 25.2 240.6 116 489 +1953 11 26 0 3 OSCAR 57.5 3.9 107 315 +1957 9 7 6 3 ERNESTO 58.9 21.6 132 896 +1992 12 24 0 4 TONY 43.8 235.2 145 205 +1989 4 20 6 11 OSCAR 13.5 165.3 160 111 +1956 7 7 12 12 ALBERTO 54.9 71.7 107 294 +1980 5 15 6 24 JOYCE 42.3 274.8 138 172 +1997 4 20 6 19 CHRIS 27.0 209.7 16 572 +1951 12 19 6 21 RAFAEL 18.0 278.5 84 63 +2002 11 17 18 9 DEBBY 9.5 205.0 112 685 +1987 6 6 12 28 DEBBY 40.2 292.4 34 730 +1992 10 22 6 20 DEBBY 28.3 298.2 29 474 +1959 8 11 18 27 BERYL 42.5 161.6 31 259 +1983 10 9 12 15 FLORENCE 66.9 86.2 33 159 +1950 9 24 0 18 ISAAC 48.3 292.4 130 669 +1970 1 6 18 27 HELENE 22.9 69.9 97 211 +1993 5 20 12 19 JOYCE 59.9 334.3 126 165 +1989 12 23 12 1 ALBERTO 17.7 288.8 34 60 +1996 2 15 6 8 LESLIE 19.8 343.2 156 261 +1968 1 22 18 14 ERNESTO 57.8 15.5 75 725 +1961 12 2 12 5 OSCAR 21.4 75.0 141 409 +1970 2 11 18 18 RAFAEL 57.7 125.9 145 407 +1952 8 5 18 26 VALERIE 35.0 324.1 156 476 +1987 11 1 0 25 DEBBY 41.0 112.1 148 531 +2004 8 16 12 13 LESLIE 15.2 349.7 74 210 +1962 12 4 12 22 GORDON 39.3 103.5 116 369 +1974 7 2 6 3 GORDON 48.7 103.4 47 63 +1982 10 11 0 4 JOYCE 67.4 161.2 23 280 +1973 2 6 18 28 RAFAEL 52.8 254.5 111 350 +1955 9 2 12 10 PATTY 26.6 129.9 27 702 +1992 4 16 12 7 ISAAC 35.3 303.8 142 778 +1995 8 9 12 6 FLORENCE 49.1 200.7 136 885 +1952 4 18 12 27 WILLIAM 41.8 41.0 43 111 +1972 4 11 0 24 JOYCE 25.9 172.9 122 489 +1977 2 25 12 24 LESLIE 44.6 259.9 118 855 +2003 10 10 12 6 JOYCE 63.6 211.7 72 433 +1955 2 19 6 1 ALBERTO 29.4 257.4 139 70 +1984 8 14 6 4 LESLIE 33.8 249.7 89 252 +1951 5 8 12 21 KIRK 70.0 77.5 117 255 +1957 1 14 18 4 GORDON 33.6 28.1 70 559 +1968 3 5 0 5 BERYL 61.4 329.7 138 548 +1979 11 14 6 4 TONY 26.3 28.9 29 171 +1991 6 12 0 13 FLORENCE 40.1 190.2 11 118 +1983 11 24 12 27 JOYCE 45.4 212.5 94 568 +1979 4 12 0 14 HELENE 35.1 157.3 158 99 +1950 3 21 6 15 CHRIS 62.5 54.8 27 739 +1976 11 28 12 3 VALERIE 20.7 244.5 48 98 +1959 3 16 18 14 DEBBY 20.9 257.9 65 373 +1988 10 3 12 5 OSCAR 67.0 16.5 80 430 +1982 10 21 12 16 VALERIE 59.3 331.7 138 658 +1954 6 8 6 6 ERNESTO 67.5 353.2 142 433 +1996 10 16 18 19 DEBBY 7.2 16.4 90 27 +1970 12 27 0 24 VALERIE 64.6 70.8 78 291 +1989 9 10 0 18 ISAAC 15.4 78.0 35 673 +1988 1 22 18 10 FLORENCE 53.2 297.8 74 134 +1985 1 23 12 11 RAFAEL 53.3 322.6 126 665 +1985 7 6 0 3 PATTY 41.3 192.7 45 497 +2000 3 14 12 11 RAFAEL 29.2 264.1 138 320 +1969 12 22 18 11 JOYCE 69.0 231.6 87 710 +1970 10 12 6 6 LESLIE 53.8 234.6 162 385 +1993 8 5 0 27 JOYCE 53.5 264.3 44 490 +1969 9 14 18 22 GORDON 56.7 52.0 109 699 +1978 6 9 18 14 ERNESTO 23.2 226.7 14 193 +1976 1 19 12 15 BERYL 42.6 297.8 10 733 +1953 3 16 6 19 ALBERTO 18.3 324.1 24 657 +1984 7 17 12 11 JOYCE 25.8 304.9 144 731 +1952 3 22 0 5 VALERIE 44.9 74.9 79 806 +1970 6 10 0 15 ERNESTO 58.0 111.4 88 175 +1960 1 20 18 3 PATTY 66.5 264.0 79 370 +1973 2 14 6 27 HELENE 47.2 285.9 126 14 +1982 10 9 12 4 WILLIAM 12.5 116.5 49 532 +1993 8 21 18 7 CHRIS 58.6 49.9 144 108 +1994 12 27 6 25 JOYCE 20.0 122.1 66 518 +1962 9 5 18 2 ERNESTO 15.8 82.2 22 94 +1998 8 22 6 20 PATTY 62.7 90.9 142 305 +2001 5 27 18 1 JOYCE 9.7 181.5 37 342 +1955 4 1 12 12 LESLIE 19.7 13.5 70 176 +2004 5 2 18 25 MICHAEL 15.2 249.1 20 391 +1979 3 25 0 16 CHRIS 37.2 199.3 148 74 +1955 2 22 18 15 BERYL 48.5 51.6 84 317 +1956 5 27 12 15 ISAAC 51.5 56.6 60 220 +2003 1 23 0 25 GORDON 10.8 211.9 58 714 +1988 5 20 6 17 JOYCE 20.6 27.1 64 345 +1988 3 3 18 20 TONY 28.8 75.0 68 176 +1989 5 10 18 5 CHRIS 34.3 126.8 89 878 +1972 1 19 12 18 JOYCE 10.5 66.3 133 537 +1979 12 23 6 1 ISAAC 68.1 81.9 143 707 +1969 10 16 12 19 ERNESTO 34.4 230.8 14 407 +1986 4 28 0 22 GORDON 46.3 135.5 155 174 +1980 5 15 12 23 CHRIS 43.9 292.1 24 254 +1992 3 4 0 14 PATTY 33.0 129.3 68 644 +1999 3 19 12 11 CHRIS 67.4 331.4 38 131 +1994 5 3 6 14 CHRIS 44.2 286.6 146 376 +1990 3 5 6 1 JOYCE 21.8 335.9 107 645 +1957 11 4 6 2 VALERIE 42.7 61.2 41 748 +1974 3 16 6 25 BERYL 60.8 168.8 44 228 +1977 9 25 6 20 HELENE 65.8 103.2 94 770 +1970 10 9 18 17 GORDON 11.2 119.6 130 450 +1997 7 1 0 4 LESLIE 38.1 30.4 94 484 +1976 6 12 6 3 SANDY 21.9 123.7 118 61 +2003 9 17 6 19 WILLIAM 54.4 211.0 122 82 +1963 7 28 0 24 ISAAC 57.8 31.7 41 369 +1958 4 21 0 16 KIRK 38.6 292.0 113 804 +1989 7 24 0 19 ISAAC 33.9 142.8 161 307 +1984 10 22 18 10 ISAAC 29.2 34.1 42 127 +1999 7 14 0 25 ALBERTO 17.1 77.6 143 149 +1992 6 16 6 16 VALERIE 64.0 14.8 97 619 +1971 7 20 12 28 PATTY 45.3 355.3 10 271 +1962 6 26 18 22 SANDY 42.7 176.1 20 395 +1963 8 27 12 21 ERNESTO 54.1 205.8 139 746 +1966 8 16 0 23 SANDY 37.9 199.9 106 414 +1978 3 13 0 11 WILLIAM 32.0 104.1 80 619 +1960 4 19 12 21 RAFAEL 53.8 278.7 74 68 +2000 6 11 0 23 PATTY 62.3 20.0 20 297 +1984 3 21 6 6 LESLIE 30.7 348.7 90 392 +2001 3 7 0 10 JOYCE 48.1 61.5 16 415 +1980 8 14 12 24 JOYCE 59.2 238.5 100 3 +1951 5 20 6 27 VALERIE 14.4 237.8 66 556 +1976 3 25 6 6 ERNESTO 49.8 184.5 11 411 +1978 3 10 0 10 ISAAC 37.7 45.3 20 795 +2004 1 17 0 24 BERYL 37.8 76.0 148 32 +1989 5 6 18 7 WILLIAM 7.7 222.7 112 515 +1983 2 26 12 21 PATTY 61.4 271.2 143 879 +1988 12 3 6 9 KIRK 62.3 328.4 138 49 +2003 9 26 12 13 MICHAEL 10.4 127.3 106 572 +1988 2 10 12 15 DEBBY 39.5 34.4 63 859 +1981 1 7 18 1 ISAAC 40.0 51.0 121 301 +1966 5 11 0 8 JOYCE 18.1 159.5 37 709 +1981 2 3 18 9 GORDON 68.0 291.7 114 461 +1985 10 14 6 12 NADINE 63.5 212.9 86 196 +1958 4 15 6 6 HELENE 36.4 145.6 60 743 +1998 9 5 0 5 FLORENCE 60.9 347.0 111 668 +1987 9 1 18 21 FLORENCE 53.5 71.5 111 708 +1973 8 7 12 10 GORDON 44.7 247.7 95 788 +1983 6 18 18 1 ISAAC 43.4 187.8 50 483 +1957 7 15 12 16 FLORENCE 61.6 262.1 14 735 +1982 8 28 18 21 GORDON 25.7 81.9 120 511 +1968 7 11 12 19 ALBERTO 50.5 39.7 25 470 +1965 3 6 12 24 DEBBY 62.6 112.3 137 526 +1961 11 6 12 3 CHRIS 33.2 45.7 76 215 +1980 3 25 0 24 ERNESTO 49.5 269.6 107 94 +1973 11 2 6 27 ALBERTO 33.2 33.3 22 224 +1978 11 27 6 7 GORDON 50.7 67.9 108 420 +1985 12 19 0 17 FLORENCE 66.6 291.1 76 187 +1972 2 18 0 19 FLORENCE 47.0 317.2 79 217 +1969 4 14 6 8 HELENE 17.2 211.3 154 301 +1955 3 6 0 22 DEBBY 64.6 319.6 163 533 +1967 9 4 0 27 FLORENCE 9.8 207.5 96 504 +1980 10 26 18 6 WILLIAM 43.0 96.1 120 181 +1966 9 14 12 1 OSCAR 58.3 243.0 13 735 +1970 9 3 6 17 HELENE 36.8 335.3 147 449 +1954 2 18 0 11 ERNESTO 46.0 234.9 69 158 +1967 1 9 12 15 ALBERTO 36.3 246.0 90 223 +1951 11 22 6 2 ERNESTO 15.8 190.1 157 793 +1970 5 20 0 9 WILLIAM 37.8 258.0 102 414 +1965 6 26 6 18 SANDY 43.1 290.3 70 701 +1955 12 4 12 4 GORDON 36.6 108.2 32 30 +1963 1 16 6 28 KIRK 39.4 24.7 88 114 +1971 4 10 6 14 KIRK 60.0 78.7 113 477 +1970 7 20 18 9 TONY 13.9 74.5 128 331 +1985 6 17 0 22 OSCAR 56.3 130.9 87 816 +1953 12 2 0 23 RAFAEL 8.2 320.3 85 58 +1980 12 8 12 9 OSCAR 14.1 142.0 57 357 +1952 8 25 18 4 BERYL 58.3 238.6 112 326 +1999 1 5 12 14 VALERIE 38.1 161.0 23 829 +2004 7 26 6 21 VALERIE 21.0 256.8 58 7 +2000 1 6 18 9 ISAAC 36.5 56.0 48 56 +1961 9 27 0 1 DEBBY 35.1 169.3 45 565 +1975 7 11 0 21 VALERIE 46.0 32.1 114 247 +1972 8 9 18 14 LESLIE 58.1 355.1 64 279 +2000 3 22 6 2 OSCAR 64.9 228.0 128 867 +1978 6 4 6 19 TONY 21.3 337.2 28 370 +1991 5 15 18 3 FLORENCE 19.1 144.2 151 599 +1968 7 21 0 12 PATTY 11.9 111.7 88 393 +1971 1 13 6 13 PATTY 63.7 180.7 160 216 +1980 4 23 18 24 WILLIAM 24.3 293.2 58 636 +1987 6 23 18 5 WILLIAM 65.7 257.4 112 458 +1956 3 15 0 9 PATTY 31.0 105.0 130 201 +1983 8 27 12 11 HELENE 55.8 219.7 59 849 +1969 6 3 18 3 NADINE 36.6 243.2 118 3 +1989 5 25 18 11 OSCAR 21.3 55.5 152 615 +1974 8 10 18 20 ISAAC 20.7 133.1 62 597 +1976 2 10 18 17 ALBERTO 14.9 209.4 104 120 +1979 3 16 6 22 ISAAC 45.4 100.1 120 336 +1992 7 14 12 6 BERYL 26.2 260.5 150 562 +1979 7 14 0 22 OSCAR 48.3 235.5 46 246 +1968 7 18 6 20 RAFAEL 67.5 340.3 106 835 +1999 5 22 6 19 OSCAR 37.0 308.1 132 757 +1954 7 7 6 11 VALERIE 21.3 68.7 41 469 +1975 7 16 18 21 PATTY 44.1 306.5 79 570 +1972 6 16 6 11 KIRK 16.9 82.0 43 292 +1970 9 24 12 10 VALERIE 43.4 348.8 42 122 +1951 9 15 6 4 SANDY 10.6 233.5 10 836 +1990 8 3 6 28 KIRK 30.2 138.2 26 828 +1958 5 3 18 3 VALERIE 30.5 210.2 22 315 +1950 2 13 12 8 SANDY 25.7 99.3 18 660 +2001 11 25 6 8 LESLIE 55.3 298.6 106 316 +1965 11 17 0 28 GORDON 38.3 213.3 126 590 +1957 8 22 12 9 KIRK 34.4 293.3 163 302 +1957 3 8 12 27 NADINE 64.8 264.7 111 305 +1961 10 26 0 2 ISAAC 42.9 216.7 17 2 +1960 3 26 18 10 HELENE 16.4 241.5 127 745 +1962 2 8 0 21 HELENE 22.7 323.6 130 104 +1993 5 27 18 20 VALERIE 66.0 62.3 133 245 +2004 10 19 18 28 VALERIE 41.6 307.9 88 439 +1984 11 14 0 9 CHRIS 24.8 74.2 133 810 +1950 6 7 6 12 TONY 53.3 157.7 15 642 +1959 11 27 6 11 ALBERTO 45.4 157.4 107 793 +1978 6 8 18 4 KIRK 55.5 219.8 144 360 +1998 8 28 18 21 OSCAR 19.8 76.6 66 254 +1958 6 3 0 4 DEBBY 64.7 280.0 72 35 +1989 1 20 6 12 CHRIS 25.6 298.7 163 360 +1972 5 24 12 5 ISAAC 26.7 277.9 55 813 +1985 6 2 12 26 DEBBY 49.0 274.3 92 203 +1970 1 11 12 26 CHRIS 67.1 97.0 36 806 +1964 4 27 18 15 BERYL 36.4 216.2 138 460 +1989 6 6 6 12 KIRK 67.1 196.7 11 221 +1958 6 17 12 8 TONY 40.4 83.5 114 556 +1950 6 18 18 24 OSCAR 63.0 272.7 119 57 +1962 11 7 0 2 LESLIE 49.5 12.2 10 758 +1975 10 8 12 5 RAFAEL 56.0 28.2 86 725 +1965 8 22 6 16 JOYCE 57.0 332.7 27 575 +1995 7 22 0 21 TONY 66.3 315.7 117 0 +1970 1 26 0 16 JOYCE 45.3 228.7 104 515 +2000 8 4 0 12 SANDY 62.6 278.8 23 42 +1985 4 19 12 17 MICHAEL 44.9 17.6 48 797 +1960 4 5 0 11 ISAAC 7.5 73.3 19 23 +1969 12 25 6 17 ISAAC 14.1 74.9 114 240 +1990 5 20 0 21 PATTY 52.4 332.0 109 335 +1975 10 20 6 5 DEBBY 65.0 82.7 99 611 +1986 10 11 6 28 JOYCE 58.7 259.8 92 101 +1997 5 14 18 14 HELENE 14.9 265.1 135 644 +1957 2 21 18 15 WILLIAM 22.8 306.9 101 589 +1951 4 24 12 25 ALBERTO 50.3 244.6 164 263 +1970 1 4 12 3 NADINE 33.5 137.0 99 607 +1983 8 4 12 25 WILLIAM 42.7 45.1 10 695 +1968 11 22 18 11 SANDY 68.2 248.9 133 846 +1992 3 5 18 2 LESLIE 57.4 59.1 133 717 +2001 12 7 6 12 FLORENCE 31.8 219.9 24 738 +1960 4 4 6 26 SANDY 11.5 168.7 105 103 +1966 6 5 18 24 ALBERTO 34.1 103.6 148 542 +1954 11 11 0 17 FLORENCE 16.6 289.0 66 550 +1963 2 22 18 1 OSCAR 50.2 75.7 26 797 +1995 2 15 0 9 VALERIE 50.5 165.3 160 207 +1960 4 9 6 5 MICHAEL 24.6 247.3 114 108 +1998 3 3 12 9 VALERIE 29.6 254.8 108 433 +1983 2 7 12 11 MICHAEL 36.0 132.2 40 534 +1996 3 21 0 4 SANDY 63.3 299.2 160 423 +1984 7 10 12 1 WILLIAM 57.5 227.2 127 123 +1988 4 5 12 23 OSCAR 38.2 181.3 131 824 +1969 5 25 0 3 KIRK 27.1 128.6 68 452 +1991 10 12 12 3 ERNESTO 47.9 67.0 100 406 +1951 9 14 6 10 PATTY 53.4 15.0 70 371 +1961 6 24 0 15 HELENE 57.2 116.5 75 824 +1987 3 3 12 9 VALERIE 63.1 189.8 137 348 +1963 8 19 0 6 KIRK 12.4 73.7 123 444 +1992 11 16 18 8 ERNESTO 48.7 133.3 27 887 +1967 12 25 18 10 FLORENCE 48.8 306.0 79 782 +1972 4 20 18 27 SANDY 65.0 61.5 64 98 +1953 10 4 18 12 NADINE 45.7 166.1 43 477 +1986 12 22 12 9 ISAAC 21.8 7.8 143 19 +1991 5 27 0 7 DEBBY 55.0 338.2 21 377 +1964 12 10 0 27 DEBBY 54.4 354.4 45 516 +1956 10 7 6 18 JOYCE 56.4 251.0 113 466 +1977 10 25 12 28 HELENE 58.6 150.5 107 266 +1963 5 26 12 20 RAFAEL 63.9 240.4 151 563 +1966 7 6 0 23 WILLIAM 51.7 266.0 144 49 +1951 4 13 18 13 NADINE 47.7 53.5 119 735 +1992 4 12 18 9 DEBBY 67.8 349.3 25 685 +2002 3 28 6 1 TONY 11.9 34.8 61 567 +1981 6 6 6 25 BERYL 19.6 325.9 81 565 +1950 3 22 12 19 PATTY 35.3 15.0 105 369 +1965 11 1 0 9 KIRK 49.8 176.4 163 800 +1956 4 10 18 15 HELENE 26.9 324.1 71 188 +1957 4 3 0 15 LESLIE 14.6 86.5 95 636 +1953 7 27 12 8 OSCAR 41.3 292.0 93 786 +2004 6 1 12 8 RAFAEL 30.4 109.9 153 749 +1959 2 26 18 12 CHRIS 65.6 237.7 162 70 +1961 6 16 0 6 WILLIAM 64.9 272.0 40 65 +1987 11 22 12 5 OSCAR 13.2 331.0 116 598 +1970 4 16 0 26 FLORENCE 27.8 163.8 152 844 +1956 10 10 0 1 ALBERTO 13.4 13.5 59 595 +1954 2 24 12 19 BERYL 26.3 291.5 84 142 +2001 8 5 6 2 GORDON 43.9 169.6 105 367 +1954 11 7 18 6 BERYL 21.5 163.9 118 223 +1985 9 28 6 20 WILLIAM 36.5 209.4 43 180 +1990 8 12 0 3 ERNESTO 38.5 177.7 52 462 +1964 6 16 12 8 TONY 61.2 313.2 152 514 +1964 8 20 0 12 GORDON 10.7 269.6 26 515 +1996 5 11 0 11 VALERIE 9.7 157.6 109 120 +1971 3 24 0 24 BERYL 15.3 324.8 158 361 +1983 9 23 6 13 CHRIS 58.6 217.0 90 25 +1994 11 21 18 2 MICHAEL 9.4 300.2 23 591 +2003 7 25 0 1 BERYL 59.3 189.8 115 553 +1956 7 13 12 13 TONY 26.9 40.8 159 251 +1985 3 10 6 1 LESLIE 24.2 288.1 31 879 +1961 4 20 12 16 VALERIE 38.3 325.2 41 763 +1999 4 23 6 20 TONY 53.4 9.6 151 679 +1951 8 14 0 17 PATTY 43.7 121.6 32 206 +1955 4 20 18 28 CHRIS 7.1 340.4 60 705 +1993 12 26 6 21 PATTY 57.6 348.2 115 882 +1969 3 1 0 10 DEBBY 66.9 177.4 64 66 +1981 4 17 18 21 RAFAEL 46.5 228.1 84 847 +1982 12 14 18 18 ERNESTO 12.4 287.6 60 548 +2001 11 7 6 1 LESLIE 48.0 341.1 139 229 +1981 1 28 12 22 ERNESTO 45.0 24.5 163 771 +1998 1 15 12 7 RAFAEL 21.1 48.0 60 308 +1954 6 10 6 11 PATTY 38.5 267.3 136 818 +1971 11 10 12 18 FLORENCE 69.5 229.5 85 765 +1981 11 18 12 28 TONY 40.0 182.2 153 278 +1970 7 25 6 9 GORDON 39.0 204.7 31 495 +1986 6 1 18 18 RAFAEL 49.8 267.6 90 308 +1963 2 19 18 1 BERYL 18.4 244.2 157 372 +2001 3 5 0 24 ERNESTO 62.5 36.1 142 50 +1958 3 19 0 28 ALBERTO 12.5 85.5 158 166 +1959 1 4 0 22 TONY 52.5 166.3 32 463 +1972 1 28 6 13 CHRIS 66.3 301.0 20 759 +1981 4 19 18 14 JOYCE 39.5 199.1 36 530 +1991 8 7 6 13 PATTY 54.8 32.2 58 590 +2000 1 22 6 6 BERYL 29.7 329.9 33 848 +1972 8 27 6 12 SANDY 54.1 184.3 109 828 +1950 4 21 12 8 TONY 31.7 280.4 64 508 +1972 4 4 0 19 MICHAEL 46.2 58.8 38 227 +2001 1 4 0 27 DEBBY 7.7 146.1 146 203 +2003 9 1 6 6 OSCAR 64.2 13.5 57 855 +2002 12 7 6 3 MICHAEL 20.1 33.4 108 46 +1973 7 21 6 23 GORDON 41.8 31.4 111 891 +1987 4 22 0 24 BERYL 27.3 55.7 78 829 +2002 2 28 0 5 PATTY 51.6 185.1 159 361 +1978 1 23 6 22 CHRIS 35.1 237.5 56 722 +1987 4 19 6 14 LESLIE 53.8 28.3 99 841 +1974 10 11 6 9 CHRIS 69.0 33.1 61 534 +1988 7 15 6 13 DEBBY 23.5 72.2 121 199 +1983 6 11 12 23 CHRIS 8.7 76.7 102 763 +1958 5 16 0 6 HELENE 52.1 62.9 96 348 +1967 4 8 12 14 VALERIE 24.3 234.7 21 546 +1969 4 12 18 6 PATTY 60.0 32.6 127 97 +1993 4 21 18 18 RAFAEL 66.9 302.1 17 231 +2001 1 3 6 17 VALERIE 22.9 294.4 93 859 +1957 6 20 18 4 BERYL 17.9 275.9 15 480 +1965 1 6 18 8 ERNESTO 60.2 346.9 114 468 +1968 1 14 6 12 ISAAC 62.3 290.9 158 289 +1980 3 20 6 4 FLORENCE 29.8 200.3 92 607 +2001 6 12 18 23 DEBBY 19.6 15.6 105 876 +1999 11 23 18 26 NADINE 55.7 344.5 23 573 +1970 3 2 18 6 CHRIS 65.9 272.9 59 744 +1976 3 18 0 19 ISAAC 20.7 319.9 96 614 +1960 7 18 18 18 TONY 62.2 9.0 23 58 +1953 8 22 6 6 ERNESTO 13.6 170.8 107 280 +1968 1 9 12 19 BERYL 16.6 93.0 46 70 +1986 5 12 6 28 PATTY 54.1 254.6 126 647 +1973 5 13 12 15 OSCAR 9.4 115.0 38 39 +1981 2 24 12 23 LESLIE 11.3 291.6 14 10 +1962 7 9 6 24 JOYCE 12.0 323.5 92 657 +1988 10 9 12 17 ERNESTO 37.2 154.8 15 233 +1981 10 24 18 18 VALERIE 8.6 15.2 21 552 +1992 10 5 6 7 SANDY 39.3 123.2 83 311 +1988 7 5 18 12 WILLIAM 38.0 234.0 117 886 +1997 2 3 0 28 DEBBY 21.4 267.1 31 843 +1951 11 7 12 22 MICHAEL 26.7 275.5 48 697 +1981 7 1 18 23 ALBERTO 49.1 254.1 34 128 +1988 4 26 6 1 GORDON 49.6 334.2 116 80 +1989 3 11 12 28 TONY 28.5 119.1 26 733 +1963 12 4 0 4 NADINE 38.1 142.3 24 392 +1952 9 20 0 27 TONY 8.4 274.5 146 841 +1967 10 4 12 10 GORDON 47.1 189.9 129 158 +1982 2 3 0 15 DEBBY 67.6 209.0 70 457 +1973 6 22 6 25 BERYL 39.1 158.2 41 134 +1999 7 20 0 10 LESLIE 27.3 247.7 19 403 +1965 2 9 12 5 JOYCE 65.2 296.8 138 647 +1986 8 24 0 9 OSCAR 38.0 34.8 82 413 +1958 10 4 12 3 VALERIE 69.3 310.7 136 710 +2000 1 27 6 2 DEBBY 7.3 319.8 111 886 +1976 9 13 6 27 ERNESTO 12.6 186.9 156 782 +1960 9 21 0 7 PATTY 23.6 215.7 41 119 +1993 8 5 0 11 GORDON 23.5 19.5 52 121 +2001 12 17 6 21 HELENE 9.1 172.3 30 104 +1979 6 22 12 10 PATTY 43.5 143.1 107 689 +1952 4 14 18 15 ALBERTO 23.8 86.4 100 853 +1957 8 8 12 24 ALBERTO 39.8 207.0 132 728 +2003 1 22 18 3 ERNESTO 14.3 157.5 131 223 +1981 12 23 18 25 BERYL 13.6 338.8 106 33 +1980 5 7 6 9 FLORENCE 7.0 275.0 20 340 +1995 4 20 12 18 CHRIS 30.2 32.7 40 464 +1977 5 13 0 13 DEBBY 42.4 353.8 132 565 +2003 5 6 12 8 KIRK 58.6 76.1 116 77 +1975 8 26 18 28 TONY 23.3 98.2 156 172 +2003 1 11 18 1 LESLIE 18.0 340.3 40 585 +2001 11 5 12 12 NADINE 59.6 245.9 112 308 +2001 7 22 6 15 SANDY 20.7 113.2 115 255 +1971 12 17 0 26 BERYL 67.6 182.9 40 885 +1995 6 21 0 7 ISAAC 44.7 46.6 49 618 +1963 7 22 6 20 SANDY 28.0 195.1 70 312 +1998 11 24 18 24 WILLIAM 44.8 267.5 11 629 +1972 1 21 12 23 TONY 28.5 284.0 161 454 +1971 2 24 6 27 BERYL 16.6 26.2 67 213 +1962 11 21 6 24 GORDON 53.1 66.8 55 806 +1965 9 18 6 7 VALERIE 16.2 39.8 147 609 +1973 3 9 0 24 BERYL 60.4 300.2 132 7 +1964 1 10 12 11 CHRIS 8.6 61.4 151 896 +1954 10 21 18 17 ERNESTO 44.8 252.9 86 615 +1989 2 13 12 4 VALERIE 57.5 248.8 93 676 +1997 10 26 6 16 PATTY 29.2 42.4 140 564 +1986 7 28 0 19 LESLIE 50.7 355.9 70 694 +1999 5 21 6 16 PATTY 20.8 345.0 44 493 +1993 3 1 12 1 BERYL 57.2 216.6 135 178 +1998 10 23 18 22 RAFAEL 54.4 351.7 82 612 +1960 9 13 6 12 HELENE 32.2 203.7 59 32 +2001 7 9 0 25 VALERIE 28.8 96.9 84 416 +1951 6 9 6 28 VALERIE 16.1 352.1 150 141 +1985 2 27 12 28 BERYL 67.4 96.0 42 853 +1950 10 2 18 26 DEBBY 41.7 48.2 138 421 +1985 10 28 0 28 NADINE 57.1 285.0 128 238 +1953 12 10 18 23 ALBERTO 34.2 241.8 132 766 +1953 1 20 0 25 LESLIE 18.0 263.2 101 46 +1986 1 5 6 2 ERNESTO 7.3 188.1 31 626 +2001 7 21 6 1 FLORENCE 53.0 186.3 71 82 +1960 9 5 6 10 ALBERTO 12.9 39.7 83 308 +1959 1 5 6 26 NADINE 23.2 225.5 103 453 +1983 3 20 0 22 KIRK 67.8 155.1 24 576 +1955 12 24 6 4 FLORENCE 64.2 165.4 153 126 +1985 5 12 6 25 DEBBY 47.6 271.1 60 538 +1961 5 6 18 24 LESLIE 67.5 311.9 107 500 +2004 9 18 0 15 GORDON 32.0 161.6 74 223 +1963 8 5 6 26 TONY 35.7 244.7 159 117 +1950 1 23 0 8 TONY 56.8 199.0 112 527 +1985 7 7 18 5 KIRK 59.0 236.7 127 383 +1971 7 5 12 20 LESLIE 66.1 300.4 79 65 +1968 8 17 18 25 RAFAEL 59.6 208.2 30 458 +1952 2 19 12 25 GORDON 25.1 226.8 115 634 +1967 7 1 6 1 TONY 54.0 344.7 120 746 +2000 12 4 6 13 ERNESTO 31.2 320.2 87 296 +1966 4 21 0 10 HELENE 47.5 342.2 113 434 +1968 8 21 0 7 VALERIE 54.0 254.7 153 781 +1975 6 14 0 20 ALBERTO 68.6 223.7 153 276 +1973 5 9 12 28 OSCAR 56.1 29.8 164 323 +1961 12 22 6 18 VALERIE 10.9 271.3 75 116 +1978 2 22 18 10 ISAAC 22.6 36.1 10 81 +1964 4 15 18 16 MICHAEL 31.6 145.4 73 492 +1987 5 14 0 9 ISAAC 44.8 205.4 50 220 +1985 9 27 12 13 HELENE 43.9 211.6 28 568 +1956 9 14 0 16 VALERIE 9.0 139.8 137 280 +1988 11 1 12 24 LESLIE 48.6 206.8 38 49 +1978 9 16 18 15 PATTY 38.8 261.2 120 440 +1974 10 20 18 27 OSCAR 57.8 134.2 65 619 +1957 8 11 6 17 LESLIE 42.0 62.2 142 134 +1967 3 15 18 28 ERNESTO 10.6 98.7 128 642 +1957 11 13 0 11 OSCAR 9.6 340.3 32 885 +1980 11 9 12 28 TONY 39.5 235.1 61 216 +1969 10 1 12 21 LESLIE 44.0 333.6 19 848 +1953 6 28 12 9 GORDON 61.6 237.5 80 448 +1979 9 11 18 24 PATTY 41.4 141.3 61 102 +1981 1 4 0 18 MICHAEL 49.8 84.5 159 218 +1958 10 1 18 25 LESLIE 31.1 230.2 78 168 +1986 10 14 18 1 DEBBY 60.4 189.5 48 690 +1956 8 14 18 3 NADINE 28.3 351.6 51 456 +1962 7 23 6 5 SANDY 19.7 229.9 150 157 +2004 4 21 6 1 NADINE 11.0 168.4 55 648 +1993 2 1 12 27 DEBBY 19.8 198.4 38 54 +1959 7 10 6 14 LESLIE 44.1 68.2 49 198 +1987 6 24 6 18 TONY 20.5 330.5 110 890 +1969 12 6 0 1 KIRK 38.2 330.8 19 681 +1997 2 19 6 21 TONY 51.4 8.0 38 806 +1973 8 6 18 2 WILLIAM 40.3 228.5 43 26 +1986 3 20 6 22 DEBBY 36.4 228.8 103 530 +2004 5 19 6 4 OSCAR 11.8 12.1 139 255 +1968 11 8 12 18 OSCAR 8.5 46.7 129 542 +1954 10 3 12 2 HELENE 25.4 345.4 146 335 +1970 6 14 0 15 LESLIE 69.0 82.0 41 658 +1956 5 9 6 24 ERNESTO 40.9 91.9 33 279 +1953 8 21 0 11 RAFAEL 41.1 169.0 151 650 +1976 9 5 12 7 VALERIE 12.8 25.1 52 723 +1950 9 4 12 23 VALERIE 51.9 285.6 153 27 +1957 6 1 6 18 TONY 46.8 74.9 66 119 +1968 3 19 18 4 NADINE 65.1 232.5 119 626 +1986 5 1 18 19 CHRIS 41.9 8.9 104 590 +1956 2 6 0 2 VALERIE 66.3 198.4 35 857 +1990 10 15 6 23 TONY 63.0 303.1 39 476 +1950 12 16 18 11 KIRK 65.5 83.5 31 198 +1978 2 28 0 19 ALBERTO 37.3 86.0 90 478 +1988 4 16 12 24 JOYCE 68.5 99.5 151 82 +1958 10 25 18 13 NADINE 23.9 35.7 52 681 +1984 12 14 18 8 TONY 37.3 278.0 73 80 +1960 8 14 6 14 ERNESTO 52.6 319.9 95 119 +1962 9 26 0 6 BERYL 49.5 205.6 109 534 +1979 7 6 6 25 ALBERTO 9.1 246.1 162 567 +1986 6 27 0 1 ISAAC 21.9 115.4 153 511 +1971 8 17 18 2 ISAAC 47.0 255.7 110 15 +1954 8 13 0 15 ISAAC 43.5 214.0 123 221 +1952 11 7 6 16 PATTY 43.2 47.8 135 40 +1977 1 19 18 8 HELENE 35.3 76.4 155 502 +1990 7 13 12 1 MICHAEL 49.5 344.0 96 508 +1976 10 4 6 2 SANDY 63.6 97.9 35 178 +1959 12 9 0 27 FLORENCE 42.2 278.5 93 576 +1958 11 13 12 17 GORDON 9.5 16.9 61 587 +1957 6 22 18 1 SANDY 15.1 301.2 161 4 +1972 3 17 0 6 WILLIAM 24.3 62.9 44 434 +1957 4 22 6 26 ERNESTO 65.7 83.6 101 350 +1976 4 19 6 22 RAFAEL 35.5 259.4 128 134 +1967 11 10 18 24 OSCAR 9.2 55.9 151 859 +1963 8 14 0 17 DEBBY 29.4 52.5 160 79 +1986 11 14 6 8 NADINE 36.2 323.0 10 535 +1977 6 14 0 28 VALERIE 33.3 328.3 36 551 +1990 10 8 6 7 MICHAEL 54.0 318.5 149 173 +1967 9 15 0 26 DEBBY 65.3 184.8 36 10 +1995 1 5 18 21 ALBERTO 27.6 143.3 159 247 +1994 10 23 18 19 BERYL 51.1 118.6 116 643 +1974 8 24 12 9 OSCAR 32.2 191.7 121 596 +1988 2 20 6 3 LESLIE 57.2 343.5 36 419 +1954 12 19 18 26 LESLIE 35.4 326.8 113 608 +1974 1 13 0 14 MICHAEL 49.2 289.5 36 708 +1986 9 16 0 12 FLORENCE 24.8 273.6 140 154 +1980 6 5 18 19 WILLIAM 60.4 196.1 55 26 +1953 12 25 6 7 WILLIAM 57.3 334.7 18 591 +1963 3 9 18 5 KIRK 29.0 59.0 17 515 +1994 6 23 12 2 CHRIS 62.0 294.5 53 71 +1974 7 2 0 12 WILLIAM 39.8 272.6 111 98 +2000 12 23 0 14 ERNESTO 7.3 136.6 164 875 +1987 3 16 0 15 WILLIAM 59.8 96.0 111 346 +1982 3 1 6 10 HELENE 25.6 64.3 114 593 +1984 5 21 0 23 BERYL 35.6 109.9 71 242 +1999 5 25 18 21 OSCAR 27.3 51.1 164 26 +1999 10 8 18 16 RAFAEL 40.9 255.6 63 493 +1981 11 28 6 26 OSCAR 64.9 213.6 145 765 +1977 3 18 18 9 FLORENCE 8.5 86.6 128 696 +1991 3 27 0 27 SANDY 14.5 24.5 139 795 +1995 9 25 12 28 RAFAEL 59.3 131.7 125 60 +1962 4 12 0 5 BERYL 28.8 232.0 160 103 +1971 3 12 6 16 VALERIE 19.2 244.5 83 327 +2001 10 10 12 19 RAFAEL 28.5 156.5 78 291 +1976 4 13 0 27 SANDY 62.1 255.7 77 414 +1997 4 7 12 6 JOYCE 11.6 278.2 96 552 +1963 12 4 0 14 GORDON 57.1 231.6 78 247 +1957 4 25 0 18 JOYCE 61.0 202.7 71 235 +1981 8 16 18 23 NADINE 47.4 16.7 11 277 +1957 5 6 12 4 LESLIE 60.4 99.0 43 843 +1978 11 23 0 16 ALBERTO 42.5 137.2 32 497 +2003 1 2 18 16 KIRK 40.7 184.0 41 653 +1988 6 26 6 20 DEBBY 21.0 203.2 145 403 +2002 9 9 6 16 DEBBY 22.4 23.9 44 124 +1974 6 18 12 3 NADINE 56.0 335.3 138 452 +1953 12 14 6 14 HELENE 12.8 176.0 103 418 +1982 9 21 6 8 RAFAEL 59.8 270.2 142 408 +1996 7 3 0 28 ERNESTO 21.7 130.8 69 62 +1992 6 23 18 22 KIRK 43.0 49.3 37 207 +1999 6 12 0 9 FLORENCE 61.7 261.2 52 776 +1976 1 21 6 23 MICHAEL 46.2 231.2 23 37 +1983 8 12 0 22 MICHAEL 40.9 266.6 107 128 +1995 10 17 12 13 RAFAEL 50.8 171.4 160 189 +1997 11 21 0 1 LESLIE 41.6 195.8 118 342 +1984 6 19 18 21 NADINE 13.0 197.1 40 827 +1959 7 26 12 18 DEBBY 39.8 160.4 67 246 +1970 1 24 18 14 OSCAR 24.5 168.4 93 434 +1981 3 4 6 3 TONY 40.8 184.6 130 207 +2000 12 3 0 4 JOYCE 63.3 191.2 59 806 +1984 12 15 6 4 DEBBY 63.6 50.5 95 898 +1952 11 16 0 1 BERYL 68.9 156.9 109 297 +1981 5 20 0 23 RAFAEL 55.7 97.9 31 806 +1981 10 4 12 28 RAFAEL 11.6 93.3 82 559 +1995 10 6 0 27 LESLIE 31.2 2.3 137 232 +2004 4 14 18 13 WILLIAM 25.8 296.5 94 296 +1957 3 6 6 14 CHRIS 43.5 214.7 17 666 +1965 6 24 12 2 HELENE 44.2 262.6 142 476 +1978 4 24 0 16 DEBBY 12.9 109.4 30 64 +1986 11 21 6 5 TONY 20.4 110.2 34 494 +1959 10 1 18 19 HELENE 20.9 320.3 134 244 +1984 8 6 18 18 FLORENCE 36.0 321.9 141 829 +1996 11 2 0 6 TONY 50.3 301.3 99 779 +1953 9 10 0 5 VALERIE 43.7 219.9 162 580 +1966 1 15 6 9 CHRIS 27.0 177.5 56 789 +1964 10 11 12 28 BERYL 34.7 161.2 77 423 +1991 4 20 12 13 FLORENCE 25.1 281.8 129 387 +1981 1 8 0 10 ISAAC 39.5 346.3 97 771 +1982 6 27 6 17 FLORENCE 24.1 141.0 114 380 +1997 12 13 12 12 DEBBY 57.3 24.4 81 87 +1962 7 26 18 22 WILLIAM 9.0 17.4 123 713 +1958 5 5 18 13 NADINE 51.5 50.8 82 607 +1997 8 24 6 21 JOYCE 17.8 350.0 19 743 +1958 7 4 18 9 KIRK 65.3 332.2 102 472 +1984 11 12 18 8 MICHAEL 21.2 14.5 67 608 +1965 12 13 0 13 TONY 44.2 126.4 162 358 +1997 12 2 12 13 BERYL 56.0 297.0 160 301 +2002 11 20 12 21 BERYL 19.7 4.1 159 49 +1960 4 28 6 3 SANDY 61.6 276.1 111 61 +1969 7 5 0 20 PATTY 14.5 289.6 139 798 +1990 11 6 12 6 LESLIE 65.9 296.4 163 310 +1995 10 24 6 28 VALERIE 8.1 307.3 58 400 +1995 7 7 18 23 DEBBY 34.8 129.4 99 60 +1994 11 5 18 14 PATTY 41.4 193.0 76 242 +1984 6 20 6 8 HELENE 33.8 331.8 118 576 +1998 7 17 0 10 ERNESTO 39.3 8.2 22 843 +1986 5 26 18 2 RAFAEL 59.5 283.8 64 589 +1986 9 11 6 24 ISAAC 13.1 262.8 22 415 +1999 3 4 18 8 OSCAR 36.5 216.4 143 344 +1985 12 22 12 9 LESLIE 64.6 276.6 70 419 +1950 12 17 18 26 PATTY 53.9 231.2 59 218 +1990 6 26 12 2 ALBERTO 25.5 227.5 59 407 +1950 5 8 18 3 CHRIS 55.5 341.8 164 284 +1999 7 28 18 8 VALERIE 45.2 194.4 116 151 +1991 4 11 6 19 PATTY 61.8 225.0 92 226 +2000 1 7 18 12 MICHAEL 52.7 31.7 47 547 +1980 9 11 12 4 DEBBY 33.3 260.7 42 288 +1968 4 15 12 8 JOYCE 7.1 17.0 95 832 +1981 11 8 18 2 ISAAC 54.1 232.8 151 45 +1985 2 7 6 25 ERNESTO 12.6 273.9 161 328 +1969 6 16 0 4 WILLIAM 49.1 210.1 130 157 +2002 12 24 0 28 HELENE 9.9 273.2 36 716 +1956 10 16 12 6 HELENE 10.6 355.2 111 468 +1962 7 27 12 7 PATTY 43.3 31.2 120 735 +2001 2 6 6 21 DEBBY 12.3 190.7 69 235 +1974 6 5 6 27 DEBBY 38.2 237.9 46 113 +1991 8 10 18 27 JOYCE 67.4 20.7 32 815 +1982 7 9 12 23 CHRIS 47.8 79.7 110 388 +1952 1 22 12 16 KIRK 46.9 324.9 98 102 +1957 5 7 6 24 SANDY 21.4 43.9 116 247 +1956 9 22 12 18 WILLIAM 63.7 244.1 142 232 +1987 12 4 0 13 PATTY 51.7 327.0 98 336 +1972 2 17 12 3 ALBERTO 47.0 255.6 102 696 +1963 9 18 0 1 MICHAEL 64.0 265.3 118 427 +1997 3 12 6 4 NADINE 48.4 293.9 156 348 +1959 4 24 0 15 KIRK 50.1 56.3 32 370 +1952 3 11 0 14 TONY 47.3 274.6 151 384 +1966 12 5 0 14 ERNESTO 8.3 65.3 33 80 +1957 8 23 18 13 PATTY 37.1 212.3 139 535 +1995 11 17 12 10 CHRIS 68.7 191.3 25 167 +1980 2 18 6 22 FLORENCE 20.2 291.6 117 347 +1954 5 2 6 8 PATTY 20.5 206.7 132 252 +1983 10 25 0 20 RAFAEL 42.1 307.4 162 616 +1997 11 20 18 10 JOYCE 64.1 352.8 130 589 +2004 4 27 18 28 VALERIE 42.9 80.4 46 845 +1963 6 5 0 14 CHRIS 48.3 127.5 138 260 +1976 1 2 0 6 HELENE 48.7 249.9 89 394 +1968 8 25 0 8 BERYL 37.9 279.7 95 325 +1962 1 4 12 17 SANDY 48.5 141.7 68 306 +1999 5 16 18 21 HELENE 34.3 99.6 118 450 +1971 12 15 0 10 RAFAEL 64.3 63.8 109 291 +1975 5 11 6 13 WILLIAM 68.0 152.9 152 52 +1973 2 15 12 20 SANDY 38.3 110.5 73 659 +1954 5 20 6 25 DEBBY 47.5 33.0 84 338 +1966 3 8 18 2 TONY 64.5 165.2 140 646 +1982 4 11 0 4 KIRK 12.7 216.2 50 42 +1962 3 24 12 26 ALBERTO 30.8 274.3 156 269 +1959 8 10 12 26 VALERIE 17.2 61.4 132 698 +1971 7 12 12 2 KIRK 25.7 201.8 57 860 +2003 6 25 12 26 LESLIE 53.3 309.8 41 505 +1980 3 25 12 22 GORDON 53.7 309.8 132 431 +1953 12 22 0 20 CHRIS 8.3 261.4 96 540 +1981 11 1 0 7 LESLIE 52.1 296.0 137 58 +1980 10 12 12 17 FLORENCE 44.5 233.3 60 249 +2001 2 7 12 7 VALERIE 69.6 100.5 69 215 +1980 10 18 18 12 ISAAC 22.4 142.1 53 51 +1990 3 25 18 17 VALERIE 28.4 172.4 38 577 +1953 10 1 6 2 KIRK 16.1 264.7 82 225 +1958 4 1 6 16 PATTY 54.8 304.6 81 753 +1995 10 22 0 9 NADINE 56.9 147.9 121 894 +1960 12 6 0 5 LESLIE 68.4 292.7 44 257 +2002 10 11 0 19 VALERIE 24.9 217.1 58 59 +1998 5 5 12 19 SANDY 35.5 346.7 86 282 +1999 2 3 12 23 SANDY 8.5 254.6 54 638 +1984 4 19 18 18 RAFAEL 34.5 308.8 97 612 +1967 6 1 0 24 CHRIS 11.6 17.5 121 827 +1965 2 6 0 8 NADINE 29.1 24.7 136 559 +1953 2 7 0 6 LESLIE 40.7 130.9 55 83 +1968 4 8 6 21 ISAAC 58.9 250.9 47 413 +1997 12 1 0 5 FLORENCE 30.8 158.1 20 333 +1982 9 22 6 1 VALERIE 38.9 100.5 130 436 +1965 4 9 18 27 NADINE 66.5 326.5 148 484 +1951 5 12 6 19 PATTY 68.6 83.4 130 719 +1955 7 1 0 7 DEBBY 14.3 104.4 25 840 +1961 12 5 6 22 BERYL 62.8 183.3 99 612 +1998 2 24 18 17 NADINE 28.1 43.0 162 600 +1954 7 24 0 27 PATTY 25.6 273.9 158 146 +1975 3 1 0 20 VALERIE 57.0 299.2 12 140 +1994 1 22 12 12 VALERIE 18.8 28.1 106 631 +1951 12 11 12 25 HELENE 59.6 177.9 86 132 +1967 8 20 12 15 DEBBY 45.3 63.1 137 573 +1971 9 23 12 15 GORDON 45.6 23.3 139 656 +1983 10 24 0 11 CHRIS 59.6 159.9 108 847 +1982 7 7 6 14 VALERIE 15.7 11.8 95 873 +1996 8 1 6 16 VALERIE 14.1 246.0 139 553 +1970 11 19 18 6 VALERIE 39.7 209.8 146 521 +1950 10 1 12 15 HELENE 16.9 134.2 150 617 +1969 7 25 6 11 LESLIE 26.4 285.6 21 862 +1975 2 17 6 19 VALERIE 12.5 192.5 70 331 +1978 5 24 18 21 SANDY 11.6 2.1 159 892 +1998 6 9 0 6 TONY 29.6 60.8 72 294 +1974 7 25 12 25 HELENE 17.0 331.0 17 428 +1993 10 4 12 22 PATTY 27.2 80.8 76 101 +1997 12 25 6 22 JOYCE 60.6 266.7 141 239 +1953 7 5 0 23 JOYCE 8.4 287.0 117 555 +1999 7 17 12 21 NADINE 36.3 151.1 133 641 +1998 7 17 18 27 JOYCE 40.2 189.8 33 737 +1987 8 24 6 11 ISAAC 45.5 75.3 101 725 +1953 11 27 6 15 ISAAC 50.4 285.7 64 817 +1983 12 1 12 27 GORDON 21.2 189.9 22 212 +1985 1 17 12 10 SANDY 44.4 105.1 57 419 +1953 2 14 0 20 WILLIAM 52.4 254.8 19 356 +1996 12 11 12 22 TONY 27.8 207.6 29 382 +1955 4 13 12 1 OSCAR 34.7 29.8 46 53 +1979 1 24 0 3 SANDY 28.5 69.5 145 849 +1972 4 4 6 18 TONY 10.5 251.9 52 252 +1954 12 23 6 7 BERYL 38.3 345.3 142 879 +1951 6 7 6 21 JOYCE 12.5 300.7 145 388 +1956 1 24 6 1 JOYCE 20.7 201.7 130 801 +1975 7 2 0 21 RAFAEL 34.1 159.1 106 720 +1975 9 1 0 3 DEBBY 27.2 178.1 88 642 +2001 2 23 18 9 OSCAR 55.0 115.6 145 785 +2000 5 11 0 8 BERYL 46.9 79.5 98 751 +1983 3 24 6 2 BERYL 50.1 301.5 143 533 +1974 11 2 6 9 ERNESTO 49.1 190.8 121 541 +1971 2 3 18 14 MICHAEL 19.0 258.0 89 211 +1958 5 14 12 11 FLORENCE 40.6 54.5 63 700 +1956 10 6 6 18 OSCAR 34.1 355.7 160 313 +1964 1 6 18 11 PATTY 26.9 314.6 45 436 +1952 1 7 12 6 BERYL 25.3 136.8 124 836 +1998 2 8 12 19 WILLIAM 55.2 233.8 141 599 +1965 3 15 0 3 TONY 25.1 320.8 97 657 +1987 6 27 18 6 ERNESTO 17.7 79.9 72 879 +1953 5 24 6 17 KIRK 64.7 41.4 131 88 +1956 9 17 12 16 HELENE 49.6 276.4 108 833 +1965 8 21 18 23 PATTY 68.7 28.6 109 815 +1996 4 6 12 27 ISAAC 62.5 134.2 93 344 +1955 12 21 12 10 JOYCE 58.8 150.1 47 590 +1964 1 8 0 11 ERNESTO 42.7 245.4 97 251 +1965 1 8 6 8 PATTY 32.2 275.5 77 174 +1958 11 6 12 15 GORDON 54.9 154.6 70 182 +1973 3 3 18 20 KIRK 20.9 282.7 132 124 +1994 12 22 6 2 WILLIAM 61.8 119.1 89 777 +1980 3 6 6 27 ISAAC 68.0 256.0 121 146 +1980 2 15 12 24 LESLIE 40.5 148.1 74 27 +2000 9 28 0 11 OSCAR 8.3 315.9 150 514 +2003 8 27 12 10 TONY 29.9 89.4 160 286 +1974 3 10 0 7 NADINE 26.9 328.9 117 760 +1998 5 16 18 15 NADINE 46.1 85.5 61 388 +1957 12 7 6 26 TONY 35.3 170.0 47 363 +2000 11 11 6 14 JOYCE 12.8 0.7 149 467 +1952 5 21 0 1 WILLIAM 59.4 283.3 127 573 +1995 11 5 18 4 WILLIAM 15.9 13.5 148 46 +1952 2 16 6 24 BERYL 24.1 198.0 10 387 +1979 5 25 0 15 DEBBY 68.3 305.6 45 304 +1960 10 15 12 11 WILLIAM 26.8 41.7 145 482 +1986 2 11 6 11 ALBERTO 32.3 126.4 102 176 +1958 6 25 0 15 TONY 38.5 259.4 41 115 +1980 2 3 18 6 OSCAR 66.9 167.5 120 309 +1962 9 5 18 5 NADINE 11.1 189.8 86 455 +1988 10 3 0 12 TONY 60.9 213.5 124 278 +1982 12 22 18 15 JOYCE 65.3 135.6 121 165 +1976 3 22 12 23 RAFAEL 66.2 49.7 94 298 +1995 2 3 6 28 CHRIS 28.0 156.6 140 126 +1997 4 15 12 22 TONY 16.7 36.0 97 168 +1999 12 11 12 16 RAFAEL 53.7 59.1 28 424 +2003 12 12 18 8 VALERIE 17.1 41.6 30 62 +1998 7 22 12 28 RAFAEL 53.3 173.1 123 784 +1978 5 1 12 28 ERNESTO 64.7 170.6 41 187 +1961 7 21 12 23 SANDY 10.2 265.0 150 327 +1952 4 18 0 17 ALBERTO 32.8 14.7 52 681 +2004 8 20 6 22 NADINE 12.2 246.0 156 644 +1977 9 19 0 11 PATTY 8.3 319.0 22 647 +1966 12 21 0 21 OSCAR 58.4 129.3 154 217 +1954 1 16 18 18 NADINE 10.4 319.3 136 225 +1974 5 28 18 10 ISAAC 26.6 290.7 75 348 +1987 10 22 18 23 GORDON 63.1 88.3 42 145 +1959 10 23 6 20 VALERIE 10.2 51.0 62 675 +1973 3 4 18 14 DEBBY 52.7 122.1 88 534 +1973 4 18 6 27 VALERIE 69.8 125.2 72 366 +1964 10 23 12 28 NADINE 26.3 144.1 78 813 +1998 9 26 0 21 LESLIE 68.5 222.3 121 663 +1972 3 28 6 8 OSCAR 39.6 68.4 144 525 +1965 7 28 0 27 PATTY 69.8 258.1 110 673 +1984 5 19 0 25 JOYCE 7.2 189.3 20 770 +1957 8 14 18 27 PATTY 44.3 63.5 139 394 +1982 9 25 6 24 KIRK 42.1 354.9 118 214 +1966 12 9 6 27 ERNESTO 35.6 103.8 11 597 +1964 3 17 12 15 DEBBY 55.6 355.7 76 875 +1984 3 23 0 11 ISAAC 31.5 198.3 103 672 +1989 11 4 18 28 WILLIAM 66.0 100.3 127 530 +1960 8 18 18 20 ERNESTO 68.9 263.2 112 270 +1962 10 23 6 13 KIRK 47.4 100.1 102 213 +1964 8 20 12 17 JOYCE 35.0 118.9 139 345 +1967 9 12 18 10 LESLIE 24.3 164.2 73 94 +1964 7 7 18 4 DEBBY 66.5 79.2 91 845 +1991 2 5 0 13 TONY 54.5 17.6 23 820 +1983 2 17 18 10 DEBBY 15.9 308.2 59 843 +1986 2 22 18 25 GORDON 23.8 305.2 97 735 +1970 5 8 18 13 FLORENCE 18.6 200.9 98 461 +1995 8 27 6 13 GORDON 63.6 112.3 132 400 +1981 6 16 0 2 FLORENCE 43.4 143.8 109 862 +1969 8 21 12 28 NADINE 27.6 249.0 39 801 +1972 8 20 0 15 JOYCE 42.5 145.5 80 522 +1959 11 16 18 14 ALBERTO 39.7 123.6 131 686 +1998 1 8 18 18 RAFAEL 25.5 17.2 123 127 +1967 8 7 0 28 SANDY 63.0 138.8 154 127 +1999 6 23 6 23 SANDY 27.6 9.5 59 225 +1988 9 28 12 1 TONY 13.0 205.2 27 816 +2002 12 14 18 14 CHRIS 22.4 32.5 34 484 +1962 5 18 0 20 VALERIE 64.2 160.0 148 585 +1989 5 6 12 5 FLORENCE 13.8 254.3 88 397 +1950 7 6 0 4 FLORENCE 27.6 163.6 65 500 +1985 6 21 0 6 CHRIS 54.1 233.6 127 812 +1963 5 14 0 5 CHRIS 19.5 223.4 144 843 +1958 1 4 6 23 CHRIS 49.2 24.4 79 145 +1970 12 16 6 7 DEBBY 51.5 220.3 106 525 +1980 9 16 12 7 VALERIE 9.2 348.0 38 848 +1977 3 26 18 13 HELENE 11.4 234.9 64 789 +1999 1 16 6 7 DEBBY 54.0 109.0 123 352 +1995 2 4 12 10 LESLIE 59.5 13.3 82 85 +1970 2 24 12 10 VALERIE 39.6 348.4 23 500 +1959 1 10 12 23 GORDON 11.1 116.6 30 591 +1974 8 5 12 5 FLORENCE 30.7 2.6 114 175 +1980 9 25 0 8 JOYCE 28.2 347.9 90 225 +1974 6 12 6 20 RAFAEL 17.1 111.0 45 499 +1968 10 20 0 1 RAFAEL 62.1 83.2 78 160 +1982 7 4 12 5 PATTY 13.2 216.1 112 261 +1983 2 22 12 11 TONY 43.0 91.2 163 249 +1990 8 24 12 27 VALERIE 20.9 88.2 71 360 +1950 7 17 12 14 LESLIE 20.8 115.3 16 235 +1972 10 8 6 19 ISAAC 19.2 352.6 146 281 +1979 9 19 0 23 OSCAR 52.8 339.7 148 449 +1968 4 6 12 5 GORDON 66.4 67.5 71 595 +1975 6 16 18 21 LESLIE 63.4 179.9 21 848 +1964 1 3 18 22 TONY 26.8 111.6 72 454 +1984 3 24 18 5 RAFAEL 49.0 95.2 44 527 +1957 10 16 0 20 ISAAC 28.6 251.9 124 332 +1967 11 11 12 4 DEBBY 38.2 211.4 52 213 +1953 9 15 18 27 KIRK 48.8 264.7 119 163 +1998 11 23 0 25 MICHAEL 41.4 29.0 84 742 +2003 4 28 18 7 SANDY 18.0 278.6 85 798 +1986 9 24 12 19 HELENE 8.7 43.8 84 775 +1974 4 13 18 7 SANDY 64.3 186.1 110 272 +2000 9 16 12 17 FLORENCE 51.6 67.7 115 267 +1970 12 27 0 20 SANDY 8.1 189.0 29 245 +2001 6 14 12 27 LESLIE 63.8 19.2 153 657 +1953 11 5 0 27 WILLIAM 12.9 46.6 113 47 +1997 8 9 6 16 ISAAC 17.4 199.1 73 556 +1956 4 25 18 21 KIRK 46.3 72.9 142 415 +1974 7 23 6 21 VALERIE 25.7 351.0 91 676 +1988 3 3 12 4 CHRIS 60.0 208.4 72 206 +1967 1 2 6 25 FLORENCE 42.2 269.3 149 323 +1976 9 10 6 6 ISAAC 61.4 51.5 29 558 +1968 8 11 6 19 BERYL 54.8 356.9 32 310 +1973 4 5 0 27 KIRK 35.2 180.2 36 234 +1995 6 17 0 2 SANDY 56.5 287.5 85 387 +1974 6 10 0 11 NADINE 48.0 40.9 137 886 +1988 8 18 0 9 RAFAEL 39.5 50.5 31 312 +1999 12 5 6 12 TONY 29.8 61.1 41 769 +1957 6 1 18 26 HELENE 33.3 62.0 105 48 +1978 7 14 12 22 CHRIS 10.9 273.1 93 504 +1969 7 3 18 4 PATTY 8.9 37.0 91 123 +1984 7 1 6 18 ALBERTO 11.1 191.9 133 148 +1972 1 22 6 16 BERYL 63.4 125.5 103 452 +2001 12 7 0 25 TONY 24.6 168.3 100 139 +1993 6 24 18 4 CHRIS 18.6 308.0 78 785 +1972 4 6 0 25 MICHAEL 46.1 310.0 163 772 +1990 6 11 0 17 ALBERTO 45.7 94.3 117 446 +1997 3 19 18 3 PATTY 27.0 199.8 32 251 +1980 7 17 6 6 HELENE 48.9 35.7 83 419 +1957 8 17 18 19 MICHAEL 28.7 14.3 25 340 +1966 1 27 12 19 DEBBY 38.0 269.1 30 790 +1957 4 15 12 7 NADINE 60.9 9.8 133 332 +1994 9 20 18 17 BERYL 24.4 10.4 80 664 +1996 11 13 18 12 FLORENCE 30.2 123.2 117 459 +1992 12 24 18 26 NADINE 27.3 234.2 92 654 +1986 7 22 18 21 HELENE 9.1 96.4 116 84 +1976 3 8 18 23 KIRK 33.5 308.7 80 313 +1990 6 21 12 1 GORDON 51.8 3.8 55 634 +1989 5 21 6 6 WILLIAM 22.4 207.7 122 661 +1998 11 10 6 5 JOYCE 11.3 241.9 71 505 +1973 8 3 18 3 ISAAC 55.7 261.4 54 720 +2000 11 20 12 7 NADINE 45.9 140.5 130 264 +1976 4 9 6 27 ISAAC 53.6 180.5 18 672 +1959 10 16 6 3 VALERIE 38.8 96.0 15 400 +1972 11 25 0 4 BERYL 59.1 305.3 71 357 +1984 7 26 12 3 JOYCE 36.1 330.5 82 876 +1996 12 26 0 25 HELENE 39.4 301.4 82 798 +1954 5 18 6 14 LESLIE 19.5 325.4 46 365 +1997 12 10 18 6 HELENE 26.5 325.2 67 183 +1968 6 28 0 27 GORDON 33.5 194.9 150 861 +1967 4 24 18 24 TONY 64.9 100.8 24 707 +1961 8 20 0 1 TONY 66.5 211.5 92 664 +1967 5 5 18 17 FLORENCE 59.9 276.2 123 64 +1970 1 13 0 7 NADINE 63.2 251.4 92 699 +1956 5 17 6 9 TONY 68.0 185.4 14 331 +1999 11 28 12 27 JOYCE 57.8 116.5 143 14 +1994 6 23 12 25 NADINE 10.9 169.0 28 879 +2001 11 7 18 28 WILLIAM 25.6 31.8 50 829 +1993 11 26 6 26 LESLIE 22.4 201.5 125 280 +1980 12 21 0 25 ALBERTO 35.3 93.8 82 14 +1992 2 24 12 8 ISAAC 11.4 308.1 99 685 +1995 1 14 12 7 VALERIE 24.7 313.0 111 107 +1976 1 12 12 23 GORDON 60.8 354.4 113 175 +1998 11 28 12 20 VALERIE 40.8 58.0 144 435 +1953 12 4 18 18 VALERIE 11.9 101.9 45 489 +1969 1 20 6 8 NADINE 48.5 275.3 109 468 +1968 1 11 12 6 RAFAEL 60.5 21.4 140 651 +1994 1 1 12 19 CHRIS 10.4 279.6 74 415 +1992 3 9 12 3 WILLIAM 34.9 344.0 149 530 +2000 8 9 0 28 BERYL 44.8 91.6 73 721 +1992 6 18 12 9 KIRK 24.6 180.5 57 42 +1959 4 27 18 16 KIRK 51.3 298.3 84 398 +1972 11 6 18 11 OSCAR 55.3 102.9 46 300 +1987 10 15 18 4 RAFAEL 35.7 345.0 144 161 +1966 2 25 12 20 DEBBY 53.7 341.9 63 191 +1999 7 9 18 17 KIRK 56.4 321.3 121 568 +1983 6 26 18 14 GORDON 44.7 235.8 86 618 +1995 11 26 6 4 GORDON 33.3 102.2 81 810 +1980 11 10 12 6 FLORENCE 7.5 5.2 107 130 +2004 12 20 6 21 BERYL 36.7 270.0 149 79 +1982 11 11 18 21 KIRK 69.8 341.2 62 232 +2000 3 24 0 3 SANDY 15.9 336.6 32 180 +1970 11 9 18 12 LESLIE 40.5 352.7 37 12 +1968 1 10 12 28 PATTY 59.7 224.9 84 326 +1980 6 12 12 12 RAFAEL 8.2 57.6 95 646 +1959 10 12 0 12 HELENE 7.0 5.3 17 578 +1998 3 5 18 12 KIRK 14.7 66.3 53 135 +1968 12 3 0 26 JOYCE 25.6 88.9 143 801 +1995 10 16 18 20 FLORENCE 33.7 48.9 119 708 +1986 3 19 0 20 LESLIE 31.4 287.2 78 231 +1966 9 17 6 27 HELENE 59.2 35.3 78 698 +1960 4 25 6 6 FLORENCE 40.8 286.5 139 27 +1985 1 24 18 19 BERYL 56.6 22.2 104 158 +1965 3 26 0 9 KIRK 23.0 214.2 102 807 +1980 7 13 0 10 JOYCE 60.9 84.0 13 312 +1970 9 27 0 23 PATTY 8.2 331.8 96 627 +2002 2 8 6 13 HELENE 31.4 245.3 88 401 +1978 6 21 0 21 CHRIS 53.6 224.2 147 456 +1984 3 24 18 26 KIRK 22.4 38.6 160 372 +1967 6 6 12 15 CHRIS 66.9 70.9 46 72 +1971 3 16 18 18 BERYL 38.5 21.9 82 758 +1986 3 23 6 1 DEBBY 38.2 347.3 114 591 +1974 4 13 12 15 HELENE 19.7 108.8 17 878 +1968 7 20 12 10 SANDY 31.1 234.6 38 226 +1978 11 26 18 6 PATTY 51.7 185.6 31 471 +1997 10 12 12 24 MICHAEL 52.1 249.5 138 820 +1952 7 12 12 26 FLORENCE 54.1 289.9 34 78 +1971 7 11 0 7 OSCAR 38.7 314.4 144 289 +1988 10 2 18 6 GORDON 49.0 145.2 35 215 +1977 8 8 18 25 VALERIE 9.1 263.0 12 319 +1966 12 15 18 22 ISAAC 36.0 215.7 109 562 +1991 10 4 6 9 ALBERTO 28.5 314.6 23 445 +1995 7 9 18 15 DEBBY 32.4 313.6 127 356 +1979 7 19 12 12 DEBBY 29.1 168.3 64 208 +1993 6 19 6 6 MICHAEL 49.5 241.7 116 484 +1991 1 12 12 13 ISAAC 10.1 354.6 57 160 +1969 8 20 18 16 TONY 8.0 138.7 16 551 +1987 5 3 12 4 SANDY 57.6 196.2 129 468 +1960 11 26 0 4 DEBBY 66.7 148.6 10 607 +1997 6 20 12 24 CHRIS 69.2 214.3 13 307 +1998 4 18 18 10 ALBERTO 32.0 168.9 20 67 +1950 9 14 6 21 ALBERTO 24.2 243.1 154 888 +1991 12 24 0 27 KIRK 59.2 336.2 134 189 +1998 4 19 18 21 VALERIE 66.4 69.9 29 179 +1997 1 20 0 20 ALBERTO 14.0 20.6 55 426 +1985 1 24 6 22 ERNESTO 55.6 122.4 58 123 +1956 10 22 12 14 HELENE 35.6 306.8 50 504 +1994 9 28 6 4 WILLIAM 67.9 133.5 160 413 +1973 12 14 18 1 RAFAEL 15.8 4.5 120 11 +2002 3 28 0 19 RAFAEL 28.8 203.7 89 215 +1951 8 24 18 14 PATTY 25.3 355.7 75 783 +1985 5 19 6 24 MICHAEL 24.6 193.1 141 283 +1966 9 7 18 25 TONY 30.3 306.0 126 342 +1988 4 9 0 13 ISAAC 21.1 268.0 88 469 +1962 5 18 18 25 DEBBY 40.8 44.0 73 514 +2001 10 15 6 11 FLORENCE 17.4 180.1 58 252 +1962 12 8 0 3 NADINE 20.1 54.5 24 364 +1961 11 9 6 13 HELENE 54.3 204.7 156 350 +1954 10 2 12 27 PATTY 12.2 96.7 15 266 +1963 4 23 12 7 NADINE 30.6 164.8 123 616 +1979 8 5 18 17 OSCAR 62.3 9.8 46 281 +1984 1 11 0 28 DEBBY 70.0 160.7 28 428 +1964 2 7 0 25 SANDY 24.1 88.1 40 725 +1992 12 1 12 19 WILLIAM 48.6 92.4 14 353 +1962 3 7 18 8 SANDY 42.5 348.5 11 51 +1953 12 23 18 5 ALBERTO 13.7 221.9 120 266 +1976 11 25 18 13 GORDON 41.1 289.1 128 41 +2000 8 25 12 9 FLORENCE 48.9 146.1 62 363 +1954 6 3 12 6 ISAAC 21.2 22.1 86 570 +1953 4 4 12 14 OSCAR 50.6 170.9 119 758 +1960 1 2 0 16 KIRK 11.6 228.7 158 25 +1971 6 21 6 11 KIRK 42.0 132.8 71 435 +1999 4 17 6 23 PATTY 17.6 195.6 94 130 +1979 9 17 18 27 BERYL 20.4 234.6 109 788 +1952 6 15 12 17 WILLIAM 28.5 216.9 113 609 +1992 4 26 6 9 OSCAR 24.7 87.4 142 197 +1979 11 3 18 28 CHRIS 32.1 162.5 48 502 +1977 4 26 18 2 WILLIAM 25.7 170.0 73 837 +2002 8 17 18 20 ALBERTO 59.2 282.6 43 708 +1975 3 16 6 16 ISAAC 51.3 234.2 55 801 +1965 4 4 0 25 SANDY 7.1 150.4 107 857 +1980 5 18 18 12 FLORENCE 14.0 155.0 68 581 +2000 5 10 0 22 ALBERTO 62.8 75.8 114 616 +1980 6 1 6 15 GORDON 63.1 352.2 120 499 +1956 1 14 6 4 HELENE 31.9 215.2 99 423 +2002 1 8 0 22 GORDON 61.5 141.4 16 272 +1957 5 18 6 5 PATTY 40.4 271.9 134 726 +1991 9 19 0 1 CHRIS 37.8 100.1 163 403 +1951 3 4 0 24 VALERIE 36.0 308.8 116 742 +1992 6 10 18 28 SANDY 66.2 236.8 102 331 +1982 3 25 18 26 MICHAEL 7.9 105.7 118 428 +1991 6 2 6 8 GORDON 19.3 186.2 92 235 +2002 2 22 12 18 RAFAEL 9.8 303.2 114 750 +1954 9 15 6 27 HELENE 62.8 98.3 163 135 +1973 4 7 6 17 KIRK 15.2 30.1 150 503 +1969 11 3 12 17 CHRIS 36.8 302.9 105 691 +1965 3 14 12 26 DEBBY 60.7 269.0 106 399 +1997 12 8 18 21 SANDY 61.2 55.6 73 759 +1957 4 24 6 23 LESLIE 19.2 120.9 142 43 +1988 8 10 6 27 KIRK 22.3 259.2 95 295 +2004 7 21 18 24 FLORENCE 41.4 257.5 38 491 +1998 3 12 0 12 ERNESTO 16.0 19.0 97 499 +1996 5 7 6 1 DEBBY 55.2 29.7 20 818 +1975 3 11 0 5 MICHAEL 40.4 180.6 10 685 +2000 2 12 6 19 CHRIS 14.1 290.0 22 810 +1989 6 7 0 18 NADINE 35.0 107.4 143 121 +1983 6 3 18 13 BERYL 57.6 247.2 111 859 +1953 4 15 18 19 ISAAC 25.2 262.6 55 457 +1991 6 23 18 3 PATTY 42.2 10.6 158 476 +1958 8 19 0 11 KIRK 66.5 170.1 162 767 +1987 6 3 0 10 RAFAEL 25.2 330.9 81 89 +1975 8 25 18 7 LESLIE 15.5 190.4 73 72 +1994 1 13 18 23 GORDON 40.8 257.6 97 10 +1975 6 18 18 2 PATTY 29.1 230.6 133 226 +1976 11 17 12 13 FLORENCE 35.7 190.8 161 48 +1978 4 3 0 4 DEBBY 47.6 201.0 53 187 +1957 9 4 0 10 MICHAEL 30.4 223.5 157 185 +1961 11 4 12 18 JOYCE 28.5 120.7 155 608 +1982 3 25 0 6 WILLIAM 58.1 51.4 151 314 +1950 1 13 18 7 FLORENCE 20.2 165.4 49 110 +1987 7 15 6 20 PATTY 36.6 60.6 153 546 +1987 2 4 18 6 ISAAC 43.3 203.1 53 79 +1962 7 23 12 18 RAFAEL 65.6 239.2 68 608 +1973 5 18 12 2 CHRIS 25.2 40.1 22 781 +2001 9 9 12 14 ALBERTO 24.3 166.2 122 121 +1986 3 20 18 14 DEBBY 22.0 152.5 51 188 +1956 7 20 12 11 NADINE 18.5 16.0 62 199 +2001 8 7 6 27 SANDY 50.5 227.4 25 314 +1981 7 7 18 15 GORDON 62.5 216.0 134 457 +1986 8 9 18 7 GORDON 27.4 225.4 11 339 +1982 9 1 0 28 NADINE 67.0 66.3 80 608 +1955 4 26 18 27 MICHAEL 36.7 192.4 59 222 +1953 9 11 0 6 TONY 36.7 346.8 147 363 +1976 1 20 6 22 GORDON 19.5 276.9 63 76 +1958 7 8 0 24 RAFAEL 40.2 209.2 90 566 +1954 2 8 6 26 ALBERTO 38.6 152.3 65 673 +1952 11 8 6 10 PATTY 42.0 250.5 13 661 +1973 5 12 18 18 ALBERTO 42.6 119.8 143 64 +1991 5 25 0 2 NADINE 49.3 277.9 83 49 +1996 8 27 18 17 MICHAEL 61.8 72.1 43 517 +1963 3 24 6 7 HELENE 53.0 337.5 107 776 +1957 10 13 6 10 MICHAEL 46.2 60.4 15 570 +1997 4 12 6 28 FLORENCE 49.0 296.5 118 640 +1997 12 28 12 17 PATTY 64.3 308.1 101 425 +1981 7 5 18 16 FLORENCE 16.1 25.7 130 676 +1986 4 3 18 13 JOYCE 10.7 174.5 75 348 +1950 2 16 12 21 RAFAEL 15.6 117.0 63 249 +1956 3 6 12 4 JOYCE 28.1 212.4 61 511 +1993 4 28 6 3 KIRK 67.1 258.3 40 366 +1961 10 17 6 18 MICHAEL 69.0 273.5 97 523 +1956 5 12 6 14 ERNESTO 12.7 280.2 77 136 +2004 3 1 18 8 VALERIE 52.7 295.9 124 248 +1998 12 16 12 8 ALBERTO 49.8 168.3 123 813 +1976 1 24 12 28 FLORENCE 22.0 151.4 21 692 +1990 3 16 18 21 DEBBY 28.3 275.1 19 502 +1954 12 21 18 1 TONY 50.2 137.2 127 11 +1995 1 24 0 23 OSCAR 23.9 243.1 15 601 +1959 10 25 18 13 RAFAEL 58.1 243.1 48 409 +1982 11 10 0 23 KIRK 8.0 245.1 41 489 +1985 7 24 0 28 PATTY 27.9 9.0 51 234 +1976 9 27 6 27 SANDY 62.0 103.8 10 385 +1959 12 11 6 27 MICHAEL 14.6 18.9 142 729 +1987 7 25 18 5 ISAAC 20.8 22.6 149 194 +2001 4 2 18 11 ERNESTO 8.8 235.0 150 893 +2003 8 15 0 5 KIRK 67.5 116.3 128 860 +1983 12 21 6 24 WILLIAM 62.3 271.0 55 810 +2001 4 15 0 3 WILLIAM 11.2 294.5 78 102 +1963 10 1 12 15 KIRK 45.4 326.4 51 683 +1969 12 23 6 8 NADINE 46.4 271.4 68 103 +1997 2 20 0 14 PATTY 58.5 126.1 114 462 +2001 5 3 0 9 MICHAEL 56.6 340.3 123 873 +1961 11 6 0 6 ERNESTO 33.4 5.9 55 208 +1982 7 4 6 2 VALERIE 67.2 273.7 55 877 +1989 1 7 12 23 TONY 44.9 269.7 163 412 +1952 9 16 6 15 OSCAR 23.2 272.0 113 94 +1991 8 5 12 2 DEBBY 32.7 200.9 14 180 +1972 3 22 0 12 WILLIAM 55.2 316.5 100 260 +1987 6 16 18 22 LESLIE 53.4 192.1 12 223 +1972 4 9 6 17 WILLIAM 55.9 180.4 132 645 +1965 12 16 12 27 KIRK 21.8 148.8 142 89 +2001 2 9 12 3 HELENE 24.4 236.9 120 620 +1975 8 23 0 14 OSCAR 44.7 94.8 153 850 +1997 6 4 0 27 ISAAC 59.5 251.2 17 550 +1964 4 28 12 26 MICHAEL 28.1 349.6 94 551 +1985 6 17 12 19 HELENE 24.5 203.4 76 536 +1972 8 15 6 10 WILLIAM 37.0 8.6 46 352 +2002 8 20 12 25 VALERIE 47.6 74.1 71 506 +1969 10 5 18 1 ALBERTO 67.2 241.5 77 470 +1968 12 10 0 7 KIRK 8.1 314.5 61 734 +1990 4 2 12 22 CHRIS 46.6 304.0 93 827 +1951 3 18 0 21 VALERIE 52.3 5.5 29 499 +1972 11 8 0 10 DEBBY 15.8 338.9 155 94 +1975 4 10 0 8 KIRK 33.2 1.8 70 451 +1960 5 26 18 21 NADINE 52.8 308.0 32 615 +1978 11 28 18 3 JOYCE 14.7 2.6 20 656 +1954 12 19 12 12 PATTY 22.5 204.3 95 149 +1977 8 21 0 17 HELENE 59.2 128.8 160 844 +1988 8 9 0 10 DEBBY 52.5 245.9 88 587 +1994 9 5 12 20 LESLIE 53.1 290.9 134 460 +1996 1 20 6 19 HELENE 69.1 348.7 87 374 +1981 3 15 12 1 CHRIS 55.0 81.3 146 224 +1993 1 22 12 4 RAFAEL 19.7 131.6 109 654 +1968 6 17 0 28 PATTY 29.7 34.9 47 384 +1994 9 11 18 27 HELENE 53.8 26.7 157 38 +1970 7 25 18 1 WILLIAM 11.2 297.4 15 680 +1976 8 26 18 17 JOYCE 38.8 221.7 160 435 +1979 1 25 12 22 RAFAEL 38.1 317.2 49 741 +1951 1 27 6 2 LESLIE 44.2 118.4 19 191 +1967 3 26 6 28 KIRK 49.7 301.6 28 372 +1955 6 2 6 18 DEBBY 14.5 315.0 115 390 +1960 2 8 12 9 NADINE 7.1 69.4 126 800 +1951 3 4 6 16 NADINE 28.6 147.7 88 759 +1994 12 10 0 12 RAFAEL 65.2 131.7 161 241 +1983 11 18 6 9 GORDON 15.1 357.5 12 873 +1950 5 25 18 20 CHRIS 52.8 38.6 24 311 +1989 9 19 6 3 VALERIE 34.3 353.9 132 682 +1964 1 5 0 26 TONY 63.3 353.4 28 610 +1986 5 5 18 16 BERYL 67.0 207.0 158 609 +1967 11 20 12 15 HELENE 30.8 329.0 76 888 +1984 12 24 12 22 NADINE 44.2 265.3 20 128 +1951 2 3 18 11 RAFAEL 12.4 69.0 164 490 +2000 6 24 18 10 GORDON 32.5 257.7 11 588 +1975 4 17 0 10 SANDY 33.7 127.4 159 743 +1954 9 11 6 20 GORDON 42.1 2.4 105 645 +1955 4 22 12 2 VALERIE 20.1 267.2 101 512 +1972 11 18 18 14 JOYCE 50.8 63.5 54 768 +1984 3 21 6 9 LESLIE 30.8 231.5 110 548 +1979 8 14 0 19 DEBBY 45.8 171.2 89 22 +1963 4 25 6 5 ERNESTO 40.2 73.3 57 689 +1985 3 12 6 19 CHRIS 47.8 215.4 155 133 +1972 12 26 18 2 ALBERTO 63.7 14.7 110 389 +2000 12 19 6 26 TONY 64.2 63.2 127 498 +2000 3 18 0 14 FLORENCE 57.2 301.5 148 89 +1994 4 11 6 21 WILLIAM 24.8 39.4 75 529 +1961 12 1 6 13 VALERIE 63.8 5.9 83 128 +1962 9 4 0 12 PATTY 63.3 342.9 150 404 +1950 11 13 12 12 WILLIAM 35.1 22.7 77 328 +1986 11 19 0 11 FLORENCE 50.2 239.1 162 136 +1976 1 16 0 16 TONY 38.6 184.0 85 229 +1966 9 15 6 9 ALBERTO 27.7 108.0 153 297 +1992 2 5 12 17 RAFAEL 61.6 183.8 57 286 +1997 1 1 0 9 JOYCE 67.7 173.3 26 153 +1980 4 7 0 24 MICHAEL 41.8 264.7 93 752 +1995 5 5 6 23 FLORENCE 60.9 298.3 73 248 +1965 2 18 12 18 ERNESTO 20.4 88.4 151 734 +1971 4 15 0 26 LESLIE 24.5 45.8 80 343 +1958 4 8 0 28 RAFAEL 43.0 13.8 50 484 +1954 2 27 12 15 DEBBY 21.7 356.6 154 161 +1990 11 25 6 27 LESLIE 38.4 94.8 122 479 +2000 3 25 12 16 SANDY 61.2 310.1 17 786 +1990 3 14 6 2 SANDY 57.1 288.4 70 228 +1972 1 24 0 13 TONY 69.2 44.4 152 423 +1994 12 12 12 17 LESLIE 60.6 309.2 35 461 +2002 3 11 18 14 ERNESTO 30.7 299.8 119 325 +1963 10 1 0 25 KIRK 68.5 91.7 69 531 +1978 6 26 18 3 ALBERTO 8.4 226.4 164 103 +1972 7 26 6 9 VALERIE 19.6 289.4 146 856 +1966 1 23 18 23 VALERIE 19.0 122.6 72 238 +1982 6 11 6 28 WILLIAM 13.3 344.6 103 883 +1970 1 16 0 20 RAFAEL 68.4 222.4 94 526 +1967 9 25 6 8 TONY 51.2 138.2 67 230 +1954 2 6 6 20 OSCAR 36.4 276.6 122 653 +1986 9 25 18 27 NADINE 20.3 339.1 91 241 +1972 10 17 12 28 RAFAEL 50.7 126.0 70 221 +1995 12 2 18 15 GORDON 46.5 255.2 132 232 +2004 12 25 18 3 WILLIAM 67.0 59.4 52 270 +1973 10 1 18 8 ISAAC 61.5 18.0 47 185 +1957 8 16 6 20 SANDY 45.0 116.1 96 54 +1968 6 25 6 27 DEBBY 16.8 325.0 75 208 +1997 11 17 12 21 GORDON 15.4 247.9 70 41 +1973 11 3 18 16 RAFAEL 68.6 256.0 156 43 +1970 8 19 18 4 OSCAR 11.0 228.0 94 851 +1985 6 28 18 1 RAFAEL 51.7 286.3 146 833 +2002 12 27 18 21 PATTY 7.9 264.7 131 628 +1967 1 24 18 12 ALBERTO 67.4 227.2 140 383 +1987 2 15 18 9 JOYCE 61.4 207.2 145 423 +1971 2 13 0 10 LESLIE 18.5 283.4 111 460 +1976 4 7 0 9 RAFAEL 27.6 60.7 107 677 +1985 5 13 0 19 TONY 12.3 283.2 162 81 +1986 12 4 12 28 GORDON 40.8 39.7 32 631 +1990 2 5 0 7 OSCAR 48.4 14.6 78 830 +1957 9 26 18 5 PATTY 26.3 251.4 96 459 +1984 2 25 12 11 FLORENCE 67.8 46.6 17 893 +1965 7 10 6 20 OSCAR 69.1 93.1 151 809 +1972 7 3 0 18 LESLIE 68.4 172.0 46 353 +1967 4 19 18 17 TONY 19.0 80.4 97 362 +1957 6 6 0 23 ERNESTO 62.0 285.7 116 222 +1970 6 23 0 25 JOYCE 18.5 305.0 76 731 +1994 5 17 12 2 CHRIS 55.0 249.2 28 297 +1951 6 1 6 2 GORDON 9.5 314.4 17 412 +1959 5 10 0 17 HELENE 11.7 69.0 132 838 +1972 8 3 6 10 HELENE 67.1 211.0 93 163 +2004 11 7 6 1 GORDON 7.3 59.5 74 42 +1971 10 23 12 2 PATTY 49.2 15.7 158 746 +1969 3 8 12 17 FLORENCE 42.2 67.2 21 797 +1972 1 11 12 12 JOYCE 60.2 146.5 40 535 +1962 7 18 6 9 GORDON 33.1 313.9 26 795 +1975 6 22 12 6 TONY 16.0 357.1 66 610 +1960 10 7 0 25 TONY 21.8 3.5 14 550 +1981 6 11 6 25 GORDON 7.1 182.9 23 707 +1956 1 26 0 19 WILLIAM 11.4 39.4 109 687 +1978 9 8 12 6 PATTY 54.6 321.4 109 739 +1955 2 14 12 19 NADINE 47.1 10.5 127 276 +1969 8 5 0 1 CHRIS 11.9 36.5 27 503 +1963 3 8 18 22 MICHAEL 53.9 208.2 106 515 +1991 2 11 0 10 SANDY 30.1 177.6 51 405 +1963 7 7 0 6 WILLIAM 37.9 59.7 129 708 +2003 1 26 18 18 JOYCE 53.2 54.6 40 71 +2002 1 2 0 22 ERNESTO 43.4 27.2 120 800 +1982 1 3 18 24 ALBERTO 56.2 122.8 33 169 +1991 4 26 18 24 CHRIS 48.0 207.3 132 31 +1993 2 12 18 10 RAFAEL 7.8 188.7 159 531 +1969 5 10 12 2 OSCAR 66.3 105.8 15 517 +1985 4 20 18 23 ISAAC 61.7 90.0 68 392 +1987 2 27 6 4 FLORENCE 58.2 13.9 60 132 +1964 12 23 12 25 HELENE 49.8 322.7 130 273 +1976 1 24 0 23 LESLIE 58.1 278.9 147 445 +1966 1 19 6 19 ISAAC 42.5 241.1 133 641 +1953 5 9 12 19 BERYL 50.3 336.6 13 500 +1979 2 24 12 1 BERYL 64.8 76.1 27 478 +1964 2 14 18 11 RAFAEL 44.7 328.5 38 805 +1956 9 9 6 28 DEBBY 49.8 45.3 103 217 +1963 9 28 6 14 DEBBY 64.7 178.9 160 30 +1965 9 25 12 18 PATTY 50.4 353.1 129 656 +1979 12 20 6 18 VALERIE 53.5 34.6 34 229 +1969 7 5 12 12 ERNESTO 60.3 43.3 150 440 +1995 9 25 18 20 NADINE 61.7 189.5 106 666 +1997 9 25 12 3 OSCAR 22.7 178.8 57 753 +1951 11 1 12 16 ERNESTO 43.9 239.2 147 829 +2002 8 17 18 26 BERYL 64.0 341.2 45 708 +1965 1 21 18 5 LESLIE 51.5 140.4 34 760 +1986 1 18 0 1 JOYCE 21.5 193.0 79 823 +1992 7 19 6 9 CHRIS 25.2 278.7 101 416 +1964 11 8 18 4 WILLIAM 48.0 125.1 61 105 +1968 5 28 0 15 ERNESTO 30.1 251.5 58 873 +1992 5 12 12 10 FLORENCE 59.5 222.9 158 201 +2003 4 13 6 25 NADINE 36.3 71.3 21 670 +1988 8 13 12 10 OSCAR 10.2 253.5 139 876 +1971 1 21 6 4 PATTY 21.8 126.3 52 618 +1970 7 14 6 12 SANDY 10.2 142.5 117 865 +1996 12 17 6 7 FLORENCE 60.6 82.7 153 181 +1957 1 8 18 12 OSCAR 67.3 125.9 82 64 +2001 5 22 0 20 OSCAR 45.6 265.1 157 125 +1958 12 27 0 25 KIRK 40.3 192.3 93 19 +1975 10 25 6 13 BERYL 51.0 312.0 150 81 +1953 10 20 6 11 KIRK 53.6 229.9 112 609 +1952 12 15 6 13 NADINE 50.9 66.1 30 32 +1986 7 2 0 22 FLORENCE 68.1 5.6 40 717 +1997 8 27 18 25 PATTY 52.8 235.8 156 291 +1958 11 16 6 19 ALBERTO 65.7 240.7 145 567 +1998 11 16 18 15 WILLIAM 32.9 83.1 129 71 +1986 5 17 12 24 JOYCE 44.1 313.8 45 350 +1957 9 19 18 8 KIRK 34.5 125.1 148 179 +1962 1 5 6 20 OSCAR 57.1 179.0 19 538 +1999 8 8 6 28 LESLIE 23.7 81.1 105 736 +1951 6 20 12 20 ISAAC 22.3 66.8 120 62 +1961 11 18 0 19 VALERIE 41.2 217.5 101 837 +1980 10 10 0 12 VALERIE 41.8 193.8 76 744 +1985 5 14 0 10 HELENE 46.9 128.9 74 339 +1954 7 20 18 21 OSCAR 57.8 354.0 133 538 +1988 8 16 18 19 MICHAEL 45.4 233.2 92 95 +1969 8 10 18 12 HELENE 58.0 4.1 43 362 +1971 2 10 12 15 MICHAEL 8.5 227.5 147 490 +1970 3 15 18 12 GORDON 58.8 189.0 118 294 +1976 1 12 12 3 PATTY 64.0 160.3 65 775 +1973 6 28 12 18 LESLIE 25.1 34.9 160 643 +1981 4 10 6 28 SANDY 51.0 315.4 69 277 +1963 3 11 12 14 HELENE 20.0 295.8 25 368 +2002 12 8 18 27 SANDY 31.2 158.4 19 647 +1997 10 7 12 17 OSCAR 64.2 100.3 37 763 +1950 3 16 18 23 DEBBY 7.1 40.4 88 638 +1986 11 8 18 23 ALBERTO 38.5 349.0 63 698 +1994 11 25 12 9 JOYCE 26.0 177.1 96 365 +2001 8 23 12 7 CHRIS 60.1 237.8 26 827 +1993 1 14 18 17 NADINE 35.7 215.9 75 79 +1967 12 12 12 4 KIRK 50.6 270.2 116 876 +1992 8 19 6 24 SANDY 15.5 288.1 130 268 +1999 1 10 6 15 MICHAEL 63.4 255.4 66 326 +1975 3 4 0 21 OSCAR 36.0 140.8 54 69 +1990 4 17 18 2 ALBERTO 50.8 241.5 108 257 +1970 3 3 18 18 NADINE 30.6 231.8 61 440 +1956 9 18 6 24 FLORENCE 37.0 193.3 15 432 +1961 1 13 6 26 BERYL 65.7 285.0 118 577 +1966 6 26 0 11 OSCAR 60.0 232.4 67 203 +1966 7 6 0 6 WILLIAM 51.9 259.1 101 152 +1968 11 14 18 3 PATTY 61.0 200.8 101 313 +1976 9 16 12 18 CHRIS 8.1 248.1 115 253 +2001 8 9 6 25 GORDON 36.8 234.2 104 8 +1960 7 8 6 17 KIRK 53.4 273.0 140 108 +1987 3 28 0 3 ALBERTO 60.1 266.5 104 508 +2001 11 16 6 1 CHRIS 66.4 298.7 69 788 +2004 12 11 18 1 GORDON 64.6 197.8 102 559 +1958 12 28 6 10 OSCAR 27.8 276.3 16 814 +1954 5 26 12 26 ISAAC 45.3 344.5 38 817 +1954 10 14 0 9 DEBBY 32.2 133.3 72 139 +1962 4 28 0 17 GORDON 24.6 267.6 122 823 +1989 9 22 6 17 GORDON 54.2 348.2 38 732 +1963 11 10 18 5 NADINE 29.1 335.9 43 451 +1972 5 11 6 8 FLORENCE 18.7 284.5 97 504 +1984 8 21 18 23 ISAAC 63.7 308.4 121 198 +1997 7 14 0 5 MICHAEL 56.1 224.1 119 503 +1968 6 24 12 19 PATTY 23.4 301.2 13 774 +1969 6 15 0 15 LESLIE 9.3 221.3 22 885 +1998 12 26 18 22 RAFAEL 57.4 319.0 68 745 +1969 12 16 12 7 SANDY 12.8 47.5 75 39 +1960 11 8 12 3 ERNESTO 64.1 65.9 127 834 +1996 7 18 18 25 HELENE 30.0 12.9 54 860 +1970 6 17 0 12 LESLIE 63.0 242.5 131 152 +1968 3 14 18 20 NADINE 24.5 40.1 32 152 +2000 3 8 18 2 VALERIE 28.9 231.0 139 469 +1978 2 18 12 21 KIRK 66.6 28.6 17 369 +1958 7 12 6 18 ERNESTO 7.8 29.3 82 556 +1988 7 22 18 10 PATTY 41.0 40.5 68 761 +1976 6 8 0 10 TONY 63.7 106.6 32 424 +1984 3 28 0 5 CHRIS 54.1 105.7 113 665 +1969 3 11 12 25 SANDY 16.0 242.3 57 4 +1996 8 28 0 28 RAFAEL 12.3 350.2 41 878 +1972 3 5 0 10 GORDON 59.8 269.8 121 843 +1994 8 10 18 10 PATTY 24.8 64.9 46 694 +1955 1 4 18 25 KIRK 67.3 233.5 58 860 +1983 12 23 12 27 FLORENCE 17.8 200.0 149 752 +1984 2 4 6 20 ALBERTO 58.9 114.6 92 808 +1984 6 2 12 24 DEBBY 32.2 341.1 23 292 +1965 3 20 12 11 OSCAR 30.2 114.8 94 741 +1961 11 14 6 5 ERNESTO 45.7 131.8 48 318 +1983 8 21 12 1 ERNESTO 67.7 104.0 140 645 +1985 12 19 0 6 RAFAEL 51.7 242.2 127 643 +1956 5 27 18 7 FLORENCE 25.2 357.7 30 727 +1953 3 28 0 24 DEBBY 10.0 276.4 17 153 +1980 3 12 12 18 VALERIE 55.4 320.7 40 660 +1952 10 11 18 15 CHRIS 59.6 281.9 80 513 +1964 10 27 12 26 OSCAR 52.6 162.6 36 651 +1958 2 21 12 10 PATTY 65.4 108.0 154 135 +1998 4 12 6 6 NADINE 55.9 56.4 75 513 +1969 11 3 18 9 LESLIE 60.9 35.3 113 592 +1964 7 10 6 28 TONY 47.8 269.6 59 278 +1965 12 19 18 13 LESLIE 59.7 163.9 137 7 +1987 12 1 0 24 ALBERTO 62.4 100.0 108 489 +1965 6 17 0 18 WILLIAM 28.1 315.2 134 563 +1956 6 1 6 9 TONY 63.9 78.2 30 379 +1981 4 15 18 1 BERYL 38.9 64.9 66 42 +1981 11 11 0 12 SANDY 59.4 269.2 40 692 +2000 3 23 6 12 JOYCE 29.1 225.9 19 592 +1950 4 28 18 12 VALERIE 29.7 289.2 34 316 +1950 8 18 6 8 KIRK 13.4 21.3 61 153 +1957 4 6 18 19 ERNESTO 55.9 296.6 96 684 +1970 6 9 18 9 DEBBY 61.3 115.1 62 507 +1967 2 24 0 22 ISAAC 33.1 202.2 150 323 +1999 1 1 0 8 VALERIE 45.5 297.3 76 897 +1976 12 1 0 4 JOYCE 50.8 11.3 35 226 +1957 9 8 0 16 JOYCE 7.2 204.6 64 831 +2001 5 25 0 5 CHRIS 35.3 67.1 57 202 +1953 5 9 18 3 ISAAC 68.4 216.0 104 153 +1969 7 5 6 23 VALERIE 19.0 322.6 155 200 +1997 1 6 18 7 VALERIE 60.0 68.0 49 777 +1994 2 20 12 8 MICHAEL 10.4 293.8 42 231 +1977 11 1 0 4 PATTY 48.5 226.9 103 804 +1988 5 9 18 3 JOYCE 52.0 103.2 135 380 +1979 9 10 18 6 ALBERTO 55.5 107.3 55 540 +1988 8 1 0 6 PATTY 39.7 145.5 57 365 +1955 7 24 12 3 LESLIE 35.0 322.5 74 549 +2004 8 12 0 7 SANDY 24.8 70.8 50 549 +1967 1 1 18 10 ISAAC 23.1 282.9 90 739 +1980 4 13 6 12 TONY 19.5 225.7 123 405 +1965 1 2 12 6 WILLIAM 61.2 70.7 159 669 +1995 10 16 12 15 SANDY 29.0 103.8 153 615 +1974 3 18 6 12 ERNESTO 11.1 304.7 65 553 +1962 9 15 6 5 DEBBY 7.7 50.0 114 410 +1992 11 2 6 1 ERNESTO 53.0 353.0 92 346 +1954 7 1 18 23 KIRK 10.8 39.5 142 81 +1993 3 17 12 1 OSCAR 22.8 195.1 42 783 +1978 9 6 6 11 FLORENCE 59.2 137.0 149 598 +1966 9 18 0 8 SANDY 13.7 65.9 82 316 +1998 6 7 12 24 PATTY 7.5 121.4 73 765 +1988 2 27 0 1 RAFAEL 44.5 181.8 114 434 +1971 7 25 18 5 ERNESTO 56.5 159.9 96 608 +1977 5 6 18 8 RAFAEL 18.1 97.5 100 414 +2003 7 23 6 14 HELENE 29.9 109.5 161 37 +1956 5 18 0 15 OSCAR 39.8 69.2 91 742 +1987 8 19 18 11 FLORENCE 7.8 180.1 105 261 +1981 10 7 18 25 DEBBY 33.6 95.7 72 357 +1986 2 8 18 4 PATTY 13.1 86.2 100 291 +1990 7 16 18 10 WILLIAM 41.4 188.9 109 630 +1992 4 15 6 17 OSCAR 52.6 272.1 60 359 +1958 11 17 0 8 GORDON 26.3 40.4 22 204 +1974 11 20 6 11 VALERIE 67.4 307.7 163 501 +1962 5 7 6 23 NADINE 35.1 11.1 74 4 +1957 6 3 18 28 SANDY 10.2 330.4 26 280 +1977 5 10 12 4 DEBBY 36.8 87.9 86 754 +1991 7 13 12 24 CHRIS 23.7 235.4 149 774 +1993 4 6 0 27 CHRIS 38.3 136.9 64 309 +2002 5 27 0 11 GORDON 31.9 90.9 57 677 +1955 6 6 18 19 JOYCE 23.2 176.3 152 542 +1953 9 14 18 27 HELENE 17.7 234.6 157 33 +1995 5 25 6 15 ALBERTO 53.1 261.4 115 202 +1990 8 23 6 7 TONY 63.6 80.0 119 216 +1971 8 8 6 23 DEBBY 46.0 200.4 12 132 +1980 11 16 0 27 DEBBY 41.9 31.2 33 5 +1994 10 25 6 26 DEBBY 22.4 162.9 74 357 +1953 8 7 0 26 RAFAEL 16.8 67.9 120 182 +1969 6 27 18 7 ERNESTO 45.1 338.6 60 358 +1952 12 3 18 24 LESLIE 41.3 262.2 121 779 +1978 7 27 18 14 ISAAC 22.2 166.0 156 652 +2002 11 22 18 24 BERYL 41.8 231.8 51 741 +2003 12 11 0 26 NADINE 39.5 210.4 151 615 +2000 11 2 6 11 SANDY 15.5 32.7 156 115 +1959 3 12 18 2 WILLIAM 39.4 33.3 148 287 +1993 9 17 0 3 GORDON 24.6 118.6 138 342 +1992 10 28 18 1 WILLIAM 24.6 162.0 102 11 +1989 5 24 12 20 MICHAEL 7.4 210.9 143 662 +1958 11 25 18 24 VALERIE 13.2 38.3 116 488 +1984 4 14 6 10 JOYCE 64.8 24.4 118 177 +1960 10 24 12 11 TONY 52.6 125.7 27 806 +1969 6 1 0 2 ALBERTO 18.1 88.6 16 370 +1986 3 25 12 10 GORDON 16.2 61.6 39 47 +1997 4 6 12 25 TONY 43.0 47.3 16 504 +1971 9 26 12 26 WILLIAM 36.2 329.3 151 66 +1992 7 3 6 10 SANDY 14.2 349.9 158 339 +1966 8 4 12 1 RAFAEL 16.0 357.8 163 672 +1973 8 2 6 24 DEBBY 35.3 327.2 21 652 +1994 2 13 0 15 LESLIE 36.3 255.8 127 283 +2003 11 13 0 27 FLORENCE 20.0 351.2 72 107 +2002 11 3 12 12 BERYL 55.4 34.7 42 846 +1980 6 21 6 28 DEBBY 27.8 105.0 21 583 +1960 7 6 0 18 SANDY 12.4 297.9 118 1 +1995 5 19 0 23 ISAAC 60.3 40.5 40 560 +1963 6 18 0 7 VALERIE 36.5 239.4 107 566 +2004 6 27 6 24 MICHAEL 65.7 160.1 128 278 +1964 8 4 0 27 ALBERTO 26.3 184.4 143 356 +1971 5 15 6 25 ERNESTO 50.7 222.7 48 421 +2004 1 6 6 6 SANDY 19.6 12.5 157 198 +1988 11 27 6 20 FLORENCE 35.6 281.6 47 859 +1961 8 9 18 17 NADINE 50.6 92.7 47 691 +1989 3 17 12 21 MICHAEL 8.5 4.3 129 287 +1988 2 24 6 10 WILLIAM 24.2 221.4 103 571 +1997 2 2 6 3 HELENE 60.6 30.0 153 850 +2001 5 22 18 24 ERNESTO 28.6 201.2 125 112 +1966 3 11 0 4 PATTY 41.4 253.7 63 726 +1995 12 16 6 17 VALERIE 40.5 318.2 86 181 +2004 5 11 12 15 JOYCE 63.5 355.1 110 14 +2001 3 28 18 12 ERNESTO 9.6 173.9 105 137 +1989 9 17 6 18 ISAAC 33.2 92.7 108 792 +1964 10 4 0 3 HELENE 42.7 27.6 120 548 +1976 11 24 6 23 ALBERTO 61.2 263.6 94 36 +1993 1 17 12 10 NADINE 33.8 42.8 134 847 +1995 2 26 12 24 RAFAEL 33.7 30.0 28 130 +1964 7 7 0 5 SANDY 55.0 300.4 92 157 +1958 11 8 0 1 WILLIAM 17.0 280.1 26 679 +1953 6 20 12 15 TONY 22.3 2.4 33 538 +1959 4 4 18 17 NADINE 10.2 252.2 83 828 +1969 5 7 12 22 VALERIE 42.9 251.4 19 529 +1992 7 9 12 2 TONY 60.5 203.1 30 487 +1990 4 13 6 16 DEBBY 57.5 355.3 138 482 +1986 1 23 0 26 ALBERTO 33.9 62.5 71 316 +2001 8 25 6 12 KIRK 35.0 249.1 38 154 +2001 9 16 18 9 NADINE 11.3 53.0 48 448 +2001 5 6 12 3 ISAAC 53.5 103.5 21 674 +1969 7 16 12 23 SANDY 13.7 19.6 52 765 +1999 1 3 6 17 ALBERTO 27.1 24.4 26 87 +1972 12 28 12 7 ERNESTO 46.9 3.1 47 609 +1996 12 10 6 24 SANDY 69.8 255.1 38 29 +1967 1 25 12 8 RAFAEL 23.5 239.5 118 483 +1972 9 1 0 4 VALERIE 21.8 83.8 137 200 +1963 9 15 6 10 DEBBY 67.4 321.8 126 356 +1984 6 15 12 3 ERNESTO 48.1 97.8 12 333 +1997 7 10 6 26 LESLIE 22.1 268.1 43 827 +1982 1 15 12 12 ALBERTO 48.0 124.2 92 146 +1956 12 2 0 5 KIRK 66.0 129.0 95 25 +1982 5 15 18 17 JOYCE 39.1 135.3 48 604 +1970 3 17 18 8 JOYCE 26.4 268.5 164 753 +1987 12 6 18 2 FLORENCE 47.8 137.0 62 443 +1955 4 9 6 28 GORDON 51.5 106.4 120 490 +1960 3 9 0 6 SANDY 24.2 287.9 162 466 +1964 10 28 6 17 LESLIE 61.6 199.6 75 662 +1954 4 2 0 22 KIRK 10.2 205.1 133 286 +2001 11 28 6 9 FLORENCE 58.7 50.2 100 44 +1991 10 5 6 11 SANDY 62.6 245.2 130 31 +1956 3 25 0 13 CHRIS 63.7 131.2 79 463 +1972 9 7 6 3 HELENE 8.3 325.6 160 573 +1977 11 6 12 16 MICHAEL 20.1 119.7 107 795 +1968 3 4 6 24 ERNESTO 61.9 72.8 120 899 +1954 5 25 18 15 OSCAR 59.0 313.8 140 460 +1970 11 12 18 4 RAFAEL 60.5 329.3 34 24 +2004 11 14 18 14 HELENE 61.6 79.5 87 633 +1988 3 1 12 21 RAFAEL 40.5 109.9 133 846 +1959 12 12 12 18 LESLIE 66.3 220.1 79 589 +1962 3 3 6 10 BERYL 50.3 221.0 21 446 +2002 3 19 0 25 HELENE 12.4 253.1 31 211 +1953 3 12 0 26 ISAAC 7.2 328.4 76 739 +2002 9 26 0 5 PATTY 61.6 169.8 107 300 +1990 1 26 6 16 MICHAEL 61.9 193.2 60 104 +1971 1 1 6 16 TONY 48.5 76.9 164 746 +1989 2 8 6 11 DEBBY 8.5 27.5 27 184 +1956 8 16 18 23 JOYCE 69.8 112.6 96 18 +1951 11 5 0 4 ISAAC 52.3 219.1 121 59 +1953 1 26 6 24 VALERIE 68.8 55.5 105 810 +1996 3 4 12 19 CHRIS 10.8 98.7 158 84 +1980 8 26 6 23 GORDON 15.7 179.3 100 568 +1990 5 21 12 18 SANDY 7.1 98.1 144 686 +1962 11 11 12 20 OSCAR 40.3 30.4 11 496 +1964 1 19 6 11 ISAAC 67.0 314.0 90 821 +1952 12 27 0 23 WILLIAM 12.3 213.5 60 138 +1971 9 18 18 8 GORDON 51.4 73.5 65 816 +1954 7 22 12 8 ALBERTO 43.8 281.4 14 597 +1980 4 10 6 21 RAFAEL 65.7 163.9 137 587 +1994 12 7 18 26 ISAAC 47.9 269.4 142 640 +1957 6 17 18 4 TONY 28.5 205.3 118 763 +1950 4 25 6 16 JOYCE 64.2 287.0 120 353 +2000 8 13 12 4 ISAAC 27.4 238.0 39 874 +1964 7 5 12 5 OSCAR 60.6 45.6 46 730 +1958 8 28 12 6 ISAAC 21.1 34.4 68 413 +1951 5 5 0 4 OSCAR 53.6 233.7 131 869 +1954 7 20 12 9 LESLIE 69.0 145.2 75 735 +1992 9 19 12 21 RAFAEL 46.5 32.9 30 19 +1962 4 23 18 23 KIRK 25.4 24.3 60 872 +1981 5 4 0 5 FLORENCE 60.2 122.9 27 304 +1968 2 14 6 14 PATTY 64.3 8.5 121 700 +1961 5 19 6 21 NADINE 60.6 45.8 144 173 +1951 8 10 6 6 FLORENCE 48.6 223.4 83 60 +1970 8 21 0 11 KIRK 36.9 353.9 36 495 +1962 11 8 6 4 BERYL 20.1 278.7 105 95 +2003 5 18 12 16 GORDON 20.7 134.1 20 466 +1956 11 14 0 21 VALERIE 25.0 168.3 71 279 +1974 6 9 0 22 HELENE 27.7 312.3 130 101 +1965 1 8 12 11 WILLIAM 24.7 56.1 87 407 +2001 9 8 12 12 CHRIS 51.3 150.5 14 743 +1995 7 28 12 4 NADINE 48.6 150.9 97 247 +1989 8 8 6 1 KIRK 67.5 234.7 131 679 +1978 8 10 0 10 GORDON 15.9 283.5 113 628 +1954 4 27 18 26 JOYCE 14.0 5.8 132 65 +1992 3 17 6 3 ALBERTO 20.7 7.1 68 891 +1996 8 9 6 15 ALBERTO 44.0 153.0 24 456 +1971 4 22 12 17 TONY 63.1 89.2 44 764 +2003 12 25 0 25 FLORENCE 29.3 97.3 164 721 +1992 1 3 12 1 NADINE 42.5 78.1 85 498 +1997 1 5 0 18 ISAAC 12.6 35.1 38 94 +1986 3 12 0 19 TONY 22.9 294.4 139 86 +1979 8 21 6 8 CHRIS 29.4 227.2 86 814 +1989 8 15 18 28 CHRIS 61.4 38.4 121 250 +1980 6 16 0 6 KIRK 27.9 80.3 23 65 +1977 6 16 6 21 DEBBY 63.7 23.5 103 444 +1961 4 17 18 25 ERNESTO 64.4 230.1 131 451 +1993 5 1 18 16 BERYL 37.0 258.8 51 159 +1960 6 14 18 4 MICHAEL 70.0 222.0 112 387 +1951 8 2 12 5 ISAAC 13.8 321.9 144 483 +1950 9 11 12 9 DEBBY 33.9 270.9 104 219 +1968 2 3 6 6 CHRIS 11.3 347.4 31 424 +1960 11 14 18 1 KIRK 17.1 45.5 146 242 +1968 3 21 6 6 KIRK 43.7 14.0 146 692 +1991 5 10 12 10 ERNESTO 43.3 333.8 103 399 +1997 4 8 6 5 WILLIAM 8.6 243.4 30 300 +1993 7 24 6 1 BERYL 15.1 235.5 131 52 +1984 8 14 18 11 GORDON 43.4 85.0 61 848 +1978 8 14 6 12 KIRK 8.8 322.9 40 417 +1977 4 13 12 2 RAFAEL 23.5 322.8 32 510 +1973 9 13 6 7 ISAAC 43.2 274.7 110 273 +1994 12 11 12 1 TONY 43.8 11.5 41 637 +1961 5 3 0 9 DEBBY 23.1 131.0 80 849 +1970 1 11 0 8 ALBERTO 42.4 31.1 106 399 +2002 11 28 0 18 HELENE 64.3 347.5 119 581 +1964 4 11 12 14 HELENE 59.4 27.2 74 93 +2002 5 19 6 25 ALBERTO 8.5 189.9 110 60 +1973 2 22 12 6 BERYL 56.4 229.8 32 10 +1997 7 5 6 9 OSCAR 36.1 329.4 31 383 +2004 10 12 0 18 RAFAEL 40.3 163.5 42 606 +1998 1 27 0 24 OSCAR 45.5 259.2 88 444 +1954 10 27 0 22 ERNESTO 20.0 103.6 76 240 +1973 3 19 0 28 DEBBY 42.7 47.0 146 286 +1957 1 18 12 8 LESLIE 34.6 332.4 48 383 +2001 5 3 12 24 MICHAEL 67.4 323.8 57 886 +1972 11 2 0 28 GORDON 36.2 150.3 87 431 +1998 7 8 12 23 WILLIAM 36.1 345.4 144 480 +1950 12 16 18 21 LESLIE 51.7 192.8 78 271 +1951 8 6 12 24 KIRK 32.3 105.4 27 310 +1974 7 12 12 19 TONY 41.7 272.1 75 839 +1988 7 17 18 10 HELENE 26.5 25.0 77 523 +1975 11 23 6 27 CHRIS 55.5 264.7 162 164 +1971 1 3 6 26 ALBERTO 46.0 269.8 82 742 +1953 12 18 6 13 ERNESTO 33.5 218.0 108 191 +1959 4 3 6 19 JOYCE 61.2 104.8 107 189 +1996 10 23 18 26 HELENE 21.3 310.1 78 518 +1994 6 13 12 1 GORDON 64.9 217.3 154 197 +1952 2 10 0 17 NADINE 39.0 34.3 15 482 +1994 5 25 6 12 BERYL 41.4 343.6 80 366 +1992 10 14 18 6 BERYL 55.0 245.5 12 224 +1987 8 7 6 4 CHRIS 26.2 124.9 45 580 +1957 4 22 12 5 LESLIE 64.5 127.6 41 464 +1954 5 15 18 23 PATTY 10.9 170.7 135 597 +1959 5 12 12 1 OSCAR 45.1 34.8 126 642 +1975 12 8 0 9 OSCAR 49.2 111.6 156 478 +1962 7 26 6 8 NADINE 55.6 51.2 60 551 +1984 7 1 18 3 OSCAR 14.8 290.4 44 399 +1952 10 24 18 1 DEBBY 31.7 326.2 51 231 +1997 7 6 0 21 ALBERTO 18.2 315.7 99 178 +1972 12 23 12 9 PATTY 45.1 204.1 96 716 +1962 2 20 12 5 PATTY 13.7 314.6 125 278 +1972 3 25 18 23 ERNESTO 18.6 344.2 19 740 +1976 7 25 12 16 NADINE 43.9 166.4 26 876 +1996 3 3 18 6 NADINE 26.1 230.9 60 12 +1975 3 24 18 26 KIRK 52.9 176.9 47 9 +1985 5 8 18 7 PATTY 42.9 245.5 55 750 +2003 2 8 18 12 BERYL 12.0 171.8 133 355 +1962 9 11 6 12 VALERIE 49.4 238.7 74 690 +1973 5 2 12 2 ISAAC 59.8 65.7 115 892 +1954 7 7 0 22 ISAAC 50.3 303.2 75 310 +2001 2 1 6 24 ERNESTO 44.2 120.4 126 322 +1988 3 14 18 10 JOYCE 19.5 338.2 161 131 +1961 7 13 6 13 ALBERTO 54.9 351.4 126 78 +1996 7 11 0 4 PATTY 41.8 140.1 163 7 +2003 8 19 6 2 PATTY 44.3 70.1 71 512 +1954 4 27 6 20 GORDON 16.3 9.3 145 339 +1981 3 1 18 14 OSCAR 8.5 17.1 146 149 +1991 11 21 18 15 RAFAEL 37.0 215.3 14 598 +1964 7 6 12 16 RAFAEL 21.5 13.6 143 776 +1971 8 26 6 1 SANDY 25.6 108.1 104 715 +1968 7 21 6 5 DEBBY 63.6 14.2 128 264 +1972 4 10 6 14 SANDY 7.1 313.0 26 756 +1978 2 12 12 24 OSCAR 23.8 52.7 142 5 +1986 12 21 0 1 DEBBY 42.7 34.8 92 679 +1951 7 6 18 18 SANDY 56.1 78.9 158 818 +1976 3 28 6 16 ISAAC 49.0 37.5 65 722 +1955 11 14 18 16 OSCAR 34.4 122.6 50 161 +1993 1 25 18 8 SANDY 51.4 299.8 105 714 +1964 9 26 6 16 OSCAR 12.3 2.6 25 33 +1964 8 28 6 8 DEBBY 69.7 39.3 64 808 +1967 6 18 0 3 LESLIE 36.1 173.5 153 99 +1976 6 13 6 26 CHRIS 11.1 149.8 77 746 +1972 8 11 0 24 SANDY 36.4 121.2 49 153 +1995 12 19 0 4 OSCAR 56.4 148.4 89 184 +1957 12 28 18 7 GORDON 25.2 151.7 156 342 +1991 6 27 6 16 CHRIS 45.6 200.5 128 505 +1954 3 1 0 14 ISAAC 45.9 208.4 45 186 +1998 10 24 6 10 WILLIAM 23.7 296.2 39 649 +1983 11 5 12 20 KIRK 28.8 232.8 121 744 +1968 5 22 6 24 PATTY 30.6 276.1 140 156 +1975 4 8 12 1 WILLIAM 45.0 62.6 153 585 +1960 11 23 12 19 CHRIS 51.8 353.8 58 742 +1977 9 25 12 9 MICHAEL 59.3 125.8 93 891 +1965 6 1 0 13 NADINE 58.9 146.1 58 630 +1989 9 2 6 12 MICHAEL 13.0 230.2 129 314 +1951 1 23 18 11 ALBERTO 63.3 323.9 130 874 +1986 3 3 12 27 LESLIE 16.8 44.7 161 447 +1985 7 19 12 4 DEBBY 51.0 323.5 123 409 +1998 11 22 6 18 WILLIAM 39.4 207.0 74 671 +1976 2 17 6 16 FLORENCE 60.9 3.2 54 272 +1994 11 16 6 19 ISAAC 41.5 218.3 88 205 +1994 12 5 18 1 FLORENCE 40.3 317.0 130 660 +1977 1 24 6 15 KIRK 23.5 95.6 42 49 +1982 2 12 0 18 DEBBY 39.3 252.4 38 430 +1975 2 5 18 12 NADINE 67.1 18.9 74 370 +1977 7 20 0 12 MICHAEL 56.8 193.0 103 303 +1987 5 16 12 19 RAFAEL 54.8 337.1 71 846 +1950 12 3 6 28 CHRIS 38.3 49.5 160 857 +1997 6 21 6 23 LESLIE 12.1 135.3 52 481 +1956 9 6 12 6 SANDY 10.1 188.8 58 11 +1997 4 12 18 2 PATTY 19.7 325.2 37 173 +1967 12 4 18 21 JOYCE 49.2 96.3 88 853 +1987 3 5 18 10 HELENE 48.0 78.5 158 556 +1973 4 14 0 13 CHRIS 60.6 159.3 93 711 +1959 12 27 0 10 GORDON 9.1 104.7 95 631 +1991 11 20 0 25 LESLIE 68.4 69.8 131 725 +1959 11 2 18 24 OSCAR 49.9 342.8 137 519 +1990 7 16 12 21 MICHAEL 62.4 335.7 21 418 +1963 7 16 0 24 DEBBY 51.5 128.2 54 2 +1996 10 19 0 7 ERNESTO 28.2 59.5 128 25 +1966 6 28 0 15 GORDON 7.9 189.2 158 470 +2001 3 7 18 9 KIRK 60.5 278.9 119 436 +2004 12 7 18 19 LESLIE 21.3 58.9 70 482 +1978 8 19 6 13 LESLIE 57.6 335.9 162 105 +1979 7 13 6 2 BERYL 13.1 260.4 153 824 +1974 4 14 12 24 OSCAR 49.0 293.0 122 477 +1958 10 3 18 7 FLORENCE 18.3 339.7 113 830 +1995 4 27 6 12 NADINE 13.9 173.2 74 154 +1965 6 28 18 25 FLORENCE 60.6 303.4 124 664 +1980 10 22 18 3 ISAAC 30.1 90.4 110 778 +1965 10 21 0 25 OSCAR 19.2 227.7 29 789 +1952 8 20 18 23 TONY 55.7 272.5 66 580 +1959 11 6 12 3 NADINE 19.7 30.0 32 102 +2003 2 9 12 16 PATTY 12.1 227.1 18 260 +1950 9 26 6 5 GORDON 23.7 200.8 65 778 +1971 7 22 6 3 HELENE 56.1 242.2 159 429 +1995 5 15 18 16 JOYCE 62.5 242.6 71 859 +1998 6 28 12 25 PATTY 40.6 241.6 50 553 +1970 9 20 0 20 WILLIAM 43.9 28.8 92 723 +1983 5 22 0 18 ALBERTO 37.8 117.6 54 579 +1984 2 12 12 20 ISAAC 58.7 64.2 37 588 +1968 1 18 18 20 FLORENCE 22.9 113.2 105 232 +1982 10 4 0 13 TONY 12.0 289.3 93 605 +2003 6 16 0 21 VALERIE 7.0 145.6 110 77 +1957 9 19 18 1 ALBERTO 55.8 328.1 52 10 +2001 1 22 18 20 VALERIE 66.6 229.6 31 57 +1978 4 2 12 9 RAFAEL 7.7 258.4 34 412 +1971 11 2 0 9 HELENE 8.0 260.4 126 702 +1981 11 10 0 23 LESLIE 9.8 123.3 50 147 +1981 2 25 12 4 MICHAEL 58.5 268.4 84 442 +2001 1 18 0 13 MICHAEL 64.0 51.3 140 443 +1977 3 3 12 22 ERNESTO 32.8 247.6 29 16 +1959 6 10 18 13 SANDY 42.0 102.3 142 784 +1963 5 1 18 12 FLORENCE 61.9 155.6 138 869 +1983 6 10 12 4 DEBBY 8.9 324.6 43 525 +1973 9 12 18 16 NADINE 47.9 177.2 120 352 +1986 3 7 0 16 ISAAC 58.7 27.9 133 94 +2000 1 19 12 8 HELENE 38.6 96.7 86 549 +1956 10 10 0 10 PATTY 24.2 299.3 37 26 +1965 1 19 0 19 CHRIS 29.7 106.1 51 327 +1993 11 11 0 2 VALERIE 69.6 344.4 43 221 +1966 10 20 18 25 OSCAR 27.6 234.7 76 176 +1975 8 14 18 9 DEBBY 67.4 178.3 152 447 +1990 9 9 0 24 SANDY 26.6 74.9 74 598 +1989 8 8 6 23 LESLIE 22.7 316.7 55 798 +1955 12 26 6 20 ALBERTO 45.2 122.9 114 440 +1976 3 24 0 4 ERNESTO 54.0 175.2 55 592 +1985 7 2 0 2 ERNESTO 18.5 37.8 26 120 +1989 12 9 0 21 SANDY 27.3 334.7 31 893 +1963 6 17 18 8 OSCAR 26.7 159.8 75 41 +1965 12 6 18 24 NADINE 53.2 194.2 51 590 +1991 3 5 12 23 ISAAC 15.8 100.0 29 188 +1988 10 18 0 7 SANDY 19.9 75.8 17 784 +1956 5 4 6 17 SANDY 54.9 196.0 12 138 +1952 2 26 18 26 PATTY 18.8 83.6 68 751 +1982 5 25 0 20 VALERIE 29.1 354.7 122 96 +1997 7 25 6 27 ERNESTO 63.6 91.9 115 817 +1961 5 28 12 14 TONY 62.7 279.2 22 714 +1960 9 1 6 16 PATTY 32.1 94.7 77 744 +2004 5 11 18 26 BERYL 65.3 37.0 34 512 +1962 10 5 6 27 KIRK 29.4 283.2 73 203 +1991 8 20 6 13 OSCAR 50.8 77.4 91 690 +1980 4 17 12 15 OSCAR 31.4 26.4 140 443 +2000 10 24 12 18 RAFAEL 41.8 241.4 123 448 +1982 5 13 18 1 LESLIE 33.9 42.1 110 80 +1962 1 14 6 12 HELENE 56.5 343.9 56 660 +2001 2 18 18 14 CHRIS 35.7 333.1 106 511 +1992 4 3 0 2 KIRK 12.5 286.0 27 671 +1961 9 22 0 28 KIRK 18.8 246.8 129 360 +1995 3 4 18 23 VALERIE 48.3 84.5 59 565 +1982 7 24 0 9 BERYL 33.2 267.5 52 338 +1971 6 27 6 19 OSCAR 68.9 145.1 138 1 +1975 2 11 6 22 ERNESTO 56.5 13.7 41 293 +1958 2 18 0 11 ALBERTO 63.9 357.1 148 605 +2004 3 5 6 3 ERNESTO 18.8 258.5 117 609 +2004 1 20 6 15 KIRK 54.6 31.0 72 371 +1962 8 25 0 17 BERYL 24.1 54.0 104 266 +1964 12 11 6 17 SANDY 35.2 139.8 111 853 +1951 12 18 0 15 PATTY 55.8 309.9 42 394 +1962 3 5 6 14 WILLIAM 59.8 237.6 94 35 +1976 8 8 18 2 KIRK 19.7 229.9 13 462 +1955 4 19 12 11 BERYL 35.6 145.5 112 238 +2002 1 13 0 26 KIRK 65.9 109.6 99 624 +1989 3 21 18 26 TONY 67.0 70.9 73 237 +1960 8 1 18 17 JOYCE 34.1 133.5 150 542 +1979 10 13 6 14 DEBBY 48.3 134.5 16 629 +1987 11 4 0 18 TONY 50.8 292.9 77 18 +2002 12 4 18 6 WILLIAM 61.3 332.4 136 599 +1954 3 9 6 21 CHRIS 50.3 88.8 93 824 +1987 8 16 12 1 VALERIE 40.3 25.4 135 386 +1977 9 6 6 8 ISAAC 52.6 90.4 59 13 +1979 12 4 18 1 FLORENCE 62.0 328.9 64 268 +1983 11 24 12 14 DEBBY 21.4 332.0 128 301 +2002 8 22 6 16 SANDY 57.1 278.2 135 721 +1999 12 2 12 15 GORDON 8.6 274.5 43 104 +1991 8 6 12 26 JOYCE 20.6 344.1 89 630 +1971 6 11 18 19 DEBBY 55.4 138.1 56 380 +1961 5 5 0 3 FLORENCE 67.8 161.5 56 754 +2000 10 21 18 23 OSCAR 43.3 222.3 54 646 +1959 4 19 0 10 KIRK 17.5 147.9 143 851 +1989 7 27 18 20 FLORENCE 12.8 312.3 130 482 +1979 9 15 12 24 ISAAC 53.5 85.8 117 558 +1957 7 10 6 11 ISAAC 49.3 64.4 156 501 +1967 3 10 6 10 SANDY 56.5 203.0 97 20 +2001 7 6 6 7 HELENE 12.8 259.0 143 55 +1982 4 5 12 27 NADINE 55.6 61.8 134 332 +1975 5 16 12 11 LESLIE 32.0 37.9 129 417 +2003 5 24 0 22 MICHAEL 51.3 84.9 62 484 +1982 1 8 0 22 RAFAEL 64.6 189.4 120 763 +1999 9 23 6 1 VALERIE 45.7 142.5 127 579 +1997 8 1 12 12 ALBERTO 54.1 340.7 80 170 +1956 10 26 18 11 BERYL 60.7 144.5 133 212 +2004 8 9 6 26 JOYCE 58.1 308.7 39 117 +1986 3 22 6 5 ALBERTO 64.1 47.9 125 797 +2001 2 14 0 23 HELENE 43.2 136.4 129 249 +1993 11 24 18 8 RAFAEL 20.7 295.8 119 134 +1969 2 10 18 7 KIRK 9.0 309.2 142 591 +2000 1 26 0 26 FLORENCE 54.3 32.4 130 385 +1992 2 10 6 24 CHRIS 55.9 189.0 49 641 +1973 8 9 0 26 ERNESTO 34.2 61.2 128 844 +1987 7 22 12 8 FLORENCE 21.6 99.8 100 770 +1981 7 6 12 23 BERYL 54.1 7.9 131 592 +1998 3 26 12 4 RAFAEL 33.7 39.6 41 752 +2003 11 3 0 3 CHRIS 67.6 340.1 58 855 +1995 9 14 12 17 ALBERTO 21.9 293.0 139 89 +1960 4 18 18 19 ALBERTO 16.2 48.3 83 284 +1983 12 23 0 7 ISAAC 16.1 330.5 41 810 +1969 7 6 12 23 PATTY 18.9 105.1 89 795 +1963 12 28 18 6 ISAAC 8.0 79.6 57 109 +1995 6 12 6 19 BERYL 27.7 318.6 78 398 +1973 8 20 18 13 DEBBY 60.4 290.7 35 820 +1954 5 18 6 22 HELENE 59.4 303.5 157 791 +1955 11 10 6 11 TONY 69.2 252.8 79 797 +1958 4 7 0 23 FLORENCE 47.3 289.8 105 592 +1963 10 14 12 16 BERYL 54.8 276.5 130 564 +1996 5 26 18 11 ERNESTO 12.4 344.0 157 219 +2003 9 21 6 26 GORDON 38.5 295.8 109 538 +1985 10 2 6 12 BERYL 69.8 130.6 93 52 +1975 7 9 12 26 GORDON 49.5 172.3 33 678 +1989 7 13 18 10 LESLIE 30.2 188.6 89 642 +1955 7 13 12 2 ISAAC 13.1 239.2 98 106 +1957 12 15 0 10 ERNESTO 17.6 312.6 66 849 +1976 6 6 12 12 MICHAEL 22.6 349.1 134 710 +1958 2 22 0 6 PATTY 38.0 9.4 139 566 +1964 5 24 0 4 ISAAC 14.3 44.4 151 251 +2003 11 24 12 20 FLORENCE 47.6 191.9 15 768 +1963 11 2 6 28 BERYL 7.3 75.2 100 98 +1997 1 6 6 20 KIRK 38.5 18.2 121 67 +1968 9 15 6 3 WILLIAM 10.7 115.5 35 310 +1975 3 13 6 13 TONY 43.5 123.8 56 78 +1971 3 19 18 23 SANDY 37.4 57.3 57 151 +1972 1 14 6 20 TONY 64.4 182.2 16 770 +1991 8 8 6 24 DEBBY 53.8 266.7 142 698 +2002 2 24 6 19 TONY 25.9 187.3 56 64 +1952 1 27 6 16 OSCAR 15.7 277.5 55 640 +1985 4 8 0 14 LESLIE 12.6 178.9 65 860 +1995 7 21 0 5 CHRIS 23.6 337.4 88 524 +1983 4 19 12 23 WILLIAM 54.4 189.1 72 718 +1953 3 3 0 25 ALBERTO 53.6 13.0 142 650 +1971 12 25 12 7 ISAAC 35.0 105.3 114 177 +1973 1 20 0 6 MICHAEL 15.9 24.0 50 492 +1993 2 20 18 10 MICHAEL 30.6 194.9 133 432 +1960 1 1 0 3 FLORENCE 12.9 125.3 126 835 +1990 9 4 0 22 GORDON 35.8 124.7 36 506 +1969 3 6 0 5 TONY 68.4 227.0 28 429 +1954 12 11 0 5 MICHAEL 32.9 201.2 60 422 +1953 1 14 12 13 TONY 58.1 19.2 88 513 +1953 1 23 12 14 OSCAR 32.5 46.8 45 460 +1978 4 21 18 14 MICHAEL 45.6 43.1 45 301 +1987 1 1 12 22 WILLIAM 65.5 168.0 143 502 +1986 12 19 12 10 ISAAC 54.6 77.3 112 354 +1969 6 13 6 9 GORDON 11.0 165.9 75 807 +1985 4 21 18 2 BERYL 58.9 292.6 117 279 +1969 2 12 12 10 JOYCE 21.9 243.4 164 887 +1957 1 13 18 12 RAFAEL 65.4 123.0 14 97 +2003 12 14 0 24 PATTY 54.6 131.2 82 194 +1981 4 28 0 17 ALBERTO 12.3 119.0 52 265 +1978 9 1 0 28 TONY 24.1 193.8 135 232 +1995 10 26 0 21 JOYCE 65.0 5.2 17 440 +1980 5 19 12 1 BERYL 49.4 201.3 103 84 +1979 10 18 18 25 TONY 23.5 262.1 51 328 +1988 4 11 0 18 VALERIE 69.8 334.2 109 632 +1969 12 21 0 19 FLORENCE 14.4 309.3 48 218 +1984 7 22 18 12 LESLIE 21.0 204.4 80 666 +1960 4 28 6 15 HELENE 14.4 34.8 96 32 +1951 2 25 18 3 HELENE 45.2 104.7 28 101 +1999 10 25 0 4 SANDY 33.3 349.0 72 721 +1992 12 27 18 18 ISAAC 35.7 346.8 75 154 +1978 3 21 6 22 FLORENCE 11.5 300.9 53 485 +1952 5 18 12 11 ISAAC 50.6 203.3 98 300 +1961 6 19 12 18 RAFAEL 40.9 294.3 135 363 +1990 6 17 0 9 GORDON 63.5 262.9 28 364 +1950 6 12 6 9 SANDY 21.1 268.9 118 40 +1961 6 18 12 27 HELENE 59.3 310.3 116 768 +1976 2 12 12 21 ALBERTO 12.4 172.8 110 626 +1957 9 13 0 16 LESLIE 35.6 234.9 41 61 +2002 7 6 6 5 KIRK 53.1 119.6 55 755 +1959 9 22 6 14 NADINE 50.6 286.8 83 132 +1961 5 12 18 7 NADINE 61.2 268.9 106 815 +1979 11 4 18 9 JOYCE 58.1 330.2 31 632 +1956 4 28 6 24 PATTY 22.5 356.7 123 486 +1986 9 22 18 19 WILLIAM 52.5 160.8 144 784 +1978 5 3 12 22 ALBERTO 31.7 35.0 28 84 +1999 6 17 6 2 VALERIE 12.1 199.1 48 869 +1983 8 8 6 13 ALBERTO 35.2 285.9 74 438 +2000 9 5 18 6 WILLIAM 47.7 141.6 39 554 +1988 7 25 12 23 ISAAC 42.9 352.7 10 793 +2003 4 10 6 24 JOYCE 22.5 13.9 160 0 +1979 8 20 6 27 VALERIE 14.4 173.7 39 251 +1989 7 20 12 18 GORDON 9.6 4.7 64 475 +1980 11 5 12 24 NADINE 28.9 355.6 44 377 +1975 10 6 6 10 VALERIE 44.6 26.1 107 811 +1963 6 21 6 11 OSCAR 17.9 65.2 84 64 +2000 4 4 18 22 CHRIS 21.5 263.4 53 811 +1962 1 3 0 13 RAFAEL 50.8 276.6 116 676 +1966 5 22 12 9 TONY 49.4 274.8 70 661 +1966 6 12 0 8 RAFAEL 45.8 350.9 30 662 +1989 1 5 0 28 JOYCE 11.1 60.8 145 572 +1962 10 6 18 28 SANDY 21.6 171.7 90 302 +1986 6 28 0 3 GORDON 44.1 304.2 117 247 +1991 1 4 18 7 MICHAEL 56.5 322.5 154 807 +1997 2 10 12 2 MICHAEL 33.2 114.8 18 127 +1964 11 12 18 18 CHRIS 40.9 168.2 38 18 +1950 3 12 0 4 LESLIE 32.8 193.2 56 695 +2003 5 7 6 8 JOYCE 56.3 14.1 149 569 +1991 12 10 0 6 GORDON 64.1 158.8 49 706 +1952 5 21 18 22 ALBERTO 13.1 263.6 94 233 +1966 8 20 18 7 WILLIAM 42.2 206.3 148 409 +1982 9 23 18 19 NADINE 21.4 76.6 41 41 +1976 3 8 0 4 RAFAEL 35.4 11.4 122 592 +1987 2 19 12 23 VALERIE 55.6 134.5 27 123 +1953 1 24 12 11 ALBERTO 68.4 137.0 123 841 +1952 4 17 18 13 BERYL 55.3 305.0 134 805 +2001 2 6 6 24 BERYL 61.0 226.7 61 51 +1962 4 1 6 11 DEBBY 18.8 170.9 122 74 +1976 6 2 18 3 GORDON 51.5 321.9 45 298 +1977 2 17 12 2 JOYCE 9.8 328.2 111 52 +1997 2 25 12 21 MICHAEL 16.3 292.3 73 398 +2004 2 19 18 12 BERYL 14.9 348.1 152 38 +1961 10 28 18 28 LESLIE 50.3 223.7 83 487 +1982 12 17 12 6 VALERIE 10.2 60.8 52 362 +1977 7 16 12 20 WILLIAM 38.9 165.4 162 204 +2003 9 17 0 25 VALERIE 61.6 286.8 130 116 +1974 3 26 18 6 CHRIS 25.8 12.5 162 671 +1993 11 21 6 26 TONY 7.4 324.4 69 124 +1988 3 27 0 3 CHRIS 68.7 231.4 152 338 +1957 9 22 18 18 SANDY 61.6 109.4 119 590 +1950 10 18 18 28 JOYCE 49.8 247.6 142 611 +1983 2 3 12 27 LESLIE 59.8 251.7 57 782 +1988 5 16 12 20 MICHAEL 42.4 173.1 74 375 +1990 5 25 18 19 FLORENCE 30.7 20.9 111 532 +1990 7 11 18 6 ERNESTO 64.8 294.6 163 188 +1996 3 23 12 5 CHRIS 11.0 126.8 103 598 +1995 8 6 0 21 GORDON 9.6 41.3 92 558 +1987 7 14 0 19 RAFAEL 16.8 82.5 27 84 +1989 10 18 18 12 TONY 62.9 203.3 122 413 +1958 8 28 18 23 ALBERTO 53.5 288.3 29 804 +2002 7 7 6 25 HELENE 16.8 231.9 23 730 +1952 8 9 6 3 RAFAEL 69.0 235.1 34 707 +1967 3 18 18 26 BERYL 35.6 73.0 128 226 +1986 9 18 18 27 KIRK 31.0 160.7 128 857 +1992 4 18 6 9 JOYCE 67.0 245.0 117 76 +1962 10 27 18 28 FLORENCE 34.7 303.2 28 616 +1962 6 16 18 24 BERYL 27.2 214.3 149 267 +1973 1 26 18 3 GORDON 30.5 90.5 98 651 +1996 5 4 6 15 VALERIE 52.3 5.8 15 398 +2002 12 26 12 18 VALERIE 37.8 211.9 150 36 +1966 8 13 0 26 ISAAC 66.2 357.8 146 617 +1981 3 13 0 27 OSCAR 68.8 153.4 144 462 +1972 10 4 6 4 OSCAR 45.2 261.4 47 402 +1964 9 24 18 4 CHRIS 57.8 91.4 148 285 +1978 7 12 0 14 GORDON 67.4 280.2 71 351 +1968 9 8 12 18 ISAAC 62.3 43.4 96 358 +1972 7 3 18 27 VALERIE 36.9 250.2 118 401 +1957 6 23 0 24 BERYL 26.3 44.4 144 222 +1982 3 5 0 2 KIRK 15.3 43.4 113 546 +1971 6 28 12 2 NADINE 42.7 264.3 64 369 +1977 1 22 12 15 OSCAR 56.3 116.9 104 58 +1958 12 20 6 4 BERYL 43.1 51.6 156 58 +1965 9 19 18 10 PATTY 48.5 185.3 38 817 +1953 7 14 0 20 OSCAR 14.0 339.2 89 760 +1960 3 7 18 14 CHRIS 45.3 149.4 60 488 +2003 2 17 6 15 JOYCE 23.6 136.4 147 135 +1992 2 21 18 28 ALBERTO 34.7 249.7 119 270 +1997 10 4 0 14 JOYCE 67.1 69.4 17 311 +2003 5 3 18 25 LESLIE 27.1 330.1 126 58 +1962 3 12 18 14 TONY 32.3 353.3 106 694 +1991 1 15 12 10 RAFAEL 35.4 123.2 112 527 +1969 11 7 18 11 ISAAC 11.1 303.1 54 440 +1979 6 4 12 19 TONY 44.6 340.5 34 291 +2001 8 28 0 19 WILLIAM 58.2 7.7 17 843 +1971 12 24 18 4 DEBBY 12.1 209.7 107 219 +1988 11 16 12 10 WILLIAM 17.1 313.6 27 418 +2003 12 14 0 18 GORDON 65.2 226.6 81 173 +1976 8 10 18 15 NADINE 21.9 346.5 147 723 +1962 3 6 6 12 CHRIS 55.8 307.6 32 445 +2003 10 27 6 20 OSCAR 24.5 171.5 90 203 +1985 8 27 12 13 DEBBY 29.3 241.7 110 364 +1995 4 23 12 25 HELENE 58.8 307.7 69 405 +1973 5 15 6 21 WILLIAM 31.7 156.9 134 450 +1986 8 26 18 3 CHRIS 58.2 138.5 114 600 +2000 12 5 0 13 GORDON 59.8 293.7 51 277 +2003 2 27 0 1 DEBBY 38.1 256.0 17 350 +1973 1 4 6 7 OSCAR 68.4 7.7 75 142 +2004 10 7 0 26 DEBBY 59.0 98.9 55 652 +1957 8 3 0 4 VALERIE 38.3 327.0 39 760 +1951 8 2 6 6 VALERIE 26.9 211.0 69 492 +1966 11 20 0 22 CHRIS 13.0 306.8 74 565 +1961 4 26 0 21 MICHAEL 15.8 194.1 30 608 +1982 9 17 12 18 LESLIE 22.1 159.6 17 116 +1989 7 27 18 18 LESLIE 42.0 275.7 144 159 +2003 12 16 0 2 LESLIE 25.2 256.8 118 485 +1983 1 27 0 26 CHRIS 66.4 152.4 99 848 +1993 4 20 6 2 LESLIE 69.6 309.3 129 10 +1983 8 7 6 20 CHRIS 55.4 350.2 103 410 +1998 11 17 0 10 WILLIAM 29.2 221.6 114 691 +1984 7 27 12 16 JOYCE 68.7 84.4 11 39 +1993 1 1 0 7 KIRK 33.9 302.8 126 102 +1973 12 7 18 23 RAFAEL 63.6 352.6 95 125 +1987 4 26 6 7 BERYL 11.5 25.6 56 845 +1955 2 13 18 17 ALBERTO 63.8 231.7 138 2 +1957 12 26 6 24 KIRK 35.8 222.6 114 321 +1988 10 8 0 27 DEBBY 10.2 346.2 164 356 +1998 3 19 6 23 VALERIE 41.3 126.2 74 217 +1954 1 10 18 12 OSCAR 26.4 188.5 122 782 +1975 11 5 12 21 ALBERTO 62.3 273.8 146 525 +1963 8 23 18 9 VALERIE 40.0 126.0 113 575 +1990 1 9 0 24 ERNESTO 18.4 269.7 65 252 +1982 11 3 18 4 CHRIS 36.5 58.7 33 578 +1997 4 12 0 10 HELENE 37.0 133.8 159 439 +1996 10 1 0 9 CHRIS 30.1 126.7 102 813 +1991 5 1 18 13 OSCAR 35.3 224.6 137 775 +1958 9 17 0 17 FLORENCE 25.5 45.9 36 771 +1980 11 12 12 18 BERYL 59.2 135.1 18 143 +1973 9 4 0 27 ALBERTO 47.4 126.5 111 329 +1969 12 15 12 24 KIRK 16.8 149.3 20 788 +1958 1 8 18 16 PATTY 49.9 324.5 111 784 +1978 3 20 18 3 RAFAEL 31.7 314.7 100 305 +1967 5 5 12 18 KIRK 22.4 293.8 65 764 +1983 8 11 12 3 BERYL 21.2 121.5 160 657 +1957 12 6 18 27 ISAAC 15.1 0.5 49 297 +1977 6 3 12 20 OSCAR 10.6 340.9 161 92 +1970 10 13 6 20 ISAAC 67.4 309.8 40 373 +1963 6 7 0 10 PATTY 43.9 119.7 86 854 +1966 1 23 18 7 ISAAC 14.6 165.8 89 488 +1986 5 26 18 21 CHRIS 43.1 107.8 26 296 +1973 2 16 0 25 HELENE 55.6 159.8 133 375 +1973 6 25 6 22 GORDON 38.1 198.7 65 783 +1960 2 28 0 14 ISAAC 48.4 69.9 21 62 +1977 1 5 18 18 NADINE 45.3 306.0 37 891 +1985 2 9 18 17 VALERIE 62.7 2.1 44 195 +1979 4 2 18 9 BERYL 18.7 182.4 126 213 +1978 8 3 6 14 KIRK 60.9 284.1 28 627 +1952 8 10 0 17 OSCAR 30.2 201.8 57 855 +1969 3 9 6 24 ALBERTO 54.8 27.0 44 717 +1958 12 24 0 25 ALBERTO 60.4 347.9 82 864 +2001 3 11 18 17 HELENE 33.5 203.1 126 396 +1958 5 14 18 21 TONY 11.4 265.4 54 75 +1953 3 6 0 8 KIRK 63.1 134.9 137 534 +1977 1 12 12 7 MICHAEL 64.9 245.3 66 493 +1995 3 25 18 28 WILLIAM 63.9 171.7 55 20 +1996 2 8 0 12 LESLIE 37.5 271.0 43 448 +1979 11 23 18 27 ALBERTO 47.3 184.3 73 321 +1984 12 18 6 19 MICHAEL 44.7 160.3 157 122 +1979 12 4 0 22 HELENE 8.1 258.5 51 554 +1976 9 9 0 10 ALBERTO 21.5 94.5 63 450 +1965 9 4 12 11 ISAAC 17.2 49.4 70 724 +1993 11 1 12 9 ALBERTO 53.1 239.7 88 180 +1960 12 6 18 18 OSCAR 8.4 234.1 112 42 +1981 4 4 0 11 JOYCE 11.8 310.3 10 391 +2003 11 18 12 12 BERYL 45.1 335.4 97 681 +2000 11 7 18 27 ERNESTO 54.4 12.5 26 474 +1981 8 26 18 9 MICHAEL 57.8 129.0 16 752 +2004 1 26 18 13 GORDON 36.5 160.4 62 758 +2003 4 2 18 16 TONY 56.4 20.3 75 300 +1995 1 20 12 1 FLORENCE 13.3 132.2 143 42 +1989 7 18 12 9 OSCAR 63.5 0.9 156 721 +1951 8 21 12 11 KIRK 23.2 329.7 38 435 +2001 5 24 6 17 LESLIE 42.5 128.8 73 852 +1959 2 5 6 12 WILLIAM 63.4 344.7 32 84 +2002 11 24 6 21 SANDY 37.5 228.4 115 790 +1960 10 14 6 22 TONY 31.0 166.6 42 689 +1986 6 20 12 25 VALERIE 64.3 31.8 98 726 +2003 9 23 6 1 ISAAC 28.7 298.1 12 14 +1963 2 12 6 16 ISAAC 65.1 94.9 94 898 +1957 10 5 12 4 ISAAC 29.7 290.8 157 769 +1980 9 20 12 26 SANDY 64.2 164.1 46 419 +1967 4 16 18 25 PATTY 21.4 119.4 67 194 +1978 8 17 12 26 JOYCE 57.4 106.7 154 413 +1986 1 18 6 8 KIRK 45.5 170.1 38 37 +1976 1 7 6 23 RAFAEL 68.7 100.3 75 281 +1954 7 28 0 26 FLORENCE 50.7 346.9 32 620 +1974 4 9 6 4 NADINE 23.0 83.5 103 570 +1965 6 27 6 2 ISAAC 65.5 280.6 118 449 +1995 8 12 12 19 PATTY 33.3 175.9 119 465 +2000 11 11 0 15 WILLIAM 47.8 307.0 158 35 +1950 7 13 12 8 ALBERTO 64.8 165.5 92 188 +1989 2 16 12 24 CHRIS 38.2 63.0 151 619 +2001 4 3 6 1 PATTY 64.6 300.4 58 55 +1984 5 13 0 24 MICHAEL 66.2 264.4 85 651 +1971 1 12 18 15 TONY 30.8 29.8 114 355 +1987 7 6 12 28 ALBERTO 32.4 3.8 53 706 +1987 4 2 12 7 NADINE 30.1 279.1 108 601 +1990 8 23 0 8 FLORENCE 56.4 213.1 140 340 +1970 3 15 12 18 BERYL 52.6 136.3 160 762 +1969 4 24 0 10 MICHAEL 29.3 233.4 110 582 +1961 11 13 6 28 ERNESTO 29.7 1.7 78 748 +1978 3 8 6 9 SANDY 11.3 114.8 146 833 +1988 12 5 12 20 PATTY 26.4 157.8 161 781 +1999 6 13 6 14 NADINE 25.6 71.5 155 503 +1964 12 17 18 11 VALERIE 42.1 215.2 156 893 +1968 4 1 6 9 ALBERTO 57.8 151.7 111 367 +1957 3 10 18 4 SANDY 28.3 28.3 72 534 +1979 6 12 12 15 VALERIE 15.8 189.7 118 546 +1980 9 1 6 7 CHRIS 66.5 310.7 150 542 +1987 8 9 12 27 KIRK 10.7 178.7 122 480 +1963 10 27 18 26 LESLIE 67.9 133.5 113 707 +1960 6 22 0 8 OSCAR 63.1 52.4 110 828 +1985 1 17 12 25 KIRK 63.1 97.8 149 896 +1970 6 26 12 16 TONY 55.0 176.3 150 771 +1953 5 9 18 27 CHRIS 19.3 221.1 86 617 +1956 3 11 6 12 MICHAEL 16.1 354.1 154 595 +1995 12 9 6 7 OSCAR 58.2 84.1 39 148 +1956 12 23 12 16 PATTY 50.4 161.0 110 260 +1983 6 17 6 21 NADINE 52.2 105.8 101 164 +1966 8 9 6 21 GORDON 68.3 103.5 58 494 +1954 1 13 0 27 OSCAR 27.0 44.6 99 208 +1959 6 14 18 4 BERYL 64.0 356.7 108 72 +1978 11 11 12 7 GORDON 19.5 353.0 99 433 +1995 6 9 18 2 VALERIE 65.1 188.9 84 717 +1964 11 4 6 27 ERNESTO 33.9 81.3 65 692 +1962 12 10 12 1 WILLIAM 69.8 176.5 138 270 +1978 7 18 12 16 BERYL 24.6 161.9 94 885 +1981 1 11 18 18 KIRK 26.8 208.7 40 896 +1958 11 9 0 15 JOYCE 10.3 233.8 108 97 +2004 8 3 18 6 HELENE 24.6 19.6 41 354 +1964 11 3 0 20 RAFAEL 50.2 120.1 44 722 +1959 3 5 6 27 RAFAEL 58.8 17.7 42 576 +1982 12 25 6 6 NADINE 49.6 57.7 48 261 +1992 2 1 18 19 TONY 31.1 35.2 42 417 +2003 1 21 0 25 ERNESTO 57.4 220.4 150 887 +1961 3 25 6 5 OSCAR 14.2 177.6 151 341 +1996 1 23 12 7 FLORENCE 62.2 49.8 32 66 +1970 2 20 6 7 ERNESTO 10.6 351.5 87 895 +1981 11 4 12 14 SANDY 16.6 38.2 155 436 +1982 4 25 0 4 WILLIAM 14.1 105.9 162 339 +1981 2 10 12 12 SANDY 66.4 248.1 98 187 +1958 12 25 6 25 WILLIAM 45.6 228.8 123 129 +1990 10 1 12 11 JOYCE 65.5 26.4 26 857 +1970 1 28 6 21 LESLIE 10.5 70.1 93 91 +1996 2 15 12 28 OSCAR 12.9 300.9 51 304 +1965 9 1 18 16 WILLIAM 55.8 107.6 33 47 +1989 5 15 12 18 VALERIE 21.5 112.7 111 519 +1995 12 12 12 16 ALBERTO 37.2 313.6 163 54 +1969 1 9 6 22 GORDON 20.2 308.7 90 45 +1974 2 4 6 9 FLORENCE 47.0 221.2 150 232 +1980 3 21 6 2 CHRIS 31.1 30.4 85 193 +1961 5 27 18 12 PATTY 46.2 117.2 123 431 +1995 12 21 18 20 WILLIAM 52.3 68.5 81 43 +1955 3 7 6 3 LESLIE 57.5 126.4 38 406 +1956 1 4 12 21 ISAAC 56.3 4.3 81 15 +1999 10 26 0 19 OSCAR 22.1 154.9 102 252 +1987 7 2 6 12 RAFAEL 47.5 86.5 15 646 +1995 2 9 0 20 SANDY 60.3 149.3 23 661 +1990 1 12 18 22 CHRIS 20.7 243.1 40 352 +1984 6 19 6 13 ERNESTO 51.1 77.2 93 751 +1961 9 9 18 22 KIRK 13.4 126.9 30 192 +1952 7 21 0 20 PATTY 65.1 200.4 136 792 +1980 7 17 18 2 PATTY 25.5 343.3 119 246 +1971 7 14 18 11 DEBBY 44.1 261.1 41 664 +1965 6 24 12 4 VALERIE 53.6 227.6 137 6 +1973 10 13 0 1 CHRIS 15.6 60.9 92 234 +1996 9 24 18 9 NADINE 52.0 115.3 149 388 +1987 8 28 12 19 CHRIS 36.9 8.3 53 134 +1981 8 20 18 16 ERNESTO 58.4 74.9 13 572 +1994 6 19 6 13 TONY 8.4 143.2 53 169 +2001 6 27 0 19 ISAAC 48.9 15.0 82 693 +1961 12 20 12 8 WILLIAM 60.7 51.7 49 249 +1961 4 23 18 12 FLORENCE 59.4 261.6 51 154 +1985 6 9 0 8 KIRK 32.1 180.3 40 728 +1950 12 1 6 13 ERNESTO 11.9 340.0 37 424 +2004 1 22 18 4 WILLIAM 45.1 282.5 61 296 +1977 3 23 18 7 GORDON 33.5 31.5 39 77 +2000 12 23 6 17 GORDON 37.0 352.2 108 257 +1967 4 27 12 21 NADINE 27.6 178.3 152 399 +1981 2 27 0 5 HELENE 43.4 325.8 156 825 +1950 11 14 0 10 FLORENCE 43.8 277.5 40 23 +1983 9 11 18 5 WILLIAM 44.0 7.4 29 745 +1979 7 11 0 3 JOYCE 23.3 270.4 56 803 +1971 3 7 6 7 DEBBY 27.6 113.4 50 108 +1952 7 20 6 7 DEBBY 53.2 31.1 108 3 +1991 11 28 12 5 BERYL 30.0 22.3 15 857 +1991 9 3 12 12 PATTY 39.4 148.3 161 850 +1953 3 19 12 3 FLORENCE 38.8 255.1 146 193 +1966 2 21 0 5 OSCAR 48.2 91.8 39 290 +1966 4 18 6 13 LESLIE 29.0 203.0 89 309 +1987 3 4 6 28 ALBERTO 24.7 109.4 116 514 +1992 7 2 12 10 FLORENCE 14.6 7.5 114 393 +1989 3 20 0 16 GORDON 47.6 81.8 143 584 +1981 5 18 12 20 HELENE 13.9 342.8 14 701 +1996 9 20 0 11 CHRIS 52.2 282.1 109 336 +1990 7 21 6 8 KIRK 17.7 148.9 72 769 +1980 12 24 12 17 ISAAC 29.6 204.2 123 862 +1963 11 3 12 28 FLORENCE 40.0 256.9 24 879 +1958 7 28 6 22 NADINE 57.5 45.9 105 161 +1986 1 22 12 28 FLORENCE 39.6 328.6 129 120 +1970 9 4 6 3 ALBERTO 47.4 36.7 94 523 +1958 11 2 18 18 DEBBY 53.0 272.8 147 793 +1961 10 22 0 20 VALERIE 37.3 78.5 17 635 +1950 2 18 12 10 DEBBY 9.5 117.6 113 732 +1964 3 4 12 1 ALBERTO 44.6 181.4 123 452 +1976 2 8 6 10 ISAAC 69.1 203.4 105 26 +1950 5 10 6 20 RAFAEL 44.2 221.1 65 446 +1969 2 3 6 23 OSCAR 29.9 345.3 145 586 +1965 6 5 6 16 SANDY 52.3 130.6 123 151 +1958 8 23 6 12 BERYL 48.3 226.4 139 212 +1954 6 13 12 26 BERYL 43.3 192.2 77 381 +1953 12 27 0 28 LESLIE 11.5 57.9 111 530 +1969 12 22 0 9 ERNESTO 32.8 84.6 13 560 +1987 1 11 0 17 RAFAEL 57.5 283.0 100 540 +1975 8 28 0 14 LESLIE 43.4 300.0 63 86 +1974 12 25 0 9 CHRIS 57.9 301.9 145 216 +2000 8 21 0 28 SANDY 11.1 140.6 149 565 +1960 11 17 0 27 ALBERTO 21.9 93.3 163 403 +1985 8 13 6 10 KIRK 14.1 275.5 30 508 +1997 10 8 18 5 WILLIAM 17.7 271.4 137 811 +1972 5 3 0 24 ERNESTO 45.3 185.0 47 467 +1952 5 1 12 19 GORDON 21.6 80.4 148 426 +1977 10 5 12 20 WILLIAM 14.4 252.9 77 345 +1962 4 11 18 15 LESLIE 53.8 229.0 39 71 +1987 2 21 6 20 TONY 45.9 338.7 146 645 +1966 8 10 18 3 HELENE 38.7 166.8 102 310 +1992 10 15 6 12 NADINE 35.5 131.0 65 457 +1957 7 22 18 18 TONY 11.9 336.1 116 28 +1960 3 5 12 3 JOYCE 68.4 162.3 103 199 +1998 1 22 12 22 JOYCE 33.6 38.4 23 163 +1954 10 26 6 23 LESLIE 24.9 205.8 158 115 +1974 3 23 12 14 DEBBY 22.4 140.8 28 803 +1954 3 2 12 11 SANDY 10.3 271.8 130 537 +2001 5 26 18 23 ISAAC 49.1 80.6 84 871 +1981 4 10 6 19 NADINE 58.9 107.2 126 641 +2002 6 4 0 17 PATTY 63.5 213.1 158 579 +1964 9 16 6 10 DEBBY 65.3 135.2 135 197 +2000 8 24 6 2 DEBBY 36.8 99.1 22 127 +1975 6 26 0 5 NADINE 51.0 4.0 90 709 +1994 6 6 18 15 ISAAC 63.7 15.0 28 380 +2004 1 15 6 22 HELENE 12.6 24.1 44 499 +1952 2 19 6 1 OSCAR 8.0 326.3 144 274 +1979 8 24 6 7 FLORENCE 46.5 194.4 93 114 +1974 4 19 0 18 GORDON 24.6 188.1 46 4 +1963 7 21 0 26 ERNESTO 22.4 243.8 152 580 +1990 4 5 18 8 JOYCE 54.9 29.5 130 375 +1999 5 11 18 6 LESLIE 19.5 134.1 84 57 +1960 2 7 0 4 TONY 44.7 67.7 70 19 +1959 11 1 0 22 LESLIE 61.3 46.0 42 606 +1975 9 17 18 1 RAFAEL 10.2 253.0 151 108 +1969 3 27 12 16 JOYCE 55.6 333.8 156 434 +1996 7 28 18 4 GORDON 60.6 283.9 62 639 +1973 4 14 12 7 VALERIE 9.3 128.7 81 225 +1980 10 28 0 8 OSCAR 16.1 292.1 98 403 +1970 12 27 18 22 RAFAEL 55.8 168.0 17 743 +1983 8 13 12 16 GORDON 16.4 152.2 118 77 +2002 9 19 6 15 HELENE 49.0 252.6 76 612 +1958 9 24 6 5 ISAAC 63.6 21.3 29 658 +1986 4 5 18 27 MICHAEL 8.7 145.0 143 328 +1960 11 8 0 7 BERYL 32.5 357.7 161 546 +1951 8 7 12 17 DEBBY 66.7 18.5 28 415 +2004 5 8 6 23 ALBERTO 69.9 251.3 21 213 +1969 2 12 18 26 FLORENCE 49.7 38.4 138 822 +1993 8 16 6 21 MICHAEL 47.3 211.3 155 430 +1972 6 23 18 22 KIRK 68.3 21.9 150 274 +1979 12 27 6 7 RAFAEL 17.3 317.0 158 837 +1951 2 8 12 10 TONY 50.6 178.7 162 685 +1988 5 17 18 8 RAFAEL 19.9 285.8 69 552 +1951 3 8 12 18 CHRIS 63.1 76.3 126 23 +1971 11 1 6 17 RAFAEL 69.2 320.7 53 451 +1965 3 14 18 23 BERYL 49.0 347.5 82 92 +1975 5 5 6 7 MICHAEL 24.2 120.1 76 469 +1968 1 8 0 17 FLORENCE 41.4 335.1 66 277 +1971 6 5 6 17 HELENE 20.5 31.3 17 68 +1950 2 21 6 15 TONY 33.8 167.0 42 694 +1985 8 5 12 1 NADINE 40.4 286.3 51 130 +1963 10 7 18 8 DEBBY 28.9 278.4 53 818 +1988 3 2 12 21 GORDON 61.0 206.0 150 159 +1971 5 3 0 21 SANDY 10.7 298.8 62 189 +1994 8 2 0 11 VALERIE 28.2 34.2 25 176 +1982 11 15 18 23 VALERIE 37.9 37.4 39 179 +1982 9 25 0 18 MICHAEL 46.3 272.4 90 883 +1979 5 3 0 9 ERNESTO 18.8 15.2 157 0 +1976 11 5 6 23 LESLIE 66.2 327.2 69 214 +1995 12 20 12 15 PATTY 46.5 29.3 147 358 +2000 12 3 0 19 NADINE 38.1 199.0 33 246 +1998 2 20 18 7 FLORENCE 50.9 62.0 41 465 +1954 3 16 0 6 ALBERTO 47.3 32.8 17 516 +2000 1 18 12 17 ERNESTO 37.9 44.8 152 142 +1998 9 22 18 18 VALERIE 64.7 35.0 47 867 +1952 11 28 0 27 CHRIS 56.0 221.4 125 803 +1981 5 11 0 1 NADINE 42.7 88.7 20 537 +1963 4 2 0 8 BERYL 7.4 217.7 81 230 +1981 10 4 0 24 ISAAC 57.0 131.7 32 99 +1957 6 1 6 9 HELENE 17.9 215.9 118 214 +1972 3 28 6 5 ISAAC 8.3 261.0 91 256 +1960 5 12 0 15 JOYCE 58.1 341.0 18 396 +1955 5 16 12 25 WILLIAM 11.7 50.1 93 552 +1965 8 22 0 19 JOYCE 58.3 79.0 27 240 +1953 7 21 12 19 WILLIAM 43.0 23.7 18 521 +1964 12 1 18 3 HELENE 28.7 319.7 106 113 +1998 7 1 6 12 PATTY 15.6 15.0 130 268 +1987 8 6 18 25 FLORENCE 36.6 89.3 67 881 +1992 8 11 0 28 MICHAEL 47.4 80.5 85 829 +1954 9 8 0 7 KIRK 31.6 16.9 55 523 +1957 10 24 0 7 RAFAEL 40.9 235.7 137 289 +1960 10 16 0 21 HELENE 24.7 178.0 137 432 +1956 7 2 12 12 OSCAR 25.0 68.3 107 884 +1968 2 4 0 1 ALBERTO 27.5 68.6 163 472 +1981 5 7 6 26 WILLIAM 10.2 142.9 97 797 +2004 10 18 6 22 KIRK 12.5 126.2 77 122 +1973 7 5 18 16 SANDY 45.3 290.6 27 841 +1961 5 23 18 15 SANDY 33.6 350.5 160 668 +1957 2 9 0 10 TONY 57.2 92.8 158 247 +1991 3 25 12 16 ALBERTO 28.0 309.7 40 233 +1956 12 24 6 13 DEBBY 47.4 100.3 21 549 +1955 5 27 18 24 SANDY 67.3 96.6 129 663 +1978 11 13 0 20 NADINE 21.5 339.5 152 431 +1967 12 11 0 26 HELENE 50.5 303.8 33 360 +1983 2 2 6 28 ALBERTO 8.0 268.2 29 669 +1994 12 3 18 22 CHRIS 54.2 143.0 154 137 +1990 5 22 12 25 LESLIE 23.8 131.7 115 25 +1951 9 23 6 6 CHRIS 15.0 357.4 72 256 +2001 5 18 12 2 PATTY 23.4 147.8 143 419 +1957 12 2 18 24 NADINE 35.7 276.6 47 625 +1961 3 19 6 24 GORDON 46.2 87.3 96 228 +1956 5 12 6 10 TONY 43.2 239.7 76 386 +1988 4 14 12 11 JOYCE 48.0 15.0 78 823 +1953 11 17 0 1 ERNESTO 61.5 150.4 129 162 +1997 6 18 18 16 ERNESTO 60.6 258.8 161 574 +1978 3 11 18 8 SANDY 40.4 241.6 163 253 +1976 3 17 0 23 OSCAR 8.0 241.2 20 84 +1997 8 6 0 15 ALBERTO 57.5 116.7 63 153 +1962 1 23 0 4 NADINE 32.6 185.5 47 775 +1962 10 26 12 17 NADINE 66.5 42.7 122 544 +2000 7 28 6 7 RAFAEL 42.7 355.2 156 89 +1956 12 21 6 1 SANDY 65.9 140.8 123 324 +1959 8 14 6 7 RAFAEL 31.5 256.6 63 178 +1952 11 1 18 24 SANDY 49.2 48.7 151 85 +1983 5 4 18 22 WILLIAM 69.2 140.2 23 789 +1959 5 16 12 18 LESLIE 62.0 165.4 24 136 +1971 4 17 18 5 BERYL 50.6 145.4 53 30 +1953 4 4 6 1 TONY 27.6 46.9 68 808 +1950 11 20 0 12 NADINE 40.0 246.6 135 854 +1971 9 6 12 4 SANDY 37.4 331.6 26 639 +1979 10 15 6 7 MICHAEL 64.6 237.7 19 716 +1953 12 10 6 5 DEBBY 42.0 152.2 136 262 +1985 2 17 0 6 ERNESTO 19.5 74.4 115 50 +2004 3 16 12 7 TONY 53.4 342.7 12 408 +1968 10 27 0 7 DEBBY 19.4 107.1 18 120 +1980 12 13 6 22 KIRK 68.5 337.9 15 214 +1987 11 12 0 15 HELENE 37.6 169.4 62 201 +1992 6 1 0 8 ALBERTO 66.7 355.2 41 221 +1957 5 24 12 12 NADINE 19.1 8.8 23 184 +1980 1 25 6 20 ALBERTO 30.3 343.8 47 32 +1990 6 22 12 27 PATTY 30.1 339.5 160 426 +1995 11 22 6 15 MICHAEL 57.1 135.6 80 224 +1991 8 22 12 7 NADINE 43.1 214.5 60 604 +1967 4 10 6 27 SANDY 21.8 32.6 65 52 +1970 1 4 12 3 WILLIAM 64.4 16.0 121 765 +1988 10 7 18 22 SANDY 63.4 178.9 65 862 +2003 6 4 12 6 FLORENCE 49.9 256.9 100 173 +1988 4 26 6 3 WILLIAM 37.0 139.4 111 114 +1986 8 15 12 21 CHRIS 28.4 15.5 104 418 +1970 6 18 0 24 RAFAEL 62.9 23.0 16 35 +1999 1 27 18 25 LESLIE 45.5 106.7 105 392 +1981 9 18 6 9 SANDY 53.1 231.9 45 525 +1955 9 15 12 12 KIRK 31.8 209.4 155 234 +1957 6 5 12 2 VALERIE 15.0 178.5 81 637 +2000 11 20 6 23 PATTY 27.1 93.8 24 506 +1991 9 24 18 13 HELENE 20.1 122.0 79 334 +1959 7 8 6 11 NADINE 63.8 192.5 56 174 +1975 1 28 18 6 GORDON 57.6 26.0 153 811 +1951 3 10 0 24 LESLIE 40.1 227.1 20 297 +1981 1 4 18 15 LESLIE 60.0 206.4 116 227 +1964 9 14 6 17 HELENE 25.9 239.6 127 437 +1986 3 2 12 24 TONY 47.5 220.0 130 90 +1970 1 18 12 2 MICHAEL 64.2 52.9 70 544 +1954 3 9 18 25 JOYCE 56.6 26.6 26 710 +1980 1 18 6 15 NADINE 54.1 224.9 142 805 +1981 10 3 6 13 FLORENCE 20.5 133.0 123 102 +1988 1 6 6 19 OSCAR 57.7 151.7 81 292 +1981 4 3 18 13 FLORENCE 34.4 87.0 53 416 +1967 5 3 6 15 NADINE 36.3 13.8 64 569 +1983 1 13 0 25 FLORENCE 41.1 66.0 130 492 +1959 5 14 12 20 PATTY 35.5 16.4 34 206 +1992 9 19 12 26 SANDY 13.1 20.8 95 333 +1989 12 22 12 19 CHRIS 50.4 249.1 152 536 +1960 5 16 0 25 HELENE 66.2 239.9 91 748 +1971 1 4 6 8 LESLIE 55.2 316.8 150 504 +1950 8 9 0 8 MICHAEL 24.9 285.6 47 542 +1953 2 2 12 27 BERYL 42.6 57.4 99 779 +1957 6 6 18 1 HELENE 20.3 322.5 45 269 +1973 6 14 12 2 TONY 12.9 286.1 54 728 +1982 5 19 0 23 GORDON 22.9 73.0 29 344 +2000 6 5 6 1 JOYCE 55.9 280.6 112 631 +1991 9 9 12 23 FLORENCE 7.1 37.4 50 277 +1993 4 28 12 21 CHRIS 32.0 342.0 112 38 +2003 1 1 6 25 DEBBY 7.4 260.5 96 163 +1960 7 19 12 16 GORDON 8.1 217.0 18 510 +1950 12 23 6 6 SANDY 15.4 174.6 64 477 +1974 3 11 0 27 VALERIE 19.5 45.8 161 406 +1978 11 9 12 10 KIRK 17.7 92.8 147 77 +1986 3 19 0 19 ALBERTO 27.4 78.0 35 732 +1951 7 26 0 9 FLORENCE 47.9 211.7 29 888 +1963 1 22 0 12 NADINE 15.5 317.4 51 847 +1986 5 18 0 16 LESLIE 7.5 173.7 48 861 +1999 7 11 12 26 HELENE 35.2 355.4 150 764 +1986 3 4 0 23 OSCAR 67.0 256.8 22 805 +1972 7 22 6 1 JOYCE 66.3 308.5 69 795 +1993 5 9 12 1 LESLIE 13.0 150.0 152 494 +1956 3 24 18 15 OSCAR 54.8 178.8 81 714 +1995 10 18 6 19 GORDON 68.2 287.4 156 792 +1968 2 24 12 28 FLORENCE 50.9 319.9 24 272 +1959 6 3 12 16 RAFAEL 67.3 318.7 101 604 +1967 8 25 6 9 MICHAEL 55.4 343.8 60 374 +1986 10 4 6 6 SANDY 10.3 242.5 40 155 +1960 9 9 12 20 WILLIAM 57.2 98.5 62 178 +1962 7 17 0 28 LESLIE 44.0 323.9 26 808 +1972 5 26 0 28 ISAAC 13.5 17.8 128 516 +1960 2 21 6 13 TONY 46.8 352.5 43 163 +2001 8 7 0 2 GORDON 17.5 348.2 138 225 +1998 2 18 12 6 MICHAEL 27.5 264.3 142 348 +1970 5 6 6 5 RAFAEL 44.2 57.2 159 550 +1999 6 9 12 15 KIRK 59.0 23.3 115 817 +1991 5 10 0 4 VALERIE 64.3 135.9 32 423 +1964 4 9 0 11 HELENE 22.6 352.4 39 800 +1968 6 13 0 19 SANDY 34.9 298.2 135 542 +1999 11 7 6 16 BERYL 30.3 57.3 11 665 +1965 6 21 6 13 ISAAC 33.3 118.9 118 280 +1979 12 27 12 3 PATTY 48.3 286.7 50 73 +1959 4 12 18 1 ISAAC 33.9 187.1 163 211 +1983 4 6 18 10 ISAAC 41.5 348.9 156 97 +1988 9 22 18 20 NADINE 59.0 280.5 45 771 +1950 11 19 0 7 JOYCE 10.8 2.7 96 139 +1964 12 1 12 25 RAFAEL 11.9 222.5 164 519 +1953 7 10 12 26 KIRK 63.1 329.5 113 43 +1964 3 8 12 27 JOYCE 15.8 77.0 97 126 +1962 8 17 12 4 HELENE 44.1 84.2 36 801 +1959 8 5 12 4 TONY 68.4 354.1 148 847 +1993 11 26 12 21 SANDY 18.1 172.8 113 891 +2003 10 20 18 17 SANDY 57.8 332.8 50 768 +1997 3 16 0 12 NADINE 14.2 26.5 141 127 +1992 8 22 6 26 DEBBY 43.8 59.4 46 59 +1964 2 18 6 27 RAFAEL 24.6 117.1 90 714 +1956 5 7 12 17 RAFAEL 13.0 342.7 118 841 +1993 8 27 0 19 TONY 15.1 145.9 30 429 +1978 2 15 6 18 WILLIAM 64.2 236.4 49 625 +1986 6 11 18 1 JOYCE 32.2 354.2 57 802 +1957 2 10 0 11 ALBERTO 68.7 322.3 141 555 +1955 10 17 0 24 HELENE 45.6 303.6 89 13 +1972 3 23 0 18 WILLIAM 21.2 187.1 22 347 +2000 4 9 0 28 LESLIE 13.8 334.5 54 525 +1983 12 28 6 20 WILLIAM 55.9 17.4 153 589 +1996 8 24 6 8 CHRIS 20.4 251.1 93 32 +2001 7 4 0 7 OSCAR 65.5 209.9 60 596 +1954 4 28 12 20 ERNESTO 24.4 262.8 47 362 +1994 10 24 12 14 FLORENCE 14.6 129.9 24 154 +2000 11 10 0 4 CHRIS 36.9 8.3 126 764 +1967 4 20 6 18 CHRIS 45.2 199.5 16 646 +1955 7 27 0 21 ALBERTO 20.6 167.0 102 279 +1969 4 18 6 3 RAFAEL 62.9 70.3 118 90 +1998 3 5 6 26 NADINE 29.2 196.1 139 98 +1973 5 26 0 16 HELENE 61.4 11.5 52 694 +1976 10 16 18 15 DEBBY 25.8 21.7 76 142 +1985 1 21 18 23 ALBERTO 33.9 102.0 63 201 +1979 6 22 18 11 OSCAR 34.1 27.8 41 125 +1957 2 8 0 3 ISAAC 51.5 272.0 111 693 +1959 9 4 0 25 ISAAC 47.8 87.7 48 217 +1997 6 27 18 19 CHRIS 39.6 105.5 22 757 +2002 6 25 18 13 PATTY 29.4 107.4 98 71 +1986 2 16 0 25 HELENE 33.9 282.1 62 206 +1957 9 12 12 17 NADINE 11.1 166.3 35 579 +1968 4 18 18 4 MICHAEL 16.1 355.7 139 597 +1987 8 3 6 5 GORDON 31.7 342.9 97 367 +1974 2 28 12 15 KIRK 15.3 53.8 125 581 +1954 12 15 6 18 WILLIAM 63.6 217.7 71 803 +1969 7 27 6 17 JOYCE 29.7 109.0 97 231 +1984 1 5 12 12 SANDY 8.9 332.4 154 197 +1951 3 4 0 16 ERNESTO 47.5 228.0 24 312 +1964 3 22 6 26 HELENE 61.4 286.6 47 124 +1978 5 16 12 27 ISAAC 20.6 279.1 80 312 +1988 3 7 0 24 LESLIE 65.2 251.3 19 724 +1975 2 28 12 23 PATTY 51.3 216.7 96 682 +1960 6 26 6 4 OSCAR 35.3 172.6 36 793 +1969 9 18 18 22 SANDY 23.5 247.2 151 134 +1999 4 23 18 1 WILLIAM 21.7 262.4 114 710 +1982 4 10 12 4 HELENE 28.4 117.9 69 122 +1964 8 26 12 11 PATTY 20.1 325.0 38 325 +1974 4 6 12 15 VALERIE 14.1 12.1 68 534 +1995 10 27 0 27 MICHAEL 34.9 33.3 78 3 +1972 9 26 0 12 ALBERTO 64.2 125.2 112 263 +1980 7 23 0 13 DEBBY 65.6 88.7 103 458 +1980 2 4 18 26 WILLIAM 8.7 160.4 92 657 +1959 2 21 12 9 SANDY 39.7 290.1 104 788 +1969 10 24 18 3 HELENE 21.9 295.6 35 477 +1976 6 26 6 6 NADINE 67.4 242.8 115 579 +1980 12 14 6 12 ALBERTO 66.8 54.2 148 644 +1978 7 9 6 19 WILLIAM 36.9 212.9 53 345 +1987 5 25 18 20 JOYCE 40.4 254.8 31 823 +1967 11 14 12 27 TONY 63.5 118.6 14 30 +1993 1 7 6 4 VALERIE 63.5 186.0 50 795 +1972 10 20 0 25 GORDON 26.1 312.7 76 800 +1965 1 9 12 23 PATTY 64.2 39.2 43 421 +1968 5 18 12 24 GORDON 25.4 179.2 43 557 +1982 11 19 12 27 ERNESTO 12.5 25.2 140 503 +1963 7 28 6 1 RAFAEL 69.7 296.8 34 599 +1982 8 19 0 20 RAFAEL 51.1 7.4 113 557 +1962 6 18 6 20 TONY 62.5 121.5 125 593 +1984 8 5 0 28 MICHAEL 28.1 198.0 153 556 +1959 6 13 6 18 KIRK 34.1 68.2 81 43 +1965 5 16 18 5 OSCAR 66.6 335.3 29 598 +1962 8 14 0 9 KIRK 14.7 199.3 160 286 +1997 2 8 0 25 HELENE 49.7 208.2 151 618 +2004 6 27 12 7 ERNESTO 19.9 241.6 97 217 +1961 3 16 12 12 ALBERTO 24.3 239.9 46 639 +1955 3 26 6 2 KIRK 59.4 35.0 112 806 +1993 7 13 12 24 CHRIS 51.3 25.2 122 702 +1957 5 2 6 21 VALERIE 36.4 181.5 92 776 +1989 4 24 0 18 GORDON 55.7 285.6 116 22 +1980 8 20 0 11 SANDY 19.2 273.9 43 115 +1982 6 6 18 28 KIRK 66.2 354.0 71 257 +1959 4 11 18 23 RAFAEL 50.8 93.2 27 43 +1975 6 15 18 2 PATTY 19.7 242.4 88 19 +1980 5 7 0 24 BERYL 48.3 319.9 52 295 +1988 5 23 18 2 FLORENCE 45.3 257.4 47 322 +1981 7 13 18 18 HELENE 17.0 225.6 16 805 +1971 5 1 6 21 ERNESTO 37.1 269.0 102 404 +1994 8 14 0 20 SANDY 7.1 17.7 58 892 +1971 2 15 6 19 NADINE 20.1 249.3 155 261 +1979 8 20 6 10 LESLIE 67.4 139.2 145 338 +1996 1 6 12 28 KIRK 64.8 335.8 43 335 +1967 4 25 18 27 JOYCE 62.0 214.5 120 135 +1989 3 1 12 21 HELENE 37.2 25.8 43 420 +1988 4 8 18 5 OSCAR 31.7 190.6 148 404 +1951 2 21 18 25 OSCAR 54.2 325.8 134 707 +1977 7 23 6 13 CHRIS 55.2 303.6 46 827 +1961 12 19 12 10 PATTY 62.8 313.5 22 341 +1951 10 6 0 17 ISAAC 49.0 182.7 99 752 +1977 7 11 0 27 ALBERTO 30.5 184.4 92 304 +1962 7 28 12 26 OSCAR 51.9 157.1 47 819 +1952 2 5 0 28 GORDON 43.4 218.2 51 150 +1972 2 25 6 12 JOYCE 45.9 25.5 31 496 +1986 8 11 0 25 TONY 8.8 30.0 107 681 +1998 9 23 6 20 CHRIS 57.0 151.4 154 373 +1994 5 19 0 17 ALBERTO 20.1 173.8 79 217 +1987 3 18 6 27 OSCAR 37.5 130.9 43 645 +1959 10 16 18 22 FLORENCE 35.9 258.6 31 366 +1968 4 25 0 7 ERNESTO 40.3 194.4 109 582 +1995 3 22 18 20 ISAAC 61.4 133.2 14 457 +1998 1 20 6 3 JOYCE 28.9 289.9 133 303 +1970 9 5 6 8 WILLIAM 25.5 161.7 153 349 +1954 5 27 18 25 OSCAR 22.4 252.6 82 380 +1993 8 22 12 7 VALERIE 55.6 91.9 22 235 +1967 10 8 18 23 ALBERTO 15.3 197.1 134 491 +1967 9 2 12 1 GORDON 9.2 350.7 24 94 +1963 2 19 12 16 VALERIE 7.9 313.4 65 571 +1997 1 1 18 15 ERNESTO 25.9 157.1 64 41 +2003 7 24 0 14 RAFAEL 16.6 74.4 152 248 +1997 5 22 12 2 TONY 19.8 257.8 149 359 +1982 7 10 0 19 NADINE 69.5 239.9 96 848 +1998 7 26 0 28 BERYL 11.3 44.7 153 234 +1978 2 28 18 12 DEBBY 39.2 332.4 77 608 +1965 1 16 18 22 NADINE 68.8 334.3 120 19 +1994 7 1 6 13 LESLIE 47.7 331.2 28 588 +1955 1 3 6 21 TONY 11.8 255.3 164 514 +1974 5 21 18 6 TONY 13.1 30.8 143 264 +1988 12 20 0 11 ALBERTO 51.6 327.2 54 769 +1980 5 19 18 18 ERNESTO 31.4 312.4 63 119 +1977 3 10 0 22 KIRK 53.9 271.2 15 641 +1961 1 3 12 27 BERYL 52.9 313.0 164 491 +1998 7 12 12 5 OSCAR 31.9 225.9 137 390 +1981 2 19 12 18 FLORENCE 47.4 312.4 134 280 +1987 6 9 0 24 HELENE 35.4 126.6 163 754 +1990 11 15 18 21 MICHAEL 46.0 178.2 148 86 +1986 9 17 18 19 DEBBY 29.7 4.7 75 385 +1977 2 1 12 25 OSCAR 45.9 81.0 28 491 +1988 7 3 18 26 PATTY 34.6 158.8 32 884 +1955 9 2 6 20 DEBBY 28.8 168.5 53 372 +1953 12 7 0 27 JOYCE 34.6 195.4 59 358 +1975 10 25 18 23 VALERIE 9.5 351.2 33 640 +1969 11 17 0 26 KIRK 15.7 221.7 20 251 +1954 4 5 12 10 OSCAR 11.8 231.0 22 96 +1996 9 14 12 14 DEBBY 67.1 246.1 40 504 +2001 2 19 6 8 NADINE 21.4 142.2 129 629 +1981 7 4 18 23 TONY 13.0 317.6 98 684 +1959 8 10 0 19 BERYL 11.7 193.2 131 462 +1967 6 7 0 23 HELENE 54.6 212.8 50 796 +1968 12 12 0 9 GORDON 47.3 200.3 47 566 +1983 3 1 0 25 NADINE 50.2 125.5 119 552 +1987 4 13 0 17 RAFAEL 64.1 246.7 89 332 +1992 6 23 0 28 ISAAC 33.7 56.9 15 275 +1985 7 8 6 8 FLORENCE 33.8 320.0 86 763 +1951 2 1 12 26 KIRK 63.0 173.3 106 512 +1971 5 17 0 8 WILLIAM 40.4 127.4 29 24 +1955 10 18 12 7 PATTY 17.3 118.4 29 471 +1964 6 26 0 11 HELENE 68.7 207.6 95 723 +1995 3 3 6 26 CHRIS 14.4 69.8 162 281 +1991 1 19 18 7 BERYL 54.7 303.3 143 159 +1963 10 20 12 27 MICHAEL 57.0 45.1 102 410 +2003 6 27 0 25 VALERIE 30.7 123.9 68 531 +1993 11 21 6 11 TONY 67.2 159.0 127 297 +1992 8 4 18 23 FLORENCE 48.2 264.1 17 708 +1994 2 2 6 21 ALBERTO 66.6 236.6 160 538 +1993 8 27 6 28 HELENE 60.6 148.5 84 207 +1975 11 15 0 11 ALBERTO 14.6 115.5 85 491 +2003 12 25 18 13 MICHAEL 19.1 217.3 150 60 +1988 12 14 6 1 ALBERTO 47.8 311.9 38 439 +1973 10 10 0 20 ISAAC 45.9 249.3 143 88 +1976 8 24 12 4 GORDON 13.2 124.9 11 475 +1973 7 12 18 18 HELENE 68.5 76.0 131 848 +1989 7 19 18 2 RAFAEL 31.2 328.1 142 671 +1997 9 5 0 21 VALERIE 42.9 150.8 74 378 +1967 9 21 18 17 RAFAEL 32.8 347.9 38 434 +1973 6 10 12 28 FLORENCE 41.0 309.4 41 647 +1992 9 6 0 3 PATTY 41.4 96.0 74 896 +1956 9 12 18 23 ALBERTO 54.6 267.5 73 167 +1972 10 25 6 24 BERYL 53.8 131.4 124 667 +1986 3 21 6 6 PATTY 38.3 233.3 72 827 +1971 4 8 12 7 ERNESTO 43.4 99.9 29 854 +1956 6 10 18 16 GORDON 24.8 235.8 37 408 +1998 3 8 6 27 JOYCE 38.2 34.2 162 7 +1965 9 16 6 2 VALERIE 17.9 42.4 17 621 +1982 1 22 6 28 GORDON 8.6 48.3 140 187 +1981 9 1 12 21 HELENE 14.0 181.4 109 736 +1961 4 20 0 26 VALERIE 14.8 7.3 85 629 +1989 11 1 12 12 LESLIE 61.5 71.2 27 785 +2000 4 16 18 25 WILLIAM 45.3 140.6 133 835 +1994 12 28 0 23 HELENE 62.9 198.6 115 841 +1962 3 11 0 20 BERYL 40.3 30.6 98 212 +1960 6 10 18 16 JOYCE 33.0 158.1 141 200 +1985 5 24 0 11 JOYCE 65.0 17.1 38 67 +1970 5 27 0 18 MICHAEL 44.7 280.3 70 770 +1960 8 13 12 6 RAFAEL 51.9 254.7 127 546 +1961 5 21 12 4 CHRIS 20.6 86.7 81 491 +1964 12 26 18 2 SANDY 39.5 18.8 115 51 +1950 11 10 6 13 CHRIS 66.9 156.4 72 315 +1995 12 16 0 24 RAFAEL 14.6 2.7 146 563 +1966 2 1 6 24 ALBERTO 58.5 167.7 88 747 +1959 3 16 12 11 ISAAC 8.9 314.1 24 588 +1979 9 5 6 18 DEBBY 51.2 128.9 160 641 +1962 3 19 0 1 OSCAR 55.4 203.8 63 790 +1971 11 11 0 19 KIRK 29.0 151.1 13 824 +1974 11 21 18 4 PATTY 7.4 289.3 134 801 +1974 4 25 18 15 VALERIE 48.6 170.9 15 729 +1963 10 11 12 15 RAFAEL 49.2 277.7 143 702 +1955 11 27 12 14 HELENE 33.9 196.4 86 480 +1958 11 26 12 27 CHRIS 13.8 250.4 118 494 +1974 6 6 12 25 DEBBY 30.8 279.0 140 843 +1953 11 22 0 7 VALERIE 53.9 148.7 135 207 +1973 5 21 6 3 FLORENCE 39.0 351.4 90 297 +1964 9 7 12 1 OSCAR 40.0 166.1 80 894 +1971 11 5 6 28 HELENE 34.2 12.7 121 550 +1976 1 28 12 6 NADINE 52.4 25.6 109 143 +1987 11 10 0 6 KIRK 29.4 39.2 12 353 +1994 1 6 18 6 RAFAEL 60.5 142.8 139 564 +2004 6 7 18 20 KIRK 15.0 55.7 37 22 +1997 6 6 6 16 HELENE 43.1 135.9 91 475 +1959 7 6 0 16 HELENE 60.2 161.6 61 395 +1988 3 19 6 23 ERNESTO 23.0 17.7 129 107 +2004 3 22 18 19 TONY 65.8 128.3 109 32 +2000 4 16 12 10 CHRIS 47.1 265.0 138 131 +1952 12 26 6 13 RAFAEL 65.3 354.9 118 478 +1955 9 22 18 17 OSCAR 38.5 182.1 61 518 +1997 11 5 12 14 HELENE 10.8 117.0 81 523 +1999 1 23 0 26 JOYCE 21.4 116.7 35 129 +2003 12 17 6 3 MICHAEL 27.5 49.3 52 388 +1950 3 20 6 14 FLORENCE 47.4 123.2 153 12 +1999 12 21 18 23 TONY 37.8 105.2 47 333 +1954 4 25 0 27 SANDY 46.9 266.9 133 361 +1991 12 15 18 27 BERYL 21.1 318.0 39 201 +1999 10 17 18 10 ALBERTO 28.9 329.2 94 757 +1965 9 14 18 18 TONY 53.3 67.0 122 596 +1965 5 18 6 22 WILLIAM 36.7 15.5 26 428 +1988 10 4 6 23 OSCAR 24.2 195.8 156 292 +1961 11 2 12 6 GORDON 17.1 288.2 86 883 +1991 1 14 6 4 SANDY 60.7 197.3 72 834 +1964 6 12 18 2 VALERIE 34.0 170.6 108 700 +1978 6 17 12 14 CHRIS 50.1 303.9 134 534 +1962 11 8 0 4 CHRIS 24.8 0.7 86 193 +1974 11 3 0 5 ALBERTO 66.3 235.4 86 612 +1990 12 5 12 2 MICHAEL 49.0 46.6 71 669 +1983 1 13 12 22 SANDY 13.3 347.8 117 649 +1950 5 2 18 14 CHRIS 35.1 303.8 21 39 +1998 5 14 12 9 MICHAEL 7.5 75.2 151 32 +1999 6 25 6 24 TONY 23.8 170.4 86 526 +1998 3 4 18 14 GORDON 19.1 122.2 128 454 +1999 9 2 6 1 JOYCE 18.3 17.2 96 778 +1969 12 7 12 16 OSCAR 14.3 16.9 19 820 +2002 8 6 0 15 VALERIE 42.7 207.2 137 238 +1968 1 19 6 23 DEBBY 44.9 152.9 46 317 +1957 4 7 0 8 PATTY 12.1 290.5 147 552 +1995 5 6 6 9 KIRK 19.4 88.3 33 339 +1958 8 24 12 1 OSCAR 18.7 228.4 125 593 +1955 8 15 18 26 CHRIS 44.1 94.8 140 172 +2001 6 8 12 19 HELENE 15.5 143.2 96 338 +1989 1 1 6 12 JOYCE 59.4 347.5 10 576 +1955 9 6 18 10 RAFAEL 27.8 130.9 65 342 +1989 3 25 18 23 TONY 54.6 73.3 106 492 +1973 1 2 0 1 PATTY 23.9 357.5 161 86 +1965 8 10 12 7 PATTY 56.6 22.1 33 603 +1989 5 1 18 10 NADINE 14.6 158.0 82 864 +1958 8 9 18 2 JOYCE 45.9 7.8 130 477 +1964 10 14 12 15 JOYCE 69.7 90.3 109 158 +1966 8 25 0 9 MICHAEL 55.7 70.4 164 407 +1964 8 2 12 27 BERYL 48.7 139.2 14 217 +1956 3 18 12 9 FLORENCE 21.0 314.3 58 88 +1981 1 8 12 5 CHRIS 34.9 291.6 25 345 +1965 11 18 18 17 RAFAEL 9.8 305.2 36 865 +1970 2 10 12 11 KIRK 25.2 140.5 79 531 +1991 6 4 6 4 JOYCE 55.4 142.1 38 720 +1973 1 27 18 18 FLORENCE 60.3 351.1 66 753 +1979 1 16 12 6 ALBERTO 10.7 332.2 126 372 +1981 5 25 12 1 JOYCE 45.2 175.8 83 313 +1973 11 1 12 17 PATTY 53.9 54.1 150 231 +1990 1 28 18 26 OSCAR 36.9 315.0 82 724 +1992 8 27 12 4 SANDY 21.8 305.8 83 715 +1986 8 25 18 3 BERYL 69.8 17.3 95 324 +1979 3 28 12 2 FLORENCE 67.6 127.7 44 46 +2004 10 1 0 13 JOYCE 65.7 8.8 17 300 +1963 9 22 12 23 FLORENCE 17.0 235.2 121 244 +1998 9 5 12 10 TONY 69.9 227.9 77 644 +2002 10 19 12 22 KIRK 43.5 340.3 72 446 +1978 9 24 18 19 NADINE 55.4 152.3 95 791 +1955 12 18 12 16 GORDON 44.2 198.9 129 753 +1955 10 2 0 18 HELENE 56.5 312.1 153 56 +1957 6 22 6 26 LESLIE 37.8 89.0 55 26 +1977 2 6 0 11 DEBBY 35.6 325.0 21 693 +1955 5 8 0 19 RAFAEL 50.4 233.4 96 330 +1996 3 20 18 16 DEBBY 14.9 195.6 73 601 +1982 6 12 18 5 ALBERTO 37.8 157.0 110 260 +1957 7 6 0 5 BERYL 26.7 351.3 94 720 +1982 3 12 18 6 CHRIS 18.0 238.5 51 440 +1968 12 27 0 27 PATTY 12.9 117.4 47 731 +1970 10 1 6 16 FLORENCE 19.7 350.4 100 835 +2004 9 12 12 13 NADINE 66.6 346.4 100 631 +2001 3 22 6 28 OSCAR 55.9 101.4 18 368 +1969 2 5 18 10 TONY 15.3 181.3 87 115 +1957 6 23 18 4 ISAAC 20.0 55.1 133 558 +1991 3 19 0 23 KIRK 51.3 310.9 89 805 +1955 1 10 12 22 VALERIE 10.2 15.1 59 728 +1954 3 26 18 15 LESLIE 64.3 51.9 34 119 +1980 10 19 12 3 PATTY 52.5 221.5 89 109 +1984 7 6 18 27 SANDY 15.1 336.8 108 274 +1995 9 12 12 1 TONY 33.2 295.7 98 247 +1978 6 14 12 11 NADINE 65.6 183.2 23 345 +1972 11 24 12 20 FLORENCE 12.3 31.5 72 753 +1974 12 25 6 24 JOYCE 55.3 34.3 135 512 +1992 9 9 6 6 ISAAC 35.7 208.3 121 609 +1959 3 14 6 19 RAFAEL 17.9 77.6 134 834 +1981 12 5 6 17 CHRIS 51.7 208.3 38 577 +1984 4 6 0 10 FLORENCE 31.8 32.0 133 35 +1970 1 14 6 11 VALERIE 26.1 166.6 149 183 +1986 10 11 0 9 FLORENCE 14.3 195.5 100 558 +1992 12 12 18 23 GORDON 37.5 252.9 138 387 +1979 7 2 12 2 HELENE 24.9 120.2 50 574 +1968 9 9 18 28 FLORENCE 27.8 41.3 114 180 +1986 8 14 6 12 DEBBY 41.0 183.5 146 107 +1961 7 5 0 22 OSCAR 9.9 158.3 54 493 +1988 12 11 6 4 CHRIS 24.0 216.5 45 886 +1953 11 18 18 21 PATTY 37.0 107.0 78 501 +1979 9 12 0 7 ALBERTO 16.0 2.1 83 283 +1981 10 5 6 20 MICHAEL 12.1 94.0 138 524 +1959 8 11 12 23 BERYL 58.9 236.5 117 322 +2001 2 9 0 18 SANDY 45.4 287.9 141 160 +1950 6 11 12 23 GORDON 14.2 48.7 45 241 +2001 7 18 12 10 CHRIS 13.9 306.6 87 760 +1957 6 9 12 17 LESLIE 51.9 250.9 43 74 +1998 3 3 12 8 JOYCE 12.2 229.0 69 133 +1975 8 16 18 25 ISAAC 41.2 280.0 22 526 +1997 11 25 12 15 NADINE 48.1 328.9 88 322 +1973 4 4 0 8 GORDON 69.2 267.9 118 362 +1962 4 16 18 4 KIRK 14.6 175.4 52 867 +1985 5 26 18 8 CHRIS 34.8 15.0 98 71 +1984 11 8 0 20 DEBBY 30.3 150.4 90 561 +1960 10 11 6 11 ERNESTO 42.0 241.2 100 338 +1963 1 19 0 9 WILLIAM 56.4 303.4 11 313 +1967 6 19 0 11 ISAAC 28.7 179.9 138 417 +1958 6 1 6 21 PATTY 13.9 92.5 62 707 +1987 5 7 18 25 JOYCE 48.5 324.1 132 12 +1993 1 24 18 5 LESLIE 58.7 181.4 109 845 +2000 9 26 6 8 LESLIE 14.9 212.5 151 162 +1982 4 22 6 2 LESLIE 63.7 114.2 40 113 +1952 9 5 12 9 PATTY 18.3 55.9 26 276 +1968 5 9 12 27 MICHAEL 49.1 107.7 134 509 +2004 4 23 12 1 WILLIAM 56.2 259.7 69 168 +1980 5 4 0 10 WILLIAM 65.0 31.8 52 649 +1975 8 15 0 14 NADINE 15.1 173.2 47 828 +1953 8 6 12 23 TONY 47.9 57.5 24 491 +1950 8 13 18 17 VALERIE 66.3 150.7 118 859 +1971 8 15 12 12 WILLIAM 46.9 202.6 68 596 +1965 8 7 12 13 CHRIS 32.1 78.2 31 728 +1988 6 22 18 4 PATTY 49.6 271.9 157 520 +1970 7 11 6 14 RAFAEL 64.4 200.9 117 346 +1979 11 4 6 5 ERNESTO 32.5 89.6 66 376 +1964 7 19 12 19 NADINE 63.5 76.2 148 338 +1997 1 1 12 17 NADINE 35.8 22.0 95 8 +1952 8 13 6 26 ERNESTO 56.3 128.9 152 112 +2000 7 19 18 24 HELENE 63.6 38.4 161 48 +1996 2 14 18 26 CHRIS 23.4 2.2 106 483 +1963 5 22 6 25 WILLIAM 38.5 312.9 153 569 +1978 11 13 6 5 FLORENCE 65.2 301.6 59 676 +1982 6 11 6 5 RAFAEL 35.7 54.2 96 684 +1951 12 4 0 20 DEBBY 53.8 65.2 29 859 +1991 11 19 18 26 ISAAC 41.2 43.4 82 621 +1954 10 22 12 8 DEBBY 43.7 70.5 119 886 +1969 12 3 6 7 WILLIAM 53.4 75.7 13 547 +1988 5 16 18 11 ALBERTO 45.5 321.6 26 168 +1993 6 22 0 4 ERNESTO 69.9 220.8 149 320 +1968 1 19 0 3 FLORENCE 48.9 280.5 149 862 +1965 6 23 0 25 NADINE 7.5 180.9 64 77 +1956 12 24 18 12 BERYL 45.3 233.8 112 845 +1952 11 15 12 10 TONY 56.8 198.0 69 652 +1996 5 27 0 23 LESLIE 19.4 311.8 136 322 +1985 7 14 18 6 MICHAEL 53.1 250.9 97 755 +1991 2 20 18 12 ERNESTO 30.7 42.2 43 792 +1967 5 20 0 26 RAFAEL 56.6 125.0 147 337 +1988 3 21 12 7 ERNESTO 64.9 4.8 72 325 +1996 8 8 6 13 VALERIE 55.9 317.7 127 314 +2001 1 25 0 28 BERYL 15.5 136.9 50 878 +1955 11 20 12 24 ERNESTO 68.6 305.1 112 446 +1980 2 24 0 28 LESLIE 12.4 309.0 61 608 +1996 9 16 12 23 NADINE 46.9 167.8 49 58 +1958 8 9 18 2 FLORENCE 69.0 43.1 111 520 +1961 9 3 18 17 BERYL 7.3 239.2 150 799 +1972 1 17 12 28 ERNESTO 60.6 199.5 22 720 +1969 7 26 6 20 CHRIS 29.3 7.2 101 339 +1975 9 22 6 20 FLORENCE 43.6 254.7 21 780 +1973 6 14 0 3 VALERIE 30.3 31.2 158 340 +1986 5 23 0 28 FLORENCE 33.8 156.5 152 245 +1954 11 5 12 8 FLORENCE 18.0 114.0 117 228 +1991 9 27 18 11 LESLIE 13.3 3.4 158 657 +1958 5 10 12 6 DEBBY 54.1 176.6 129 483 +1994 11 16 18 15 ERNESTO 57.6 10.9 27 266 +1950 3 23 6 21 PATTY 44.3 316.2 133 519 +1994 7 2 12 19 MICHAEL 22.0 220.9 141 538 +1991 12 19 6 18 VALERIE 35.4 140.7 136 380 +1957 2 21 6 10 KIRK 38.0 237.4 36 147 +1966 8 24 0 26 OSCAR 25.6 333.1 52 46 +1984 7 17 18 21 ISAAC 9.1 352.7 45 329 +1954 2 21 12 20 JOYCE 15.9 249.1 99 706 +1961 8 1 0 5 ISAAC 68.9 159.0 10 734 +1988 6 26 12 25 PATTY 10.1 154.8 104 423 +1976 3 16 18 28 RAFAEL 24.3 300.8 13 342 +1989 10 11 0 8 OSCAR 23.1 200.7 164 694 +1977 7 9 12 27 PATTY 14.6 117.6 117 327 +1958 7 14 6 25 SANDY 23.7 31.1 156 440 +1954 1 2 0 9 RAFAEL 53.6 159.7 77 425 +1962 10 27 0 19 LESLIE 22.8 124.6 82 708 +1999 12 20 6 2 NADINE 22.2 300.2 124 230 +1957 4 17 18 3 LESLIE 55.7 149.0 60 611 +1999 11 22 0 19 DEBBY 16.1 61.3 67 196 +1962 7 24 18 7 RAFAEL 35.6 264.6 114 805 +2001 2 1 18 25 CHRIS 17.3 329.5 18 643 +1976 11 3 12 15 RAFAEL 43.6 255.5 132 183 +1966 9 14 0 11 JOYCE 67.9 292.1 56 520 +1959 10 17 6 25 LESLIE 59.6 125.5 49 65 +1999 10 22 18 8 ERNESTO 41.1 320.5 23 234 +2000 2 11 18 27 ISAAC 9.8 353.3 73 390 +1975 5 7 0 4 ERNESTO 60.1 253.7 83 120 +1956 4 18 18 5 JOYCE 50.3 131.7 90 517 +1957 10 13 12 1 FLORENCE 42.0 213.6 112 806 +1961 1 8 0 12 OSCAR 51.0 57.9 67 875 +2002 8 27 12 4 PATTY 64.3 9.2 86 750 +1981 7 4 12 13 DEBBY 9.7 127.7 63 848 +1987 8 19 0 9 ALBERTO 29.2 133.3 132 427 +1992 2 4 0 25 GORDON 12.1 353.0 135 799 +2002 8 22 0 16 SANDY 54.3 109.0 161 835 +1990 8 28 18 6 DEBBY 7.5 87.4 76 190 +1954 11 2 6 24 CHRIS 50.3 112.8 89 98 +1984 5 11 0 12 CHRIS 23.3 23.7 51 427 +1993 9 24 6 14 OSCAR 9.5 319.0 52 536 +2001 9 8 12 22 BERYL 38.3 232.1 158 21 +1988 4 13 12 27 FLORENCE 67.1 266.3 42 411 +1964 7 19 0 15 LESLIE 43.0 208.5 158 700 +1991 2 8 18 21 RAFAEL 44.4 130.3 76 16 +1951 12 23 12 24 VALERIE 32.8 295.1 153 234 +1972 12 13 6 23 WILLIAM 65.2 171.8 25 463 +1960 5 16 6 27 MICHAEL 10.8 71.1 100 857 +1959 7 4 6 20 WILLIAM 47.4 141.3 104 299 +1976 6 20 0 26 SANDY 43.3 49.3 154 41 +1985 4 4 12 28 ALBERTO 27.5 141.0 119 437 +1958 9 28 6 17 FLORENCE 48.4 306.6 73 381 +1989 5 11 18 27 TONY 11.8 282.9 36 845 +1957 1 27 12 19 HELENE 16.5 234.9 49 272 +1990 2 22 12 15 LESLIE 58.3 206.0 36 840 +1961 2 20 0 26 MICHAEL 38.6 118.5 90 347 +1979 12 3 18 16 TONY 59.2 180.3 34 898 +1996 5 4 0 19 GORDON 12.2 189.6 164 554 +1984 10 20 6 1 LESLIE 15.6 312.1 162 321 +1984 10 23 0 14 HELENE 56.6 236.3 133 224 +2003 5 9 18 1 ALBERTO 40.8 280.3 17 445 +1993 2 25 0 20 GORDON 47.5 78.3 45 830 +1969 8 14 6 22 WILLIAM 17.6 305.2 69 281 +1972 4 6 6 28 OSCAR 29.0 150.6 131 556 +1953 4 9 12 28 DEBBY 31.5 121.2 11 344 +1988 1 20 0 27 OSCAR 19.1 138.1 90 35 +1978 12 22 12 3 SANDY 34.8 10.1 66 458 +1982 4 25 12 22 ERNESTO 47.0 299.2 139 513 +1977 6 25 0 8 ISAAC 47.7 170.3 75 462 +1976 10 19 12 24 WILLIAM 45.0 129.3 72 388 +1989 3 5 12 13 VALERIE 14.6 137.8 76 65 +1954 4 7 6 16 WILLIAM 51.7 64.7 85 681 +1984 2 3 6 10 MICHAEL 68.9 160.2 32 352 +1973 11 8 0 8 HELENE 35.4 332.7 121 221 +1984 6 21 12 20 VALERIE 66.3 62.7 73 443 +1994 1 24 0 20 DEBBY 41.0 230.8 37 891 +1964 9 1 0 28 HELENE 55.3 303.4 138 662 +1965 7 16 12 5 DEBBY 30.0 52.6 24 769 +1999 1 8 0 24 HELENE 11.0 74.1 29 689 +1954 5 22 6 12 CHRIS 15.5 104.7 155 222 +1957 12 23 18 26 JOYCE 57.2 284.2 111 289 +2002 9 4 0 8 KIRK 20.9 162.8 96 777 +1991 2 17 6 25 NADINE 13.8 207.5 124 58 +1995 11 20 6 23 PATTY 32.4 217.3 115 587 +1998 8 21 18 8 HELENE 57.4 81.8 32 256 +1999 12 22 0 18 DEBBY 53.5 182.4 82 731 +1984 2 21 6 24 GORDON 14.2 159.7 101 860 +1980 12 7 6 25 TONY 33.0 40.2 154 447 +1968 4 28 12 26 ERNESTO 17.8 46.7 143 38 +1997 8 3 18 12 TONY 64.6 86.5 78 605 +1960 1 17 12 12 TONY 40.9 330.0 49 204 +1976 5 24 6 6 CHRIS 66.2 126.5 28 253 +1960 11 20 12 1 JOYCE 29.8 334.4 128 274 +1993 10 4 0 3 MICHAEL 32.2 188.1 84 619 +1954 5 7 0 26 BERYL 60.1 118.8 58 140 +1963 10 6 6 10 NADINE 57.2 28.2 91 336 +1977 3 25 12 11 OSCAR 57.2 276.8 164 488 +1963 2 28 6 27 SANDY 44.3 177.8 96 884 +1967 5 7 12 23 ALBERTO 53.2 311.1 132 121 +1957 10 2 18 18 PATTY 35.6 152.3 85 40 +1972 9 6 18 20 FLORENCE 52.0 41.8 62 361 +1957 4 21 6 11 HELENE 7.8 112.7 162 807 +1998 9 10 18 24 WILLIAM 52.7 212.9 49 736 +1986 2 16 12 28 RAFAEL 40.1 69.0 125 802 +1972 11 22 12 26 TONY 65.3 25.7 41 218 +1979 9 2 12 6 BERYL 37.6 100.1 113 44 +1973 10 23 18 18 MICHAEL 30.9 19.9 15 853 +1958 1 12 0 3 LESLIE 48.3 166.1 89 233 +1989 2 26 18 28 LESLIE 11.7 47.9 111 306 +1954 12 16 12 19 GORDON 16.7 326.4 110 836 +1977 12 13 12 3 WILLIAM 45.5 211.4 161 154 +1982 12 25 12 17 WILLIAM 62.2 131.9 31 556 +1995 8 9 18 2 VALERIE 59.4 281.9 43 590 +1998 3 28 6 28 PATTY 58.5 346.7 156 134 +1979 3 21 18 14 CHRIS 45.4 248.0 91 502 +2001 5 26 18 24 LESLIE 61.0 349.8 99 49 +2004 2 18 6 22 NADINE 65.5 308.0 142 608 +1952 11 19 6 15 DEBBY 45.5 204.2 23 580 +1971 12 26 12 12 FLORENCE 28.3 272.7 147 82 +2001 5 2 18 9 JOYCE 51.4 113.5 71 677 +1988 3 24 12 4 MICHAEL 22.9 159.9 21 355 +1981 9 15 12 18 SANDY 38.4 41.0 22 230 +1980 8 24 6 6 BERYL 11.1 316.1 20 763 +1969 11 27 6 18 HELENE 56.6 98.3 63 385 +1982 3 28 18 13 WILLIAM 34.4 239.2 87 784 +2001 12 23 18 25 GORDON 42.4 285.6 108 459 +1984 1 23 6 28 HELENE 36.7 111.3 38 23 +1971 6 23 0 8 DEBBY 28.8 138.5 51 210 +1992 1 2 18 14 DEBBY 30.2 165.6 90 520 +1989 4 9 0 26 FLORENCE 59.8 149.9 154 508 +1991 8 24 18 15 TONY 7.8 271.0 51 439 +1991 3 6 6 20 HELENE 13.2 336.1 37 357 +1958 6 25 0 25 MICHAEL 15.7 184.3 66 637 +1990 12 18 12 19 LESLIE 61.2 214.5 138 99 +1952 10 13 0 25 SANDY 66.4 325.2 13 227 +1971 8 14 18 15 LESLIE 27.8 278.0 146 387 +1990 11 14 18 21 NADINE 37.7 40.8 77 794 +1968 4 1 6 14 SANDY 30.4 64.6 140 696 +1954 3 24 0 25 RAFAEL 10.4 92.8 99 283 +1987 11 12 18 14 KIRK 33.1 355.2 124 772 +2003 5 7 12 3 TONY 40.3 256.8 126 178 +1952 2 18 6 27 HELENE 51.1 48.2 94 808 +1970 4 4 0 9 MICHAEL 26.3 45.2 140 229 +1961 3 24 6 26 DEBBY 50.3 314.9 140 212 +1994 3 12 18 7 CHRIS 62.6 336.2 33 49 +1978 12 19 6 15 CHRIS 39.7 266.8 25 273 +1985 10 26 6 26 TONY 33.8 142.9 132 190 +1978 6 18 18 21 GORDON 29.4 10.5 143 568 +1964 2 2 18 23 JOYCE 59.2 308.7 155 834 +1972 4 13 0 25 TONY 11.5 90.5 14 272 +1965 11 22 12 25 OSCAR 47.9 291.7 110 851 +1959 4 9 0 14 VALERIE 27.2 138.9 35 318 +1971 9 6 6 25 WILLIAM 64.7 281.1 150 713 +1982 5 10 18 25 LESLIE 57.5 333.1 102 564 +1959 11 14 0 5 NADINE 31.9 300.0 136 584 +1987 12 25 6 3 PATTY 48.8 128.6 132 871 +1976 12 16 18 15 CHRIS 12.7 341.8 23 25 +1957 8 24 18 24 FLORENCE 41.9 273.6 85 431 +1978 3 24 0 26 ISAAC 33.3 352.6 117 213 +1978 4 22 12 9 NADINE 26.9 245.0 132 548 +1972 4 3 6 28 WILLIAM 46.5 62.2 74 41 +1992 8 27 6 2 FLORENCE 20.1 214.2 63 614 +1953 9 4 18 27 HELENE 25.4 180.6 35 205 +2004 12 23 18 4 MICHAEL 30.0 54.1 28 683 +1957 3 27 0 19 LESLIE 9.5 329.0 94 397 +1974 7 16 18 28 SANDY 68.5 311.0 81 705 +1964 8 20 18 28 MICHAEL 57.1 97.5 16 551 +1979 12 20 6 22 KIRK 47.5 62.8 152 739 +1994 2 27 18 12 ISAAC 29.8 2.8 55 371 +1994 11 9 0 23 CHRIS 33.5 257.9 13 38 +1985 2 8 0 24 RAFAEL 7.2 299.9 67 508 +1982 2 18 0 6 MICHAEL 11.1 298.8 127 197 +1964 6 23 12 4 VALERIE 28.2 163.1 109 186 +1983 5 4 6 4 SANDY 64.8 326.7 149 753 +1958 3 23 6 15 JOYCE 62.4 309.7 103 264 +1951 3 21 6 27 WILLIAM 49.3 73.8 43 648 +1964 6 8 12 4 SANDY 36.9 316.0 127 845 +1965 7 6 18 20 LESLIE 40.1 77.0 10 568 +2002 4 7 12 3 BERYL 61.4 49.2 24 14 +1972 3 14 18 9 LESLIE 28.5 211.6 79 199 +1958 5 18 12 9 TONY 55.3 80.6 13 374 +1987 12 28 6 14 ERNESTO 41.3 109.0 68 87 +1962 5 2 6 22 PATTY 38.0 71.0 145 451 +1953 3 14 12 27 ERNESTO 47.7 120.6 138 781 +1990 3 10 0 20 TONY 35.0 55.1 37 478 +1983 4 1 18 14 MICHAEL 29.4 58.7 164 37 +1983 6 27 0 10 PATTY 64.7 41.7 126 554 +1963 3 17 6 9 ALBERTO 69.8 112.9 149 779 +1960 10 3 18 14 VALERIE 17.7 177.5 11 778 +1986 8 13 6 15 PATTY 34.2 121.8 39 885 +1970 4 26 6 24 BERYL 56.6 257.8 146 46 +1986 7 13 0 5 ALBERTO 9.1 335.7 16 580 +1953 1 11 6 9 PATTY 55.4 221.8 98 420 +1982 11 4 6 14 ERNESTO 35.4 96.6 13 93 +1972 11 21 18 13 JOYCE 30.4 274.0 71 733 +1956 6 6 0 21 JOYCE 40.2 208.1 133 409 +2000 1 27 12 21 DEBBY 59.6 267.5 44 249 +1984 12 27 6 26 LESLIE 48.1 262.8 85 454 +1968 10 23 6 6 MICHAEL 24.2 276.3 28 768 +1992 6 18 0 6 RAFAEL 12.3 57.0 111 514 +1972 5 24 18 25 RAFAEL 38.6 99.9 150 15 +1956 3 19 0 24 MICHAEL 47.2 90.9 114 339 +1966 2 25 6 2 JOYCE 25.8 314.2 22 346 +1953 5 13 0 10 KIRK 37.4 133.2 74 204 +1958 10 23 0 11 SANDY 67.0 166.6 28 692 +2000 11 4 18 6 NADINE 16.4 224.2 35 11 +1966 7 13 12 13 ERNESTO 56.4 178.2 53 716 +1969 6 11 0 9 PATTY 9.5 348.5 106 401 +1978 3 13 18 25 LESLIE 23.7 242.5 35 298 +1984 10 5 6 23 HELENE 20.6 43.8 132 899 +1992 6 5 6 1 ISAAC 10.6 45.6 156 308 +1977 11 2 6 21 KIRK 35.9 244.2 25 496 +1972 3 17 12 3 RAFAEL 54.6 6.4 128 790 +1952 8 9 18 23 OSCAR 38.1 337.6 33 295 +1953 12 12 0 20 HELENE 69.4 213.9 62 699 +1982 8 28 0 14 CHRIS 17.1 305.4 148 182 +1996 12 16 12 12 GORDON 42.5 141.4 127 669 +1958 6 20 6 23 PATTY 25.3 163.6 164 853 +1960 12 15 18 14 GORDON 14.5 27.9 125 296 +1985 1 26 6 21 NADINE 50.8 108.3 37 686 +1961 4 12 6 28 LESLIE 61.6 80.5 37 332 +1962 9 21 18 2 PATTY 63.1 27.7 97 419 +1989 4 9 0 2 TONY 29.3 175.6 32 312 +1993 8 28 18 28 PATTY 15.1 129.3 14 650 +2003 2 24 12 4 ERNESTO 43.2 72.1 95 809 +1976 6 1 0 20 JOYCE 61.3 183.4 17 495 +1971 12 18 12 4 BERYL 11.4 80.9 110 827 +1951 6 19 0 24 NADINE 31.4 128.9 80 717 +1996 12 22 6 16 VALERIE 19.0 54.3 156 267 +1989 8 26 0 12 LESLIE 18.8 82.6 105 205 +1974 11 9 0 20 BERYL 44.0 178.7 27 461 +1967 8 2 0 22 KIRK 64.6 189.1 108 203 +1958 1 28 0 26 WILLIAM 54.2 185.1 51 177 +2002 6 3 18 18 NADINE 38.1 83.1 37 394 +1987 2 24 6 11 KIRK 37.4 22.5 138 353 +2001 6 13 0 19 MICHAEL 44.2 1.1 131 350 +1981 3 12 12 1 JOYCE 61.4 303.8 156 525 +1953 1 17 18 13 WILLIAM 11.0 37.7 93 679 +1951 10 7 6 6 MICHAEL 42.6 143.2 20 139 +1984 10 19 18 10 ALBERTO 63.0 212.2 57 554 +1979 5 6 6 6 DEBBY 8.1 128.9 56 735 +1991 10 26 18 16 RAFAEL 23.8 200.5 162 309 +1952 3 6 6 4 ALBERTO 33.4 110.2 115 831 +1953 3 25 0 2 ERNESTO 30.9 247.8 163 362 +2003 4 3 18 24 LESLIE 41.5 245.1 61 358 +1951 11 14 6 20 SANDY 9.5 102.3 101 798 +1981 9 24 6 26 FLORENCE 59.4 317.1 41 878 +1987 4 21 6 1 LESLIE 15.9 254.8 87 297 +1973 1 16 18 9 VALERIE 16.6 173.9 73 86 +1961 4 27 0 25 JOYCE 42.0 216.6 111 760 +1973 3 1 0 20 VALERIE 62.6 283.3 56 669 +1951 4 9 12 1 WILLIAM 16.8 146.4 73 251 +1970 4 6 18 7 LESLIE 44.4 191.9 62 214 +1996 8 5 6 13 ERNESTO 37.1 19.2 97 761 +1975 2 11 6 24 NADINE 38.3 230.2 10 317 +1994 7 15 12 23 NADINE 33.1 307.9 122 267 +1996 8 18 0 11 MICHAEL 22.6 143.9 22 34 +2001 3 18 0 12 DEBBY 22.7 256.3 22 185 +2000 1 17 12 14 VALERIE 10.7 66.6 16 198 +2002 10 24 0 1 TONY 57.1 237.3 48 519 +1970 9 10 12 5 CHRIS 66.8 357.7 76 455 +1951 7 8 0 10 ALBERTO 27.2 27.3 105 338 +1977 10 1 18 22 KIRK 17.2 248.6 34 372 +1971 4 24 6 27 PATTY 50.9 260.5 138 156 +1990 6 15 6 12 VALERIE 39.3 64.4 60 519 +1968 4 5 18 11 ALBERTO 20.8 22.7 149 549 +1986 1 24 6 21 ALBERTO 41.7 161.6 46 146 +1966 10 25 12 2 ISAAC 58.2 113.3 19 96 +2001 9 15 18 19 KIRK 68.9 167.7 94 35 +1978 12 25 6 23 NADINE 38.4 149.0 136 69 +1957 4 27 18 5 HELENE 20.6 214.2 93 837 +2004 6 23 18 26 LESLIE 54.2 111.5 47 669 +1971 4 21 0 16 ERNESTO 11.1 113.5 103 261 +1950 7 20 0 21 ALBERTO 32.9 311.8 126 759 +1999 6 27 12 13 LESLIE 64.3 52.7 87 857 +1967 2 19 18 13 WILLIAM 23.5 238.7 116 132 +1985 12 13 6 23 SANDY 11.0 336.1 161 64 +2003 3 26 0 11 MICHAEL 16.2 285.9 48 483 +2001 9 26 6 28 ALBERTO 59.9 32.7 68 244 +1994 1 22 0 27 MICHAEL 61.7 43.8 19 369 +1967 2 27 12 22 KIRK 67.7 5.4 97 97 +1957 9 13 6 22 ISAAC 28.3 168.3 82 638 +1966 7 15 18 13 VALERIE 69.0 170.3 54 397 +1950 5 16 6 17 PATTY 56.1 219.0 142 735 +2000 3 13 12 3 FLORENCE 45.5 156.1 59 248 +1981 6 17 12 1 ISAAC 40.9 181.7 59 371 +1984 9 27 12 19 RAFAEL 48.0 293.3 112 323 +2000 1 26 12 17 JOYCE 61.6 158.7 129 68 +1960 8 5 12 2 RAFAEL 64.4 95.8 130 144 +1970 9 26 0 2 PATTY 39.1 249.1 153 317 +1972 6 12 0 9 KIRK 38.0 162.0 78 223 +1987 3 13 18 23 JOYCE 23.7 11.8 63 784 +1952 7 16 6 12 TONY 42.9 288.4 77 151 +2000 12 23 12 12 VALERIE 16.1 351.2 135 220 +1973 8 22 18 24 PATTY 24.1 203.3 155 237 +1951 8 9 18 6 FLORENCE 13.3 291.4 132 223 +2002 6 25 0 24 NADINE 28.9 353.5 156 534 +1973 7 22 18 6 TONY 18.7 168.2 140 543 +1987 8 5 0 24 OSCAR 69.3 112.5 18 649 +1957 8 21 12 26 HELENE 22.1 262.5 113 707 +1970 6 6 12 3 ISAAC 56.5 299.1 13 520 +1997 6 23 0 9 TONY 32.9 216.4 95 413 +1975 6 16 18 8 GORDON 55.9 178.3 135 588 +1970 11 12 0 28 LESLIE 13.3 225.3 86 311 +1990 11 24 0 21 NADINE 12.2 182.8 159 113 +1975 3 1 0 11 ERNESTO 56.1 339.3 52 246 +1978 2 5 0 1 CHRIS 17.5 290.4 116 784 +1998 2 18 6 23 CHRIS 13.5 171.1 35 202 +1995 1 4 12 4 MICHAEL 17.3 223.4 81 50 +1999 9 19 12 11 ALBERTO 31.1 266.5 131 542 +1961 1 15 18 11 CHRIS 15.7 283.1 108 9 +1984 9 14 12 21 PATTY 59.4 216.7 127 104 +1991 3 15 12 14 OSCAR 15.9 243.2 65 815 +1979 11 14 6 24 PATTY 64.3 24.7 37 645 +1971 2 20 0 1 KIRK 69.9 34.9 108 352 +1998 1 18 0 19 NADINE 50.2 61.5 30 260 +1987 3 12 6 7 SANDY 10.1 20.1 91 310 +1956 6 5 0 24 CHRIS 18.8 303.7 65 290 +1989 11 17 6 27 PATTY 62.6 219.8 14 585 +1995 4 23 0 22 ERNESTO 22.3 247.8 14 503 +2004 5 14 12 19 VALERIE 27.5 318.8 144 252 +2003 7 25 0 4 ALBERTO 39.1 42.6 14 186 +1967 5 10 6 16 VALERIE 56.2 58.5 126 844 +2003 1 8 0 5 ALBERTO 43.3 188.6 44 353 +1996 4 7 12 27 FLORENCE 54.0 214.4 139 411 +1991 7 2 6 5 CHRIS 26.4 317.1 128 110 +1991 12 28 18 24 PATTY 17.0 58.5 18 322 +1985 8 28 6 13 DEBBY 65.6 269.5 102 124 +1952 11 7 6 12 HELENE 59.8 194.9 72 355 +1952 8 9 0 6 BERYL 46.5 266.8 49 475 +1995 2 11 12 20 VALERIE 18.4 33.9 57 472 +1992 5 21 6 8 PATTY 56.4 194.1 44 883 +1958 3 6 18 24 ALBERTO 29.9 32.0 126 602 +1993 3 18 6 7 TONY 25.4 181.5 68 538 +1965 7 23 12 25 TONY 50.2 357.8 94 47 +1959 4 26 12 25 VALERIE 48.0 7.3 83 385 +1962 7 26 18 12 PATTY 45.9 217.1 20 729 +2001 3 15 6 13 WILLIAM 30.3 240.3 24 238 +1966 12 3 6 6 ISAAC 27.4 203.6 75 184 +1979 8 20 0 8 VALERIE 44.2 236.5 135 426 +1975 11 24 6 20 HELENE 47.8 323.7 150 871 +1956 5 22 6 25 ERNESTO 35.9 182.9 21 125 +1971 7 19 12 11 SANDY 23.9 190.0 63 609 +2003 4 11 18 13 HELENE 16.3 317.4 36 669 +2001 9 14 0 9 MICHAEL 59.2 181.8 55 309 +1983 5 20 18 21 ALBERTO 49.4 202.3 12 542 +1979 9 16 0 5 WILLIAM 25.5 160.3 75 122 +1967 8 12 18 16 HELENE 61.4 69.1 156 360 +1974 12 27 6 3 TONY 10.0 335.1 10 145 +2000 1 20 18 16 TONY 37.4 4.7 156 860 +1993 12 9 18 8 SANDY 27.8 52.2 37 269 +1998 3 24 18 26 MICHAEL 44.4 212.9 77 33 +1969 4 26 6 5 SANDY 51.2 86.6 137 213 +2001 8 16 12 22 BERYL 9.1 307.7 18 294 +1992 4 6 12 28 ISAAC 31.8 101.3 163 797 +1990 10 10 12 11 HELENE 24.0 78.8 121 65 +1969 1 1 18 8 VALERIE 66.5 139.6 12 726 +1980 9 6 12 14 NADINE 14.6 205.4 104 294 +1952 4 21 12 28 GORDON 55.5 156.7 68 417 +1954 2 25 12 5 RAFAEL 25.7 225.7 50 394 +1986 4 8 18 6 SANDY 63.4 104.5 136 241 +1971 6 7 6 22 MICHAEL 52.2 64.1 108 208 +1992 9 2 6 3 ISAAC 34.3 224.7 16 821 +1981 12 13 0 6 WILLIAM 23.9 338.6 116 745 +1983 5 3 18 20 PATTY 63.8 310.7 72 220 +1997 8 3 0 27 ISAAC 23.1 262.4 102 415 +1960 3 13 0 8 JOYCE 11.5 258.4 152 385 +1994 9 21 0 17 NADINE 7.6 220.4 116 44 +1967 3 5 0 17 FLORENCE 58.7 325.3 42 462 +1991 12 9 0 17 WILLIAM 62.8 295.1 132 681 +1962 8 23 0 11 JOYCE 31.3 279.3 60 158 +2002 9 4 6 5 SANDY 10.7 190.1 140 680 +1990 6 7 18 8 ISAAC 45.2 11.3 59 515 +1979 2 16 18 23 MICHAEL 60.9 338.7 124 384 +2001 6 8 6 23 VALERIE 48.5 203.5 60 730 +2000 5 3 12 12 NADINE 50.3 276.7 63 314 +1967 3 11 18 4 VALERIE 51.3 251.4 105 315 +1980 3 12 0 2 SANDY 7.1 1.2 107 38 +1951 10 9 18 14 NADINE 27.8 324.0 25 398 +1996 9 15 0 28 BERYL 67.6 257.8 90 203 +1984 3 2 18 28 OSCAR 55.4 73.2 113 857 +1952 8 27 12 20 RAFAEL 29.4 51.2 129 642 +1967 2 8 0 24 OSCAR 44.6 234.8 124 505 +1973 10 28 12 24 CHRIS 63.0 109.6 73 172 +1988 10 11 18 14 LESLIE 11.9 333.4 68 676 +1988 5 24 12 2 CHRIS 59.1 155.7 59 478 +1988 7 25 6 8 LESLIE 21.1 214.1 52 303 +1998 5 8 0 13 ERNESTO 19.6 168.3 51 693 +1979 2 18 6 9 NADINE 61.7 103.6 105 717 +1962 7 9 0 22 MICHAEL 39.9 217.9 153 711 +1978 1 21 18 28 VALERIE 29.1 292.5 146 556 +1997 8 13 12 16 LESLIE 21.0 145.2 74 84 +1961 1 21 6 20 MICHAEL 15.1 102.9 90 43 +1995 6 6 18 11 JOYCE 52.7 325.3 94 690 +1985 5 16 18 12 BERYL 37.6 95.7 149 828 +1982 1 24 18 26 LESLIE 62.5 38.9 72 768 +1979 1 8 18 24 ALBERTO 39.7 7.0 20 360 +1950 12 11 12 27 WILLIAM 60.3 297.0 26 667 +1950 1 13 0 5 DEBBY 24.3 293.7 84 412 +1953 10 13 6 15 TONY 69.1 51.9 78 45 +1975 6 24 18 16 WILLIAM 62.4 155.3 161 430 +1990 4 15 6 8 NADINE 13.0 203.7 84 129 +1994 4 13 6 24 MICHAEL 64.1 129.5 142 314 +1983 6 21 0 13 OSCAR 20.2 81.2 113 896 +1974 12 6 12 22 TONY 56.0 320.8 87 253 +1975 7 18 6 25 PATTY 35.1 2.1 10 858 +1955 11 20 18 8 NADINE 61.0 71.6 64 776 +1976 12 12 12 4 GORDON 63.5 48.2 12 714 +1990 3 27 18 19 PATTY 66.2 188.5 131 220 +1979 12 19 6 8 LESLIE 47.0 352.2 116 201 +2004 1 15 12 18 PATTY 23.0 9.2 131 702 +1987 9 23 6 26 ALBERTO 9.4 49.5 41 828 +1996 6 16 6 23 HELENE 37.0 313.3 69 754 +1977 7 18 12 26 TONY 51.1 152.3 155 322 +1987 2 14 6 22 DEBBY 59.5 120.0 120 29 +1993 10 13 18 27 ERNESTO 60.6 232.8 131 653 +2000 8 9 18 22 BERYL 30.3 213.7 43 235 +1997 6 8 12 24 SANDY 60.6 212.7 70 325 +1994 2 11 0 13 OSCAR 60.8 242.9 144 657 +1950 1 24 18 16 WILLIAM 36.0 128.2 43 507 +1950 8 25 12 19 CHRIS 35.7 215.0 77 894 +1979 2 27 12 28 RAFAEL 61.3 235.8 36 184 +1998 12 24 12 18 JOYCE 67.9 123.7 47 841 +1999 11 17 6 4 CHRIS 15.8 251.6 158 473 +1985 3 7 0 26 PATTY 69.7 251.1 56 528 +1987 9 26 12 7 GORDON 12.4 32.7 48 157 +1960 3 7 6 24 NADINE 64.0 244.9 60 185 +1951 11 14 18 27 KIRK 42.3 81.9 67 37 +1977 3 12 0 15 VALERIE 29.9 35.6 133 115 +1966 3 22 12 24 NADINE 60.9 321.3 91 743 +1952 8 1 12 26 PATTY 31.6 258.2 40 148 +1950 2 28 0 15 KIRK 36.6 109.9 147 541 +1974 6 2 0 7 RAFAEL 33.6 32.7 13 177 +1979 9 25 12 12 KIRK 29.1 265.5 102 427 +1969 7 16 12 3 KIRK 51.0 354.7 115 160 +1964 11 11 0 3 LESLIE 10.9 222.9 131 353 +1956 12 21 12 1 LESLIE 37.7 324.7 42 245 +1981 3 11 18 11 GORDON 25.7 6.3 122 437 +2001 1 24 12 3 PATTY 55.4 41.8 89 79 +1950 7 9 12 11 ISAAC 23.1 111.8 27 859 +1971 7 3 0 21 DEBBY 38.4 49.3 83 31 +1966 6 23 0 18 PATTY 11.0 31.1 43 347 +1974 6 7 6 12 JOYCE 43.5 39.3 69 776 +1951 4 1 6 5 ISAAC 34.9 142.1 12 257 +1977 1 23 0 25 BERYL 24.6 356.3 87 713 +1962 2 15 12 1 FLORENCE 33.6 352.2 128 422 +1956 6 8 12 17 LESLIE 13.4 143.7 102 569 +1992 11 10 0 21 NADINE 40.2 122.8 59 376 +1998 1 20 6 8 OSCAR 51.9 255.5 25 233 +1972 8 20 6 14 OSCAR 55.1 158.5 80 896 +1967 11 8 12 18 LESLIE 52.4 156.8 60 759 +1955 7 21 18 22 ALBERTO 63.5 331.4 90 485 +1966 2 2 6 14 TONY 67.5 15.3 31 605 +1979 9 22 6 15 SANDY 7.9 251.1 81 355 +1966 4 25 6 28 VALERIE 61.0 302.0 155 493 +1980 12 5 0 9 PATTY 46.7 93.8 115 553 +1983 4 23 18 6 DEBBY 61.1 199.8 129 732 +1981 2 9 12 17 TONY 26.5 8.8 125 670 +1995 11 28 6 25 GORDON 46.9 93.5 82 698 +1960 1 25 18 12 TONY 47.5 133.5 69 674 +2004 11 4 0 23 ALBERTO 24.5 304.3 66 342 +1998 4 2 18 5 HELENE 33.5 291.7 50 131 +1974 9 17 18 16 FLORENCE 58.9 208.6 74 408 +1966 12 1 12 13 DEBBY 27.5 40.0 149 773 +1972 5 16 0 19 VALERIE 49.3 245.0 62 119 +1981 6 18 12 18 FLORENCE 56.2 118.6 136 74 +1970 10 18 12 4 ALBERTO 47.3 58.3 12 798 +1958 9 15 12 25 NADINE 41.0 98.1 152 460 +1988 3 3 18 14 KIRK 64.8 326.1 103 747 +1989 4 1 18 18 HELENE 32.7 269.1 58 538 +1967 8 19 18 2 ALBERTO 54.8 123.1 21 429 +1950 4 4 18 19 FLORENCE 28.9 31.7 39 877 +2004 2 13 6 17 OSCAR 49.7 289.4 13 242 +1972 11 2 18 28 ALBERTO 28.5 195.5 160 207 +1995 10 9 18 9 LESLIE 16.2 31.2 93 761 +1984 2 19 18 28 ALBERTO 11.6 26.2 157 59 +1970 7 11 18 18 RAFAEL 48.3 92.2 77 539 +1967 5 12 6 9 FLORENCE 8.6 61.6 34 714 +1977 9 10 12 28 WILLIAM 31.6 271.0 62 851 +1985 7 21 18 10 FLORENCE 14.6 174.5 30 364 +1968 5 8 12 2 CHRIS 59.8 18.9 157 558 +1965 11 28 18 23 DEBBY 12.3 163.7 136 363 +1963 2 17 12 20 KIRK 8.5 106.8 155 827 +1977 11 27 18 14 OSCAR 62.6 60.1 95 328 +1972 6 13 0 24 MICHAEL 55.9 122.1 65 136 +1953 12 8 0 27 BERYL 62.8 144.0 16 380 +1991 11 28 18 27 JOYCE 37.7 242.7 149 326 +1963 6 5 18 18 MICHAEL 50.1 220.4 128 538 +1960 7 5 12 9 SANDY 15.0 132.3 69 143 +1990 6 21 6 2 MICHAEL 27.5 102.7 35 397 +2000 4 16 18 14 HELENE 10.3 246.6 20 16 +1994 1 14 0 10 HELENE 37.9 93.6 145 152 +1995 5 5 12 12 ISAAC 8.5 161.2 33 156 +1977 8 10 6 6 ALBERTO 43.7 38.2 22 721 +1961 6 2 0 2 VALERIE 60.9 120.9 123 825 +1958 3 9 0 14 ERNESTO 53.3 159.6 10 51 +1976 9 13 6 19 JOYCE 24.0 108.5 76 285 +1968 2 2 6 11 FLORENCE 47.4 302.9 145 675 +1963 10 18 12 20 SANDY 26.3 168.4 123 430 +1953 6 11 0 19 ISAAC 43.7 127.4 127 681 +1982 10 14 6 25 JOYCE 55.4 164.0 71 735 +1963 2 25 6 28 PATTY 56.7 208.6 112 190 +1950 3 16 18 27 JOYCE 63.7 277.1 73 683 +1957 8 26 18 2 MICHAEL 50.7 92.4 80 578 +1986 9 7 6 3 FLORENCE 64.1 63.2 39 787 +1973 2 1 12 28 BERYL 9.5 345.9 137 548 +1977 2 11 12 1 TONY 62.2 246.1 133 384 +1952 10 27 12 28 NADINE 56.9 265.6 30 848 +1993 12 13 0 19 LESLIE 39.9 184.7 71 736 +1950 2 14 18 12 LESLIE 45.8 278.2 100 279 +1970 4 11 18 26 BERYL 59.8 332.9 97 852 +1956 7 15 18 25 ISAAC 33.1 353.1 162 215 +1953 6 24 6 12 ISAAC 47.3 353.1 41 2 +1993 5 25 18 24 GORDON 7.6 246.5 14 745 +1994 5 15 18 2 CHRIS 34.4 36.1 59 776 +1964 12 10 6 11 CHRIS 16.2 308.4 131 381 +1969 7 24 18 25 HELENE 53.0 183.8 84 82 +2003 11 13 18 17 SANDY 58.4 89.2 57 477 +1950 10 17 0 27 ISAAC 51.8 346.8 21 254 +1968 6 7 18 25 PATTY 61.5 333.8 87 155 +1973 9 16 0 6 DEBBY 62.5 16.3 155 876 +1965 7 2 18 28 ISAAC 12.7 343.9 132 743 +1983 3 26 0 21 HELENE 69.2 227.7 54 856 +1996 12 21 18 22 PATTY 48.7 263.0 26 73 +1979 9 4 12 12 PATTY 43.9 161.3 136 725 +1968 2 8 6 21 ISAAC 65.2 86.2 132 638 +1987 8 6 18 9 ISAAC 8.1 261.1 140 71 +1965 3 4 6 14 DEBBY 61.7 191.1 143 830 +1963 6 28 18 23 RAFAEL 35.2 92.8 100 175 +1964 1 11 6 21 BERYL 48.1 40.2 122 807 +1977 8 23 6 2 FLORENCE 46.6 100.5 14 229 +1967 4 6 0 2 SANDY 8.4 157.3 12 749 +2004 5 14 0 20 VALERIE 15.8 30.9 72 195 +1965 1 23 6 8 HELENE 65.8 270.9 55 858 +2004 12 22 6 22 NADINE 32.2 117.6 44 120 +1952 3 5 0 16 ALBERTO 55.3 5.5 102 296 +1952 11 18 18 3 WILLIAM 40.1 23.5 80 686 +1991 10 9 0 10 GORDON 62.3 29.5 21 68 +1981 1 4 18 7 ERNESTO 50.6 125.9 39 175 +1976 11 26 18 13 MICHAEL 45.9 264.5 136 791 +1991 6 4 12 7 ERNESTO 41.3 36.4 91 738 +1964 9 12 12 2 HELENE 40.9 1.9 27 398 +1987 5 11 6 27 CHRIS 14.6 342.5 48 590 +1958 9 12 0 6 RAFAEL 32.5 125.8 84 540 +2004 6 8 12 21 ERNESTO 43.4 85.7 84 885 +2001 3 22 6 16 MICHAEL 42.3 306.9 88 732 +1952 11 23 0 17 KIRK 66.1 249.5 79 344 +1976 1 9 6 23 HELENE 35.0 44.4 19 59 +1952 9 27 6 3 DEBBY 61.9 333.6 67 749 +1962 6 23 0 6 FLORENCE 20.0 271.4 140 28 +1981 9 28 12 5 GORDON 23.2 264.7 136 626 +1972 9 19 18 4 GORDON 12.9 64.4 60 857 +1960 10 13 6 19 NADINE 35.8 199.8 135 497 +1978 12 21 0 27 ALBERTO 63.3 176.5 149 731 +1959 8 25 0 14 ALBERTO 57.4 142.3 148 572 +2002 12 21 6 24 CHRIS 48.2 241.2 91 840 +1983 12 17 12 26 VALERIE 12.6 287.4 36 229 +1961 4 27 6 26 SANDY 64.6 57.3 70 654 +1956 5 15 12 8 OSCAR 60.7 356.8 131 391 +1985 5 22 12 3 HELENE 23.6 228.4 92 644 +1992 8 22 0 22 WILLIAM 50.9 207.1 32 202 +1994 2 24 12 21 PATTY 50.2 205.1 114 509 +2002 4 22 0 21 RAFAEL 10.2 266.4 150 683 +1959 9 17 0 6 JOYCE 29.1 141.8 95 197 +1987 2 13 18 1 ERNESTO 55.1 112.1 39 664 +2001 12 19 18 14 ALBERTO 46.7 195.5 131 60 +1971 9 26 0 3 FLORENCE 27.6 190.9 153 219 +1968 11 10 12 9 ISAAC 51.9 268.9 61 861 +1953 7 15 18 22 NADINE 45.8 334.8 84 418 +1967 2 17 6 23 PATTY 46.6 347.6 92 537 +1955 6 12 12 18 ERNESTO 34.9 186.2 58 899 +2004 10 3 6 15 LESLIE 39.3 181.1 101 665 +1967 11 3 18 8 KIRK 63.2 347.1 164 475 +1967 7 25 6 5 LESLIE 20.7 44.2 16 641 +1983 7 27 0 11 CHRIS 49.4 238.7 47 861 +1995 6 4 6 10 VALERIE 22.8 317.8 80 237 +1975 12 15 6 23 NADINE 11.0 356.2 28 618 +1961 1 8 12 5 ERNESTO 14.7 321.5 141 187 +1984 7 1 12 4 KIRK 59.9 219.9 38 723 +1974 1 7 18 9 OSCAR 61.0 70.8 91 546 +2000 7 27 12 5 PATTY 63.0 335.5 15 848 +1990 7 19 6 21 SANDY 41.9 317.9 106 533 +1964 12 7 18 1 SANDY 59.2 188.7 120 143 +1963 6 1 0 8 LESLIE 54.3 98.9 31 366 +1951 2 18 0 2 PATTY 25.3 169.8 34 587 +1972 11 6 12 10 PATTY 49.5 160.2 106 41 +1966 5 25 0 19 LESLIE 34.5 328.9 149 523 +1955 8 6 18 19 OSCAR 26.9 38.7 17 257 +1987 7 26 18 17 ALBERTO 8.2 268.4 151 551 +1993 3 5 0 15 SANDY 34.0 57.8 147 47 +1988 9 19 0 16 FLORENCE 65.2 119.4 37 282 +1964 12 13 0 17 GORDON 35.3 23.0 10 208 +1959 8 11 12 9 DEBBY 67.2 274.7 86 415 +2004 4 24 0 7 MICHAEL 21.0 336.5 45 730 +1986 5 2 0 9 ISAAC 69.6 114.0 163 547 +1954 11 17 12 7 HELENE 59.2 264.4 80 242 +1981 1 8 12 16 NADINE 59.6 21.2 132 333 +1952 6 12 0 21 CHRIS 54.5 311.9 82 627 +1955 4 13 6 17 SANDY 54.0 219.0 95 544 +1964 4 3 6 9 ISAAC 23.7 125.3 91 565 +1970 7 16 6 11 ERNESTO 28.3 157.7 52 480 +1956 12 21 18 27 HELENE 12.2 302.3 21 650 +1969 2 9 12 11 LESLIE 66.7 163.4 127 460 +1968 6 16 18 14 HELENE 41.6 317.9 144 97 +1979 8 4 0 6 RAFAEL 23.6 10.8 31 89 +1953 5 17 0 12 TONY 28.3 343.9 157 875 +1972 2 19 18 13 NADINE 50.7 32.7 13 237 +1982 3 25 18 3 PATTY 48.3 65.7 45 297 +1960 9 25 12 9 NADINE 40.5 163.8 127 467 +1952 4 7 12 3 MICHAEL 45.4 48.6 65 818 +1969 12 12 12 9 MICHAEL 22.9 50.3 154 844 +1957 6 24 0 26 DEBBY 18.7 225.8 48 487 +1999 1 12 0 28 DEBBY 26.5 297.1 140 225 +1981 6 7 6 1 NADINE 40.1 329.7 122 476 +1971 1 7 0 23 SANDY 54.2 93.3 57 474 +1962 3 27 12 1 ERNESTO 25.7 65.7 33 765 +2004 9 7 18 26 VALERIE 26.4 116.7 104 382 +1975 1 10 18 27 GORDON 30.9 338.2 124 410 +1976 1 24 12 11 HELENE 60.6 157.9 145 136 +1998 9 2 12 3 VALERIE 61.1 199.8 20 360 +1951 5 20 18 1 TONY 61.4 64.9 16 751 +2002 5 26 12 7 TONY 50.0 100.0 152 473 +1960 12 21 18 5 NADINE 15.2 30.1 53 770 +1999 4 25 12 17 ERNESTO 15.4 82.4 114 670 +1996 6 28 6 6 ERNESTO 29.8 110.3 124 569 +1954 9 28 18 3 VALERIE 11.8 101.1 84 367 +1993 5 12 6 12 KIRK 32.6 310.9 69 435 +1991 3 22 6 6 VALERIE 55.9 87.7 123 778 +1996 3 27 6 9 GORDON 14.0 190.9 69 57 +1980 12 4 12 3 JOYCE 55.3 12.0 28 473 +1953 2 8 6 27 KIRK 57.5 147.0 79 470 +1961 2 21 6 6 SANDY 58.4 48.8 149 88 +1986 6 19 0 9 FLORENCE 54.2 187.4 153 22 +1980 8 19 12 26 GORDON 33.9 58.8 41 577 +1992 10 28 12 12 SANDY 9.9 208.3 151 277 +1977 9 16 12 21 WILLIAM 18.4 251.4 114 312 +2000 9 14 6 10 NADINE 23.4 241.2 20 41 +1968 2 9 6 22 ALBERTO 22.1 286.3 16 61 +2002 11 26 0 1 PATTY 10.0 60.7 102 717 +1996 10 3 0 28 SANDY 15.3 80.2 164 820 +1984 9 9 12 19 VALERIE 47.7 6.1 124 560 +1951 6 10 6 13 RAFAEL 64.1 29.6 18 828 +1980 4 22 6 18 LESLIE 66.9 1.2 12 898 +1951 8 19 18 17 ALBERTO 26.3 146.8 30 284 +1998 10 23 6 28 FLORENCE 32.5 178.7 124 705 +1961 2 25 6 7 PATTY 62.4 220.8 12 332 +1978 2 18 18 18 ERNESTO 19.4 147.8 33 220 +1996 9 7 18 19 WILLIAM 31.2 220.1 137 357 +1979 5 16 6 6 HELENE 61.6 87.6 160 467 +1979 12 13 18 14 DEBBY 8.6 267.5 95 213 +1987 10 22 6 27 MICHAEL 21.2 144.8 147 275 +1951 11 13 18 26 NADINE 18.4 303.2 86 681 +1962 10 18 0 3 BERYL 17.9 120.4 112 276 +1991 12 17 0 13 NADINE 48.8 115.7 142 200 +1964 8 12 18 14 CHRIS 56.4 274.5 132 720 +1988 10 10 12 14 ISAAC 62.0 188.2 17 262 +2002 8 17 18 16 NADINE 10.4 178.2 77 70 +1968 4 10 18 6 HELENE 40.3 114.7 59 775 +1976 6 23 6 25 SANDY 66.3 159.5 56 780 +1965 11 25 12 26 ISAAC 36.8 292.3 81 140 +1963 5 14 18 5 DEBBY 43.6 137.4 109 125 +1961 5 6 18 1 ERNESTO 63.4 251.3 74 574 +1968 8 21 6 13 BERYL 53.9 130.3 125 697 +1968 1 13 18 5 MICHAEL 23.8 179.2 37 457 +1950 6 3 18 21 LESLIE 43.6 223.2 52 758 +1971 6 9 12 20 KIRK 43.4 327.1 103 538 +1989 2 1 12 24 SANDY 39.8 331.9 25 211 +1995 6 11 6 12 DEBBY 7.3 73.3 93 714 +1954 12 20 12 20 WILLIAM 58.2 223.0 139 477 +1958 6 11 6 15 OSCAR 65.5 183.3 80 526 +1968 7 24 6 2 BERYL 56.2 144.6 43 869 +1964 8 6 6 25 JOYCE 63.1 272.9 104 730 +1989 10 9 6 25 FLORENCE 63.7 201.4 30 294 +1973 5 9 0 4 BERYL 14.0 46.0 101 359 +1953 7 26 6 27 ALBERTO 16.6 146.8 12 299 +1995 10 2 6 10 ISAAC 33.3 17.4 23 433 +1993 10 1 12 5 TONY 56.7 104.8 72 784 +1957 4 9 18 4 CHRIS 40.1 123.8 67 88 +1974 6 20 12 22 OSCAR 48.7 197.7 80 857 +1953 1 27 6 4 KIRK 68.2 56.7 120 208 +1995 6 16 12 9 PATTY 29.3 291.9 53 774 +1967 8 24 12 28 NADINE 23.2 48.9 37 234 +1954 2 19 18 4 SANDY 24.9 72.6 81 642 +1979 7 17 6 20 WILLIAM 26.9 27.7 163 418 +1994 2 2 18 4 ISAAC 17.3 258.5 73 237 +1966 6 4 12 21 KIRK 28.8 189.6 133 805 +1978 5 10 0 11 RAFAEL 63.8 147.0 94 164 +2004 12 27 18 15 MICHAEL 38.3 113.1 102 445 +1983 5 21 6 26 WILLIAM 47.2 9.7 98 447 +1976 5 7 6 8 OSCAR 45.0 343.2 66 441 +1988 4 28 6 16 RAFAEL 67.7 128.4 107 889 +1993 3 15 0 2 KIRK 59.6 216.1 160 747 +1968 12 16 12 16 KIRK 43.0 348.4 158 577 +1982 3 17 18 18 ALBERTO 26.6 40.1 99 584 +1969 10 6 12 24 FLORENCE 51.6 272.2 156 2 +1984 6 2 12 25 RAFAEL 48.4 336.3 123 753 +1971 11 19 18 6 SANDY 51.6 308.4 85 715 +1991 1 27 6 6 WILLIAM 27.5 170.6 89 412 +1984 12 9 18 20 ERNESTO 57.1 251.8 47 782 +1966 2 10 12 22 TONY 68.3 99.3 39 713 +1985 10 23 12 19 VALERIE 66.5 209.8 54 620 +1999 7 24 12 14 DEBBY 8.9 89.6 114 277 +1963 7 22 6 15 GORDON 21.8 283.7 155 703 +1987 11 14 18 6 GORDON 40.3 210.2 49 721 +1985 6 17 0 20 ALBERTO 60.4 45.1 36 393 +1985 1 15 18 21 RAFAEL 11.0 223.4 74 220 +1988 3 23 12 18 PATTY 66.3 121.6 154 448 +1979 9 10 18 24 ALBERTO 13.4 307.9 150 632 +1956 9 20 18 21 KIRK 13.0 111.1 61 48 +1980 8 3 12 23 ERNESTO 27.4 345.2 93 64 +1981 7 22 18 10 VALERIE 14.3 138.6 134 546 +1974 12 21 18 14 WILLIAM 60.1 251.1 142 669 +2001 7 8 0 3 HELENE 15.5 179.6 128 797 +1993 1 3 12 23 NADINE 34.9 298.4 108 699 +1976 5 22 6 7 KIRK 30.4 326.8 79 778 +1975 2 13 18 3 ISAAC 42.4 95.9 152 403 +1955 3 10 0 4 FLORENCE 14.4 289.9 164 119 +1981 3 1 12 13 CHRIS 65.8 192.1 99 225 +1955 4 12 0 18 MICHAEL 20.4 140.1 142 522 +2003 7 12 0 8 MICHAEL 54.6 278.5 108 281 +2001 2 10 0 12 VALERIE 17.9 77.0 21 460 +1978 7 20 18 12 ERNESTO 14.6 299.3 63 384 +1980 10 11 0 14 OSCAR 53.1 350.2 77 655 +1953 1 26 6 17 JOYCE 59.1 24.4 106 74 +1992 3 13 0 16 LESLIE 13.7 103.5 146 629 +2004 9 21 18 7 OSCAR 62.9 93.8 45 895 +1966 3 22 12 20 FLORENCE 10.3 212.8 10 884 +1984 1 24 12 21 RAFAEL 12.2 176.4 131 848 +1989 9 1 6 1 OSCAR 53.4 162.0 67 767 +1967 12 11 0 8 OSCAR 45.0 114.4 12 358 +1974 8 1 18 27 NADINE 36.5 130.5 66 286 +1970 2 7 18 24 DEBBY 56.1 357.4 142 355 +1995 7 18 0 2 NADINE 26.3 152.6 142 10 +1963 9 27 12 28 FLORENCE 15.2 164.9 155 399 +1974 2 27 12 23 HELENE 45.0 47.8 32 520 +1954 3 20 18 5 JOYCE 43.7 155.3 23 268 +1956 1 21 18 19 FLORENCE 16.5 188.6 19 370 +1995 2 15 0 9 SANDY 69.9 182.6 76 813 +1994 9 11 6 13 HELENE 67.1 237.9 34 196 +1959 9 10 6 5 CHRIS 66.6 203.8 151 635 +1953 3 27 6 26 JOYCE 18.8 168.0 67 42 +1994 1 5 18 18 PATTY 48.4 12.7 28 186 +1993 11 21 6 21 PATTY 12.0 43.9 38 353 +1974 5 19 12 17 OSCAR 18.9 12.0 123 554 +1962 4 17 0 13 KIRK 57.2 218.3 95 482 +1953 8 16 0 26 PATTY 56.6 339.4 71 265 +1978 4 28 6 21 VALERIE 16.1 297.7 14 639 +1962 7 18 12 22 DEBBY 43.3 93.7 137 92 +1977 11 23 18 19 SANDY 18.6 187.5 102 765 +1987 9 12 12 5 FLORENCE 40.7 98.6 142 505 +2001 8 4 6 8 WILLIAM 16.9 19.1 149 465 +1953 1 12 12 20 RAFAEL 11.3 47.8 94 112 +2004 6 9 6 8 PATTY 26.2 10.0 65 717 +1961 9 18 6 20 BERYL 37.8 307.3 122 400 +1999 2 16 6 1 ERNESTO 15.1 151.3 132 156 +1967 2 15 12 28 SANDY 62.2 112.4 32 632 +1952 3 1 18 9 WILLIAM 19.5 40.3 69 460 +1988 8 6 18 26 ISAAC 38.6 69.5 156 133 +1995 4 24 12 25 JOYCE 51.5 270.6 104 156 +1978 2 3 12 8 OSCAR 38.0 192.6 72 285 +1960 1 15 12 2 MICHAEL 47.9 32.5 105 802 +1984 5 14 6 24 SANDY 65.9 101.8 119 855 +2002 8 1 0 4 CHRIS 51.7 103.1 44 830 +1987 2 19 0 16 VALERIE 58.5 6.5 59 580 +1979 3 6 6 26 ISAAC 45.9 50.8 44 72 +2002 7 17 18 7 CHRIS 34.6 71.1 108 514 +1973 3 6 0 15 SANDY 53.3 98.4 141 733 +2003 7 4 18 16 PATTY 68.7 199.6 50 281 +2003 6 22 0 10 SANDY 37.4 105.6 152 793 +1968 9 22 12 23 FLORENCE 14.2 339.0 85 184 +1961 5 2 12 24 RAFAEL 45.3 76.5 120 315 +2003 1 13 0 17 BERYL 10.0 221.0 103 575 +2003 4 5 18 3 ERNESTO 43.6 316.7 26 648 +1995 7 6 0 7 RAFAEL 50.2 98.9 57 386 +1994 6 17 6 14 HELENE 42.1 176.4 159 762 +1987 7 24 6 24 TONY 12.6 354.3 152 770 +1992 1 22 18 24 NADINE 56.9 318.7 102 703 +1984 5 19 12 13 LESLIE 34.3 112.4 16 491 +1996 12 4 6 18 ALBERTO 26.5 322.8 57 307 +1969 3 20 18 21 BERYL 69.6 38.0 157 328 +1971 2 26 12 6 NADINE 49.9 312.6 131 171 +1999 4 22 0 13 NADINE 34.8 275.9 42 127 +1954 3 27 12 7 RAFAEL 27.9 210.6 81 85 +1959 4 9 12 17 LESLIE 13.7 73.7 90 739 +1966 6 13 0 21 RAFAEL 35.8 282.3 97 145 +1996 1 26 6 9 HELENE 7.7 106.0 118 411 +1992 2 17 12 1 ERNESTO 35.9 22.0 22 670 +1961 9 13 6 14 ISAAC 27.8 143.2 10 426 +1978 2 23 18 17 DEBBY 11.6 292.6 51 138 +1960 3 28 6 5 NADINE 28.3 213.4 58 337 +1958 2 20 18 22 ALBERTO 63.0 201.5 27 411 +1998 3 19 18 1 PATTY 51.0 134.3 56 260 +1950 6 4 0 18 HELENE 37.3 174.1 27 409 +1996 7 6 6 27 RAFAEL 20.9 235.1 164 399 +1950 5 4 12 18 ERNESTO 47.2 112.8 11 199 +1991 8 6 0 12 BERYL 29.5 173.4 12 343 +1992 4 11 12 11 WILLIAM 16.1 35.0 106 303 +1980 5 6 0 19 CHRIS 33.6 110.9 47 849 +1994 12 23 6 26 CHRIS 40.7 128.1 74 398 +1986 2 23 12 16 HELENE 56.8 83.0 53 139 +1999 6 10 18 7 PATTY 18.0 256.2 90 43 +1970 10 25 12 21 LESLIE 54.2 127.3 73 48 +1978 5 27 6 19 TONY 36.7 245.1 121 296 +1990 7 7 12 1 BERYL 25.6 337.4 151 160 +1977 7 22 18 22 KIRK 22.1 152.9 62 306 +1971 7 2 18 16 FLORENCE 32.8 91.5 162 697 +1991 12 20 0 26 PATTY 28.9 0.8 119 740 +1991 7 14 6 13 NADINE 11.3 314.4 164 878 +1969 2 22 0 12 DEBBY 52.2 232.4 104 595 +2003 9 11 18 6 DEBBY 40.3 152.0 27 543 +1990 3 19 18 19 OSCAR 19.8 205.7 103 141 +1967 7 10 0 27 RAFAEL 62.4 79.5 70 218 +1977 11 5 0 20 NADINE 13.4 353.5 145 247 +1970 6 13 18 13 SANDY 37.9 61.2 39 457 +1961 6 6 18 15 HELENE 30.6 272.0 102 334 +1965 1 15 6 11 FLORENCE 64.8 241.0 126 620 +1986 9 24 0 3 DEBBY 36.8 307.2 76 549 +1991 10 10 6 20 TONY 67.6 20.1 164 121 +1990 6 2 0 15 FLORENCE 45.1 344.8 155 376 +1991 7 13 0 26 VALERIE 40.7 234.2 93 721 +1957 10 25 0 24 LESLIE 48.4 251.8 23 225 +1959 2 9 12 10 OSCAR 15.8 278.4 46 683 +1992 6 28 6 1 GORDON 65.4 54.3 107 117 +1963 12 21 18 10 ALBERTO 14.3 265.6 37 166 +1959 10 10 18 25 NADINE 65.0 269.7 110 525 +1987 7 24 6 17 ALBERTO 58.0 200.7 23 730 +1959 12 8 6 25 DEBBY 32.6 330.7 16 288 +1968 12 24 6 23 NADINE 19.6 221.3 45 830 +1953 6 27 0 1 HELENE 67.7 187.4 38 861 +1958 5 27 6 22 OSCAR 8.0 292.2 52 252 +1956 3 27 12 1 HELENE 58.7 109.0 125 103 +1972 12 20 12 26 SANDY 10.6 56.2 28 857 +1961 7 4 0 28 FLORENCE 11.8 262.8 53 304 +1995 12 2 12 3 ALBERTO 9.6 213.7 46 151 +1964 7 6 0 17 WILLIAM 47.0 79.5 142 607 +1995 11 8 18 14 PATTY 58.2 115.0 41 184 +1967 12 1 0 16 HELENE 21.2 238.1 153 132 +1983 3 7 6 20 RAFAEL 42.4 73.5 110 276 +2003 5 5 0 26 LESLIE 53.3 104.8 79 598 +1963 3 22 18 28 PATTY 22.0 319.6 93 586 +1964 12 25 6 28 SANDY 39.2 146.2 87 39 +1964 8 3 18 1 OSCAR 58.2 186.1 164 175 +1963 10 9 0 24 WILLIAM 60.9 196.3 95 125 +2002 10 15 12 4 KIRK 28.8 309.1 52 35 +2004 6 7 12 5 SANDY 38.7 64.7 49 769 +2000 4 24 18 27 ISAAC 11.3 290.3 53 643 +2004 7 20 18 7 MICHAEL 30.6 349.5 36 11 +1981 2 9 18 4 ALBERTO 51.8 311.6 95 149 +1986 6 21 18 9 GORDON 17.4 295.8 90 310 +1990 10 19 18 19 TONY 11.3 180.4 22 490 +2004 1 4 18 25 MICHAEL 11.3 210.0 57 760 +1959 11 13 6 24 TONY 51.3 79.2 74 261 +1981 3 26 6 10 FLORENCE 54.8 24.3 144 668 +1970 5 1 12 17 JOYCE 32.2 300.2 113 717 +1957 7 10 6 20 WILLIAM 28.0 278.0 157 663 +1980 8 28 18 15 VALERIE 21.1 95.5 159 635 +1991 6 26 12 5 KIRK 21.6 144.0 13 610 +1962 2 9 18 22 MICHAEL 47.1 63.0 76 498 +1988 9 3 18 9 RAFAEL 27.3 178.2 98 218 +1971 12 21 18 21 KIRK 26.7 103.3 160 290 +1954 7 19 12 17 OSCAR 25.6 348.1 33 663 +1976 8 21 6 20 FLORENCE 53.7 61.6 75 887 +1997 6 10 0 20 FLORENCE 59.8 90.7 11 804 +1993 2 1 12 8 MICHAEL 63.1 115.9 133 815 +1962 8 24 18 20 NADINE 39.2 65.7 64 176 +1959 2 23 6 7 FLORENCE 38.5 34.8 97 563 +1957 10 27 12 27 BERYL 14.2 58.3 101 279 +1962 10 7 6 18 MICHAEL 60.2 184.3 108 789 +1976 10 24 18 4 DEBBY 68.9 40.1 60 54 +2004 10 13 12 14 MICHAEL 64.0 133.2 18 572 +1950 5 8 18 13 RAFAEL 64.0 218.6 156 564 +1984 9 27 12 14 NADINE 63.0 253.3 147 480 +1975 12 11 18 12 ISAAC 7.9 12.6 58 0 +1963 1 4 12 13 OSCAR 49.7 24.9 29 759 +1986 10 3 6 3 OSCAR 45.9 155.8 88 422 +1970 1 4 0 20 SANDY 45.5 320.0 149 159 +1972 9 23 0 21 KIRK 54.3 72.2 85 63 +1960 10 6 18 9 ERNESTO 15.8 144.6 30 897 +1996 3 7 18 27 CHRIS 34.9 279.4 46 125 +1985 12 25 0 13 KIRK 31.0 22.5 80 853 +1973 11 22 6 16 MICHAEL 34.2 44.2 71 19 +1969 11 24 12 4 HELENE 44.0 313.0 95 172 +1982 4 14 18 8 TONY 64.4 62.8 11 387 +1974 2 12 6 7 FLORENCE 9.0 220.4 16 23 +1976 1 19 18 25 DEBBY 52.6 156.9 107 868 +1981 12 15 6 2 SANDY 29.8 192.4 106 424 +1953 7 20 12 27 TONY 34.3 239.6 91 341 +1965 12 21 6 12 FLORENCE 68.8 65.7 146 762 +1970 12 13 12 20 DEBBY 50.7 323.3 153 307 +1999 1 17 0 16 JOYCE 7.3 68.6 11 872 +1977 3 10 6 26 ISAAC 49.5 81.9 32 230 +1992 7 25 18 6 TONY 30.4 142.5 118 843 +1952 2 4 0 27 BERYL 16.3 58.8 54 463 +1985 7 1 18 10 HELENE 63.3 116.4 110 767 +1981 9 16 6 16 OSCAR 13.2 72.6 35 154 +1984 11 13 18 8 FLORENCE 23.8 50.5 103 890 +1954 10 18 12 16 ALBERTO 56.7 220.7 138 219 +1986 8 11 6 5 WILLIAM 18.8 105.5 46 242 +1980 12 27 12 20 ERNESTO 32.4 35.8 147 83 +1950 11 17 12 16 ISAAC 39.3 132.3 151 662 +1981 5 24 18 12 WILLIAM 58.8 329.9 26 718 +1951 9 13 12 15 LESLIE 63.4 227.5 10 158 +1972 10 6 6 22 HELENE 35.3 325.0 76 429 +1993 4 16 6 16 OSCAR 62.9 225.5 108 854 +1985 10 19 18 27 LESLIE 48.5 342.9 70 439 +1989 7 22 18 26 WILLIAM 18.9 355.5 100 756 +1982 8 11 12 27 BERYL 50.5 293.8 164 721 +2003 1 4 12 28 NADINE 10.9 122.7 148 629 +1957 4 9 12 12 VALERIE 27.9 323.4 74 698 +1979 12 14 18 19 CHRIS 60.4 323.6 158 2 +1965 11 19 12 2 ALBERTO 60.4 171.9 32 884 +1970 12 2 0 1 GORDON 49.9 36.9 29 174 +1984 12 17 12 15 RAFAEL 42.7 139.9 43 45 +1967 10 16 6 2 GORDON 37.3 101.8 129 331 +1950 9 27 0 16 SANDY 20.0 110.2 163 198 +1953 1 23 0 8 GORDON 10.7 156.8 55 819 +1980 11 18 18 18 HELENE 33.1 265.6 17 590 +1961 6 1 12 5 ISAAC 50.0 7.5 26 434 +1986 3 27 6 3 TONY 33.5 315.3 29 99 +1993 10 21 6 27 CHRIS 15.0 293.2 162 248 +1972 3 10 18 6 TONY 46.1 250.4 55 722 +1983 12 2 0 3 PATTY 12.1 347.3 50 815 +1995 10 16 6 18 TONY 60.0 133.8 79 573 +1991 2 3 0 26 BERYL 7.4 312.3 160 498 +1956 3 7 6 15 TONY 32.5 289.9 155 290 +2001 8 20 0 6 RAFAEL 60.0 271.8 129 686 +1975 2 6 18 23 SANDY 7.6 201.4 67 205 +1954 5 2 0 1 LESLIE 18.2 322.9 161 43 +1961 6 18 12 25 PATTY 60.7 251.0 25 39 +1988 6 7 12 1 MICHAEL 48.7 284.7 74 482 +1955 3 4 12 21 FLORENCE 34.7 79.1 51 773 +1960 1 14 6 19 HELENE 62.0 336.1 117 482 +1984 5 19 18 14 WILLIAM 22.1 3.8 28 767 +1989 11 10 6 23 BERYL 61.1 120.0 142 440 +1999 4 14 18 2 WILLIAM 68.3 3.6 69 71 +1956 11 18 0 24 ERNESTO 37.9 62.4 86 890 +1980 3 24 18 3 SANDY 61.4 37.2 79 550 +2003 9 25 0 16 ISAAC 51.1 222.7 74 839 +1959 6 19 6 7 HELENE 66.7 78.3 117 739 +1986 4 27 12 5 WILLIAM 17.4 293.7 96 0 +1953 10 26 12 2 TONY 16.1 241.2 73 785 +1953 1 10 12 12 TONY 51.2 127.3 111 571 +1978 11 11 6 12 LESLIE 61.7 74.5 44 667 +2004 11 3 0 18 LESLIE 8.9 263.9 45 526 +1957 3 23 12 2 TONY 26.8 292.3 67 62 +2003 10 21 12 13 FLORENCE 12.1 114.3 110 460 +1952 3 16 12 13 JOYCE 40.6 202.5 110 711 +1978 7 14 0 27 JOYCE 36.3 90.0 115 243 +1987 10 5 6 22 GORDON 34.1 188.7 135 105 +1980 3 1 12 1 HELENE 57.3 349.9 29 353 +1953 6 16 18 17 TONY 24.8 279.1 105 254 +1986 11 24 6 7 JOYCE 20.7 198.3 89 256 +1993 4 24 12 4 LESLIE 24.0 93.5 98 803 +1955 1 7 0 24 GORDON 15.1 107.6 74 159 +2003 11 3 18 5 WILLIAM 10.8 281.8 11 502 +1961 7 7 0 2 TONY 8.6 59.4 29 496 +2001 12 4 12 1 HELENE 40.6 250.7 52 775 +1989 11 19 18 25 TONY 40.7 292.2 76 868 +2002 9 17 6 23 HELENE 39.1 230.1 105 16 +2002 4 17 18 16 VALERIE 23.1 42.9 89 645 +1980 1 15 0 5 SANDY 39.1 139.1 121 10 +1962 9 10 6 10 ISAAC 36.0 176.8 58 573 +2000 9 21 6 26 DEBBY 62.7 253.5 38 487 +1959 11 25 12 16 RAFAEL 66.9 89.3 68 160 +1985 1 19 18 19 HELENE 54.8 7.4 101 700 +1973 10 28 6 6 DEBBY 28.1 338.4 123 599 +1976 4 24 18 10 ERNESTO 37.5 155.7 70 375 +1960 6 7 0 10 PATTY 51.5 9.6 56 24 +1972 7 6 6 21 ALBERTO 58.9 47.3 128 161 +1983 4 24 18 2 HELENE 24.3 300.7 38 80 +1969 4 17 6 4 NADINE 61.8 8.1 105 616 +1983 8 5 12 20 OSCAR 51.7 50.8 47 637 +1988 7 20 12 19 FLORENCE 69.7 187.7 96 352 +1999 8 14 18 2 LESLIE 11.9 159.9 123 82 +1992 2 23 0 4 NADINE 68.8 258.8 46 378 +1990 8 20 18 18 NADINE 36.4 201.7 152 125 +1964 8 22 0 4 NADINE 8.2 124.1 142 187 +1969 3 27 12 23 MICHAEL 66.9 254.4 102 463 +1976 8 21 0 9 OSCAR 30.5 17.2 79 503 +1987 4 12 6 12 KIRK 24.4 105.6 97 44 +1993 10 1 6 10 PATTY 19.2 275.4 81 355 +1967 4 1 0 10 SANDY 34.6 136.1 56 807 +1993 8 26 18 15 OSCAR 68.7 25.0 58 673 +1974 7 4 12 24 SANDY 59.5 134.8 77 881 +1998 7 24 12 9 ISAAC 35.9 179.3 40 672 +1958 5 14 6 28 FLORENCE 27.7 87.3 23 116 +1991 12 13 12 13 NADINE 60.6 102.5 29 738 +1951 12 13 6 16 GORDON 31.0 177.1 46 701 +1960 8 1 18 17 MICHAEL 25.7 4.4 77 857 +1956 4 1 6 10 VALERIE 60.3 217.7 126 344 +1971 9 1 18 12 CHRIS 30.3 293.4 65 226 +1974 4 25 12 15 SANDY 10.6 10.3 149 170 +1992 3 8 6 3 CHRIS 56.9 3.7 10 774 +1990 3 23 6 7 TONY 36.8 197.3 121 315 +1970 2 2 6 5 CHRIS 56.6 269.2 78 887 +1992 8 24 0 22 PATTY 55.8 126.4 85 846 +2003 7 11 0 3 KIRK 9.7 89.1 105 53 +1992 1 11 6 4 CHRIS 52.6 241.7 44 733 +1975 9 7 6 26 JOYCE 53.3 4.8 130 170 +1993 4 19 0 5 NADINE 27.5 135.1 144 159 +1982 7 21 18 7 JOYCE 55.6 239.8 63 646 +1965 6 27 0 21 VALERIE 17.5 162.8 164 30 +1970 10 15 18 10 HELENE 60.6 202.7 146 448 +1970 10 13 6 9 TONY 69.8 243.2 116 375 +1950 5 3 18 10 LESLIE 64.5 269.9 163 837 +1971 8 15 0 27 RAFAEL 46.0 271.4 69 857 +1957 12 27 18 15 VALERIE 37.6 226.1 111 622 +1985 8 20 12 3 LESLIE 25.6 136.8 109 106 +1968 11 17 12 16 CHRIS 19.9 345.7 144 213 +1951 1 17 18 22 LESLIE 22.6 225.1 95 891 +1973 7 16 6 25 JOYCE 57.6 288.8 100 114 +1974 5 26 6 20 WILLIAM 25.4 7.2 89 409 +1984 10 10 6 23 MICHAEL 57.4 111.8 57 251 +1982 12 10 18 15 PATTY 63.1 98.2 111 457 +1952 1 4 0 4 MICHAEL 25.9 79.3 132 87 +1998 2 3 12 26 OSCAR 57.2 75.8 42 391 +1951 11 20 0 5 GORDON 52.6 266.2 31 809 +1962 4 9 6 19 FLORENCE 62.0 321.7 44 680 +1971 7 27 6 2 HELENE 28.0 348.0 21 93 +1990 5 27 18 26 CHRIS 61.6 207.6 70 389 +1997 11 23 6 9 OSCAR 26.6 166.4 36 266 +2003 2 10 6 21 ALBERTO 14.1 66.4 152 65 +1986 1 23 0 10 CHRIS 69.7 204.4 90 390 +1985 6 23 12 2 ERNESTO 44.0 93.0 16 301 +1975 9 11 12 22 KIRK 48.7 59.1 74 776 +1982 8 4 6 20 JOYCE 47.2 159.5 44 302 +1993 5 28 12 14 ERNESTO 44.7 24.9 150 367 +1971 1 3 0 11 RAFAEL 52.3 234.8 65 847 +1951 2 17 0 4 ISAAC 64.8 117.4 77 677 +1978 7 9 12 4 TONY 50.5 301.2 54 400 +1970 1 26 0 13 TONY 51.5 284.4 15 408 +1996 4 14 12 3 LESLIE 22.8 40.1 120 393 +1954 2 10 6 6 NADINE 20.6 208.1 64 750 +1955 1 11 12 16 LESLIE 11.7 342.6 83 192 +1969 7 23 6 14 NADINE 36.4 104.0 71 105 +1982 5 19 18 28 BERYL 46.5 67.8 11 826 +1997 9 28 12 24 VALERIE 9.8 69.6 68 259 +1973 3 1 18 15 FLORENCE 21.7 88.6 103 571 +1962 2 24 0 26 VALERIE 35.3 242.5 135 462 +1954 6 1 12 19 LESLIE 65.3 28.8 78 680 +1957 7 24 6 13 LESLIE 16.2 1.3 141 705 +1985 6 3 0 8 TONY 33.2 96.0 26 341 +1993 1 16 6 27 ISAAC 32.8 88.0 112 787 +2002 2 18 12 2 WILLIAM 12.8 199.0 28 351 +1964 3 8 6 2 HELENE 53.9 270.0 108 728 +1967 7 24 0 28 MICHAEL 50.9 336.4 13 819 +1991 5 28 0 26 VALERIE 44.9 241.3 66 783 +1998 12 12 18 1 ERNESTO 31.1 343.2 161 486 +1991 1 9 6 1 RAFAEL 65.6 105.6 51 826 +1972 8 23 0 23 ISAAC 37.6 232.7 73 638 +1993 10 5 18 1 NADINE 12.0 98.8 95 466 +1991 5 23 0 9 TONY 51.2 162.3 98 516 +1952 6 1 18 13 HELENE 64.2 22.8 156 16 +1951 5 24 6 19 WILLIAM 59.5 193.1 144 483 +1964 12 27 12 1 BERYL 62.1 346.5 109 446 +1958 2 10 6 24 DEBBY 57.0 54.2 57 486 +1966 7 16 18 5 JOYCE 54.7 247.4 15 344 +2003 7 18 18 10 VALERIE 31.1 138.4 143 389 +1991 7 28 12 3 TONY 57.7 75.2 154 633 +1977 8 7 18 17 GORDON 20.0 312.4 143 386 +1988 2 6 18 8 ERNESTO 44.5 357.5 97 190 +1999 10 1 0 17 ALBERTO 25.8 286.4 74 348 +1991 3 6 12 19 ISAAC 55.6 86.4 39 398 +1988 12 17 12 5 RAFAEL 42.2 257.6 154 414 +2000 9 11 12 9 MICHAEL 67.9 127.8 129 269 +1963 6 9 0 12 VALERIE 59.6 348.8 30 590 +1977 10 26 0 10 TONY 29.3 288.2 132 517 +1999 12 16 0 4 DEBBY 52.7 108.8 73 125 +1953 4 24 6 6 KIRK 20.3 304.1 152 822 +1950 2 22 6 18 VALERIE 46.3 155.4 150 349 +1969 12 23 0 17 ERNESTO 19.1 138.0 85 355 +1959 2 27 0 18 NADINE 69.7 267.7 34 197 +1954 10 4 12 23 WILLIAM 18.2 127.3 94 94 +1962 2 7 12 6 BERYL 27.0 86.9 88 498 +2002 4 25 6 23 TONY 64.5 170.4 60 354 +1971 10 16 6 7 SANDY 23.2 209.1 80 666 +1982 4 4 6 8 PATTY 40.4 115.0 72 789 +1993 10 13 12 20 RAFAEL 61.8 181.8 122 261 +2001 9 17 0 26 JOYCE 50.6 99.8 80 316 +1993 12 14 12 16 LESLIE 26.9 174.9 79 735 +1977 10 27 6 2 WILLIAM 30.7 129.1 125 348 +1990 1 20 12 27 ISAAC 26.9 334.1 78 717 +1991 5 12 18 13 KIRK 42.0 108.1 94 601 +1973 8 6 18 28 HELENE 56.4 334.6 156 17 +2001 2 27 0 18 WILLIAM 60.4 344.8 73 319 +1982 11 19 0 17 VALERIE 46.8 78.7 36 353 +1977 1 6 18 4 HELENE 68.1 72.7 72 751 +1970 12 20 0 13 VALERIE 12.2 323.9 33 665 +1971 6 2 6 25 GORDON 35.3 129.7 134 728 +2001 10 18 12 25 ERNESTO 68.5 256.7 90 483 +2000 9 4 0 6 ALBERTO 27.1 73.7 81 209 +1959 2 11 6 6 GORDON 55.3 342.5 151 532 +2003 10 18 6 19 LESLIE 23.8 325.9 63 325 +1982 11 23 6 25 GORDON 26.2 17.2 106 186 +1980 1 1 0 12 LESLIE 36.5 271.5 26 779 +1979 4 25 0 15 HELENE 62.4 59.4 35 428 +1980 10 5 6 19 LESLIE 56.6 312.1 125 634 +1987 7 17 6 1 ERNESTO 69.6 334.8 88 240 +1961 10 11 12 9 CHRIS 50.9 55.6 152 377 +1999 9 17 18 13 SANDY 65.8 99.6 146 577 +1995 6 18 12 19 PATTY 42.3 211.7 62 872 +1984 9 21 12 16 ALBERTO 46.0 117.4 81 837 +1984 10 18 18 27 BERYL 55.2 160.8 93 336 +1970 1 6 18 15 GORDON 17.6 334.1 83 283 +1981 3 16 6 23 WILLIAM 18.5 269.1 154 710 +2000 12 26 0 25 OSCAR 62.1 63.9 45 749 +1962 7 7 6 6 MICHAEL 15.9 95.3 52 749 +1974 2 26 6 10 WILLIAM 69.8 151.7 21 152 +1979 12 17 6 18 GORDON 28.8 327.3 139 326 +1958 12 4 6 15 MICHAEL 26.9 321.0 48 572 +1969 12 28 0 24 ERNESTO 7.8 308.9 151 167 +2002 7 26 0 7 FLORENCE 22.3 187.4 51 361 +1969 4 1 6 28 MICHAEL 48.9 220.1 117 552 +1981 1 15 12 21 DEBBY 46.9 95.1 53 638 +1958 10 11 6 27 DEBBY 8.2 335.0 56 854 +1967 5 3 0 18 BERYL 56.8 352.1 102 790 +1991 9 10 18 23 LESLIE 43.5 211.1 159 759 +1969 12 12 0 3 KIRK 57.7 335.2 162 491 +1984 2 1 0 8 ISAAC 27.8 78.5 163 255 +1963 8 12 0 11 NADINE 11.4 208.6 78 778 +1995 12 17 12 28 WILLIAM 11.2 101.5 155 754 +1964 6 10 12 5 ALBERTO 69.8 80.5 116 760 +1960 1 9 12 4 FLORENCE 53.2 84.8 50 99 +1955 11 6 6 25 NADINE 48.8 37.2 99 885 +1974 1 10 18 18 PATTY 66.4 214.9 69 309 +1993 6 1 6 18 LESLIE 54.9 54.1 62 72 +1960 1 23 18 10 SANDY 41.6 324.8 132 703 +1953 9 10 12 25 LESLIE 36.9 113.2 115 500 +1967 7 25 12 17 FLORENCE 47.2 148.1 133 841 +1997 11 28 0 17 ALBERTO 26.7 247.4 53 67 +2001 2 13 6 1 HELENE 67.2 146.0 139 377 +1994 11 25 18 24 OSCAR 35.6 307.5 84 575 +1977 9 4 12 25 KIRK 63.5 116.5 81 91 +1996 11 22 12 13 TONY 10.0 281.6 73 494 +1972 11 28 0 11 NADINE 61.8 54.0 121 281 +1986 6 13 18 5 WILLIAM 12.4 127.5 129 539 +1991 11 27 0 19 VALERIE 50.3 9.5 159 405 +1951 8 7 18 12 PATTY 9.5 221.0 64 767 +1990 7 13 18 10 VALERIE 29.2 281.5 129 879 +1979 5 3 6 23 JOYCE 45.9 147.4 58 132 +1961 5 21 0 9 HELENE 39.8 8.8 50 71 +1999 8 22 0 5 KIRK 61.8 294.1 112 278 +1974 6 20 6 14 NADINE 26.5 125.2 114 143 +1955 4 25 0 19 TONY 44.6 187.5 67 855 +1996 1 11 6 11 TONY 24.2 69.8 17 828 +1950 11 20 12 5 DEBBY 60.6 290.1 149 348 +1955 8 14 0 6 OSCAR 30.8 121.2 34 769 +1995 7 17 12 2 ISAAC 14.8 184.5 96 710 +1967 4 2 18 18 CHRIS 31.1 356.8 144 345 +1971 8 20 18 2 ISAAC 10.8 233.0 133 870 +1956 11 19 12 9 GORDON 63.0 136.5 149 681 +1986 10 4 0 20 RAFAEL 47.4 35.7 13 262 +2000 7 24 0 28 LESLIE 68.5 186.2 100 76 +1959 4 3 12 22 CHRIS 53.6 13.4 144 268 +1950 7 12 12 8 KIRK 33.3 98.8 17 551 +1960 7 19 12 17 ALBERTO 33.2 161.4 60 133 +1953 8 15 12 14 OSCAR 69.1 109.0 75 365 +1992 7 21 0 3 HELENE 12.7 44.1 161 866 +1969 1 17 6 2 WILLIAM 16.3 123.1 124 440 +1982 9 20 6 19 GORDON 60.8 190.4 98 372 +1958 10 1 18 16 ERNESTO 69.2 148.3 33 428 +1999 6 7 0 2 DEBBY 54.3 133.1 160 406 +2000 1 17 12 3 VALERIE 41.8 128.5 145 875 +1989 9 5 12 15 VALERIE 53.7 116.0 57 409 +1994 6 11 0 6 FLORENCE 29.5 90.9 145 374 +1974 6 19 12 12 HELENE 35.2 13.6 36 323 +2004 5 12 6 6 WILLIAM 8.6 140.6 149 37 +1975 12 27 12 27 NADINE 34.4 6.5 52 729 +1990 4 5 6 10 HELENE 12.1 45.1 30 574 +1966 6 21 12 11 NADINE 25.0 63.2 145 303 +1970 3 7 0 2 MICHAEL 55.6 134.0 54 444 +1971 11 11 0 2 OSCAR 31.8 62.8 107 593 +1959 3 18 6 20 SANDY 34.8 66.0 152 198 +1981 9 24 12 18 RAFAEL 58.5 272.4 86 844 +1997 9 1 18 4 FLORENCE 67.9 104.7 149 52 +2004 2 23 12 21 DEBBY 41.4 240.3 51 286 +1950 8 27 12 24 OSCAR 11.3 253.9 163 310 +1972 9 3 6 7 FLORENCE 12.4 154.3 106 265 +1959 6 5 0 17 CHRIS 22.4 285.8 14 873 +1960 6 22 0 1 ISAAC 25.2 94.6 58 612 +2002 9 15 0 4 WILLIAM 39.9 136.5 115 476 +1992 7 18 6 4 OSCAR 47.8 53.1 70 853 +1987 11 11 18 8 CHRIS 19.9 323.7 154 368 +1996 8 13 0 14 LESLIE 24.1 16.3 137 238 +1966 4 19 18 16 LESLIE 25.0 160.6 38 443 +1976 7 11 6 16 ALBERTO 7.5 36.8 75 77 +1963 6 26 0 8 TONY 51.8 192.2 56 237 +1969 7 14 18 26 TONY 45.7 192.6 33 814 +1987 2 15 6 20 RAFAEL 51.5 68.8 13 607 +1950 1 6 6 26 FLORENCE 43.4 290.1 23 137 +1988 10 8 18 22 DEBBY 28.9 315.0 52 688 +1962 2 18 6 2 SANDY 19.6 128.0 155 177 +1957 12 9 0 12 NADINE 59.7 79.0 161 785 +1952 8 17 0 23 SANDY 48.8 239.2 19 478 +1963 3 2 6 9 DEBBY 43.9 253.2 55 584 +1961 11 28 12 16 SANDY 36.7 101.6 66 836 +1950 1 9 0 19 SANDY 58.4 156.6 58 532 +1973 8 26 12 27 ISAAC 44.0 37.6 125 682 +1978 11 18 6 26 CHRIS 57.8 201.7 113 371 +1979 11 23 18 27 GORDON 13.7 5.1 71 517 +1986 9 7 6 15 MICHAEL 55.3 180.8 71 508 +1961 2 5 18 12 ISAAC 35.5 5.9 23 377 +1955 5 2 18 2 ISAAC 18.4 309.6 37 786 +1989 1 9 12 7 SANDY 26.6 8.9 16 609 +1958 7 16 18 20 ISAAC 13.7 42.6 162 17 +2003 5 9 0 28 BERYL 36.5 309.5 38 583 +1966 12 13 6 18 DEBBY 49.9 168.1 106 646 +1954 5 11 6 3 CHRIS 10.1 247.0 136 417 +1959 9 1 12 24 FLORENCE 53.5 324.5 100 242 +1994 6 12 0 27 NADINE 24.4 89.1 75 297 +1961 4 1 18 20 VALERIE 38.7 215.7 16 726 +1950 4 5 18 1 SANDY 14.2 296.8 51 66 +1963 1 14 18 17 VALERIE 56.0 21.4 35 556 +1961 3 20 12 4 WILLIAM 56.6 68.1 119 856 +1987 12 7 18 5 PATTY 30.0 314.5 77 8 +1966 12 3 0 24 ISAAC 59.6 96.0 140 280 +1970 1 28 6 5 OSCAR 19.4 144.4 158 132 +1965 4 13 6 10 PATTY 69.9 141.6 58 341 +1985 11 4 18 15 WILLIAM 42.0 102.1 114 853 +1958 6 17 0 2 WILLIAM 37.2 228.6 41 376 +1962 5 18 6 1 WILLIAM 67.4 56.4 164 74 +1974 3 2 12 10 GORDON 60.2 95.3 119 40 +1976 7 11 6 4 JOYCE 33.8 284.6 147 594 +1974 11 9 6 4 BERYL 27.0 302.2 49 25 +1986 11 11 6 6 ALBERTO 59.6 16.5 52 450 +2002 7 18 0 1 GORDON 58.7 187.9 121 118 +1968 6 8 6 11 WILLIAM 39.0 96.4 17 458 +1976 5 3 0 17 KIRK 63.7 113.7 130 585 +1966 9 6 18 20 MICHAEL 17.4 234.5 154 782 +1987 5 3 0 11 WILLIAM 53.4 162.7 87 533 +1984 2 24 0 13 ERNESTO 53.9 22.9 164 269 +1962 2 26 6 19 ALBERTO 33.1 207.1 138 25 +1974 4 6 18 12 RAFAEL 19.6 175.0 72 586 +1992 8 24 0 19 MICHAEL 30.3 237.1 161 345 +1960 1 12 6 3 FLORENCE 62.4 84.1 77 443 +1955 5 19 12 8 BERYL 57.3 232.3 10 162 +1975 11 6 12 22 VALERIE 57.3 137.7 57 53 +1965 9 11 18 25 HELENE 10.1 154.0 94 506 +1961 1 8 6 25 ALBERTO 50.9 172.8 112 628 +1969 1 12 12 3 NADINE 54.3 356.5 33 280 +1965 8 22 18 16 PATTY 42.1 131.0 24 840 +1953 5 15 12 2 RAFAEL 50.1 357.9 138 585 +1970 10 12 18 20 KIRK 17.1 328.4 91 686 +1969 5 11 0 9 TONY 10.4 242.5 163 49 +1992 6 2 6 4 NADINE 20.9 239.0 87 424 +1981 1 9 18 5 GORDON 60.9 17.6 93 579 +1980 7 8 0 18 FLORENCE 41.6 178.0 154 242 +1991 11 8 0 17 OSCAR 43.2 264.9 105 328 +1973 7 2 0 15 GORDON 30.6 2.0 13 190 +1980 9 2 0 13 CHRIS 37.5 31.9 66 579 +1957 8 1 6 13 WILLIAM 63.9 105.4 152 777 +1968 1 24 18 28 RAFAEL 18.8 288.9 78 190 +1986 3 14 18 13 JOYCE 64.4 159.7 80 284 +1969 2 10 18 9 VALERIE 47.4 326.5 40 170 +1992 9 7 12 19 CHRIS 21.5 123.9 118 225 +2004 4 28 6 11 WILLIAM 12.2 147.4 130 819 +1974 2 27 12 13 CHRIS 34.0 29.7 93 471 +1988 1 2 18 10 BERYL 8.9 350.8 118 16 +1970 2 8 6 16 RAFAEL 58.0 344.7 54 562 +1961 3 20 6 26 MICHAEL 20.8 281.4 135 832 +1968 12 4 12 24 SANDY 50.3 288.7 115 411 +1983 8 3 0 3 JOYCE 19.1 97.0 57 243 +1989 4 27 0 26 ISAAC 43.1 170.4 108 855 +1968 12 2 0 14 BERYL 11.2 146.8 55 72 +1971 12 11 0 7 CHRIS 54.9 247.6 69 414 +1954 2 9 12 22 GORDON 50.7 250.0 53 270 +1984 11 23 0 1 OSCAR 19.2 202.1 81 744 +1981 8 20 12 13 ERNESTO 27.9 122.1 48 690 +1950 3 4 12 13 CHRIS 39.9 79.1 104 648 +1982 9 24 18 28 FLORENCE 14.3 189.7 138 570 +2003 8 17 12 21 NADINE 8.0 313.6 20 239 +1957 10 7 6 16 ISAAC 33.7 167.4 81 180 +1982 7 18 0 22 KIRK 24.8 258.6 54 374 +1964 11 17 12 11 VALERIE 54.1 346.7 131 9 +1960 2 11 12 10 LESLIE 66.1 191.0 82 881 +1971 3 13 6 1 MICHAEL 66.4 16.0 86 405 +1998 1 18 18 13 CHRIS 44.4 319.2 118 200 +1961 11 21 0 10 FLORENCE 19.2 12.9 113 103 +1966 11 12 12 23 TONY 63.6 30.3 108 566 +1954 9 21 6 4 VALERIE 32.8 225.6 75 767 +1967 11 20 6 16 OSCAR 30.0 137.3 52 354 +1997 10 23 18 27 NADINE 51.1 174.1 106 353 +1976 1 6 12 19 FLORENCE 53.0 100.6 82 639 +1995 4 19 12 4 BERYL 39.2 180.2 42 302 +1973 5 15 6 19 BERYL 16.2 66.7 96 367 +1958 12 5 12 13 OSCAR 56.7 65.9 125 370 +1964 1 7 18 3 NADINE 33.5 63.9 37 371 +1985 11 11 0 8 KIRK 9.6 113.7 53 751 +1950 7 6 12 23 ISAAC 52.2 278.3 103 241 +1997 2 24 6 17 DEBBY 44.5 1.7 33 268 +1984 1 26 12 21 BERYL 46.1 5.6 89 553 +1969 8 23 18 26 HELENE 15.1 180.0 73 848 +1999 3 25 12 22 ALBERTO 26.2 74.7 82 402 +1982 12 3 6 3 MICHAEL 30.2 248.2 128 313 +1954 6 23 18 25 OSCAR 61.4 79.5 25 60 +2001 4 6 18 4 OSCAR 69.5 79.6 105 633 +1977 5 8 6 26 CHRIS 53.3 102.6 27 411 +1954 7 10 0 27 SANDY 67.9 322.4 80 867 +1997 4 9 0 24 OSCAR 60.2 87.6 66 814 +1963 5 8 0 6 RAFAEL 22.8 227.8 51 535 +1972 9 3 12 3 VALERIE 63.5 239.4 60 507 +1993 6 16 6 23 ALBERTO 67.7 355.3 56 630 +1997 9 9 12 26 BERYL 56.2 95.7 78 805 +1956 4 2 12 2 RAFAEL 49.0 334.5 53 897 +1954 10 10 18 13 MICHAEL 66.3 179.5 109 568 +1991 9 4 0 12 KIRK 39.8 34.4 20 325 +1967 3 7 12 12 HELENE 50.0 34.3 67 386 +1986 10 20 12 23 JOYCE 57.6 184.5 75 609 +1953 3 28 12 22 TONY 34.7 247.6 118 400 +1993 4 6 0 25 SANDY 40.0 38.6 130 453 +1993 1 13 6 3 VALERIE 53.5 318.4 159 835 +1969 6 9 12 28 NADINE 12.1 325.9 68 203 +1989 10 3 0 27 HELENE 21.1 249.7 34 527 +1984 11 28 6 24 GORDON 50.1 318.6 139 510 +1982 11 25 0 7 GORDON 44.1 245.5 111 326 +1971 3 13 18 1 BERYL 10.3 69.7 83 605 +1978 9 22 0 14 OSCAR 60.6 40.2 76 845 +1955 6 18 0 4 TONY 28.7 169.3 43 877 +2002 3 13 18 4 OSCAR 52.3 225.8 126 58 +1958 5 18 6 7 KIRK 50.0 267.2 117 56 +2003 3 26 18 10 TONY 59.0 37.9 47 602 +1950 4 28 12 10 ERNESTO 51.2 114.6 18 163 +1959 7 15 12 15 MICHAEL 43.4 157.1 155 442 +1963 12 10 18 13 MICHAEL 37.6 304.3 108 515 +2004 2 20 6 24 NADINE 54.3 352.7 131 424 +1960 3 13 12 26 RAFAEL 27.3 156.4 90 75 +1972 4 2 18 4 CHRIS 37.9 135.5 44 178 +1977 3 12 18 14 LESLIE 53.7 139.1 134 798 +1963 3 25 6 12 SANDY 33.8 91.4 84 664 +1985 12 18 6 22 LESLIE 42.0 28.0 101 411 +1999 10 19 18 26 LESLIE 23.5 11.9 70 361 +1960 1 22 6 2 RAFAEL 61.9 292.4 120 713 +1983 6 1 18 2 GORDON 67.7 147.0 119 12 +1953 6 1 6 28 LESLIE 16.5 207.2 15 82 +2001 8 27 12 23 WILLIAM 38.9 121.4 76 34 +1976 7 3 6 8 ISAAC 14.1 328.9 92 785 +1958 8 18 18 16 PATTY 63.1 160.8 48 553 +1965 7 18 6 2 GORDON 51.3 190.0 124 595 +1996 10 15 6 24 NADINE 55.3 249.8 51 524 +1959 2 2 6 18 JOYCE 42.6 323.9 71 751 +1976 12 3 6 2 MICHAEL 11.9 21.4 86 878 +2002 4 28 12 8 FLORENCE 40.3 221.0 87 438 +1997 11 21 6 3 KIRK 17.7 150.9 62 463 +1979 11 16 18 25 PATTY 44.8 349.8 45 411 +1999 4 14 12 20 WILLIAM 63.1 338.8 96 622 +1993 8 3 6 14 TONY 18.9 148.4 72 722 +1958 12 25 18 1 WILLIAM 53.6 277.6 54 354 +1953 5 13 6 5 TONY 44.3 145.5 68 301 +1957 11 11 0 10 RAFAEL 58.6 288.4 25 123 +1962 11 1 6 2 MICHAEL 22.9 122.3 51 80 +1954 4 9 18 2 KIRK 61.9 2.3 56 685 +1974 2 3 6 9 ALBERTO 69.0 300.5 57 106 +1988 8 1 0 28 SANDY 58.4 327.3 116 216 +1981 4 16 18 23 VALERIE 66.9 215.2 69 399 +1978 11 27 12 15 FLORENCE 64.7 43.6 149 753 +1982 5 9 0 19 GORDON 21.4 177.3 117 65 +1950 3 7 0 2 SANDY 64.7 246.5 153 705 +1968 3 5 6 16 WILLIAM 24.3 232.3 134 842 +2001 1 20 6 28 VALERIE 37.0 0.1 28 228 +1996 10 28 0 28 ERNESTO 32.4 38.8 101 755 +1983 8 21 6 3 PATTY 54.7 185.9 89 888 +1956 2 18 6 3 RAFAEL 33.6 179.1 14 306 +2004 3 22 12 21 ALBERTO 55.5 12.9 101 882 +1970 6 24 18 20 HELENE 60.8 186.1 103 808 +2001 10 10 12 15 ERNESTO 60.9 180.4 65 628 +1960 6 6 18 20 RAFAEL 28.6 208.8 117 112 +1999 2 3 18 27 PATTY 35.4 112.6 162 182 +1993 4 4 18 13 MICHAEL 11.4 192.2 19 264 +1990 12 11 6 9 NADINE 60.1 215.2 101 315 +1966 10 22 12 27 BERYL 13.4 262.5 114 852 +1994 5 24 0 7 JOYCE 8.3 249.2 58 377 +1992 11 23 12 19 ISAAC 19.6 76.9 118 666 +1951 1 12 18 21 GORDON 32.3 188.7 145 170 +1996 5 18 12 15 HELENE 55.5 94.9 55 566 +1973 1 19 6 28 CHRIS 60.5 185.8 109 510 +1962 11 15 0 14 ERNESTO 30.5 34.9 90 836 +1970 12 16 12 14 GORDON 51.8 161.9 118 828 +1996 8 12 6 4 WILLIAM 55.4 165.3 126 132 +1985 10 20 6 6 LESLIE 34.9 283.9 98 436 +1963 2 7 0 20 CHRIS 13.6 27.8 68 36 +1987 1 23 18 15 HELENE 7.8 318.5 77 271 +1984 9 7 6 26 FLORENCE 19.9 226.8 136 39 +1955 9 4 12 5 RAFAEL 20.8 92.5 54 106 +1966 9 17 18 4 PATTY 54.8 81.2 75 277 +1957 5 6 18 21 ISAAC 25.0 161.8 139 137 +1952 6 11 12 6 VALERIE 64.4 302.2 106 897 +2004 10 19 18 10 JOYCE 29.1 250.7 49 342 +1994 8 4 0 6 RAFAEL 49.3 41.4 60 424 +2001 12 8 18 5 JOYCE 63.8 357.1 36 796 +1974 1 18 12 26 RAFAEL 69.7 13.4 58 427 +1999 2 19 0 22 NADINE 9.5 207.0 161 459 +1962 11 4 6 22 HELENE 10.7 141.9 42 108 +1968 6 25 18 1 LESLIE 31.9 98.1 163 371 +1990 12 6 0 1 RAFAEL 28.6 148.6 28 831 +2003 7 22 6 18 PATTY 7.3 307.9 78 431 +1974 5 6 6 5 DEBBY 48.3 241.7 89 787 +1965 7 27 18 26 PATTY 34.5 186.7 121 865 +1997 4 5 6 15 RAFAEL 13.6 14.2 85 875 +1976 3 21 6 8 RAFAEL 18.2 78.7 121 110 +1968 3 11 6 19 BERYL 61.2 289.2 70 465 +1994 6 3 0 4 GORDON 21.2 214.9 31 153 +1963 1 19 12 24 ISAAC 40.9 199.4 17 645 +1966 4 6 6 15 WILLIAM 65.7 174.5 72 807 +1953 8 22 12 27 RAFAEL 55.0 244.8 45 603 +1978 4 20 12 11 ISAAC 30.3 75.3 116 598 +1957 8 7 0 4 HELENE 44.4 169.4 50 699 +1996 9 9 0 27 GORDON 61.4 198.2 83 520 +1953 12 13 12 26 GORDON 10.2 272.2 94 891 +1986 1 27 6 14 WILLIAM 38.8 1.0 76 746 +1965 2 8 12 5 DEBBY 8.2 184.6 134 823 +1982 5 5 6 19 VALERIE 66.9 69.3 122 362 +1988 5 13 12 13 LESLIE 48.9 189.9 70 459 +1950 5 23 0 12 JOYCE 17.3 97.1 13 453 +1990 2 1 6 25 GORDON 27.2 57.3 125 776 +1972 7 9 6 18 TONY 30.3 344.6 84 370 +1998 3 7 0 10 GORDON 32.9 142.3 89 441 +1975 12 9 6 24 OSCAR 47.6 51.5 90 221 +1986 12 9 6 27 KIRK 25.2 30.3 78 540 +1957 11 14 6 13 VALERIE 55.0 355.3 118 422 +1973 1 8 18 10 HELENE 48.4 353.6 83 76 +1966 10 25 18 15 RAFAEL 64.6 183.6 126 503 +1985 3 22 0 9 RAFAEL 19.7 124.0 120 869 +1983 11 2 12 28 BERYL 23.3 352.6 122 298 +1990 2 2 6 27 FLORENCE 10.3 345.0 84 167 +1985 7 4 18 18 NADINE 43.4 70.9 76 727 +1990 10 19 6 12 KIRK 17.4 128.1 115 324 +1989 5 16 12 28 MICHAEL 53.9 287.7 114 826 +1951 3 25 12 18 LESLIE 47.3 343.1 65 344 +1951 9 3 18 6 TONY 46.3 138.3 92 653 +1992 6 24 0 19 JOYCE 23.1 37.6 65 128 +1998 1 28 18 17 WILLIAM 41.6 135.3 33 856 +1979 6 18 12 17 MICHAEL 24.1 187.7 17 531 +1990 10 8 0 13 BERYL 60.9 75.7 153 695 +1995 9 14 6 3 NADINE 29.3 347.6 151 615 +1996 6 3 0 25 SANDY 9.0 110.0 82 839 +1958 10 6 0 10 SANDY 13.1 234.4 44 373 +1953 4 4 6 7 OSCAR 46.0 185.5 149 6 +1961 5 28 0 23 CHRIS 23.3 329.7 95 586 +1955 4 27 0 28 DEBBY 59.3 114.9 157 632 +1966 1 18 18 1 ERNESTO 52.4 262.4 129 740 +1979 1 21 6 25 CHRIS 26.7 348.8 46 210 +1991 5 25 18 26 NADINE 60.4 298.8 12 352 +1962 3 14 0 15 LESLIE 53.2 274.1 107 418 +1957 9 1 12 15 RAFAEL 66.4 236.2 140 459 +1956 2 7 0 26 VALERIE 35.8 70.3 153 88 +1984 12 8 6 17 BERYL 57.6 279.1 132 617 +1955 8 13 0 20 VALERIE 11.0 169.5 104 620 +1963 12 4 18 5 WILLIAM 15.8 111.3 56 405 +1978 4 6 0 7 MICHAEL 25.9 127.8 117 598 +1970 8 11 12 26 FLORENCE 69.6 119.7 90 753 +2002 5 22 6 4 WILLIAM 19.3 285.4 31 75 +1951 11 4 18 9 DEBBY 41.0 205.8 53 108 +1962 5 23 12 3 VALERIE 39.6 103.9 21 618 +1983 6 28 18 2 RAFAEL 58.7 272.3 126 82 +1980 10 23 0 18 ISAAC 38.9 78.1 15 854 +1997 8 17 6 25 OSCAR 34.8 175.5 116 20 +1991 12 26 6 22 ALBERTO 33.0 65.1 35 820 +2000 1 19 12 11 HELENE 34.9 119.7 25 185 +1996 1 28 12 4 ALBERTO 10.3 202.1 47 729 +1990 3 21 6 12 GORDON 25.8 277.0 113 530 +1966 4 11 12 21 ALBERTO 8.2 268.7 56 827 +1958 5 17 0 18 LESLIE 68.9 233.3 26 40 +1973 2 22 12 25 HELENE 55.2 322.7 40 200 +2003 12 4 12 12 WILLIAM 31.0 59.7 33 174 +1964 5 6 12 27 MICHAEL 32.8 44.6 134 262 +1985 4 28 0 3 DEBBY 26.6 190.9 71 165 +1993 5 17 6 19 PATTY 32.6 269.5 33 10 +1980 5 23 18 25 VALERIE 14.1 74.3 159 254 +1968 6 3 0 26 KIRK 8.5 312.6 160 240 +1978 10 13 0 22 FLORENCE 63.5 119.1 29 521 +1965 3 15 6 23 GORDON 56.9 52.4 120 313 +1954 3 19 6 17 RAFAEL 31.8 193.5 146 486 +1957 7 13 6 16 ALBERTO 55.9 193.7 16 37 +1993 2 27 0 12 RAFAEL 22.2 305.9 124 133 +1956 3 27 12 1 ERNESTO 49.0 335.3 54 792 +1959 12 5 12 1 JOYCE 65.3 7.0 140 151 +1965 10 11 12 22 CHRIS 66.9 211.3 144 298 +1959 5 27 6 14 BERYL 40.3 122.5 96 378 +1998 6 15 0 3 GORDON 40.4 76.6 67 43 +1957 1 18 12 15 TONY 51.1 132.3 128 201 +1964 9 18 0 2 ERNESTO 18.4 269.4 79 74 +1963 10 6 0 16 HELENE 46.8 48.7 98 668 +1978 4 3 12 6 FLORENCE 32.9 189.8 71 303 +1967 6 9 12 19 GORDON 35.5 82.0 124 674 +1974 9 19 12 26 ISAAC 42.7 155.2 154 137 +2002 1 25 0 18 ALBERTO 29.4 340.9 108 874 +1982 8 15 0 5 SANDY 23.7 193.9 88 41 +1963 1 13 0 26 HELENE 17.2 313.5 148 266 +1990 8 15 6 15 GORDON 35.5 162.7 39 256 +1978 4 25 0 24 LESLIE 61.5 195.7 73 812 +1986 3 1 0 17 NADINE 42.5 37.5 123 166 +1952 2 11 12 12 CHRIS 19.5 285.1 27 701 +1990 7 10 0 20 FLORENCE 61.2 153.7 36 777 +1994 9 22 6 10 ALBERTO 29.2 36.3 106 455 +1982 1 8 6 8 OSCAR 10.6 193.8 98 561 +1975 7 12 18 16 RAFAEL 43.6 31.1 74 835 +1997 11 19 6 13 LESLIE 15.3 342.8 115 503 +1986 9 24 0 5 ERNESTO 40.3 137.0 65 302 +1972 3 28 12 17 FLORENCE 25.7 348.0 52 181 +1966 3 6 0 2 WILLIAM 50.8 168.9 141 210 +1977 2 10 6 14 SANDY 28.8 28.0 64 642 +1996 7 12 12 3 LESLIE 35.5 159.0 116 314 +1972 6 27 6 8 ISAAC 17.9 233.2 23 176 +1974 8 11 6 7 HELENE 15.5 226.6 158 590 +1998 3 9 6 11 TONY 51.6 54.0 27 447 +1986 8 14 12 5 MICHAEL 69.8 33.9 94 703 +1961 10 4 18 5 SANDY 67.6 146.8 76 774 +1972 3 5 0 8 HELENE 25.8 332.3 140 578 +1958 9 7 18 13 ERNESTO 55.5 268.8 150 660 +1987 10 10 0 16 ALBERTO 31.0 30.8 135 349 +1971 1 25 0 26 JOYCE 25.3 204.8 64 646 +1992 3 23 0 2 CHRIS 28.5 336.2 144 689 +1986 2 3 0 5 RAFAEL 13.3 59.0 65 509 +1998 5 2 12 13 OSCAR 23.0 241.2 20 628 +1970 1 22 6 15 MICHAEL 62.5 188.4 120 400 +1994 6 23 18 8 SANDY 64.9 290.1 154 868 +1995 7 25 6 5 BERYL 24.9 155.3 38 409 +1981 1 2 12 18 PATTY 65.9 129.6 96 278 +1985 10 11 12 28 KIRK 36.0 85.7 68 254 +1970 8 19 12 22 OSCAR 43.7 152.1 16 513 +1961 2 24 6 11 NADINE 29.9 11.5 111 496 +1953 11 14 18 10 RAFAEL 62.4 262.4 140 348 +1963 2 20 12 7 SANDY 56.5 157.0 155 513 +1962 7 26 0 1 ALBERTO 24.6 144.5 154 823 +1987 6 28 0 13 HELENE 67.8 139.3 50 491 +1977 6 1 0 1 NADINE 37.7 86.5 53 116 +1989 2 22 6 12 ISAAC 31.3 85.3 46 884 +1970 11 24 12 23 CHRIS 39.2 14.5 110 658 +1976 6 23 18 24 OSCAR 21.4 137.7 125 578 +2004 10 13 12 18 SANDY 42.7 292.0 136 279 +1993 10 8 0 7 BERYL 42.2 300.1 122 699 +2001 10 27 6 27 FLORENCE 55.6 113.8 43 200 +1961 3 14 12 4 KIRK 16.5 320.8 80 344 +1981 9 27 18 25 WILLIAM 45.3 239.5 26 511 +2004 1 21 12 9 DEBBY 70.0 53.3 92 181 +1997 3 15 12 8 LESLIE 20.8 258.1 104 776 +1956 2 28 12 27 JOYCE 25.1 12.2 40 265 +1979 6 20 12 9 OSCAR 64.4 197.7 110 66 +1980 3 5 12 1 JOYCE 55.6 291.3 95 401 +1954 10 3 12 20 WILLIAM 18.7 206.4 104 431 +1950 7 25 12 25 ISAAC 17.0 258.8 127 374 +1956 3 20 18 17 LESLIE 44.3 27.4 87 716 +1962 5 13 18 16 LESLIE 17.6 182.5 45 244 +1951 7 7 18 16 FLORENCE 27.8 205.7 65 25 +1968 11 21 6 18 ERNESTO 47.8 235.4 61 297 +1976 7 1 0 1 OSCAR 11.1 157.5 136 382 +2004 8 26 18 17 PATTY 32.1 86.1 62 521 +1991 8 12 18 10 WILLIAM 12.2 178.8 136 602 +1967 7 1 6 3 ALBERTO 57.0 231.2 53 165 +1964 12 2 18 21 KIRK 55.2 65.7 57 32 +1955 1 6 6 17 NADINE 16.5 89.1 161 275 +1979 11 20 18 20 MICHAEL 15.8 119.7 86 581 +1968 3 12 0 11 MICHAEL 61.3 188.3 92 776 +1969 4 19 6 9 GORDON 42.8 206.3 126 591 +1977 3 22 12 17 KIRK 24.6 93.0 27 287 +1965 12 10 12 17 KIRK 45.6 104.0 164 544 +1971 6 12 18 5 WILLIAM 26.1 67.3 88 796 +1962 5 7 12 28 KIRK 7.1 87.2 158 436 +1966 8 5 6 9 BERYL 46.5 111.3 69 260 +1992 5 2 6 16 GORDON 18.7 47.9 103 368 +1996 10 27 18 2 DEBBY 10.7 235.5 91 849 +1994 5 2 6 13 ISAAC 68.4 72.1 75 775 +1952 5 25 6 16 JOYCE 26.1 259.9 87 458 +1961 5 21 18 13 DEBBY 11.8 316.7 129 411 +2001 8 14 6 27 LESLIE 42.1 338.3 120 759 +2004 10 26 12 14 BERYL 11.5 299.4 105 195 +1999 4 26 12 9 WILLIAM 56.8 93.8 82 889 +1991 12 4 12 21 KIRK 32.6 168.0 30 1 +1995 1 6 6 26 ALBERTO 45.5 214.6 25 255 +1954 5 1 0 15 ERNESTO 29.6 152.5 139 78 +1982 4 12 12 18 MICHAEL 16.5 1.9 159 388 +1996 9 2 18 7 LESLIE 26.2 150.3 117 730 +1961 9 7 18 13 PATTY 37.9 99.3 56 61 +1958 10 1 12 7 TONY 67.6 50.3 30 602 +1952 4 18 6 3 FLORENCE 49.3 42.5 132 80 +1985 5 16 12 18 MICHAEL 57.8 305.1 158 635 +1977 1 18 18 9 WILLIAM 24.9 128.6 88 316 +1954 6 25 0 16 BERYL 48.0 237.3 103 488 +1961 12 21 6 15 BERYL 21.3 100.0 109 547 +1995 11 21 18 11 CHRIS 12.3 44.1 103 335 +1997 4 10 12 16 RAFAEL 44.5 170.1 47 194 +1990 9 24 0 15 MICHAEL 39.8 292.5 34 520 +1972 6 27 18 1 FLORENCE 56.5 118.2 116 96 +1965 8 16 12 7 FLORENCE 22.7 292.2 11 194 +1950 5 6 12 18 JOYCE 43.5 199.2 43 232 +1971 2 4 18 6 JOYCE 8.3 323.8 42 734 +1983 6 3 18 14 WILLIAM 18.8 337.8 65 380 +1998 12 17 0 21 GORDON 8.1 340.6 24 354 +1952 2 6 18 4 ISAAC 58.4 277.8 91 865 +1998 3 3 0 18 BERYL 19.9 283.4 49 182 +1950 4 26 0 27 PATTY 28.7 2.6 141 627 +1996 7 22 0 26 SANDY 12.8 49.2 109 490 +1979 4 5 0 17 MICHAEL 70.0 339.6 46 460 +1986 2 11 12 17 HELENE 29.2 269.9 11 190 +1997 4 1 12 28 HELENE 16.4 39.8 78 695 +2003 1 7 0 20 ERNESTO 16.9 207.5 62 156 +1967 9 2 12 24 KIRK 11.5 41.8 110 578 +1983 9 21 18 21 CHRIS 49.6 72.1 127 885 +1963 1 19 12 28 KIRK 54.9 170.7 85 341 +1963 12 27 12 21 DEBBY 54.4 278.4 122 878 +1963 8 11 12 25 BERYL 26.2 70.6 10 365 +2000 9 7 12 9 FLORENCE 14.5 28.8 81 453 +1955 8 27 12 5 CHRIS 52.9 213.7 117 45 +1982 4 17 18 14 ALBERTO 68.4 62.8 56 786 +1970 8 10 0 18 ISAAC 60.5 160.5 138 247 +1996 8 16 18 11 JOYCE 68.3 53.1 26 191 +1995 7 1 6 1 ISAAC 16.5 323.0 132 144 +1979 2 10 12 9 LESLIE 41.8 51.9 62 545 +1963 6 13 6 23 LESLIE 53.0 101.9 54 308 +1959 2 17 18 22 PATTY 62.9 319.7 134 889 +1969 10 3 0 7 WILLIAM 7.0 334.6 103 778 +1980 4 20 12 4 ISAAC 65.7 184.1 104 639 +1990 4 10 18 24 DEBBY 11.1 280.9 76 197 +1983 2 12 12 8 BERYL 48.6 75.1 10 412 +1996 4 21 12 22 SANDY 34.9 257.7 89 162 +1977 1 7 12 24 HELENE 26.0 210.6 32 643 +1980 4 2 6 3 ISAAC 47.3 252.7 135 322 +2000 10 27 6 17 WILLIAM 31.0 193.8 52 308 +1986 9 22 0 27 ISAAC 39.0 191.8 74 869 +1972 2 16 12 16 NADINE 55.0 299.6 149 257 +1993 2 17 6 16 MICHAEL 37.0 288.5 151 245 +1981 1 12 18 13 ERNESTO 37.3 72.9 74 171 +1959 6 5 6 5 DEBBY 59.7 323.3 86 123 +1954 12 28 18 4 FLORENCE 49.7 47.4 55 756 +1970 12 15 12 1 LESLIE 59.2 89.8 98 785 +1993 8 18 18 2 KIRK 48.9 212.7 64 165 +1964 6 13 0 25 BERYL 23.0 156.3 32 662 +1952 12 3 18 25 JOYCE 63.8 326.1 68 307 +1952 10 10 6 23 KIRK 11.7 9.8 128 504 +1966 7 20 18 10 NADINE 36.9 1.6 129 468 +1958 7 17 0 24 PATTY 40.8 322.6 67 434 +1997 7 13 18 22 OSCAR 7.2 39.8 107 762 +1998 3 26 12 22 FLORENCE 60.2 321.8 84 560 +1981 11 24 6 12 TONY 61.4 116.0 107 370 +1996 10 21 0 12 ERNESTO 39.8 123.4 56 300 +1961 2 12 6 4 GORDON 65.8 209.5 147 132 +1991 1 8 6 9 WILLIAM 47.8 117.0 44 617 +1966 10 8 6 26 CHRIS 15.5 290.2 10 383 +1969 4 25 0 20 TONY 51.9 160.9 114 306 +1987 12 9 0 4 GORDON 8.1 32.2 121 321 +1975 12 18 18 1 RAFAEL 59.7 220.6 46 529 +1998 2 17 12 19 WILLIAM 9.0 302.6 131 291 +1961 3 25 0 20 VALERIE 8.9 178.0 23 734 +1962 6 27 18 21 HELENE 19.6 158.4 77 874 +1952 1 27 12 22 NADINE 44.7 223.9 150 669 +1983 7 1 12 17 WILLIAM 46.2 204.3 153 76 +1984 7 6 18 1 BERYL 52.5 121.5 67 794 +1976 10 4 0 20 CHRIS 53.9 179.0 112 554 +1966 8 13 0 28 CHRIS 48.7 270.8 90 484 +1974 7 7 0 11 SANDY 57.4 182.7 123 883 +1992 4 24 18 17 CHRIS 11.1 113.1 61 688 +1958 6 15 0 20 WILLIAM 67.3 124.4 74 134 +1976 5 20 12 10 PATTY 39.5 152.2 153 448 +2000 3 26 0 5 WILLIAM 13.1 218.6 10 681 +1965 7 24 12 14 ISAAC 7.4 209.7 128 746 +2001 12 1 6 9 GORDON 10.1 72.8 74 360 +1958 1 14 12 24 MICHAEL 34.0 328.8 62 697 +1970 8 18 12 16 WILLIAM 48.4 125.8 148 781 +2002 4 1 18 2 VALERIE 69.0 30.1 44 20 +1955 5 2 12 4 OSCAR 23.7 349.4 43 223 +1967 1 4 6 26 ISAAC 19.6 118.8 133 325 +1992 12 16 18 8 BERYL 28.4 239.6 58 474 +1985 9 12 0 6 VALERIE 38.3 354.5 94 899 +1968 9 1 18 8 WILLIAM 11.9 302.3 15 762 +1988 10 5 12 3 GORDON 24.4 151.1 152 222 +1953 10 28 18 1 RAFAEL 15.1 8.1 112 509 +1965 1 25 6 17 HELENE 32.4 123.4 116 753 +1965 10 5 0 6 FLORENCE 41.7 220.4 133 248 +1989 6 24 12 17 LESLIE 59.6 266.8 38 166 +2000 11 22 6 6 DEBBY 32.8 6.2 160 525 +2002 5 4 6 28 VALERIE 50.2 302.4 77 654 +1968 9 6 6 13 HELENE 53.7 321.7 156 576 +1950 6 18 0 12 RAFAEL 62.5 357.4 15 502 +1986 11 14 0 4 ISAAC 68.3 315.3 35 75 +1979 8 13 18 22 GORDON 31.2 120.3 143 259 +1991 11 1 0 15 ALBERTO 35.7 134.4 162 739 +1986 9 18 6 17 SANDY 58.3 278.8 109 209 +1985 5 27 0 18 ERNESTO 15.3 41.6 87 51 +1953 9 1 6 25 KIRK 68.6 201.7 108 721 +2003 2 18 6 3 RAFAEL 56.3 95.1 110 791 +1966 6 26 0 18 ERNESTO 36.2 105.9 146 128 +1979 12 8 12 17 ALBERTO 32.6 194.5 155 694 +1961 6 25 18 23 SANDY 48.3 92.3 60 313 +1965 7 24 12 15 ALBERTO 38.1 59.7 42 789 +1958 5 15 6 17 ERNESTO 34.3 255.6 151 849 +1993 5 11 12 21 KIRK 62.5 356.9 162 400 +1962 11 24 6 4 TONY 17.7 352.5 73 557 +1951 1 4 6 5 BERYL 55.6 64.1 50 38 +2004 6 1 0 12 FLORENCE 53.1 113.3 78 359 +1961 5 8 6 14 WILLIAM 48.0 202.6 69 681 +1974 8 13 6 21 SANDY 11.0 166.2 93 622 +1953 7 19 0 11 TONY 36.5 294.0 41 524 +1993 3 17 12 20 TONY 24.3 170.5 66 389 +1990 11 24 18 19 BERYL 35.9 43.7 42 30 +2004 6 18 12 16 TONY 26.9 341.2 103 602 +1970 4 14 0 12 KIRK 48.0 173.5 49 665 +1984 2 24 6 17 TONY 15.8 39.4 68 875 +1953 10 13 0 9 WILLIAM 17.2 274.5 66 627 +1990 3 12 18 27 DEBBY 17.3 231.4 13 172 +1964 5 12 6 13 HELENE 51.2 284.2 50 782 +1978 8 11 6 24 VALERIE 15.2 275.3 123 775 +1980 7 12 6 26 ERNESTO 35.2 94.7 64 612 +1954 5 6 0 5 DEBBY 56.3 354.2 37 125 +1951 3 1 6 26 VALERIE 21.2 20.6 13 405 +1963 6 1 6 18 LESLIE 14.1 108.5 63 281 +1951 8 19 0 9 VALERIE 57.4 341.9 74 317 +1956 8 5 18 1 ALBERTO 28.9 147.4 128 642 +1981 5 3 12 1 RAFAEL 51.3 45.5 92 449 +1990 8 15 12 9 FLORENCE 52.0 34.9 135 472 +1956 7 10 0 4 NADINE 49.0 36.5 35 148 +1953 7 27 18 28 WILLIAM 36.7 221.0 55 587 +1978 4 23 6 23 MICHAEL 56.8 29.8 154 262 +1957 8 12 18 5 JOYCE 22.6 201.0 104 532 +1981 4 15 12 3 RAFAEL 49.7 339.4 53 319 +2001 10 9 0 17 RAFAEL 26.7 134.7 21 424 +1976 4 4 6 26 MICHAEL 60.4 326.9 144 458 +1962 2 2 12 22 TONY 62.0 301.1 150 835 +1986 2 8 12 12 WILLIAM 33.2 17.4 121 650 +1966 6 28 18 25 FLORENCE 46.0 329.8 25 708 +1990 1 4 18 2 HELENE 56.3 100.3 89 221 +2000 2 2 6 16 FLORENCE 19.9 315.1 25 587 +1965 11 22 18 10 TONY 9.3 61.1 75 874 +1999 1 15 18 27 NADINE 61.0 29.3 49 32 +1988 4 11 12 18 RAFAEL 26.4 127.9 148 739 +1969 10 2 0 5 ISAAC 35.5 87.6 12 189 +1996 5 17 6 15 WILLIAM 43.2 356.6 140 476 +1994 1 7 0 24 FLORENCE 59.0 227.1 136 713 +1999 5 11 6 6 RAFAEL 48.1 315.2 156 433 +1971 5 7 6 5 NADINE 34.3 229.2 10 508 +1977 2 11 18 22 ERNESTO 62.5 259.9 14 866 +1998 1 20 6 12 MICHAEL 55.4 293.6 82 67 +1951 9 2 12 4 WILLIAM 40.3 355.6 15 851 +1972 11 16 18 18 RAFAEL 15.9 225.4 26 340 +1978 11 19 12 15 ALBERTO 8.6 355.0 18 128 +1956 6 7 0 3 MICHAEL 8.7 95.2 92 738 +1978 1 27 18 14 KIRK 21.7 283.1 95 415 +1969 8 24 6 10 VALERIE 17.7 154.2 43 492 +1962 4 14 0 10 ERNESTO 39.0 167.7 66 338 +1996 4 23 0 2 CHRIS 53.9 285.8 95 188 +1997 2 17 6 10 WILLIAM 31.6 35.5 120 484 +1977 3 21 0 8 VALERIE 33.2 279.0 107 727 +1978 10 2 18 5 VALERIE 18.7 145.7 93 642 +1959 8 11 0 15 VALERIE 59.3 248.7 16 667 +1954 1 14 12 18 BERYL 61.5 329.4 45 505 +1998 10 13 18 14 TONY 10.6 202.2 116 770 +1977 5 1 12 10 HELENE 11.2 258.1 57 567 +1960 9 18 18 4 KIRK 55.8 139.9 23 208 +1957 3 24 18 15 VALERIE 37.1 174.8 15 75 +1988 8 1 12 15 DEBBY 33.0 259.8 121 634 +1989 5 5 18 10 PATTY 42.2 271.1 111 449 +1961 9 22 18 19 JOYCE 48.0 85.8 71 847 +1959 2 17 0 8 RAFAEL 30.4 46.5 133 158 +1976 4 15 18 3 GORDON 63.6 169.4 96 795 +1977 1 10 12 27 ERNESTO 53.6 353.7 116 613 +1952 4 18 12 27 FLORENCE 52.0 222.3 36 66 +1953 7 27 0 21 ERNESTO 21.4 11.2 48 15 +1970 1 28 18 7 DEBBY 66.9 234.1 162 156 +1990 10 23 6 28 RAFAEL 45.4 224.1 83 230 +1960 8 28 18 1 BERYL 65.4 21.1 159 365 +1988 5 25 18 10 GORDON 51.2 85.6 57 151 +1971 9 18 12 19 BERYL 23.9 201.6 131 855 +1969 8 22 12 18 CHRIS 16.3 28.8 85 7 +1969 9 19 6 14 ALBERTO 37.0 75.4 127 794 +1968 10 8 6 23 CHRIS 18.8 123.9 141 824 +1971 11 4 6 22 BERYL 61.4 55.6 98 850 +1986 9 1 12 10 OSCAR 59.3 16.5 15 752 +1981 3 10 6 1 BERYL 34.5 222.4 20 644 +2000 11 20 12 10 TONY 27.2 127.3 163 824 +2002 3 1 6 6 SANDY 30.7 193.7 78 235 +1961 8 23 12 9 JOYCE 14.4 213.8 132 851 +1957 6 16 18 23 TONY 16.5 165.3 80 744 +1979 8 16 18 19 FLORENCE 62.3 105.9 34 867 +1959 3 14 12 12 WILLIAM 25.5 228.1 53 780 +1996 2 26 0 10 DEBBY 57.6 189.8 125 761 +1977 8 4 18 1 ERNESTO 53.5 109.1 137 108 +1957 9 8 18 17 WILLIAM 48.1 357.5 122 856 +1971 10 26 18 13 GORDON 17.7 278.1 53 866 +1992 8 12 6 12 NADINE 25.4 302.2 58 30 +2001 3 8 12 24 TONY 39.7 345.9 133 310 +1966 9 11 0 2 CHRIS 58.6 309.3 150 736 +1954 9 17 18 23 BERYL 10.4 26.4 63 493 +1979 6 18 18 1 JOYCE 60.7 145.1 138 446 +1995 10 8 18 16 JOYCE 54.5 126.9 92 780 +1971 5 26 12 18 ISAAC 51.3 335.3 68 518 +1979 11 13 18 23 PATTY 43.7 86.7 92 171 +1964 4 11 12 9 KIRK 38.5 171.6 144 554 +1995 10 13 12 27 RAFAEL 16.3 80.7 29 414 +1999 11 27 18 16 DEBBY 36.2 23.2 14 673 +1963 8 6 18 24 GORDON 67.9 3.8 161 207 +1977 7 1 0 3 ISAAC 31.4 274.6 87 713 +1962 1 13 0 2 KIRK 55.5 135.7 58 408 +1967 12 21 0 5 ISAAC 68.5 322.8 99 15 +1973 3 14 6 28 JOYCE 62.4 66.4 58 263 +1996 2 7 12 13 BERYL 66.4 159.2 88 448 +1957 4 21 12 7 ALBERTO 29.9 12.1 157 210 +1967 7 5 18 15 PATTY 51.3 342.6 113 453 +1967 4 17 6 19 OSCAR 27.5 295.6 106 77 +1988 8 12 18 14 DEBBY 23.0 181.7 161 887 +1958 4 27 12 21 OSCAR 32.8 51.1 59 265 +2004 12 26 12 21 JOYCE 29.9 34.5 69 227 +1966 11 6 0 21 BERYL 29.8 308.1 32 744 +2004 12 11 0 11 CHRIS 12.8 178.8 118 32 +1999 1 23 6 1 HELENE 52.1 321.4 134 590 +1972 7 13 0 13 OSCAR 40.4 65.5 120 525 +1963 9 28 18 16 ERNESTO 15.1 344.8 78 284 +1967 2 8 12 8 FLORENCE 27.4 43.1 155 607 +1973 4 6 18 26 DEBBY 46.2 237.5 98 206 +1976 8 2 0 5 NADINE 37.4 248.9 37 185 +2004 8 4 6 12 BERYL 42.8 221.8 75 82 +1987 7 28 18 3 GORDON 14.6 36.0 109 34 +1965 1 12 12 1 JOYCE 36.9 323.3 130 836 +1989 4 20 6 17 PATTY 59.1 209.5 153 686 +1965 7 25 18 18 NADINE 65.3 15.0 159 475 +1991 11 2 18 2 GORDON 49.9 20.6 62 331 +1978 12 21 12 27 DEBBY 67.0 11.7 23 540 +1981 4 7 0 8 PATTY 35.0 164.5 47 765 +1983 8 7 12 2 SANDY 65.8 52.3 21 734 +1953 8 3 6 21 FLORENCE 32.9 95.0 13 543 +2004 5 22 6 26 KIRK 9.3 221.1 49 750 +1988 6 8 12 11 MICHAEL 25.3 195.1 142 758 +1980 4 16 18 27 RAFAEL 59.6 156.1 157 681 +1983 3 15 12 24 CHRIS 62.6 333.4 47 249 +1996 1 27 18 16 ERNESTO 36.6 78.9 112 461 +1997 10 5 6 14 OSCAR 29.5 35.9 103 134 +2004 7 8 12 3 PATTY 17.9 327.0 147 47 +1958 10 27 18 15 ALBERTO 34.6 148.4 49 527 +1957 3 15 6 28 HELENE 61.0 344.8 100 309 +1957 4 2 12 18 LESLIE 33.0 291.4 116 209 +1968 11 8 6 28 VALERIE 22.1 337.1 146 432 +1987 11 19 12 23 NADINE 18.3 273.9 39 410 +2004 4 9 6 23 ISAAC 9.0 286.5 28 75 +1992 1 25 6 9 LESLIE 65.9 275.4 64 703 +1978 1 28 18 22 TONY 44.7 201.9 50 500 +1974 7 11 0 4 SANDY 14.9 122.7 135 274 +1986 8 10 12 15 ERNESTO 34.1 45.4 38 152 +1988 9 28 0 27 RAFAEL 49.6 67.2 62 189 +1984 6 10 12 25 OSCAR 52.3 1.7 137 332 +1995 7 21 0 20 JOYCE 45.1 221.9 78 14 +2004 12 9 6 2 CHRIS 11.1 162.9 48 729 +1997 8 12 18 6 OSCAR 31.5 123.0 71 792 +1950 3 11 6 15 SANDY 38.2 38.6 52 561 +1991 9 24 18 4 MICHAEL 11.8 348.4 120 3 +1991 8 21 0 23 WILLIAM 45.5 202.0 47 202 +1974 10 28 0 22 JOYCE 48.1 192.1 140 170 +1989 9 8 6 8 HELENE 57.1 182.7 131 512 +2004 5 21 18 24 ISAAC 64.3 146.8 36 471 +1975 11 16 6 24 DEBBY 34.9 309.6 152 810 +1978 2 2 18 23 SANDY 43.4 143.0 69 103 +1971 6 28 18 26 LESLIE 43.7 260.6 39 202 +1998 1 14 0 7 ERNESTO 50.0 4.8 110 712 +1993 10 22 18 9 FLORENCE 42.8 328.5 47 216 +2002 3 13 6 5 WILLIAM 69.3 153.1 126 75 +2002 12 7 0 28 ISAAC 17.6 1.8 70 317 +1977 6 18 12 20 VALERIE 33.2 253.3 59 175 +1987 3 13 0 15 ERNESTO 65.4 22.5 79 279 +2001 1 15 12 23 SANDY 31.8 47.2 41 40 +1975 2 15 0 16 DEBBY 23.0 49.3 141 97 +1997 2 14 6 19 WILLIAM 65.1 318.4 41 118 +1999 9 5 18 2 GORDON 15.2 175.7 55 756 +1991 7 28 18 19 JOYCE 8.3 65.7 80 521 +1950 9 26 18 3 TONY 50.1 141.3 138 880 +1989 1 23 12 28 JOYCE 55.0 250.5 17 562 +1975 4 17 12 26 TONY 30.3 46.5 77 574 +1960 5 20 12 3 GORDON 48.1 258.1 148 663 +1979 12 19 12 6 VALERIE 57.9 118.9 63 102 +1979 2 3 0 15 NADINE 18.8 255.8 45 543 +1999 2 3 18 2 TONY 39.9 81.6 47 652 +1986 6 21 12 11 PATTY 56.2 49.0 105 641 +1971 3 23 6 21 VALERIE 57.0 154.5 29 756 +1951 5 16 6 2 HELENE 61.9 257.0 90 552 +1985 11 24 18 26 TONY 27.2 134.9 103 840 +1985 11 28 18 6 KIRK 22.5 114.4 78 603 +1955 11 24 12 5 KIRK 56.8 179.3 152 352 +1977 12 18 18 19 WILLIAM 11.6 188.3 100 865 +1967 9 2 18 16 TONY 35.5 184.4 162 232 +1961 12 21 12 25 ERNESTO 49.3 291.9 156 355 +1997 12 15 12 28 ISAAC 49.3 28.5 74 627 +1996 2 6 18 12 KIRK 33.5 135.4 66 513 +1950 3 14 0 14 CHRIS 56.1 330.6 137 559 +1977 11 4 6 24 GORDON 41.1 30.7 113 391 +1988 6 9 0 10 GORDON 61.1 280.9 148 67 +1989 4 8 6 26 ALBERTO 64.9 353.3 80 634 +1996 8 9 12 25 BERYL 20.2 201.5 143 212 +1978 7 1 0 11 MICHAEL 38.6 104.9 18 609 +1975 1 16 12 9 ISAAC 49.6 157.4 50 525 +1979 11 2 6 9 LESLIE 25.0 348.6 81 94 +1958 7 10 12 5 MICHAEL 49.2 178.4 115 300 +1963 8 24 6 12 VALERIE 35.5 90.9 106 447 +1968 5 14 18 11 WILLIAM 21.3 292.8 116 70 +1988 3 23 18 10 ISAAC 15.0 62.0 48 391 +1985 7 18 6 27 SANDY 58.1 185.2 94 253 +1987 10 27 0 1 CHRIS 54.2 201.9 164 672 +1955 3 15 12 26 NADINE 11.1 149.8 21 249 +1988 3 7 6 5 DEBBY 55.6 120.6 106 20 +1954 2 4 6 19 VALERIE 39.7 280.1 110 481 +1957 12 23 0 15 KIRK 41.5 118.7 105 23 +1979 5 14 12 10 JOYCE 21.8 195.3 32 507 +1997 12 28 18 16 KIRK 35.0 170.6 63 126 +1965 2 3 6 18 NADINE 54.8 74.4 115 494 +1983 10 26 12 11 ERNESTO 62.3 295.3 56 12 +1975 10 13 12 12 MICHAEL 26.4 56.8 47 99 +1996 12 28 18 5 SANDY 16.2 27.8 76 373 +1965 11 28 18 23 ALBERTO 18.2 4.9 137 212 +1954 3 7 12 14 SANDY 38.5 312.3 19 708 +1972 10 15 12 1 WILLIAM 46.5 325.6 135 391 +1972 9 20 18 25 ALBERTO 31.4 196.5 125 73 +1951 2 11 12 20 KIRK 37.6 89.1 110 836 +1952 2 27 6 21 DEBBY 52.0 261.5 111 292 +1958 1 2 6 6 HELENE 35.4 208.3 136 45 +1991 1 20 12 18 ERNESTO 58.1 167.2 44 249 +1966 7 25 18 7 OSCAR 63.0 108.2 55 859 +2000 9 12 12 26 FLORENCE 11.7 238.8 16 37 +1973 2 3 6 11 KIRK 59.7 155.1 21 685 +1957 4 22 12 4 JOYCE 10.4 269.6 84 345 +1986 7 6 6 6 MICHAEL 51.8 336.5 55 548 +2003 9 2 6 7 GORDON 26.3 79.1 33 645 +1991 11 14 12 11 FLORENCE 51.6 131.1 67 398 +1977 9 20 18 18 MICHAEL 54.0 314.4 21 871 +1990 12 10 0 16 TONY 31.9 44.4 71 842 +1993 9 19 18 18 DEBBY 18.6 9.6 159 92 +1962 2 25 12 23 NADINE 37.2 5.7 10 574 +1963 4 13 0 3 HELENE 24.3 103.6 92 562 +1981 10 21 18 27 NADINE 12.8 216.5 54 566 +1990 4 9 18 18 BERYL 22.3 23.9 100 271 +1992 10 4 0 23 KIRK 49.6 73.0 26 787 +1998 6 24 6 21 WILLIAM 59.7 174.7 82 305 +1952 11 12 18 17 OSCAR 66.2 75.1 10 606 +1964 4 9 12 7 MICHAEL 20.0 279.0 115 563 +1974 10 25 6 22 HELENE 61.5 140.4 66 738 +1982 2 11 18 1 LESLIE 54.6 118.6 138 520 +1983 5 4 18 25 SANDY 7.8 133.4 158 530 +1974 10 10 0 24 RAFAEL 29.2 51.3 159 173 +1967 5 4 12 3 ISAAC 51.1 145.7 60 95 +1984 8 22 0 7 RAFAEL 36.3 36.4 103 635 +1962 5 19 6 10 KIRK 27.2 295.2 107 247 +1974 8 22 18 10 WILLIAM 50.3 211.2 70 419 +1984 3 9 0 23 HELENE 16.6 23.6 39 650 +1981 3 1 0 13 SANDY 24.8 260.7 150 681 +1992 12 9 18 12 ERNESTO 39.9 16.7 20 860 +1992 7 3 6 25 ISAAC 8.4 26.6 79 640 +1980 7 18 6 27 PATTY 41.4 191.3 111 641 +1973 8 17 0 23 MICHAEL 40.6 261.3 154 254 +1952 7 22 0 3 TONY 38.6 93.7 95 22 +1960 3 11 6 2 WILLIAM 48.4 173.4 37 51 +1987 1 6 18 8 NADINE 63.5 225.7 83 681 +1971 8 2 18 22 ERNESTO 51.5 356.2 44 101 +1958 6 13 18 13 ISAAC 38.0 97.9 161 684 +1982 2 12 0 9 FLORENCE 59.7 57.4 42 379 +1988 8 13 0 8 RAFAEL 49.6 129.5 19 233 +1988 4 3 18 25 HELENE 66.8 60.6 24 812 +1976 3 25 6 10 LESLIE 53.0 240.6 80 897 +1952 11 27 6 24 RAFAEL 58.1 252.8 73 130 +1956 12 4 0 26 WILLIAM 31.9 121.0 35 823 +1990 12 25 6 1 RAFAEL 37.7 25.6 118 503 +1968 10 13 6 7 TONY 7.2 350.4 36 218 +1964 8 16 0 21 ISAAC 28.3 230.6 29 30 +1963 9 16 6 13 ERNESTO 31.3 111.5 49 609 +1995 8 12 12 26 PATTY 50.5 189.4 98 137 +1957 9 22 0 25 FLORENCE 41.8 35.1 106 739 +1960 5 16 18 2 ERNESTO 41.8 259.9 61 36 +1967 7 21 12 3 SANDY 13.2 280.6 11 104 +2001 9 4 18 8 PATTY 54.3 282.0 137 737 +1965 8 25 0 12 FLORENCE 55.7 219.6 74 823 +1963 2 25 0 3 NADINE 35.7 284.5 81 569 +1961 9 26 12 6 MICHAEL 20.9 103.4 149 662 +1998 12 15 18 12 KIRK 61.5 122.5 53 28 +1996 2 8 0 22 ALBERTO 39.0 324.6 10 694 +1984 11 9 12 3 SANDY 56.9 126.7 87 497 +1991 8 18 6 8 ISAAC 29.7 175.8 26 205 +1990 11 2 12 9 BERYL 23.5 167.8 30 629 +2000 2 23 6 13 NADINE 22.7 182.3 22 813 +1967 4 23 12 13 JOYCE 41.8 210.6 68 557 +1952 9 25 0 13 TONY 63.1 130.5 64 287 +1990 1 28 6 10 CHRIS 12.8 288.6 132 480 +1957 5 23 0 18 DEBBY 49.1 342.8 18 211 +1983 12 4 0 12 ISAAC 55.3 355.9 118 166 +1969 8 14 18 5 MICHAEL 30.1 3.1 121 364 +1963 1 7 18 26 PATTY 45.0 34.9 54 315 +1959 8 27 12 27 VALERIE 48.2 7.2 52 221 +1982 8 25 0 18 VALERIE 22.6 82.2 144 838 +1992 8 26 0 9 TONY 31.3 75.1 105 795 +1953 1 17 0 27 ISAAC 40.2 7.7 141 469 +1966 6 12 12 17 JOYCE 57.3 327.8 93 739 +1992 9 17 0 6 CHRIS 54.5 125.8 114 111 +1973 8 28 18 10 CHRIS 18.7 212.2 55 148 +1959 11 6 12 25 OSCAR 14.7 133.9 104 831 +1957 12 9 18 20 CHRIS 10.5 128.0 139 113 +1976 6 1 0 1 DEBBY 41.6 185.0 32 8 +1973 3 20 6 5 WILLIAM 56.6 29.0 104 690 +1969 12 21 12 6 RAFAEL 51.3 323.8 105 784 +2004 4 28 12 14 MICHAEL 63.0 285.3 121 327 +1975 2 2 0 10 LESLIE 32.2 254.6 34 615 +1968 1 13 0 13 MICHAEL 61.9 167.0 91 534 +1997 7 4 0 13 GORDON 38.7 354.0 130 647 +1954 12 27 6 14 HELENE 26.5 188.1 52 156 +1982 7 28 6 14 FLORENCE 25.9 306.7 137 526 +1995 6 12 6 7 ALBERTO 44.3 45.5 161 32 +1988 5 11 12 2 HELENE 46.2 194.6 151 388 +1973 6 3 18 8 CHRIS 19.4 75.8 98 780 +1989 5 23 18 12 LESLIE 8.0 75.6 34 450 +1970 8 24 6 18 ERNESTO 56.4 267.4 144 193 +1955 12 20 6 1 PATTY 49.4 5.9 28 190 +1956 5 20 12 3 MICHAEL 36.7 205.0 160 743 +1995 5 19 6 9 MICHAEL 52.1 334.0 147 283 +1963 2 25 0 21 OSCAR 60.8 253.0 121 434 +1961 12 5 18 8 GORDON 68.1 321.3 93 811 +1975 12 8 0 2 MICHAEL 45.2 165.2 18 565 +1981 9 19 0 22 PATTY 14.7 31.4 132 608 +1987 10 28 6 3 BERYL 30.2 328.2 22 695 +1975 8 2 0 4 TONY 34.1 189.2 42 741 +1972 11 8 12 9 TONY 57.2 236.9 37 412 +1976 8 12 18 12 KIRK 9.7 104.1 18 450 +1953 8 10 18 4 PATTY 42.7 297.9 20 55 +1978 7 18 0 16 NADINE 65.9 127.0 114 423 +1953 4 2 6 4 MICHAEL 15.2 277.0 75 822 +1997 8 16 6 28 HELENE 45.6 208.1 52 192 +1980 6 1 12 26 OSCAR 31.9 111.6 18 495 +1985 2 7 0 19 LESLIE 18.8 111.2 160 260 +1995 3 6 0 21 HELENE 18.6 254.5 94 121 +1955 11 3 0 10 KIRK 25.2 31.6 135 512 +1955 5 9 0 14 GORDON 10.7 32.1 144 824 +1958 10 27 6 6 BERYL 12.3 44.9 105 571 +2004 3 14 12 5 WILLIAM 66.4 286.0 33 874 +1974 10 20 0 13 JOYCE 24.8 107.4 30 274 +1995 9 2 0 18 GORDON 8.1 102.6 158 347 +1962 6 16 6 9 PATTY 49.5 161.9 42 341 +1979 10 23 18 25 CHRIS 32.0 86.3 51 313 +1983 4 20 6 17 JOYCE 44.6 334.8 37 204 +1972 3 17 0 1 LESLIE 53.1 255.6 97 723 +1968 1 15 18 16 CHRIS 66.7 239.6 130 301 +1983 9 23 12 1 LESLIE 9.8 291.0 94 419 +1959 5 19 18 24 MICHAEL 30.2 163.4 74 680 +1983 11 8 0 3 MICHAEL 10.7 125.9 114 535 +1950 7 15 12 2 ALBERTO 34.9 71.3 21 652 +1978 5 3 6 14 KIRK 37.8 221.0 70 821 +1975 4 15 18 19 LESLIE 66.2 107.8 63 772 +1977 3 11 0 23 ALBERTO 53.1 23.8 16 316 +1952 1 6 6 26 ERNESTO 55.8 216.6 102 160 +1953 7 24 6 16 TONY 12.0 32.1 138 40 +1990 11 23 12 26 CHRIS 51.5 318.6 95 375 +1979 1 16 6 9 ERNESTO 43.4 167.1 29 668 +1985 3 2 18 7 ISAAC 49.4 307.6 31 574 +1967 6 11 6 10 OSCAR 31.8 23.6 137 172 +1959 8 15 0 28 WILLIAM 25.6 111.0 40 898 +1985 7 16 6 21 ALBERTO 45.0 68.5 17 265 +1955 11 7 0 19 LESLIE 61.4 44.0 121 682 +1963 10 23 12 7 HELENE 27.2 143.2 91 724 +1959 9 17 12 6 LESLIE 65.0 29.4 128 761 +1951 4 27 0 19 ERNESTO 63.7 242.0 108 79 +1964 3 19 0 3 SANDY 63.1 242.0 116 112 +2004 4 19 6 10 NADINE 52.8 261.4 158 27 +1999 10 28 6 22 SANDY 23.2 346.0 18 174 +1979 12 8 18 16 ALBERTO 37.6 244.8 55 524 +1995 11 16 0 24 OSCAR 10.5 72.0 48 616 +1962 3 23 6 6 ISAAC 37.2 65.7 153 847 +1950 6 5 0 8 GORDON 39.0 137.3 158 765 +1990 4 6 0 23 KIRK 20.0 176.6 143 743 +1956 9 14 12 12 KIRK 9.9 353.0 94 682 +1971 7 26 18 26 JOYCE 48.1 3.4 71 521 +1959 1 26 18 19 CHRIS 53.0 197.3 127 776 +1972 6 2 6 20 OSCAR 27.3 88.0 132 522 +1996 9 10 18 18 JOYCE 22.1 217.1 17 107 +1981 4 18 6 19 BERYL 40.7 215.0 26 866 +1960 5 28 18 18 ISAAC 28.7 275.9 96 144 +1998 11 28 6 17 ALBERTO 28.3 236.2 139 138 +1985 3 19 6 22 TONY 12.9 218.5 101 485 +1987 12 3 0 23 HELENE 64.0 285.8 127 745 +1956 5 18 0 14 WILLIAM 54.1 329.8 137 808 +1980 5 12 0 27 RAFAEL 35.8 151.4 164 555 +1987 4 11 6 8 CHRIS 27.1 21.6 62 695 +1983 2 20 0 19 MICHAEL 38.8 304.4 123 186 +1977 2 6 18 2 CHRIS 23.1 161.7 56 898 +1977 9 18 18 24 DEBBY 30.4 252.2 47 752 +1984 3 16 6 11 KIRK 8.1 328.1 85 133 +1986 3 6 0 11 GORDON 46.4 31.1 152 442 +1971 11 24 12 5 GORDON 59.4 352.4 51 84 +1968 3 2 6 24 SANDY 62.4 180.4 74 597 +1966 7 18 12 19 WILLIAM 37.5 264.7 58 548 +1993 1 18 6 27 TONY 30.4 159.7 108 782 +1982 9 2 12 21 ERNESTO 33.8 131.1 68 529 +1984 2 20 12 10 KIRK 21.1 186.1 160 427 +1987 1 8 18 12 PATTY 46.2 308.9 63 380 +1990 4 24 12 21 LESLIE 62.0 320.1 56 223 +1978 11 4 0 26 ISAAC 47.6 183.3 128 152 +1995 10 16 18 5 RAFAEL 46.6 167.1 124 195 +1993 6 26 6 1 HELENE 63.0 355.7 131 592 +2004 12 27 18 2 PATTY 59.3 98.0 108 428 +1961 11 16 6 10 ERNESTO 22.4 81.5 43 335 +1992 7 20 6 15 ALBERTO 40.4 174.4 83 843 +1994 3 16 12 22 ALBERTO 26.5 179.7 79 548 +1962 5 26 12 6 LESLIE 23.4 45.1 164 384 +1982 2 13 18 14 WILLIAM 54.0 291.5 129 490 +1976 12 14 12 21 CHRIS 28.8 151.8 115 174 +1991 8 14 0 21 OSCAR 33.7 301.5 30 780 +1997 3 20 6 4 ERNESTO 38.2 155.5 41 523 +2003 5 16 0 13 OSCAR 21.0 253.3 46 623 +1950 5 21 0 9 ISAAC 8.0 297.7 39 741 +1984 5 4 12 26 SANDY 43.9 306.5 114 832 +1982 7 12 6 23 ISAAC 43.9 259.8 52 619 +1961 6 24 6 9 LESLIE 25.9 329.8 82 230 +1958 1 27 18 22 PATTY 30.6 316.0 79 255 +1975 9 8 6 6 KIRK 28.4 213.5 146 41 +1985 2 22 6 24 VALERIE 13.7 28.1 161 206 +1959 1 6 12 16 PATTY 7.8 307.9 36 6 +2002 11 16 12 7 ALBERTO 50.8 311.4 112 822 +1989 1 16 6 8 RAFAEL 66.1 70.2 67 707 +1995 4 5 18 1 OSCAR 53.8 178.7 121 279 +1996 4 7 12 9 LESLIE 58.9 61.8 138 214 +1975 7 3 18 23 SANDY 40.3 7.7 96 8 +1992 5 2 6 22 DEBBY 21.9 146.5 142 292 +1955 11 10 18 3 OSCAR 64.8 345.3 129 332 +1958 8 1 18 19 NADINE 55.5 311.1 137 689 +1954 8 18 6 18 HELENE 17.6 76.1 20 228 +1970 4 19 18 15 CHRIS 21.3 344.1 157 456 +2002 5 4 12 1 CHRIS 23.4 290.2 18 675 +1958 2 26 18 16 HELENE 52.0 80.2 136 548 +1974 5 3 18 13 MICHAEL 53.8 355.4 107 112 +1954 4 19 18 13 HELENE 42.5 356.6 39 730 +1958 4 4 6 9 ALBERTO 46.1 275.8 46 873 +1953 4 16 18 7 ISAAC 67.9 309.6 147 143 +1974 9 17 6 1 DEBBY 55.5 206.1 42 835 +1957 7 17 0 7 VALERIE 49.1 103.4 52 137 +1968 12 13 0 9 ALBERTO 59.1 339.5 158 339 +1966 12 20 6 26 WILLIAM 43.1 357.4 141 391 +1996 10 6 0 15 VALERIE 19.4 49.3 82 369 +1958 11 5 18 26 JOYCE 66.4 297.1 84 449 +1980 3 18 18 24 WILLIAM 41.0 247.8 59 565 +1976 10 9 12 20 ALBERTO 26.5 80.8 69 418 +1958 7 7 0 17 MICHAEL 69.1 189.7 145 674 +1985 12 6 0 19 FLORENCE 55.5 280.3 99 821 +2002 2 17 0 2 ALBERTO 54.0 139.3 105 549 +1965 7 24 0 2 LESLIE 48.0 338.9 94 457 +1991 4 25 18 25 DEBBY 18.9 237.5 47 384 +2000 4 14 6 25 NADINE 59.3 211.4 11 182 +1978 2 5 0 6 ERNESTO 66.6 278.5 68 417 +1994 6 2 12 2 OSCAR 24.9 208.8 41 838 +2000 7 13 0 13 BERYL 47.8 322.9 63 625 +1967 11 28 6 1 BERYL 27.4 213.0 59 14 +1985 9 5 0 5 PATTY 58.4 37.2 109 277 +1968 12 3 12 15 RAFAEL 38.8 188.9 94 94 +1969 10 7 18 10 NADINE 26.9 52.1 62 813 +2001 10 1 6 17 JOYCE 18.6 51.1 116 321 +1992 12 25 18 22 MICHAEL 52.8 341.7 160 214 +1969 9 26 0 26 ALBERTO 51.2 304.0 73 314 +1988 3 17 12 8 HELENE 30.3 151.0 132 2 +1991 10 6 0 2 TONY 28.7 68.2 96 345 +1968 12 20 0 14 KIRK 54.6 183.5 66 464 +1954 2 21 0 26 LESLIE 48.7 173.6 158 174 +1980 11 21 0 18 JOYCE 47.7 165.3 65 659 +1957 12 16 6 3 WILLIAM 16.4 332.7 91 147 +1988 10 8 6 22 LESLIE 43.6 27.5 144 726 +1999 7 3 18 20 RAFAEL 38.6 22.9 118 364 +1959 10 19 6 7 KIRK 58.0 291.4 26 630 +2000 1 20 6 17 KIRK 46.8 305.0 158 202 +1950 5 11 18 11 DEBBY 57.9 8.0 84 290 +1967 11 26 18 11 PATTY 63.6 204.7 35 495 +1972 7 26 0 5 BERYL 35.8 164.6 47 614 +1960 4 27 18 13 ALBERTO 13.5 180.5 160 696 +1971 7 26 0 20 GORDON 56.6 124.5 83 314 +1970 9 20 0 2 ISAAC 61.2 352.9 74 178 +1978 3 4 12 18 WILLIAM 55.9 122.3 50 877 +2002 3 14 12 19 WILLIAM 68.6 309.0 14 267 +1974 1 1 0 6 PATTY 44.6 128.4 53 819 +1993 11 23 18 11 BERYL 68.4 295.2 68 494 +1950 9 13 18 28 CHRIS 48.9 131.8 106 560 +1983 4 19 0 14 PATTY 40.7 178.9 95 571 +1972 11 2 18 5 RAFAEL 25.2 152.5 146 886 +1980 7 28 0 2 OSCAR 41.7 182.7 117 877 +1994 5 5 6 15 TONY 17.7 164.3 94 181 +1984 4 7 12 6 ALBERTO 45.1 130.7 37 845 +1957 1 10 6 4 OSCAR 10.0 17.4 82 175 +1992 11 7 6 13 LESLIE 64.8 31.9 71 493 +1991 12 12 18 12 MICHAEL 34.2 172.6 20 817 +1972 9 10 18 24 DEBBY 54.4 287.1 10 585 +1981 5 26 0 2 ISAAC 27.6 248.8 34 721 +1969 12 10 12 1 TONY 68.8 103.2 25 383 +1955 6 28 6 27 ALBERTO 62.7 42.4 84 887 +2003 10 23 6 27 DEBBY 51.8 318.1 117 764 +1991 12 8 12 13 ERNESTO 64.4 203.4 15 726 +1954 12 26 0 23 GORDON 25.6 25.5 124 169 +1992 1 4 18 9 NADINE 28.3 339.9 61 355 +1955 4 9 0 17 JOYCE 8.5 234.0 106 803 +2001 4 17 0 26 FLORENCE 18.4 223.3 134 35 +1995 11 16 0 18 ALBERTO 44.9 270.1 92 745 +1959 2 21 12 26 ISAAC 52.9 350.9 11 591 +1953 2 8 18 12 CHRIS 62.3 223.4 98 706 +1958 4 14 18 12 VALERIE 19.6 151.1 53 43 +1959 4 27 12 10 ISAAC 19.1 155.9 31 690 +1985 9 19 6 19 CHRIS 68.7 109.2 130 884 +1988 10 25 12 23 FLORENCE 29.5 280.3 90 707 +1997 7 6 18 21 TONY 58.5 331.2 55 858 +1987 5 16 18 6 ISAAC 57.7 130.3 81 672 +1962 1 15 0 8 LESLIE 11.3 211.6 151 897 +1981 2 22 0 5 RAFAEL 42.1 200.4 125 367 +1975 5 10 0 25 RAFAEL 15.6 35.6 59 704 +1986 12 21 6 14 TONY 52.5 187.8 121 889 +2000 12 28 0 22 SANDY 28.1 159.7 148 721 +2002 4 9 12 18 BERYL 26.6 263.2 78 824 +1974 2 11 0 26 VALERIE 25.1 129.4 91 764 +1954 11 20 12 11 PATTY 20.3 129.9 78 798 +1999 1 20 0 8 CHRIS 9.0 276.7 24 9 +1999 12 20 6 21 NADINE 34.1 176.3 48 478 +2002 9 7 18 18 WILLIAM 26.8 257.8 38 246 +1983 11 9 18 21 WILLIAM 14.4 64.8 121 762 +1952 5 5 6 25 KIRK 18.8 105.3 37 278 +1950 3 5 6 20 TONY 35.3 309.4 148 76 +1990 10 7 0 13 ALBERTO 57.1 40.4 23 859 +1958 12 18 12 18 RAFAEL 41.2 125.9 103 648 +1957 8 23 6 4 KIRK 46.2 174.3 145 465 +2001 6 20 0 6 BERYL 15.9 320.4 110 367 +1979 1 27 6 5 GORDON 50.5 354.7 151 793 +1997 10 8 0 10 DEBBY 20.7 67.1 71 140 +1996 12 15 6 5 FLORENCE 17.0 47.3 77 526 +1978 9 1 18 25 SANDY 49.7 40.3 107 319 +1999 7 8 12 4 KIRK 8.5 16.3 154 519 +1985 8 20 18 11 VALERIE 66.6 297.9 21 82 +1994 5 7 0 26 ALBERTO 35.6 324.6 66 441 +1993 5 8 0 9 FLORENCE 41.7 39.2 135 860 +1975 4 21 12 22 TONY 14.7 176.5 46 341 +1955 9 21 0 24 ALBERTO 36.4 342.1 72 174 +2003 10 26 18 25 TONY 53.9 314.5 27 260 +1953 8 1 18 2 TONY 47.2 3.7 58 836 +1959 9 19 0 13 VALERIE 37.5 59.7 10 230 +1954 6 20 6 2 OSCAR 32.8 215.6 138 466 +1967 12 23 18 24 GORDON 30.3 274.8 82 530 +1995 6 14 18 14 PATTY 15.9 230.2 111 313 +1985 12 2 18 10 ISAAC 40.6 179.8 158 39 +2001 2 22 18 20 HELENE 55.0 19.0 45 434 +1997 9 4 12 21 VALERIE 51.7 280.5 99 205 +1968 8 5 6 7 CHRIS 28.0 274.9 51 887 +1982 9 16 6 23 ISAAC 14.6 69.9 80 424 +1996 2 15 0 19 TONY 64.6 93.5 40 841 +1981 6 6 6 27 VALERIE 17.9 131.5 82 754 +1960 1 15 12 24 HELENE 46.1 185.6 84 108 +1977 6 17 18 5 TONY 35.8 170.4 145 108 +1960 3 7 6 14 ALBERTO 60.9 146.8 70 101 +1969 1 5 12 1 RAFAEL 49.1 43.1 67 461 +1968 6 23 6 24 LESLIE 46.9 262.7 115 188 +2002 2 17 6 15 BERYL 28.8 168.7 25 790 +1950 1 20 0 15 HELENE 58.5 5.1 128 97 +1961 6 15 18 10 ISAAC 45.3 307.2 139 339 +1977 8 3 18 27 TONY 35.9 25.9 84 594 +1998 12 21 18 25 ISAAC 22.1 54.0 26 649 +1970 5 14 18 24 FLORENCE 16.3 174.3 62 694 +1967 11 28 18 5 CHRIS 31.5 114.7 62 424 +1976 5 10 6 7 OSCAR 30.1 22.1 156 701 +1984 6 19 6 2 ALBERTO 23.1 27.6 158 533 +1981 10 4 6 19 LESLIE 41.8 80.8 149 818 +1984 11 8 18 14 ERNESTO 61.5 8.0 49 413 +1992 9 3 18 8 ISAAC 32.9 95.8 102 217 +1998 3 17 18 18 GORDON 56.0 17.7 110 379 +1978 7 2 18 6 CHRIS 28.1 139.5 59 53 +1994 8 22 6 20 ERNESTO 28.3 172.7 115 534 +1978 5 9 0 22 HELENE 57.0 268.3 32 673 +1959 10 5 18 10 VALERIE 53.5 126.2 44 81 +1985 12 21 0 7 RAFAEL 50.4 99.2 114 393 +1969 1 19 0 13 VALERIE 63.4 256.1 27 5 +2003 7 5 12 1 VALERIE 28.3 84.9 59 890 +2004 6 16 12 13 HELENE 56.9 204.9 145 833 +1989 3 16 0 17 DEBBY 31.2 214.4 38 420 +1957 2 4 6 10 RAFAEL 34.9 26.3 50 532 +1973 11 2 0 1 VALERIE 58.6 86.6 15 392 +2004 2 25 12 19 CHRIS 59.2 254.4 128 645 +1961 6 27 6 17 LESLIE 58.7 137.7 71 883 +1988 3 20 6 12 PATTY 65.8 328.9 15 794 +1977 4 11 6 10 ISAAC 11.6 188.1 96 204 +1979 10 18 6 1 ISAAC 17.1 106.1 49 632 +1984 6 15 0 8 VALERIE 32.5 37.1 12 102 +1964 9 17 18 5 RAFAEL 48.3 47.1 131 250 +1979 4 24 18 20 CHRIS 15.2 321.6 67 475 +1963 6 8 6 11 HELENE 29.8 33.8 88 277 +1985 10 28 12 15 PATTY 64.8 142.4 142 425 +2002 5 13 6 10 VALERIE 51.8 139.4 20 899 +1983 12 9 12 16 RAFAEL 42.6 286.9 145 496 +1994 7 14 18 2 BERYL 17.6 138.3 27 147 +1984 6 22 12 26 WILLIAM 35.1 177.5 148 174 +1975 1 11 6 12 CHRIS 22.7 74.1 32 464 +1957 6 4 18 19 TONY 15.7 200.7 26 67 +1981 3 27 18 21 MICHAEL 49.4 252.8 164 609 +1971 11 11 18 19 VALERIE 7.7 327.0 123 197 +1981 3 18 6 23 JOYCE 8.6 247.3 10 49 +1993 3 1 18 7 ERNESTO 30.9 311.3 66 137 +1959 10 9 0 16 TONY 67.9 19.4 113 31 +1987 1 10 12 3 GORDON 18.1 99.9 87 551 +1972 5 23 12 8 BERYL 35.4 287.7 107 745 +1992 10 28 6 21 KIRK 18.2 137.2 155 73 +1981 3 6 6 22 RAFAEL 33.0 34.0 115 487 +1954 7 8 6 15 SANDY 12.4 44.9 58 792 +1986 12 6 0 10 ERNESTO 43.5 282.6 101 227 +1974 9 25 12 9 SANDY 39.1 297.5 49 280 +1962 5 15 0 11 OSCAR 21.6 118.4 127 154 +1975 9 9 6 28 ISAAC 28.4 346.6 42 120 +1959 10 1 6 15 ISAAC 15.1 175.2 88 611 +1998 7 3 0 5 RAFAEL 18.7 342.2 53 305 +1961 1 7 12 1 BERYL 14.4 328.2 157 634 +1996 3 24 6 10 LESLIE 24.7 113.9 20 843 +1996 5 14 12 11 MICHAEL 51.7 121.9 124 297 +1963 1 6 0 27 ALBERTO 34.7 324.9 126 173 +1956 8 7 12 7 HELENE 32.8 54.7 95 814 +1979 2 16 0 16 GORDON 19.4 226.5 131 540 +1954 3 23 12 1 HELENE 11.4 295.5 96 339 +1964 3 19 12 22 SANDY 49.8 279.7 40 380 +1980 12 20 18 19 ISAAC 54.2 72.1 88 14 +1962 12 11 6 26 ISAAC 58.7 317.0 88 455 +1992 4 12 0 4 ERNESTO 69.5 159.2 33 562 +1950 9 15 6 28 PATTY 65.4 304.1 17 542 +1999 5 19 18 28 ISAAC 9.3 10.5 65 51 +1994 7 1 6 27 ERNESTO 56.0 37.0 133 552 +1978 6 12 0 20 PATTY 39.0 330.2 42 271 +1958 2 19 0 15 DEBBY 15.1 7.3 55 117 +1967 2 15 18 16 CHRIS 37.4 217.1 46 700 +1994 6 17 12 10 KIRK 25.7 84.5 55 19 +1973 11 4 18 11 OSCAR 32.1 255.7 148 826 +2000 11 22 0 10 PATTY 66.4 325.9 124 590 +1980 5 9 6 25 JOYCE 61.4 254.3 125 118 +1998 2 15 0 20 OSCAR 12.2 82.8 137 285 +1953 11 18 12 24 JOYCE 43.4 246.7 137 677 +1976 6 11 12 1 WILLIAM 42.2 233.3 25 71 +1993 1 10 6 13 TONY 19.4 153.6 81 457 +1960 12 14 0 24 ALBERTO 17.8 281.2 65 423 +1950 10 6 6 2 ALBERTO 38.4 86.1 85 426 +1955 6 12 12 18 ERNESTO 9.2 226.7 143 24 +1960 8 23 6 24 KIRK 12.7 100.2 95 889 +1993 4 4 12 9 GORDON 55.4 141.7 66 125 +1997 7 19 12 11 VALERIE 60.3 78.5 72 567 +1984 6 17 12 4 DEBBY 55.1 181.6 72 790 +1963 3 16 0 23 HELENE 20.5 264.6 163 598 +1981 5 15 0 17 ISAAC 13.4 38.7 21 55 +1979 5 7 18 28 NADINE 42.1 110.1 162 629 +1968 5 10 12 17 GORDON 45.3 331.1 125 671 +1986 12 26 6 7 VALERIE 7.0 23.6 91 42 +1990 4 26 12 24 PATTY 19.9 307.6 17 97 +1999 12 2 12 26 CHRIS 24.3 50.8 104 154 +1977 6 23 0 17 NADINE 14.5 198.1 24 451 +1990 10 18 12 14 FLORENCE 44.6 230.7 136 181 +2004 4 17 18 6 VALERIE 44.6 97.9 46 85 +1964 9 22 0 17 FLORENCE 61.7 326.8 133 321 +1998 11 14 6 24 KIRK 28.4 262.2 49 860 +1967 3 3 18 14 RAFAEL 39.1 207.5 38 421 +1953 10 11 12 18 VALERIE 32.6 66.5 73 33 +1963 3 8 18 13 RAFAEL 32.7 87.8 142 199 +1971 12 25 0 3 JOYCE 55.8 15.4 93 56 +1972 11 24 18 9 ERNESTO 65.8 42.0 75 313 +2003 9 9 0 3 DEBBY 37.4 316.1 38 360 +1991 4 17 6 4 GORDON 45.5 27.5 24 245 +2000 4 3 18 8 BERYL 49.6 118.9 124 628 +2004 11 22 6 27 HELENE 7.8 144.6 140 378 +1992 7 3 0 4 ERNESTO 38.1 290.1 21 627 +1988 3 10 0 11 ISAAC 7.5 222.1 60 832 +1957 4 17 0 25 VALERIE 9.8 271.4 73 194 +1983 7 1 6 14 TONY 30.6 86.7 74 242 +1977 10 5 0 20 FLORENCE 57.6 290.1 137 22 +1985 4 19 6 28 JOYCE 30.9 227.9 26 310 +1981 11 11 12 18 FLORENCE 52.9 305.5 150 462 +1989 9 20 6 26 JOYCE 24.8 13.5 127 538 +1979 4 7 12 8 KIRK 11.5 278.9 110 711 +1971 4 24 18 17 SANDY 22.1 243.1 114 764 +1971 8 12 6 14 HELENE 34.3 167.3 72 736 +1958 10 10 6 4 LESLIE 42.0 28.2 59 144 +1950 10 8 12 16 NADINE 49.1 14.5 28 696 +1977 11 3 6 14 DEBBY 52.5 164.0 39 123 +1955 2 8 0 17 KIRK 45.4 32.6 86 379 +1970 12 21 0 18 ISAAC 69.1 356.0 71 490 +1991 1 7 12 17 RAFAEL 63.1 103.1 72 124 +1952 1 8 0 8 RAFAEL 7.1 265.7 109 324 +1964 3 26 6 10 VALERIE 42.5 252.0 85 212 +1975 3 21 18 8 OSCAR 54.6 346.3 34 575 +1959 9 1 12 21 BERYL 13.3 262.2 54 809 +1963 1 21 0 23 ALBERTO 56.4 123.7 149 431 +2002 6 3 12 28 LESLIE 48.3 20.6 50 587 +1976 3 24 0 11 FLORENCE 67.4 144.7 139 194 +1972 1 5 12 22 LESLIE 36.3 335.2 74 102 +1950 5 1 0 11 JOYCE 12.2 27.6 119 701 +1994 10 5 18 27 ALBERTO 8.6 131.8 129 392 +1991 9 25 18 12 DEBBY 59.7 66.3 116 804 +1992 2 4 6 25 ALBERTO 63.6 316.3 22 726 +2003 8 20 6 10 ALBERTO 41.4 196.2 43 726 +1990 6 20 6 20 GORDON 27.0 307.8 150 391 +1956 7 26 0 10 PATTY 67.1 128.4 161 147 +1985 11 17 18 24 CHRIS 36.0 291.6 11 441 +1951 7 20 6 13 MICHAEL 43.6 135.1 163 374 +1996 9 3 18 19 HELENE 69.7 274.8 122 220 +1991 1 20 18 22 SANDY 19.6 293.0 109 70 +2000 11 11 18 13 FLORENCE 24.3 315.6 15 718 +1985 11 6 12 21 LESLIE 38.9 67.2 137 50 +1985 3 7 18 14 WILLIAM 32.6 220.3 115 634 +1971 1 12 12 4 MICHAEL 48.8 105.9 46 789 +1983 3 24 18 21 BERYL 42.7 54.9 38 696 +1952 6 4 12 9 OSCAR 39.7 68.6 115 577 +1988 3 24 18 13 HELENE 54.5 329.6 94 613 +1978 9 27 12 6 NADINE 52.7 235.6 90 824 +1967 5 8 12 25 DEBBY 46.2 70.0 51 544 +1965 3 16 18 7 LESLIE 49.4 332.1 40 423 +1977 8 22 6 22 BERYL 19.7 225.2 146 281 +1978 11 17 12 14 RAFAEL 8.8 75.0 139 66 +1992 3 25 12 6 ALBERTO 69.9 82.7 141 789 +1963 5 27 6 10 RAFAEL 29.9 309.5 105 308 +1969 8 12 6 14 OSCAR 36.5 226.1 78 291 +1953 7 19 6 26 GORDON 58.7 326.9 148 303 +1972 10 27 6 21 JOYCE 18.5 4.7 89 36 +1987 12 6 18 8 NADINE 7.5 317.6 91 240 +1962 10 14 0 14 CHRIS 65.7 1.2 107 498 +2002 10 20 18 23 JOYCE 16.8 195.6 63 825 +2001 6 3 6 15 OSCAR 56.5 267.5 59 63 +1967 10 19 6 27 TONY 22.6 171.0 69 565 +1981 10 9 12 2 OSCAR 27.5 330.8 157 867 +1977 4 21 18 19 DEBBY 9.0 28.2 24 41 +1988 3 17 12 1 PATTY 27.7 197.9 57 16 +1970 12 25 18 18 DEBBY 41.7 126.3 86 546 +1997 2 1 12 18 RAFAEL 46.6 353.2 17 670 +1997 2 12 0 26 BERYL 34.4 157.3 135 40 +1993 11 24 12 6 HELENE 45.5 286.9 163 472 +1988 4 21 12 3 FLORENCE 56.6 335.1 149 329 +1960 10 6 12 27 OSCAR 36.9 346.2 104 53 +1988 12 23 6 25 BERYL 60.7 98.1 78 395 +1960 3 16 12 3 JOYCE 60.7 175.8 103 523 +1995 10 17 12 7 NADINE 34.9 165.5 44 169 +1996 3 15 18 8 GORDON 53.0 251.1 155 93 +1997 5 27 12 22 RAFAEL 19.1 232.3 87 892 +1999 11 5 6 12 SANDY 64.5 232.8 98 104 +1978 1 27 0 19 VALERIE 57.1 52.8 16 461 +1985 3 18 12 21 DEBBY 52.6 2.4 23 1 +1956 5 17 6 26 ALBERTO 67.3 212.6 148 108 +1977 10 20 18 1 HELENE 27.1 341.9 24 579 +1951 3 8 0 22 ISAAC 52.1 263.1 46 550 +1967 6 6 12 14 ALBERTO 46.2 228.7 62 898 +1990 10 16 12 4 ISAAC 33.1 99.9 13 374 +1953 1 1 6 15 FLORENCE 28.6 294.1 135 457 +1989 1 7 0 11 OSCAR 53.1 3.6 103 518 +1968 1 5 12 21 WILLIAM 68.0 9.8 121 474 +1985 7 25 0 9 CHRIS 24.2 351.9 35 624 +1964 7 22 6 13 NADINE 58.5 43.9 68 814 +2001 2 12 12 6 PATTY 21.0 354.1 127 672 +1951 1 15 0 1 VALERIE 22.6 288.0 27 416 +1994 7 15 0 7 MICHAEL 15.1 99.1 23 833 +1973 5 19 12 10 GORDON 46.9 326.8 159 500 +1978 9 15 12 14 LESLIE 30.7 8.8 148 750 +1957 11 25 12 16 SANDY 66.8 334.8 92 326 +1954 7 19 12 4 DEBBY 61.1 352.8 133 347 +1978 7 4 18 27 ALBERTO 13.2 323.5 79 280 +1965 2 19 12 28 MICHAEL 32.9 168.4 121 336 +1968 11 11 12 25 ERNESTO 62.8 238.0 86 870 +1985 12 19 12 10 TONY 38.5 116.1 28 69 +1954 1 27 18 7 OSCAR 7.2 226.4 88 704 +1951 7 8 18 12 ALBERTO 66.3 147.2 45 154 +1957 9 13 18 21 RAFAEL 60.8 41.4 162 520 +1985 6 3 6 19 WILLIAM 7.7 55.8 58 708 +1978 6 8 0 9 FLORENCE 41.7 223.7 79 557 +1952 9 5 6 4 HELENE 43.9 343.2 138 645 +1953 9 15 18 26 CHRIS 34.3 239.7 146 72 +2001 11 6 6 22 BERYL 31.2 175.4 81 437 +1958 3 6 6 3 TONY 18.4 69.2 71 217 +1953 4 10 18 15 DEBBY 68.3 14.7 59 249 +1956 11 7 12 2 ALBERTO 55.8 222.9 163 488 +1966 3 13 0 24 ISAAC 45.7 288.8 112 862 +1952 1 14 6 9 OSCAR 61.7 172.2 119 811 +2003 12 15 12 13 TONY 16.5 95.6 130 326 +1996 7 22 18 1 CHRIS 18.7 189.9 131 659 +1975 1 10 6 2 ALBERTO 21.5 148.7 111 705 +1952 6 27 0 20 LESLIE 54.6 352.0 164 525 +1961 8 19 6 10 JOYCE 47.5 245.1 43 314 +1981 8 12 18 23 RAFAEL 12.3 303.5 135 142 +1956 6 22 12 1 OSCAR 64.5 295.2 131 195 +1977 1 12 18 10 FLORENCE 52.7 4.0 100 806 +1996 12 26 6 10 JOYCE 28.1 162.6 126 117 +1980 4 8 6 6 ISAAC 63.3 171.6 160 540 +1967 2 21 6 14 ERNESTO 39.2 118.9 40 395 +1953 6 18 6 7 SANDY 37.8 165.1 125 2 +1998 8 19 18 23 TONY 33.6 309.4 96 492 +1971 10 1 12 3 RAFAEL 9.4 283.2 47 274 +1983 6 21 12 28 MICHAEL 20.7 264.1 48 144 +1978 4 21 0 16 DEBBY 39.4 170.8 20 772 +1988 5 11 12 12 RAFAEL 63.6 151.1 119 406 +1964 12 27 6 15 ISAAC 19.9 308.3 82 407 +1965 12 16 6 21 OSCAR 14.1 117.6 112 216 +1950 3 2 6 8 PATTY 15.4 114.6 25 76 +1975 7 4 12 17 JOYCE 28.2 18.5 160 634 +1962 6 3 18 17 ERNESTO 59.3 130.7 134 141 +1958 11 1 12 17 CHRIS 64.8 354.5 107 222 +1987 8 18 18 9 ERNESTO 13.8 324.3 89 822 +1988 1 5 12 26 MICHAEL 23.1 26.5 22 122 +1979 3 21 6 14 DEBBY 25.5 306.8 73 176 +1966 11 19 18 11 RAFAEL 53.9 226.7 82 201 +1990 4 11 0 15 SANDY 15.4 251.0 127 221 +1968 4 16 0 16 SANDY 21.2 80.9 119 604 +1961 7 27 18 22 CHRIS 28.9 232.5 85 439 +2000 10 10 18 24 VALERIE 39.2 339.5 164 47 +1982 10 9 12 25 ISAAC 47.4 355.6 112 588 +1973 7 4 12 25 ERNESTO 36.0 165.4 46 58 +1963 9 19 12 4 MICHAEL 12.7 113.4 36 227 +1960 12 20 6 9 ALBERTO 43.1 246.5 46 348 +1961 7 21 6 21 LESLIE 18.9 192.9 24 279 +1987 8 7 12 22 FLORENCE 47.4 3.8 53 579 +1967 2 6 12 14 SANDY 54.0 267.6 29 628 +1970 4 20 18 6 ALBERTO 51.3 77.9 164 878 +1958 10 22 0 7 FLORENCE 10.7 298.9 40 363 +1984 7 19 6 2 DEBBY 66.3 191.3 127 106 +1955 8 4 18 26 SANDY 65.2 79.5 144 29 +1987 6 18 6 18 LESLIE 41.0 34.8 66 840 +2004 9 26 6 2 GORDON 20.2 139.5 20 578 +1978 8 25 0 10 LESLIE 28.2 35.8 138 136 +1954 2 27 6 8 ERNESTO 45.6 140.2 129 803 +1962 5 23 6 28 BERYL 8.8 105.6 21 520 +1967 6 25 0 5 ISAAC 10.3 290.4 59 665 +2004 7 17 18 25 BERYL 14.8 267.9 122 249 +1997 9 18 6 8 NADINE 60.8 45.9 148 687 +1967 1 7 12 28 SANDY 20.6 63.8 153 81 +1968 6 19 12 19 DEBBY 52.1 105.0 124 631 +1997 11 25 12 9 BERYL 49.3 357.7 138 828 +1968 2 20 0 6 CHRIS 16.4 245.4 131 869 +1961 9 5 18 26 RAFAEL 48.8 71.6 144 735 +1993 1 19 0 22 MICHAEL 49.6 171.6 34 786 +1995 12 9 6 24 SANDY 46.5 206.8 50 529 +1973 6 18 12 13 GORDON 41.7 286.4 50 225 +1982 9 15 6 5 FLORENCE 9.0 260.1 44 689 +1952 6 20 0 25 JOYCE 63.3 262.0 64 770 +1955 8 23 18 12 NADINE 28.5 34.6 32 39 +1963 8 22 0 23 HELENE 53.9 188.3 151 766 +2004 8 3 0 3 DEBBY 43.0 37.3 12 379 +1991 11 23 6 10 HELENE 35.1 164.2 82 701 +1960 1 28 6 5 WILLIAM 13.0 308.1 97 879 +2001 11 9 6 28 DEBBY 41.1 163.1 60 552 +1986 5 16 0 7 BERYL 43.6 318.7 135 847 +1984 7 2 12 17 WILLIAM 11.0 88.5 63 341 +1974 1 4 12 8 DEBBY 51.8 305.6 113 361 +1997 4 23 18 5 RAFAEL 42.1 117.9 11 351 +1963 5 3 18 7 ERNESTO 43.2 286.5 78 298 +1955 3 16 12 26 FLORENCE 42.4 307.8 119 249 +1953 9 15 0 13 GORDON 28.6 232.7 31 776 +1959 9 10 12 9 MICHAEL 55.2 151.8 53 252 +1972 1 3 12 14 MICHAEL 47.6 180.6 73 356 +1959 8 21 12 6 ISAAC 46.1 68.2 153 408 +1990 1 4 6 9 VALERIE 16.0 64.1 126 849 +1952 8 8 0 10 HELENE 53.1 154.0 27 706 +1982 12 4 0 8 GORDON 59.0 125.9 148 187 +1971 12 1 18 28 FLORENCE 46.5 22.7 113 757 +1983 12 28 0 5 DEBBY 42.0 250.6 78 625 +1987 10 27 0 2 WILLIAM 57.6 308.3 31 727 +1980 1 12 0 9 ALBERTO 21.7 240.7 34 158 +1991 2 3 6 28 ERNESTO 61.0 193.9 135 306 +1995 8 7 12 24 OSCAR 61.3 253.5 116 123 +1952 5 11 6 2 TONY 48.0 67.5 40 758 +1970 2 8 12 23 KIRK 18.1 305.3 136 458 +1995 5 26 0 5 MICHAEL 11.1 104.0 124 113 +1991 12 15 12 10 JOYCE 37.2 97.3 101 611 +1986 9 1 12 19 HELENE 46.8 0.3 89 71 +1989 8 27 12 22 JOYCE 44.1 20.5 113 59 +2002 5 2 12 21 JOYCE 54.1 329.7 94 852 +1974 3 8 18 25 LESLIE 43.5 304.9 144 191 +1977 8 12 12 9 SANDY 39.9 56.5 59 34 +1996 3 3 12 21 BERYL 34.4 72.5 106 830 +1998 11 17 12 15 JOYCE 42.7 216.5 155 303 +1964 10 6 12 17 KIRK 36.7 298.4 78 140 +1971 6 22 6 11 JOYCE 59.8 155.7 127 6 +1998 12 5 6 17 KIRK 26.3 179.4 61 54 +1988 3 8 18 5 FLORENCE 68.5 333.9 102 849 +1956 8 21 12 20 OSCAR 63.6 131.0 127 829 +1984 1 1 6 10 OSCAR 58.4 189.0 61 360 +1994 4 16 18 17 NADINE 37.4 129.1 118 402 +1966 1 21 0 17 SANDY 50.7 207.3 30 701 +1960 2 18 0 17 SANDY 24.3 22.8 116 244 +1981 10 11 12 3 NADINE 43.5 163.6 10 60 +2001 5 1 18 19 BERYL 18.0 50.2 137 844 +1992 7 25 18 11 RAFAEL 52.5 163.2 117 844 +1988 2 23 0 18 VALERIE 56.0 44.0 28 750 +1994 10 17 18 24 WILLIAM 29.2 289.4 161 511 +1963 9 18 6 27 VALERIE 12.5 281.2 96 856 +1975 11 7 0 24 ALBERTO 21.0 150.4 62 80 +1976 9 23 18 8 PATTY 64.3 310.1 148 558 +1967 7 26 6 27 OSCAR 65.0 104.7 55 585 +2001 10 24 18 2 LESLIE 11.4 340.0 45 243 +1964 1 12 12 21 JOYCE 59.4 18.2 158 400 +1960 2 3 18 1 SANDY 12.8 44.0 154 31 +1999 6 11 18 23 FLORENCE 52.9 49.3 134 525 +1988 7 25 0 25 NADINE 49.1 202.8 67 161 +1976 1 17 12 20 MICHAEL 15.4 195.5 97 749 +1966 12 14 12 18 OSCAR 57.9 48.5 100 866 +1955 4 12 18 27 GORDON 18.4 285.9 94 314 +2003 11 1 6 12 BERYL 53.7 112.7 107 601 +1994 10 25 12 26 DEBBY 26.0 187.7 139 383 +1952 5 18 6 9 PATTY 26.4 286.4 162 547 +1979 4 8 0 13 MICHAEL 53.6 283.1 74 284 +1989 11 9 12 26 HELENE 38.7 303.3 85 380 +1992 8 12 6 11 HELENE 55.7 153.1 85 319 +1979 9 8 6 15 TONY 38.4 317.7 155 616 +1999 7 12 0 2 ISAAC 14.8 44.5 67 704 +1955 2 19 6 2 TONY 8.6 69.5 44 547 +1958 2 27 18 11 DEBBY 45.9 324.4 164 575 +1989 4 11 18 19 SANDY 41.4 219.8 86 76 +1997 3 17 18 27 OSCAR 7.6 334.8 121 532 +2003 2 20 12 17 FLORENCE 36.8 131.9 52 355 +1989 9 17 6 27 ISAAC 14.9 314.7 61 394 +1967 2 22 0 11 FLORENCE 19.8 70.1 144 320 +1982 8 11 0 16 ALBERTO 45.5 240.0 140 293 +1956 5 2 12 14 ALBERTO 7.2 200.4 102 484 +1989 1 4 6 25 RAFAEL 44.4 348.7 51 476 +1983 7 18 12 22 OSCAR 53.1 98.5 148 829 +2002 2 10 18 8 ERNESTO 32.0 176.2 37 56 +1964 11 4 6 18 VALERIE 39.1 53.1 42 73 +1953 2 21 12 19 OSCAR 32.4 276.8 136 169 +1979 8 18 12 20 VALERIE 18.6 113.6 55 749 +1998 2 18 12 17 ERNESTO 54.5 299.0 141 279 +2000 10 24 18 19 ERNESTO 10.1 246.8 147 633 +1959 1 9 12 14 KIRK 23.3 118.6 131 882 +1960 7 22 0 15 BERYL 24.7 305.8 156 457 +1981 7 5 12 20 GORDON 16.5 158.4 27 87 +1957 5 17 18 2 ISAAC 37.7 95.2 108 115 diff --git a/benchmarks/new_opencl/nearn/cane4_2.db b/benchmarks/new_opencl/nearn/cane4_2.db new file mode 100755 index 000000000..767876a29 --- /dev/null +++ b/benchmarks/new_opencl/nearn/cane4_2.db @@ -0,0 +1,10691 @@ +1951 3 8 6 5 ISAAC 9.6 104.8 21 322 +1958 3 9 6 26 FLORENCE 46.3 127.4 92 627 +1961 1 25 12 9 HELENE 30.8 126.3 163 42 +1956 11 21 0 15 JOYCE 68.0 320.5 21 433 +1973 11 18 18 3 SANDY 64.0 2.6 128 102 +1950 6 4 0 25 FLORENCE 21.6 46.2 163 330 +1977 3 28 0 22 MICHAEL 26.2 24.0 72 228 +1967 11 9 12 10 SANDY 58.6 333.0 32 232 +1990 11 16 18 22 ERNESTO 66.0 203.9 141 270 +1974 7 25 18 21 VALERIE 44.7 39.4 99 20 +1969 8 8 6 5 HELENE 69.7 43.0 159 515 +1985 12 21 0 12 PATTY 23.1 293.2 111 375 +2001 1 24 12 21 VALERIE 26.1 15.9 10 141 +1975 2 24 18 6 DEBBY 25.5 114.3 78 387 +1971 4 17 12 2 KIRK 44.3 122.8 92 320 +1992 9 7 12 27 GORDON 38.7 54.3 139 860 +1988 1 1 0 7 SANDY 38.6 88.4 46 149 +1973 1 3 18 25 OSCAR 34.0 27.2 114 715 +1981 12 27 6 3 ISAAC 34.0 290.9 163 122 +1967 3 24 18 2 DEBBY 47.8 104.6 21 566 +1955 9 4 0 2 OSCAR 29.2 157.0 132 777 +1994 8 17 6 2 SANDY 59.9 74.4 43 630 +1995 5 20 12 3 FLORENCE 19.2 269.2 42 757 +1956 9 6 12 22 GORDON 19.8 111.1 28 680 +1972 11 2 12 1 GORDON 9.4 300.4 87 47 +1992 11 25 12 11 WILLIAM 66.1 286.5 26 332 +1953 11 15 18 3 TONY 66.0 348.8 60 817 +1998 8 10 12 7 SANDY 59.1 274.7 120 560 +1970 4 2 12 1 NADINE 11.0 25.9 138 325 +1986 5 18 18 18 ISAAC 52.1 52.1 60 401 +1985 3 23 0 12 FLORENCE 39.9 114.2 110 634 +1985 4 8 6 20 NADINE 26.9 174.1 116 342 +1974 10 6 6 19 HELENE 60.6 64.7 66 503 +1971 1 23 6 11 JOYCE 63.1 270.9 98 830 +1979 6 1 0 25 MICHAEL 40.0 344.6 33 552 +1957 8 9 6 9 MICHAEL 27.5 327.3 12 867 +1952 2 5 6 6 MICHAEL 41.4 230.2 30 24 +1950 7 9 12 22 BERYL 49.2 222.3 162 589 +1973 1 10 0 8 KIRK 34.5 231.0 10 361 +1983 2 2 18 9 VALERIE 54.5 318.6 35 82 +1971 6 5 6 21 GORDON 31.1 2.1 86 471 +1989 9 9 12 17 RAFAEL 42.1 350.5 120 245 +1960 8 10 18 4 VALERIE 19.4 50.0 73 549 +1958 1 13 6 25 FLORENCE 11.7 230.6 27 161 +1959 12 22 0 12 WILLIAM 53.9 222.6 27 391 +1985 7 1 0 28 KIRK 64.1 160.9 144 470 +1954 8 17 12 13 OSCAR 13.0 65.9 132 371 +1988 3 14 6 18 ERNESTO 31.0 14.7 120 443 +1998 6 21 6 11 PATTY 48.0 268.1 28 350 +1954 11 27 0 20 VALERIE 26.4 74.6 163 709 +1958 2 14 12 19 PATTY 44.2 180.7 142 97 +1971 2 2 18 14 GORDON 46.2 293.3 95 110 +1987 9 27 12 21 LESLIE 33.4 240.3 14 362 +1979 7 4 6 17 CHRIS 28.1 291.2 130 822 +1974 6 9 18 21 ALBERTO 58.3 56.8 48 694 +1982 12 26 12 25 KIRK 13.6 89.6 87 47 +1995 6 9 12 2 KIRK 57.2 33.8 111 41 +1993 6 20 0 13 ISAAC 50.1 97.9 45 793 +2003 6 21 0 18 OSCAR 33.2 153.6 69 162 +1984 5 16 12 14 ERNESTO 15.5 110.2 119 116 +1991 10 27 18 22 OSCAR 35.5 332.5 141 809 +1986 3 8 6 20 LESLIE 67.8 280.6 140 825 +2004 7 13 6 10 RAFAEL 35.5 314.4 103 589 +1954 3 28 6 12 JOYCE 35.5 210.8 91 815 +1960 12 12 12 18 HELENE 30.6 26.2 116 66 +1950 1 18 12 24 JOYCE 23.8 115.6 125 512 +1950 10 20 12 4 ISAAC 10.3 56.0 51 191 +2001 11 18 18 26 RAFAEL 9.1 292.5 88 87 +1954 7 25 18 6 PATTY 15.2 227.2 37 370 +1988 11 25 6 4 CHRIS 45.5 248.2 119 251 +1972 8 8 18 15 GORDON 69.8 155.4 62 436 +1957 7 12 18 2 FLORENCE 32.8 325.9 108 62 +1999 6 2 18 28 OSCAR 45.8 10.1 134 796 +1961 12 25 12 14 LESLIE 30.7 26.6 11 105 +1986 12 22 6 14 LESLIE 26.5 300.7 36 177 +1966 2 24 12 8 NADINE 19.7 4.1 162 208 +1957 12 3 12 10 ERNESTO 10.3 323.2 122 664 +1963 6 28 0 23 SANDY 16.5 321.1 122 703 +1997 8 26 18 27 TONY 54.0 197.4 87 778 +1970 6 22 18 15 NADINE 14.6 135.1 16 129 +1975 3 20 6 4 HELENE 35.6 47.5 67 894 +1954 11 23 0 8 MICHAEL 47.3 41.4 83 271 +1957 4 8 12 15 JOYCE 57.6 216.0 56 778 +1987 6 11 12 27 RAFAEL 15.4 19.8 35 638 +1988 2 10 0 2 MICHAEL 50.7 27.8 98 742 +1976 6 19 6 25 OSCAR 62.6 118.2 79 810 +1996 11 13 6 21 GORDON 43.7 158.0 85 297 +1991 7 5 6 22 NADINE 59.9 245.5 148 115 +1966 6 3 0 20 GORDON 24.8 81.1 65 386 +1956 11 2 12 8 ERNESTO 23.0 22.1 97 220 +1999 2 25 0 11 KIRK 36.2 76.4 155 395 +1981 1 5 12 28 BERYL 21.4 96.9 140 425 +1971 11 19 0 11 ISAAC 46.4 29.6 43 315 +1998 8 2 18 10 TONY 54.2 0.9 151 467 +1967 10 18 0 11 TONY 10.8 303.5 133 701 +1978 9 21 12 9 ALBERTO 30.1 178.6 117 449 +1960 5 24 12 16 OSCAR 32.8 318.2 35 27 +1988 3 28 12 11 SANDY 17.1 269.7 107 275 +1989 7 20 0 26 HELENE 59.5 208.7 131 465 +1965 9 23 0 16 RAFAEL 19.7 297.2 153 884 +1992 2 20 0 2 JOYCE 37.7 188.8 46 240 +1962 12 17 12 25 ISAAC 16.3 105.6 81 550 +1954 9 26 12 11 WILLIAM 30.2 338.2 46 261 +1988 5 27 18 8 BERYL 58.5 308.4 127 516 +1987 3 25 6 4 OSCAR 16.8 2.6 48 651 +1972 9 4 0 26 BERYL 9.7 356.7 82 447 +1974 2 12 0 1 RAFAEL 16.0 102.1 60 291 +1975 12 4 6 25 RAFAEL 67.7 193.4 136 18 +1984 2 25 12 17 WILLIAM 14.8 314.0 46 879 +1988 1 15 18 1 LESLIE 19.6 214.4 61 884 +1963 3 9 6 18 SANDY 68.7 291.1 115 490 +1966 5 11 6 22 NADINE 47.3 227.4 72 456 +1992 12 10 6 19 MICHAEL 8.8 233.4 34 378 +1989 12 22 0 1 FLORENCE 16.2 89.3 27 233 +1985 6 3 18 17 LESLIE 46.2 112.8 161 632 +1999 4 7 0 14 WILLIAM 17.6 201.6 138 725 +1960 6 28 12 7 OSCAR 28.1 126.3 164 680 +1999 12 22 12 24 VALERIE 12.2 3.1 97 50 +1951 9 3 12 9 JOYCE 63.3 342.0 21 33 +1985 2 11 18 27 FLORENCE 47.3 350.6 140 674 +1967 11 5 0 7 ISAAC 54.0 285.8 147 511 +1977 3 27 6 26 KIRK 20.4 35.1 43 287 +1991 3 19 12 11 BERYL 56.8 99.4 154 655 +1996 10 12 18 11 DEBBY 49.7 324.1 152 125 +1993 5 17 12 6 JOYCE 13.8 321.9 80 583 +1962 1 6 18 26 OSCAR 8.8 180.8 121 530 +1971 9 15 0 18 VALERIE 46.9 273.6 61 602 +1953 8 13 12 12 LESLIE 25.6 262.4 39 834 +1992 5 20 6 20 ALBERTO 18.1 4.3 154 462 +1982 10 6 18 4 CHRIS 10.9 244.1 114 873 +2002 5 16 12 3 ERNESTO 29.6 191.6 117 404 +1952 10 5 6 12 OSCAR 43.2 279.5 23 677 +1957 12 14 0 26 RAFAEL 26.6 128.6 138 42 +2004 11 27 0 3 ERNESTO 50.2 207.6 15 536 +1978 3 6 12 27 OSCAR 56.6 162.0 159 546 +1991 12 18 0 18 CHRIS 53.0 213.0 139 471 +1991 5 10 12 1 FLORENCE 30.8 201.6 137 846 +1980 7 28 0 7 PATTY 41.8 166.0 96 157 +1982 11 13 0 25 ISAAC 46.3 304.6 145 260 +1953 3 18 6 3 VALERIE 8.9 18.2 24 293 +1993 12 17 12 4 ALBERTO 37.8 76.7 137 686 +1991 6 8 0 19 NADINE 44.3 56.2 32 880 +1964 4 2 6 14 TONY 27.4 24.0 102 593 +1968 3 5 18 11 ISAAC 8.0 282.3 30 846 +1958 3 6 12 21 LESLIE 46.2 251.5 137 515 +2003 7 20 6 5 WILLIAM 66.9 305.2 97 17 +1961 7 20 6 28 ALBERTO 44.8 208.1 45 56 +1989 2 20 0 7 GORDON 49.7 69.8 19 613 +1989 9 4 18 28 SANDY 64.6 312.4 65 602 +1967 1 15 6 1 VALERIE 26.7 8.3 23 816 +1964 11 18 12 25 FLORENCE 54.6 145.6 20 282 +1998 4 11 6 24 DEBBY 33.3 225.3 99 584 +1962 1 20 0 14 VALERIE 32.1 165.0 138 456 +1961 10 24 18 21 FLORENCE 9.6 64.0 24 176 +2000 9 1 18 10 ALBERTO 40.9 340.1 19 772 +1972 12 11 0 13 HELENE 18.0 204.8 87 476 +1986 7 20 18 19 CHRIS 42.2 132.3 122 169 +1951 10 22 0 21 KIRK 45.6 298.7 10 620 +2003 10 6 18 18 TONY 43.2 10.0 24 725 +1977 6 25 18 26 HELENE 36.1 337.9 133 827 +1988 5 1 12 16 SANDY 52.0 326.8 127 540 +1973 9 8 18 28 JOYCE 58.2 205.3 127 12 +1953 10 16 12 8 SANDY 54.8 15.9 39 722 +1962 10 8 6 22 OSCAR 66.3 326.8 103 707 +1998 7 22 12 28 WILLIAM 21.0 169.6 81 646 +1978 3 20 0 5 ERNESTO 22.7 346.6 79 553 +1995 11 7 12 12 CHRIS 28.6 241.4 149 732 +1987 5 19 18 27 GORDON 11.5 317.5 115 498 +2004 8 26 0 18 ALBERTO 32.3 191.6 56 148 +1980 7 20 0 9 BERYL 59.6 144.9 105 198 +1977 2 7 0 8 WILLIAM 62.4 156.1 66 735 +1993 9 3 18 8 VALERIE 36.8 120.0 78 505 +2001 1 2 12 20 DEBBY 24.4 79.0 118 657 +2003 5 21 0 8 KIRK 45.1 13.7 47 654 +1961 1 2 6 3 JOYCE 19.1 158.1 62 459 +1990 9 14 12 1 ISAAC 64.1 143.8 70 723 +1950 11 9 6 25 DEBBY 27.1 149.7 132 55 +1965 5 13 0 17 TONY 27.8 89.0 122 260 +1983 6 28 0 25 LESLIE 30.6 72.1 72 512 +2002 7 18 12 24 CHRIS 57.0 333.0 34 71 +1981 5 20 12 18 RAFAEL 16.2 206.3 110 562 +2004 7 9 0 15 MICHAEL 63.6 344.6 67 341 +1962 4 17 0 18 KIRK 68.4 2.0 72 878 +1957 1 17 18 7 MICHAEL 69.7 108.8 127 828 +1999 9 17 0 23 OSCAR 39.8 242.8 86 765 +2003 8 5 12 12 BERYL 27.0 175.0 65 25 +1976 10 12 12 9 RAFAEL 19.7 332.1 122 144 +1966 12 16 6 9 LESLIE 69.8 340.9 109 409 +1955 2 22 18 27 CHRIS 37.4 46.8 30 218 +1999 5 9 6 28 PATTY 40.6 215.8 121 506 +1981 12 13 12 19 WILLIAM 29.5 285.6 160 305 +1971 4 25 6 12 OSCAR 14.6 56.6 53 478 +1963 9 15 0 22 DEBBY 8.5 260.6 22 833 +1987 5 5 18 22 GORDON 68.3 87.7 63 854 +1994 1 21 6 5 BERYL 45.4 188.0 130 201 +2001 2 4 18 8 MICHAEL 26.8 303.8 101 508 +1982 12 5 18 17 WILLIAM 19.2 260.9 30 726 +1965 9 6 6 22 OSCAR 21.9 331.2 94 321 +1985 6 9 0 18 HELENE 12.9 36.9 17 486 +1960 11 14 0 25 KIRK 32.7 315.9 40 91 +1998 7 14 12 1 WILLIAM 69.9 135.7 80 392 +1974 10 3 6 7 VALERIE 49.8 56.3 149 332 +1998 9 27 18 6 GORDON 49.6 271.3 157 567 +1955 9 17 0 25 CHRIS 10.0 197.0 163 1 +1978 8 11 6 4 DEBBY 23.5 158.0 133 757 +1979 10 4 0 17 JOYCE 42.1 19.3 36 379 +1961 3 3 12 9 DEBBY 68.1 63.2 37 8 +1997 2 22 6 22 MICHAEL 29.3 168.4 15 790 +1983 2 9 0 9 OSCAR 27.7 165.3 115 712 +1987 11 14 12 28 PATTY 39.1 263.3 46 574 +1957 8 13 12 25 RAFAEL 12.0 269.8 51 715 +1956 1 16 6 19 DEBBY 13.5 240.9 76 367 +1988 12 9 6 8 DEBBY 48.9 333.4 116 361 +2000 10 27 12 23 ISAAC 52.0 130.2 131 126 +1974 8 6 18 7 VALERIE 63.8 186.4 101 765 +1999 7 25 6 23 BERYL 19.7 316.0 63 8 +1985 1 5 0 6 VALERIE 24.7 79.9 141 819 +1970 2 17 6 1 PATTY 10.5 127.1 139 519 +1954 8 8 6 25 BERYL 41.7 308.1 90 299 +1980 5 4 6 14 MICHAEL 46.4 97.3 107 799 +2001 12 12 6 26 CHRIS 38.8 176.9 163 888 +1959 12 6 18 23 OSCAR 46.7 61.9 160 856 +1982 1 13 18 6 RAFAEL 68.5 180.3 117 577 +2000 11 14 12 15 OSCAR 51.8 231.0 30 756 +1986 10 28 18 8 OSCAR 55.6 227.8 41 101 +1978 5 11 12 23 NADINE 24.5 282.0 18 181 +1993 7 6 12 7 JOYCE 32.6 99.7 96 364 +1951 5 20 0 25 ISAAC 61.3 100.4 15 94 +1998 12 16 0 13 ALBERTO 48.7 168.3 75 218 +1963 3 9 0 25 JOYCE 16.6 4.1 122 866 +1998 8 21 6 8 HELENE 18.4 132.7 101 692 +1958 11 2 0 26 ERNESTO 41.0 356.6 161 422 +1987 11 8 18 27 SANDY 62.8 21.7 82 34 +1953 8 11 12 6 CHRIS 40.4 161.0 75 891 +2002 6 28 12 10 TONY 34.1 148.4 120 804 +1951 11 16 18 17 VALERIE 52.9 27.7 61 415 +1962 5 14 0 25 ISAAC 30.6 279.7 118 390 +1963 7 5 12 2 MICHAEL 41.0 271.2 29 572 +1969 2 12 6 18 DEBBY 24.5 119.6 151 705 +1995 1 27 12 16 NADINE 20.8 307.8 142 468 +1982 12 2 0 22 DEBBY 31.6 259.5 62 348 +1989 4 22 6 5 ERNESTO 38.1 44.5 93 826 +2003 8 10 0 24 LESLIE 14.1 273.4 143 486 +1988 2 20 12 14 ERNESTO 26.8 145.3 143 593 +1999 1 20 0 14 OSCAR 46.2 330.3 49 401 +1958 3 19 18 1 PATTY 66.8 162.4 92 813 +2001 2 19 0 10 HELENE 55.2 9.3 85 494 +1956 3 17 6 3 CHRIS 66.5 244.1 53 229 +1951 1 2 0 26 RAFAEL 20.2 61.3 47 362 +1981 10 11 6 3 FLORENCE 69.5 8.4 10 450 +1996 9 23 12 26 BERYL 36.8 344.1 156 173 +1999 3 14 0 3 PATTY 49.5 25.9 78 405 +1956 12 19 18 24 WILLIAM 55.0 290.7 110 85 +1977 10 23 6 10 KIRK 45.0 348.9 137 738 +1999 9 2 0 14 NADINE 42.1 87.0 135 315 +1958 3 13 0 27 WILLIAM 41.5 352.8 34 509 +1978 11 27 12 23 GORDON 7.7 22.3 75 206 +1969 6 11 18 26 WILLIAM 26.5 305.0 93 718 +1982 3 22 6 18 DEBBY 12.3 162.5 89 540 +1966 8 1 12 14 ISAAC 36.7 129.2 153 26 +1959 3 28 6 10 CHRIS 41.2 85.6 138 227 +1965 8 27 0 6 DEBBY 21.2 31.6 87 836 +1969 5 21 12 28 DEBBY 58.7 168.6 29 416 +1997 6 4 0 27 GORDON 37.9 95.4 142 272 +1996 5 15 12 6 FLORENCE 18.1 177.5 38 798 +1951 9 19 6 8 OSCAR 35.3 169.9 47 100 +1961 3 1 18 13 TONY 69.8 284.1 97 857 +1985 12 8 0 15 ALBERTO 12.4 216.5 143 498 +1982 9 17 12 14 BERYL 29.6 184.2 101 350 +1971 8 6 18 9 PATTY 42.0 18.5 47 224 +1978 8 15 0 9 TONY 58.6 297.0 85 210 +1978 3 20 0 22 KIRK 24.2 226.9 76 707 +1997 8 21 6 27 WILLIAM 37.3 166.8 11 333 +1969 4 23 12 11 HELENE 65.0 221.5 57 578 +1985 1 22 12 6 FLORENCE 61.4 139.4 103 837 +1986 7 3 12 19 HELENE 34.7 350.0 50 105 +1971 6 11 6 6 MICHAEL 32.3 198.4 155 785 +1993 8 10 0 21 KIRK 39.9 336.0 110 678 +1985 9 2 6 3 TONY 44.9 82.3 33 543 +1999 5 13 18 14 LESLIE 11.3 340.8 127 162 +1965 12 6 0 8 RAFAEL 58.9 288.7 114 753 +1994 1 21 18 1 LESLIE 28.5 0.3 75 521 +1962 1 20 18 2 ISAAC 14.9 201.9 11 64 +1988 12 10 18 24 ALBERTO 28.8 156.8 34 61 +1971 3 18 6 10 CHRIS 10.3 144.5 93 814 +1974 1 28 6 24 JOYCE 18.4 3.6 24 258 +1997 5 7 18 22 ISAAC 47.4 180.9 51 778 +1963 7 10 12 6 BERYL 66.7 226.1 133 487 +1979 12 1 12 14 RAFAEL 57.1 220.5 58 569 +1964 8 12 12 21 OSCAR 55.2 317.4 95 530 +1971 8 20 0 27 PATTY 62.3 288.0 74 254 +1957 6 23 0 13 SANDY 13.5 210.2 155 552 +1998 9 18 12 14 NADINE 49.9 84.1 43 483 +2002 11 21 12 23 SANDY 58.5 357.1 119 62 +1951 5 13 18 15 GORDON 29.7 46.5 12 137 +1978 6 27 12 18 KIRK 12.9 114.2 144 116 +1951 9 7 18 15 BERYL 21.8 29.8 95 427 +1963 12 3 6 17 RAFAEL 54.7 235.4 155 312 +1978 5 12 0 23 GORDON 19.4 12.3 142 606 +1968 10 13 6 27 ISAAC 29.1 44.9 110 752 +1980 1 22 6 22 PATTY 47.9 227.7 124 663 +2003 12 28 0 19 KIRK 29.1 336.4 138 346 +1966 5 15 18 12 OSCAR 47.0 260.8 134 289 +2003 4 16 18 9 JOYCE 51.0 95.1 158 815 +1955 3 14 6 26 ISAAC 61.2 287.4 105 548 +1977 11 14 12 23 PATTY 40.2 123.9 137 11 +1997 6 24 6 28 ALBERTO 17.1 219.8 162 78 +1982 6 15 18 12 MICHAEL 33.8 33.4 131 762 +1953 11 15 18 25 JOYCE 17.9 176.3 85 234 +1998 8 19 12 13 BERYL 51.4 241.5 114 544 +1971 2 26 0 11 FLORENCE 23.3 78.8 124 410 +1964 1 12 18 7 GORDON 10.4 115.3 54 517 +1994 2 9 6 25 TONY 41.4 192.7 70 720 +1957 11 28 0 23 VALERIE 14.3 37.6 100 535 +2001 9 11 6 21 ERNESTO 23.7 127.0 83 655 +1987 3 9 12 17 FLORENCE 37.1 119.8 30 665 +1971 6 6 0 24 HELENE 40.6 318.5 54 737 +1982 7 14 0 18 ALBERTO 36.3 147.6 73 678 +1958 11 21 18 17 VALERIE 12.5 328.8 116 255 +1985 1 23 12 7 FLORENCE 59.6 246.9 91 773 +1957 11 15 6 2 JOYCE 56.9 118.3 137 121 +1996 6 25 12 15 VALERIE 31.9 18.6 28 238 +1975 10 18 12 14 TONY 33.3 247.9 139 851 +1958 2 1 0 5 KIRK 34.3 162.8 81 216 +2002 4 13 18 23 ISAAC 50.4 141.6 142 737 +1971 2 15 18 8 VALERIE 28.7 41.3 138 353 +1957 10 13 12 4 KIRK 56.7 269.9 68 695 +2003 7 16 6 2 LESLIE 39.6 99.3 64 682 +1987 6 15 6 5 VALERIE 62.3 49.5 119 339 +1970 5 6 12 16 HELENE 12.9 174.8 29 321 +1963 5 25 6 9 PATTY 34.4 288.8 122 192 +1982 8 1 18 27 LESLIE 37.8 6.4 68 706 +1982 8 28 12 25 BERYL 21.4 267.1 86 749 +1970 9 6 18 23 DEBBY 27.0 84.0 155 24 +1990 1 24 18 8 OSCAR 52.4 322.3 120 764 +1995 11 19 12 19 RAFAEL 40.8 104.4 97 888 +1994 4 7 0 23 PATTY 20.3 42.6 86 763 +1950 9 13 0 14 ALBERTO 10.2 16.6 156 572 +1984 1 19 0 2 TONY 53.2 78.7 11 648 +1992 12 1 18 16 TONY 40.6 195.6 147 28 +1975 5 8 0 24 SANDY 54.4 102.2 134 373 +1956 2 22 18 17 OSCAR 69.8 266.8 93 771 +2003 6 11 12 21 SANDY 38.2 133.4 83 362 +1968 1 24 12 24 SANDY 22.8 214.6 105 534 +1968 9 11 6 17 SANDY 37.7 267.9 37 613 +1963 9 17 0 7 NADINE 7.5 75.3 19 358 +2000 12 23 6 17 OSCAR 26.3 62.8 141 422 +1998 4 14 18 11 LESLIE 27.0 155.7 106 534 +1985 8 23 18 19 FLORENCE 13.9 286.1 154 260 +1961 10 23 0 20 VALERIE 15.2 353.0 12 407 +1993 10 4 18 23 NADINE 31.6 293.9 62 29 +1956 7 7 6 15 ERNESTO 65.1 259.2 156 108 +2003 8 10 6 5 ISAAC 53.9 301.2 75 202 +1956 8 17 12 28 MICHAEL 11.1 294.2 58 518 +1997 4 23 12 28 PATTY 19.3 292.8 28 866 +1956 11 7 12 11 WILLIAM 45.5 198.8 136 327 +1988 7 20 18 4 BERYL 54.8 216.2 162 115 +1980 10 13 6 19 VALERIE 66.2 168.1 44 74 +1998 3 8 12 28 SANDY 40.2 350.7 143 343 +1965 3 4 6 11 ERNESTO 7.8 355.2 30 781 +1970 7 23 18 17 HELENE 10.5 211.4 34 154 +1982 12 8 0 16 OSCAR 55.1 310.7 147 96 +1953 11 26 0 15 DEBBY 36.5 216.4 43 606 +1952 6 26 18 11 PATTY 55.1 320.9 37 829 +1976 10 15 18 17 DEBBY 22.0 149.4 102 292 +1974 1 26 6 9 CHRIS 68.3 6.5 92 669 +1955 6 20 12 11 OSCAR 65.8 63.0 111 411 +1995 6 1 18 22 RAFAEL 11.0 26.7 161 322 +1998 7 12 18 13 BERYL 28.4 260.9 62 887 +1994 8 15 18 14 BERYL 38.4 184.9 96 112 +1993 9 26 18 21 PATTY 10.3 168.9 54 206 +1988 10 7 0 18 PATTY 47.0 102.0 163 737 +1963 7 13 6 18 KIRK 45.5 316.5 100 670 +2002 12 17 0 21 PATTY 60.3 340.7 63 167 +1971 1 14 12 3 TONY 25.3 16.2 157 817 +2000 5 8 18 4 FLORENCE 23.2 34.8 123 700 +1996 12 18 6 16 MICHAEL 69.1 352.2 96 629 +1973 5 15 18 21 WILLIAM 41.3 58.1 114 281 +1998 8 7 0 14 NADINE 19.7 294.6 51 513 +1971 7 4 12 5 FLORENCE 43.9 51.4 91 179 +1967 5 9 6 24 HELENE 58.8 326.4 90 637 +1982 1 18 6 26 NADINE 16.0 227.8 152 414 +1990 11 23 18 20 ISAAC 62.7 357.9 151 569 +1952 10 13 6 10 KIRK 21.9 142.5 72 65 +1985 5 23 0 2 GORDON 46.6 119.1 19 799 +2003 5 10 18 4 CHRIS 30.7 264.1 48 588 +1979 12 17 6 20 FLORENCE 33.2 240.0 28 379 +1999 2 18 12 10 DEBBY 36.8 217.8 109 602 +1978 4 2 12 17 GORDON 65.4 23.4 149 468 +1984 10 19 12 11 FLORENCE 56.2 287.0 55 583 +1982 8 27 6 3 WILLIAM 57.3 217.8 23 741 +1956 10 28 0 5 VALERIE 23.1 20.7 63 660 +1950 10 2 0 21 ALBERTO 19.5 83.9 140 173 +1997 1 15 0 18 CHRIS 40.3 291.3 23 451 +1979 9 5 0 15 TONY 24.0 110.1 18 16 +1997 8 28 0 6 OSCAR 64.1 60.2 141 165 +1951 2 14 6 15 TONY 16.1 41.9 112 595 +1960 6 8 0 8 WILLIAM 18.0 349.7 77 756 +1989 8 1 0 8 WILLIAM 55.2 64.6 41 528 +1988 8 5 0 5 BERYL 21.7 122.8 80 757 +1985 2 3 12 6 WILLIAM 9.0 171.3 139 90 +1969 3 12 6 5 RAFAEL 22.0 49.7 132 261 +1969 11 27 0 1 MICHAEL 44.0 315.6 79 252 +2003 12 14 18 15 PATTY 41.6 32.7 93 356 +1960 2 12 12 3 TONY 15.4 158.0 75 757 +1986 11 9 0 27 HELENE 61.7 230.2 51 111 +1977 3 10 6 19 LESLIE 43.0 189.9 100 400 +1997 7 1 0 21 MICHAEL 65.8 281.2 157 625 +1981 6 16 12 12 SANDY 57.6 214.3 42 860 +1983 7 12 0 28 ALBERTO 44.0 69.9 138 843 +1967 12 21 6 1 BERYL 21.7 331.5 134 732 +2003 3 5 18 6 RAFAEL 21.1 64.1 75 589 +1977 2 1 18 19 JOYCE 20.2 190.4 57 554 +1986 12 6 6 21 ALBERTO 60.6 196.7 62 689 +1959 4 2 0 10 RAFAEL 41.5 11.8 110 533 +1992 9 6 12 20 VALERIE 12.5 273.1 43 541 +1960 2 11 0 3 VALERIE 37.5 291.0 14 362 +1976 8 17 0 2 MICHAEL 57.2 319.7 132 388 +1954 6 23 6 8 ALBERTO 33.6 311.3 72 869 +1977 2 7 18 6 WILLIAM 20.5 84.9 102 59 +1973 5 5 0 24 OSCAR 10.2 53.1 154 300 +1979 4 20 18 21 OSCAR 43.4 170.9 37 53 +1972 1 9 0 19 JOYCE 48.5 159.8 113 274 +2003 2 15 6 24 WILLIAM 67.0 36.5 59 706 +1978 5 10 6 4 WILLIAM 8.8 285.0 36 465 +1964 9 11 6 9 JOYCE 23.1 98.9 91 795 +1956 12 8 18 2 SANDY 28.0 203.1 86 495 +1951 6 9 0 16 ALBERTO 34.6 8.8 125 202 +1970 6 7 12 4 ALBERTO 35.5 345.6 36 452 +1964 1 23 0 3 ALBERTO 35.3 350.0 30 161 +1995 3 27 0 6 ALBERTO 60.1 243.9 24 236 +2000 1 21 0 27 ALBERTO 14.9 54.3 91 285 +1974 6 22 6 2 DEBBY 30.6 273.6 35 261 +1957 6 26 6 3 DEBBY 23.1 252.8 121 442 +1979 1 9 18 28 KIRK 38.2 20.5 35 625 +1999 3 5 12 11 NADINE 61.8 129.9 127 697 +1986 2 25 18 20 LESLIE 26.4 48.8 111 540 +1955 12 28 6 21 JOYCE 49.2 203.9 92 23 +1987 4 22 6 16 LESLIE 35.5 24.6 112 881 +1990 9 6 18 7 HELENE 15.6 125.4 19 487 +1952 12 7 12 27 JOYCE 46.7 142.9 85 133 +1979 4 21 6 7 CHRIS 63.1 121.1 108 609 +1981 5 1 0 4 BERYL 35.7 240.5 107 106 +1963 1 7 12 8 VALERIE 38.0 67.5 163 525 +1972 2 8 12 10 ISAAC 39.6 68.1 27 803 +1962 2 9 18 16 BERYL 64.6 183.1 80 486 +1969 9 9 18 1 NADINE 29.7 13.3 30 170 +1976 1 13 0 28 GORDON 8.2 250.0 65 535 +2001 5 23 12 6 RAFAEL 27.7 21.7 69 880 +1962 1 28 6 5 ALBERTO 19.9 295.4 151 561 +1994 12 25 18 11 PATTY 58.3 305.8 156 4 +1990 8 10 12 1 SANDY 68.7 93.9 125 705 +1982 7 22 0 16 ISAAC 61.6 37.4 68 530 +1980 4 24 18 16 GORDON 28.4 35.1 19 386 +1996 12 20 12 14 NADINE 63.5 342.9 122 547 +1987 7 18 6 28 ISAAC 22.1 211.5 47 384 +1979 6 19 6 18 BERYL 64.6 123.5 61 818 +1980 10 7 0 3 ISAAC 44.5 229.8 123 876 +1966 4 21 18 14 RAFAEL 40.4 38.9 12 833 +1986 3 25 6 22 MICHAEL 36.5 124.7 152 644 +1955 1 12 12 21 TONY 16.0 221.9 149 753 +1976 5 19 6 10 OSCAR 24.7 62.8 135 621 +1995 2 27 6 24 ERNESTO 61.4 203.1 91 155 +1954 5 18 0 28 HELENE 49.2 14.1 29 431 +2001 4 20 6 15 CHRIS 65.1 242.5 107 5 +1991 9 22 12 2 NADINE 48.0 109.5 91 626 +1978 9 7 12 21 ALBERTO 23.0 99.8 141 229 +1997 3 7 0 7 KIRK 68.8 276.5 35 747 +1952 7 23 0 5 ERNESTO 30.2 206.0 92 570 +1992 2 21 0 23 ERNESTO 63.1 231.6 124 700 +1963 2 11 18 4 ERNESTO 60.9 60.9 147 549 +2003 8 3 18 6 ISAAC 56.1 172.6 136 804 +1976 8 1 12 23 CHRIS 58.0 137.8 30 388 +1957 10 21 18 22 SANDY 42.4 262.4 18 221 +1989 12 8 12 9 RAFAEL 8.4 244.4 84 719 +2002 5 7 6 9 BERYL 29.9 318.7 52 243 +1999 3 15 18 21 NADINE 67.9 99.5 85 179 +1983 5 25 12 20 WILLIAM 43.3 349.5 123 887 +1998 6 7 18 12 MICHAEL 15.4 328.4 41 166 +1973 3 27 6 20 ISAAC 19.3 23.4 17 846 +1968 2 14 12 2 OSCAR 17.4 321.7 71 848 +1967 4 3 18 17 NADINE 42.0 234.4 13 581 +1986 4 27 12 21 LESLIE 9.2 89.0 120 224 +2003 7 19 0 23 DEBBY 57.2 268.7 108 351 +1959 8 10 6 12 MICHAEL 52.6 252.0 31 483 +1964 6 3 12 12 PATTY 17.7 283.0 121 860 +1954 7 20 12 2 OSCAR 38.2 247.5 146 228 +1979 2 11 6 11 NADINE 24.8 344.2 76 675 +1981 8 10 6 20 OSCAR 62.8 306.4 41 71 +2004 11 17 18 1 KIRK 49.2 25.6 161 885 +1985 9 28 0 1 FLORENCE 21.8 127.8 145 638 +1985 2 2 12 7 HELENE 57.2 204.1 152 588 +1984 1 7 18 26 OSCAR 61.2 80.0 149 586 +1972 10 10 18 27 ISAAC 35.8 225.7 84 13 +1979 4 11 12 14 BERYL 45.1 233.1 70 152 +1979 1 7 6 5 CHRIS 37.3 320.1 111 90 +1950 6 6 12 9 LESLIE 42.1 341.5 121 790 +1994 3 20 0 8 PATTY 7.6 51.6 90 524 +1952 4 21 12 14 HELENE 24.5 185.6 86 169 +1993 6 23 6 16 TONY 59.7 162.0 87 591 +1959 6 10 0 20 DEBBY 64.8 54.1 158 197 +1982 2 6 12 16 LESLIE 52.9 316.2 11 632 +1973 12 13 18 6 WILLIAM 38.3 270.3 159 145 +1954 4 8 0 1 NADINE 13.8 346.1 125 123 +2003 7 3 6 20 KIRK 48.1 269.7 43 878 +1969 10 9 6 13 CHRIS 48.7 50.0 34 717 +1987 10 15 0 28 HELENE 12.4 55.4 71 163 +1974 4 11 0 26 NADINE 34.6 53.9 122 278 +1956 9 11 12 26 CHRIS 54.0 334.3 150 252 +1992 3 1 18 16 OSCAR 17.0 252.3 84 271 +1978 3 1 12 1 OSCAR 45.4 227.6 116 307 +1975 5 10 12 7 ISAAC 19.9 119.8 22 709 +1960 9 13 18 22 OSCAR 14.5 301.4 91 678 +1951 6 8 12 25 OSCAR 40.2 123.5 89 442 +1960 4 13 18 27 WILLIAM 29.4 48.0 153 720 +1960 7 3 6 18 PATTY 27.6 285.9 147 679 +1979 9 18 6 19 ERNESTO 10.9 147.4 46 47 +1954 12 23 6 24 BERYL 50.9 237.2 87 788 +1956 11 15 6 10 WILLIAM 55.9 98.7 104 588 +1974 5 9 6 9 ALBERTO 41.3 74.3 88 813 +1959 10 25 0 22 KIRK 7.1 271.0 124 683 +1963 6 23 12 5 HELENE 20.4 308.6 120 30 +1982 7 14 6 6 SANDY 39.8 38.1 56 743 +1981 7 15 0 18 HELENE 50.1 10.6 153 108 +1990 10 6 12 5 DEBBY 28.6 325.8 35 159 +1987 3 2 6 2 MICHAEL 41.2 289.9 18 858 +2000 11 8 12 8 NADINE 44.1 64.8 15 56 +1989 10 12 0 23 OSCAR 17.3 136.4 114 419 +1969 3 11 6 6 ALBERTO 51.2 25.3 145 534 +2003 6 9 6 6 VALERIE 41.7 82.4 36 348 +1993 5 14 18 5 RAFAEL 15.0 122.8 107 107 +1967 1 4 0 12 ISAAC 52.3 134.3 13 795 +1974 9 28 6 7 ERNESTO 16.4 215.5 61 472 +1965 1 1 6 25 WILLIAM 30.1 141.9 33 645 +1991 8 23 12 6 JOYCE 53.0 185.9 108 75 +1996 1 23 18 9 FLORENCE 67.6 186.1 153 828 +1995 5 18 12 25 VALERIE 64.8 353.0 15 234 +1975 5 16 12 26 NADINE 26.1 326.9 149 614 +2001 1 22 18 8 TONY 28.2 206.3 136 42 +1951 2 27 18 23 VALERIE 15.2 176.4 20 147 +1972 4 5 12 16 ERNESTO 16.1 104.4 49 108 +1971 10 21 6 7 GORDON 9.3 143.9 114 201 +1975 11 13 6 28 SANDY 20.7 138.3 131 801 +1950 10 12 6 4 KIRK 52.6 215.8 33 1 +1995 9 18 12 23 ISAAC 34.2 245.8 108 731 +1990 4 15 0 1 OSCAR 14.6 22.3 107 544 +1970 9 28 6 18 VALERIE 28.2 213.3 15 413 +1954 12 16 6 28 OSCAR 42.5 276.0 54 869 +1952 4 15 6 21 HELENE 26.5 109.4 29 150 +1951 4 4 18 4 HELENE 9.7 200.6 139 89 +1976 2 11 18 12 NADINE 59.2 216.5 32 172 +1972 4 24 6 1 VALERIE 52.1 181.1 145 86 +1978 9 7 0 9 NADINE 66.3 143.3 150 565 +1970 12 2 0 3 FLORENCE 11.4 207.9 110 147 +2000 9 4 18 21 GORDON 43.1 119.1 118 351 +1950 9 3 6 5 JOYCE 30.8 71.6 58 573 +1978 3 1 12 8 ISAAC 36.2 227.6 69 101 +2001 5 9 12 1 WILLIAM 20.2 133.6 106 72 +1992 5 18 12 17 BERYL 12.6 149.1 53 576 +1958 9 8 18 5 ALBERTO 30.8 337.9 26 263 +1977 4 17 18 15 OSCAR 63.3 54.3 158 499 +1965 1 24 18 2 RAFAEL 63.8 309.8 160 390 +1987 11 14 0 11 PATTY 15.5 140.4 137 776 +1956 9 3 0 13 RAFAEL 31.8 7.8 64 412 +1956 2 20 6 9 JOYCE 64.4 211.0 18 25 +1985 11 28 12 19 KIRK 47.4 354.1 81 284 +1997 9 23 18 28 MICHAEL 19.9 192.2 108 210 +1984 9 5 12 18 CHRIS 31.9 149.2 35 192 +1969 10 21 12 11 FLORENCE 55.2 26.1 155 297 +1997 3 9 18 18 KIRK 38.4 344.0 105 569 +1969 2 19 12 12 KIRK 58.2 7.7 89 613 +1973 6 1 12 19 ERNESTO 21.2 273.0 14 873 +1956 12 10 0 26 TONY 19.3 153.2 114 625 +1972 4 15 6 27 OSCAR 68.9 33.1 53 541 +1969 10 21 0 21 ISAAC 12.9 150.1 66 431 +1967 4 3 0 8 VALERIE 16.9 180.4 117 705 +1960 6 1 6 8 WILLIAM 68.6 149.0 73 179 +1966 7 24 0 26 WILLIAM 26.4 69.5 151 255 +1964 7 17 18 27 WILLIAM 15.8 172.2 162 419 +1995 1 8 0 17 HELENE 68.6 54.3 159 463 +1953 6 4 12 28 GORDON 19.4 286.0 156 743 +1961 3 8 6 15 CHRIS 65.4 301.7 156 41 +1958 4 4 12 2 DEBBY 7.4 313.0 134 298 +1992 9 18 6 3 JOYCE 28.8 244.0 18 49 +2002 6 14 12 14 WILLIAM 68.9 108.4 108 257 +1952 9 7 0 5 ERNESTO 34.8 255.4 85 360 +1962 10 28 12 14 ERNESTO 50.0 341.5 15 166 +1975 5 12 6 9 CHRIS 32.5 280.2 109 646 +1990 8 10 18 3 RAFAEL 22.6 193.1 57 68 +1967 8 7 18 4 OSCAR 47.3 35.5 120 127 +1986 12 9 0 17 NADINE 45.5 44.8 109 566 +1965 8 21 6 27 HELENE 34.0 355.8 78 138 +2004 6 7 0 3 ERNESTO 8.6 123.2 144 15 +1952 12 25 0 12 JOYCE 27.5 120.9 23 626 +2004 4 4 18 23 NADINE 51.1 312.9 120 590 +1993 9 4 18 16 CHRIS 52.3 161.9 43 487 +1984 4 9 0 24 ALBERTO 57.3 273.0 71 121 +1971 1 18 6 18 MICHAEL 10.1 269.5 110 553 +1979 3 18 12 13 GORDON 25.3 73.0 57 200 +1995 11 8 18 5 PATTY 65.4 0.6 151 187 +1971 2 5 0 20 HELENE 43.1 244.3 136 762 +1966 10 27 0 11 VALERIE 60.8 305.8 103 501 +1962 3 6 18 28 FLORENCE 56.0 208.3 17 475 +1966 3 6 0 17 DEBBY 42.3 170.5 34 601 +1998 10 13 0 9 LESLIE 9.5 56.9 80 899 +1984 3 5 12 25 TONY 38.6 252.9 120 289 +1994 2 10 18 27 DEBBY 66.2 62.7 39 175 +1951 8 1 12 3 TONY 21.9 345.8 44 888 +1955 5 23 0 24 OSCAR 61.2 220.3 26 452 +1987 7 26 12 11 MICHAEL 52.0 271.3 145 821 +1967 12 3 12 18 FLORENCE 27.6 274.9 64 667 +1956 6 24 18 4 KIRK 50.0 340.9 73 786 +2002 11 24 18 20 LESLIE 33.9 263.1 61 354 +1974 3 7 0 11 RAFAEL 35.3 8.8 18 780 +1955 12 8 0 25 DEBBY 26.5 286.5 27 793 +1999 6 4 0 17 OSCAR 54.4 313.1 149 324 +1969 4 5 0 14 PATTY 21.7 84.4 95 410 +1984 5 24 6 2 ISAAC 44.2 94.1 17 460 +1957 11 20 18 24 TONY 54.4 350.6 137 663 +1958 11 10 6 22 NADINE 10.6 24.6 41 240 +1960 5 21 6 23 SANDY 19.8 1.1 154 338 +1951 6 6 12 17 FLORENCE 12.5 92.8 22 831 +1995 4 5 18 27 MICHAEL 65.2 297.6 119 443 +1952 7 4 0 28 CHRIS 46.1 253.4 159 163 +1952 4 15 6 6 ALBERTO 47.6 186.2 89 380 +1976 10 24 0 26 ISAAC 10.9 37.7 91 174 +1967 3 15 18 19 PATTY 26.1 150.1 85 856 +1969 9 7 6 10 BERYL 29.3 153.0 106 451 +1969 4 27 0 2 ALBERTO 11.9 341.4 93 649 +2002 8 3 18 1 PATTY 15.3 283.5 65 598 +1969 7 3 0 8 ERNESTO 66.7 263.0 74 130 +2001 9 24 6 18 JOYCE 19.4 25.1 72 391 +1991 1 3 0 26 WILLIAM 14.0 244.2 89 425 +2000 12 15 12 1 DEBBY 45.4 53.0 40 10 +1976 8 17 18 1 MICHAEL 65.8 127.7 125 578 +1955 6 15 12 14 LESLIE 64.2 40.1 58 593 +2002 7 1 12 11 TONY 54.5 105.2 43 503 +1968 12 19 12 1 LESLIE 41.0 191.7 103 875 +1957 2 11 6 24 BERYL 49.5 22.0 87 433 +1968 5 13 12 22 RAFAEL 66.0 171.4 93 361 +1995 7 18 6 2 LESLIE 9.4 294.2 81 292 +1956 9 2 12 11 NADINE 21.1 194.8 38 635 +2003 1 22 12 9 FLORENCE 13.8 224.8 161 713 +1984 7 12 0 10 CHRIS 14.2 222.2 105 580 +1961 12 14 6 4 OSCAR 9.5 239.6 143 137 +1955 3 26 0 4 LESLIE 22.2 210.5 158 17 +1998 3 11 0 13 ISAAC 59.7 266.8 39 415 +1994 5 12 0 22 MICHAEL 15.1 129.7 134 164 +1988 1 27 6 14 ERNESTO 29.8 287.9 42 567 +1957 10 15 6 28 DEBBY 26.2 150.3 79 696 +1968 12 26 18 10 NADINE 59.5 151.4 127 596 +1997 2 17 12 7 FLORENCE 68.9 71.1 75 56 +1992 10 24 6 6 FLORENCE 32.5 123.9 107 198 +1973 12 9 12 6 KIRK 50.4 163.9 95 783 +1984 5 7 18 18 MICHAEL 15.4 281.7 36 54 +1956 8 15 6 11 ALBERTO 31.0 91.2 106 154 +1964 2 7 6 8 HELENE 45.1 85.3 140 142 +1971 2 15 18 21 VALERIE 60.8 248.3 135 688 +1974 3 22 18 8 LESLIE 29.7 80.4 138 224 +1992 1 15 0 3 ERNESTO 14.8 351.4 43 689 +1987 10 23 12 10 FLORENCE 36.7 167.4 66 854 +1984 8 14 0 19 OSCAR 26.7 121.5 98 552 +1953 9 5 12 26 TONY 65.7 131.7 108 522 +1967 3 26 18 27 TONY 12.5 338.1 143 292 +1964 3 6 12 1 MICHAEL 35.6 120.9 155 441 +1951 9 23 18 19 PATTY 48.9 312.0 46 298 +1985 3 21 18 14 ALBERTO 43.8 130.2 110 368 +1965 7 23 0 13 LESLIE 35.9 356.1 14 212 +1954 5 7 6 15 RAFAEL 36.6 148.1 110 859 +1959 8 10 18 25 SANDY 32.3 303.1 157 644 +1978 11 16 6 7 ALBERTO 33.2 209.9 15 400 +1987 12 5 12 8 CHRIS 45.7 56.9 164 154 +2001 9 28 0 7 FLORENCE 59.8 42.7 153 884 +1962 10 12 12 22 LESLIE 12.9 45.5 46 330 +1967 2 13 0 21 DEBBY 49.1 352.4 59 640 +1954 5 10 0 8 OSCAR 55.2 299.6 69 251 +2004 5 13 12 3 ERNESTO 39.4 35.1 75 69 +1969 3 26 6 16 RAFAEL 20.6 98.0 135 70 +1960 6 3 0 18 PATTY 64.5 279.0 126 178 +1961 5 22 6 19 CHRIS 18.6 79.4 111 262 +1988 4 20 0 26 FLORENCE 31.5 192.1 46 99 +1984 5 5 0 9 RAFAEL 12.1 114.7 131 366 +1953 4 16 18 24 FLORENCE 56.2 142.4 71 486 +1965 11 7 0 15 BERYL 29.2 208.8 15 588 +1969 1 14 0 5 NADINE 13.8 282.9 38 201 +1960 3 18 0 24 MICHAEL 63.1 106.3 86 699 +1972 6 2 0 2 TONY 35.0 74.9 43 680 +1962 1 22 12 28 BERYL 47.9 9.9 79 706 +1953 3 25 18 4 SANDY 53.4 310.3 48 71 +1960 10 5 0 24 PATTY 24.8 144.1 118 513 +1953 10 9 12 27 RAFAEL 54.8 212.0 50 297 +1989 12 21 0 4 ERNESTO 64.2 3.1 95 96 +1991 2 9 6 8 PATTY 50.1 215.7 50 826 +1966 1 12 6 22 ERNESTO 52.8 104.4 147 127 +1962 8 16 0 1 CHRIS 27.0 154.3 71 495 +1982 11 2 12 10 SANDY 24.1 297.1 86 398 +1979 8 10 0 6 FLORENCE 26.0 50.3 148 108 +1975 7 24 12 16 DEBBY 10.0 52.2 86 517 +1968 2 10 0 26 JOYCE 68.3 91.3 85 299 +1996 7 8 12 22 ERNESTO 35.0 178.8 29 845 +1996 3 28 0 9 BERYL 32.4 223.6 160 458 +1992 11 28 18 16 ERNESTO 49.5 111.3 102 880 +2004 2 12 6 3 TONY 48.4 266.5 119 30 +1977 6 14 18 25 LESLIE 69.7 233.9 107 602 +1993 1 16 6 7 CHRIS 44.7 62.0 93 105 +1996 1 8 0 23 OSCAR 36.9 61.9 50 692 +1965 5 15 6 6 HELENE 28.8 27.5 44 783 +1965 11 21 12 13 ERNESTO 32.4 45.3 136 413 +1955 6 7 6 15 GORDON 31.3 196.7 18 291 +1997 5 23 6 3 NADINE 22.5 133.8 40 93 +1950 1 28 12 20 WILLIAM 26.3 57.3 64 453 +1960 8 25 6 23 WILLIAM 16.1 219.3 144 67 +1980 1 11 12 18 KIRK 28.4 133.1 33 607 +1990 8 17 12 14 WILLIAM 45.5 39.2 101 507 +1976 3 3 12 14 BERYL 44.4 283.7 44 590 +1975 12 28 12 26 VALERIE 26.7 159.9 138 70 +1962 7 3 0 19 TONY 62.6 271.1 46 596 +1969 4 19 0 15 WILLIAM 64.0 117.8 84 778 +1958 9 7 6 26 RAFAEL 31.9 258.7 131 842 +1963 4 3 6 2 BERYL 60.2 148.8 27 257 +1986 8 3 12 18 KIRK 31.8 3.9 57 742 +1994 2 23 0 4 ISAAC 19.4 233.5 24 334 +1988 4 11 12 11 DEBBY 30.1 12.9 133 660 +1963 6 10 18 12 TONY 68.3 257.1 157 204 +1957 11 14 18 27 GORDON 21.7 320.8 12 300 +1986 1 16 6 3 JOYCE 43.9 319.2 125 885 +2001 6 13 0 22 FLORENCE 52.4 348.5 150 776 +1970 12 24 0 12 GORDON 25.9 90.9 160 758 +2000 6 12 12 25 NADINE 29.9 30.4 140 457 +2002 9 13 12 12 TONY 19.1 56.2 107 843 +1994 11 2 12 12 BERYL 37.7 156.2 136 362 +1988 11 6 18 3 SANDY 40.6 195.8 67 624 +1972 3 14 12 5 ERNESTO 52.1 337.2 48 876 +1950 5 9 12 16 FLORENCE 62.3 34.9 110 246 +1978 6 25 18 3 BERYL 62.4 245.1 43 867 +2001 5 5 18 9 ISAAC 43.5 324.7 136 267 +1998 12 22 12 18 CHRIS 35.7 36.8 17 710 +1956 6 19 6 14 GORDON 70.0 215.3 31 309 +1952 7 2 0 7 JOYCE 52.2 98.1 74 5 +1996 4 5 0 22 PATTY 41.9 209.5 126 504 +1969 1 16 6 1 FLORENCE 21.5 215.2 55 643 +1974 10 24 0 12 JOYCE 7.8 315.9 143 366 +1972 2 6 12 10 DEBBY 68.0 321.5 101 169 +2004 2 23 6 26 SANDY 10.7 347.2 21 724 +1977 5 16 12 26 SANDY 8.4 217.8 78 668 +1990 11 11 18 9 DEBBY 21.7 43.0 153 612 +1950 7 7 0 23 OSCAR 42.5 256.5 62 74 +1984 6 28 12 15 VALERIE 8.8 140.7 33 844 +1955 8 2 0 27 OSCAR 61.5 357.8 38 415 +1983 8 27 18 16 MICHAEL 31.3 184.5 28 194 +1957 9 19 18 6 RAFAEL 51.1 187.1 15 375 +1961 9 10 6 23 NADINE 40.3 324.1 100 529 +1991 11 25 18 8 RAFAEL 46.3 245.4 33 350 +1963 10 14 18 3 TONY 30.0 194.4 140 227 +1993 2 1 0 17 PATTY 49.3 214.7 50 43 +1989 2 14 0 18 ALBERTO 55.8 250.9 95 42 +1951 10 26 0 9 HELENE 19.8 222.7 36 522 +1966 2 7 6 20 NADINE 48.2 180.5 152 631 +2000 9 28 18 20 NADINE 11.3 216.9 29 439 +1974 11 19 12 6 WILLIAM 43.4 161.1 24 250 +1985 12 6 6 1 MICHAEL 38.5 170.8 89 71 +1962 2 11 0 9 HELENE 64.5 137.6 64 713 +1986 8 24 6 6 ISAAC 40.1 160.2 109 107 +1967 8 16 0 24 WILLIAM 63.4 126.5 121 680 +1995 5 10 18 2 VALERIE 52.3 82.3 62 264 +2000 6 18 6 27 CHRIS 38.1 173.2 23 734 +1956 8 16 12 26 VALERIE 23.0 316.2 19 565 +1992 10 26 6 10 SANDY 21.6 159.3 134 813 +1973 3 8 6 3 PATTY 48.5 33.5 84 127 +1953 5 21 0 25 DEBBY 39.4 101.6 54 848 +1959 3 14 18 21 CHRIS 68.4 79.7 136 303 +1985 4 4 0 11 SANDY 69.7 308.4 78 810 +1993 12 26 0 26 SANDY 54.0 39.8 78 452 +1958 11 15 12 7 MICHAEL 42.7 345.4 113 95 +1967 12 9 0 8 TONY 18.7 55.3 132 30 +2000 1 5 0 3 KIRK 34.7 96.8 128 534 +1950 7 19 12 12 RAFAEL 37.0 308.7 135 181 +1989 9 2 0 21 RAFAEL 40.7 182.7 90 656 +2003 3 7 6 6 SANDY 29.2 199.1 65 652 +2004 6 22 18 11 JOYCE 31.6 40.9 18 824 +1982 2 14 12 2 ERNESTO 40.1 304.1 76 709 +1952 8 28 0 22 TONY 41.7 318.5 91 199 +1981 12 18 6 22 KIRK 55.1 215.6 78 586 +1995 6 25 6 15 DEBBY 37.5 270.8 149 309 +1976 7 13 12 13 ALBERTO 56.7 187.2 34 654 +2002 6 1 18 4 NADINE 53.5 337.8 117 119 +1976 10 25 12 16 NADINE 40.6 261.5 47 205 +1962 2 20 12 25 ISAAC 22.1 242.7 15 255 +1982 7 13 6 24 HELENE 28.7 266.0 160 766 +1983 8 13 0 3 ISAAC 13.5 259.2 12 549 +1990 4 12 0 5 WILLIAM 47.4 234.5 160 374 +1982 8 12 6 1 MICHAEL 39.5 180.8 104 516 +1984 7 9 6 20 BERYL 35.2 154.4 37 39 +1996 1 1 6 17 ERNESTO 39.3 232.0 95 541 +1973 8 6 18 15 HELENE 18.2 261.9 155 822 +1979 10 3 12 4 ISAAC 17.8 53.0 77 308 +1970 3 7 6 1 OSCAR 66.0 79.2 30 871 +1994 10 18 18 11 MICHAEL 11.3 156.4 15 377 +1987 1 12 18 18 PATTY 55.6 162.4 36 553 +1964 9 12 0 25 WILLIAM 61.9 158.7 124 548 +1988 11 22 0 3 FLORENCE 39.6 333.9 41 167 +2003 1 1 0 10 JOYCE 8.9 206.9 13 722 +1972 10 8 12 16 GORDON 46.1 53.1 61 547 +1994 7 2 12 8 BERYL 18.5 120.0 64 160 +2002 9 22 12 5 DEBBY 28.2 342.1 76 323 +1963 2 13 18 10 GORDON 52.1 297.7 57 51 +1984 11 11 6 21 DEBBY 26.1 117.4 128 701 +1957 7 2 6 22 DEBBY 23.4 260.0 52 255 +1982 7 15 0 8 NADINE 41.2 61.2 163 169 +1983 2 2 18 12 CHRIS 44.5 302.1 15 26 +1983 2 7 0 6 JOYCE 40.1 30.2 139 883 +1986 7 10 12 1 NADINE 54.1 75.9 139 641 +1966 7 18 0 1 OSCAR 33.4 142.5 16 790 +1983 8 17 6 14 ERNESTO 11.6 356.9 154 358 +1990 10 13 18 15 BERYL 29.6 305.2 58 469 +1956 12 21 6 12 FLORENCE 38.2 311.8 108 177 +1966 8 4 6 5 ISAAC 42.3 171.3 99 635 +1997 7 10 18 17 PATTY 48.5 114.8 116 457 +1954 7 23 6 27 TONY 33.7 278.9 81 524 +1974 4 28 18 16 LESLIE 10.2 303.9 55 532 +1998 7 26 0 16 RAFAEL 61.0 339.0 146 693 +1979 9 20 6 22 RAFAEL 10.3 338.1 76 225 +1955 7 15 6 19 PATTY 64.4 237.0 105 153 +1961 12 15 12 20 FLORENCE 14.2 106.3 29 869 +1972 1 14 0 26 ERNESTO 15.0 330.2 36 708 +1996 7 21 6 6 CHRIS 8.1 178.1 61 64 +1994 12 18 0 16 ERNESTO 8.9 76.1 42 565 +1994 4 10 0 16 CHRIS 59.2 28.9 79 338 +1984 3 4 18 27 MICHAEL 10.2 36.9 155 5 +1952 4 11 18 7 MICHAEL 39.6 280.1 25 767 +1966 2 27 0 27 MICHAEL 49.6 84.2 112 606 +1990 8 1 12 20 GORDON 59.8 334.3 109 40 +1979 12 21 12 6 RAFAEL 20.0 141.2 76 29 +1982 9 11 18 26 ISAAC 8.4 83.3 83 280 +1978 3 20 12 17 CHRIS 18.7 92.8 56 741 +1965 2 23 18 2 KIRK 25.1 311.9 137 337 +1965 9 3 18 3 LESLIE 8.7 80.7 47 860 +1958 1 3 0 12 DEBBY 25.5 120.5 141 581 +1962 1 22 12 8 ERNESTO 16.3 283.0 126 699 +1954 2 15 0 5 DEBBY 21.9 186.4 158 327 +1998 2 19 6 17 RAFAEL 64.6 177.1 49 697 +1965 4 27 12 26 LESLIE 57.0 56.9 24 320 +2001 10 1 0 5 ERNESTO 24.3 93.1 113 301 +2004 3 25 0 3 CHRIS 64.2 64.3 37 837 +1977 12 22 0 12 BERYL 20.1 231.8 25 887 +1989 11 25 18 20 KIRK 14.3 13.9 21 748 +1994 1 24 6 1 RAFAEL 53.0 130.7 51 683 +1952 9 23 0 6 VALERIE 23.9 305.3 57 774 +1987 7 26 6 20 VALERIE 10.7 304.5 83 133 +2003 8 22 6 7 GORDON 19.7 201.7 98 647 +1959 5 19 12 25 ALBERTO 52.2 296.8 123 297 +1968 2 2 12 7 VALERIE 68.9 243.2 141 652 +1997 10 25 18 17 NADINE 61.7 332.7 149 716 +1958 12 9 12 10 MICHAEL 28.0 256.9 42 380 +1956 2 8 6 26 GORDON 29.5 324.5 132 346 +1989 5 14 12 25 MICHAEL 61.5 10.4 96 319 +1969 7 27 6 21 PATTY 18.4 177.3 10 470 +1991 12 14 18 5 LESLIE 39.9 307.8 142 559 +1973 5 20 6 4 RAFAEL 50.1 64.1 15 792 +1981 6 13 0 11 PATTY 50.0 137.5 91 745 +1978 10 1 18 1 BERYL 7.8 75.1 73 708 +1979 5 7 0 24 CHRIS 38.8 278.3 14 466 +1964 1 19 0 25 ALBERTO 46.9 47.6 19 3 +1974 10 2 0 12 LESLIE 58.9 13.2 103 140 +1977 2 15 6 15 HELENE 24.3 156.0 102 385 +2002 5 14 18 19 TONY 65.2 329.6 22 585 +1980 6 9 6 9 PATTY 63.9 103.1 21 200 +1951 10 15 0 8 OSCAR 13.3 53.6 149 359 +2002 9 26 18 1 DEBBY 56.1 80.0 25 220 +1998 12 18 18 24 BERYL 30.0 82.3 73 896 +1966 4 24 12 26 LESLIE 13.3 54.9 77 350 +1977 4 28 6 13 ERNESTO 9.6 244.5 46 395 +1976 5 7 12 6 WILLIAM 22.2 32.8 72 173 +1967 4 2 12 7 NADINE 27.2 67.8 89 116 +1980 7 3 6 14 HELENE 60.6 333.3 132 596 +1988 3 15 18 13 NADINE 38.4 280.7 70 484 +1979 11 9 6 2 SANDY 55.0 64.0 61 248 +1951 8 24 6 11 WILLIAM 24.1 232.9 105 58 +2000 1 22 6 19 WILLIAM 45.8 21.6 132 850 +2000 6 25 12 21 OSCAR 42.2 90.0 43 869 +1979 9 27 18 17 OSCAR 8.6 146.8 118 696 +1959 7 1 18 17 BERYL 53.7 288.6 89 490 +1984 6 2 0 8 VALERIE 16.3 319.2 156 269 +1969 2 17 0 21 WILLIAM 29.9 207.1 31 75 +1995 8 28 18 14 WILLIAM 17.7 214.8 78 857 +1975 9 14 0 1 ERNESTO 34.6 251.9 142 699 +1997 11 11 0 15 ALBERTO 23.6 152.4 77 75 +1964 1 23 12 3 HELENE 53.1 273.1 85 477 +1966 3 4 6 16 RAFAEL 68.4 268.1 141 577 +1952 6 5 0 17 DEBBY 26.9 215.7 107 421 +1970 2 8 0 19 DEBBY 17.0 267.1 33 882 +1988 6 28 0 25 NADINE 48.8 255.0 92 379 +1955 7 28 18 21 HELENE 49.2 99.8 108 449 +1950 3 6 18 4 VALERIE 61.2 346.7 83 852 +1953 2 7 6 20 FLORENCE 50.7 123.1 73 87 +1950 5 24 6 17 VALERIE 36.7 47.3 42 672 +1979 3 1 12 4 MICHAEL 50.8 348.7 152 469 +2000 4 18 0 3 VALERIE 59.6 98.3 120 320 +1979 12 21 12 12 RAFAEL 38.8 120.7 119 578 +1954 4 21 12 8 JOYCE 19.4 152.1 150 532 +2001 5 13 6 11 WILLIAM 63.4 129.9 106 352 +1960 10 27 0 25 BERYL 7.3 172.8 157 301 +1982 7 7 18 20 CHRIS 68.1 140.6 40 389 +1989 7 22 18 1 LESLIE 14.8 26.8 107 216 +1995 10 13 18 8 ALBERTO 32.7 349.2 125 141 +2003 5 18 18 2 TONY 36.7 101.0 104 189 +1998 10 20 6 16 ISAAC 63.7 15.6 155 6 +1955 5 20 18 15 JOYCE 32.1 80.3 86 25 +1995 4 1 12 2 GORDON 23.5 22.9 19 47 +1953 10 9 0 5 TONY 21.2 197.5 22 550 +1998 9 10 12 15 CHRIS 50.0 198.4 106 665 +1986 9 16 18 8 NADINE 55.8 144.7 32 8 +1953 11 6 6 5 DEBBY 30.7 66.6 103 552 +1987 2 4 6 10 KIRK 28.7 187.1 113 230 +1953 7 15 0 13 VALERIE 30.4 193.3 149 29 +1975 12 9 12 1 DEBBY 28.0 322.9 57 331 +1989 7 5 0 28 RAFAEL 26.8 311.8 118 758 +1961 8 25 6 14 KIRK 50.4 248.1 32 752 +1983 6 15 6 15 OSCAR 59.9 58.9 115 687 +2001 12 4 12 9 ISAAC 38.7 258.5 35 551 +1969 5 24 6 15 VALERIE 13.5 135.2 136 577 +2004 5 2 12 2 NADINE 58.9 150.1 53 12 +1977 1 22 6 5 VALERIE 58.8 210.6 151 509 +1993 1 12 0 9 RAFAEL 21.2 289.2 145 876 +1981 6 27 0 7 LESLIE 26.1 86.3 125 231 +1979 11 1 12 26 LESLIE 19.2 123.2 68 815 +1959 2 2 0 25 PATTY 68.3 196.2 99 580 +1997 6 10 0 23 OSCAR 66.4 291.4 70 767 +1973 5 7 6 24 VALERIE 66.9 341.9 76 107 +1990 9 21 6 20 HELENE 19.5 153.1 20 592 +1989 1 7 0 16 RAFAEL 24.9 158.2 37 43 +1986 9 8 6 18 KIRK 25.3 183.8 135 523 +1954 7 25 18 6 ALBERTO 68.8 41.5 70 216 +1978 8 19 6 5 ALBERTO 27.6 352.8 81 151 +1964 10 20 6 11 NADINE 63.1 147.2 25 684 +1981 2 13 12 4 ISAAC 23.0 115.8 24 367 +1957 6 7 6 21 TONY 20.6 31.5 164 801 +1989 1 1 0 25 PATTY 13.6 124.1 41 447 +1983 4 4 6 6 WILLIAM 59.7 305.9 81 865 +1965 12 24 18 12 TONY 53.8 163.5 68 779 +2004 10 6 0 7 PATTY 18.3 187.3 62 170 +1971 2 8 6 16 SANDY 39.1 127.0 144 511 +1957 5 25 0 10 ERNESTO 41.3 347.9 26 583 +1960 6 12 6 14 PATTY 15.5 262.3 126 184 +1987 2 21 18 5 CHRIS 22.0 281.7 30 336 +1993 7 6 6 4 CHRIS 52.6 66.1 85 45 +1999 12 23 18 3 TONY 16.8 254.4 114 174 +1951 9 17 12 4 ERNESTO 57.5 172.8 129 187 +1955 5 19 0 16 KIRK 41.5 130.6 126 296 +1992 2 2 18 10 PATTY 11.0 278.4 114 245 +1968 10 1 0 11 ISAAC 12.1 25.6 130 83 +1958 4 6 12 12 MICHAEL 36.6 241.6 68 694 +1998 10 23 6 28 ALBERTO 49.4 138.2 111 748 +1966 4 6 6 2 CHRIS 60.1 151.0 127 741 +1997 11 22 12 23 RAFAEL 41.9 142.4 69 597 +1952 9 18 6 8 NADINE 52.2 19.1 144 16 +1950 4 15 18 26 WILLIAM 55.8 312.2 142 735 +1955 6 9 0 1 CHRIS 64.2 222.1 103 737 +1956 2 23 12 12 ALBERTO 42.8 325.0 161 217 +1953 6 28 18 3 NADINE 68.7 266.9 134 742 +1986 3 6 18 1 HELENE 69.1 284.7 85 657 +1978 10 7 0 27 KIRK 10.2 2.8 110 22 +1997 4 24 18 26 VALERIE 33.9 353.4 152 46 +1994 10 10 6 13 RAFAEL 43.2 94.8 147 522 +1987 6 4 0 19 SANDY 66.9 197.8 14 700 +1960 8 27 12 26 FLORENCE 28.7 85.3 74 693 +1983 12 3 12 21 JOYCE 26.3 148.3 73 226 +1973 4 6 18 13 SANDY 65.9 264.7 138 743 +1981 1 28 12 11 DEBBY 38.5 115.1 140 644 +1982 7 13 0 15 LESLIE 64.1 154.2 85 744 +1985 2 7 0 12 ISAAC 59.5 114.7 41 489 +1958 4 2 12 10 BERYL 27.2 281.7 44 308 +1969 5 23 18 1 JOYCE 21.6 317.6 148 340 +2001 7 5 12 22 OSCAR 9.9 22.5 28 347 +1974 4 22 6 27 TONY 12.5 145.8 100 751 +1975 10 11 0 12 GORDON 35.5 93.8 146 776 +1958 2 1 18 2 KIRK 30.5 245.0 142 51 +1961 8 1 12 3 CHRIS 11.6 80.8 141 553 +1959 8 5 0 5 ALBERTO 49.9 291.9 33 668 +1955 3 18 18 26 FLORENCE 63.7 180.9 47 433 +1986 12 28 12 16 ERNESTO 20.0 306.1 93 264 +1963 9 26 6 5 MICHAEL 41.4 320.7 161 616 +1958 8 13 6 27 HELENE 52.4 13.4 24 872 +1981 1 13 18 26 KIRK 42.5 133.1 111 792 +1999 12 15 0 19 HELENE 13.3 14.0 61 541 +1994 2 12 18 16 PATTY 48.7 198.7 64 765 +1984 2 26 18 27 CHRIS 44.1 1.8 81 795 +1976 9 6 6 5 LESLIE 18.0 153.8 90 76 +1960 10 3 12 10 NADINE 9.2 353.7 41 264 +1961 8 25 0 13 PATTY 37.7 338.5 143 580 +2001 6 3 12 12 BERYL 22.9 35.3 95 410 +1998 9 28 12 6 RAFAEL 14.1 80.5 26 43 +1988 8 18 12 17 DEBBY 34.5 239.6 93 805 +1993 6 7 6 15 KIRK 29.9 354.7 107 7 +1999 1 24 6 19 MICHAEL 20.9 253.3 84 95 +1984 7 28 12 15 SANDY 41.9 1.0 50 829 +1989 12 13 18 11 VALERIE 15.1 143.1 123 280 +1953 6 10 0 15 ISAAC 46.1 314.4 122 133 +1982 2 12 0 12 ALBERTO 38.1 102.2 50 216 +1955 10 17 0 24 RAFAEL 20.8 135.7 59 38 +1998 6 22 0 21 ISAAC 62.6 65.5 76 52 +1977 7 13 6 12 RAFAEL 69.7 27.2 47 412 +1981 2 10 18 11 CHRIS 7.7 232.5 163 852 +1971 2 18 18 18 OSCAR 15.4 272.2 51 331 +1976 1 9 6 3 DEBBY 51.8 247.5 10 622 +1990 8 3 12 27 JOYCE 50.5 3.0 136 36 +1995 1 2 6 1 ERNESTO 61.1 62.6 104 626 +1994 4 21 12 11 LESLIE 47.0 198.4 149 762 +1977 5 20 18 8 HELENE 48.7 101.8 138 643 +1988 2 1 6 18 TONY 34.2 292.5 43 650 +1998 11 3 6 17 WILLIAM 8.1 182.4 113 51 +1959 7 20 0 20 PATTY 54.4 93.6 18 523 +2002 5 28 12 4 SANDY 10.3 293.4 33 123 +1999 5 20 0 4 MICHAEL 27.7 199.0 42 60 +1987 6 17 6 18 JOYCE 42.9 270.4 140 150 +1971 2 23 18 5 HELENE 10.8 145.9 164 194 +1951 12 4 18 27 DEBBY 33.5 197.5 149 574 +1966 3 17 0 10 ERNESTO 26.4 150.3 139 58 +2002 3 10 18 28 MICHAEL 12.5 70.5 64 706 +1982 10 20 6 2 LESLIE 35.1 272.7 162 794 +1967 3 4 18 8 WILLIAM 49.1 254.2 150 714 +1972 8 25 18 28 HELENE 15.5 36.2 84 717 +1976 6 6 18 6 MICHAEL 56.9 17.6 150 630 +1951 3 14 18 5 OSCAR 19.4 41.1 90 497 +1986 2 18 0 23 NADINE 64.6 227.5 145 448 +1951 11 27 18 4 ERNESTO 35.0 219.7 20 894 +1994 6 3 18 24 BERYL 64.1 84.1 76 176 +1974 7 14 18 22 HELENE 28.2 302.1 159 95 +1964 3 24 18 10 SANDY 63.4 5.8 145 682 +1977 7 19 18 16 PATTY 57.3 92.9 81 393 +1989 6 3 18 28 ALBERTO 17.7 199.3 66 226 +1953 9 26 18 12 ALBERTO 69.3 154.0 111 776 +1997 11 28 6 20 CHRIS 29.4 283.3 36 504 +2003 4 10 0 1 ISAAC 36.4 197.4 64 60 +1966 5 13 6 13 MICHAEL 66.4 146.1 128 221 +1995 10 17 12 7 SANDY 9.8 17.3 104 837 +1966 8 10 6 12 BERYL 50.9 69.4 126 885 +1964 10 11 0 15 GORDON 54.8 299.9 137 729 +1951 1 15 0 13 WILLIAM 12.5 346.1 136 374 +1992 9 22 0 20 SANDY 13.7 86.2 57 556 +1962 11 4 0 1 SANDY 33.0 3.6 40 702 +1950 5 8 6 4 NADINE 68.3 256.9 84 29 +1985 2 15 18 14 KIRK 65.3 337.7 145 137 +1996 5 17 12 1 BERYL 33.5 342.6 97 631 +1982 7 15 12 7 WILLIAM 22.9 163.2 104 336 +1980 8 11 12 7 KIRK 33.7 352.1 142 730 +1999 2 13 18 25 LESLIE 59.6 258.3 81 869 +1967 11 9 12 9 ERNESTO 41.7 201.0 15 653 +1987 3 17 0 15 GORDON 59.0 324.3 87 626 +1974 1 11 6 6 KIRK 16.9 102.7 135 444 +1999 3 25 6 4 WILLIAM 22.2 59.8 22 139 +1996 1 25 6 8 ERNESTO 11.6 21.9 100 459 +1960 7 1 18 2 CHRIS 26.3 7.4 133 376 +1966 12 3 18 10 DEBBY 20.8 85.0 150 884 +1966 9 19 12 10 KIRK 10.8 257.1 143 258 +1950 11 19 12 7 ERNESTO 35.8 302.4 39 175 +1953 11 8 6 10 LESLIE 13.4 188.0 94 518 +1970 2 19 18 23 BERYL 34.8 254.5 25 406 +1987 8 20 12 24 ALBERTO 47.4 313.0 10 447 +1957 7 18 12 6 ALBERTO 48.0 176.6 70 684 +1953 5 27 6 17 FLORENCE 37.2 32.7 162 529 +1975 12 26 18 1 CHRIS 33.8 138.2 90 534 +1973 8 14 12 23 VALERIE 14.2 48.9 68 216 +1966 8 12 6 26 LESLIE 52.8 44.8 154 376 +1981 9 14 18 28 CHRIS 8.1 176.1 155 542 +1954 4 22 18 20 MICHAEL 17.1 41.7 119 333 +1963 12 9 18 1 KIRK 30.4 239.0 161 581 +1962 9 9 12 4 DEBBY 26.9 18.6 97 863 +1975 1 7 12 19 OSCAR 30.3 118.8 83 54 +1995 6 22 18 11 DEBBY 22.3 208.1 10 83 +1996 8 2 6 22 ERNESTO 41.0 210.7 135 284 +1954 10 27 0 13 WILLIAM 32.6 187.6 57 403 +2001 9 28 0 17 ALBERTO 69.3 287.9 136 650 +1969 7 23 0 26 PATTY 28.1 65.5 143 301 +1995 12 7 0 24 ALBERTO 33.7 10.2 18 250 +2004 1 20 6 13 SANDY 23.8 262.7 67 425 +1955 5 18 0 1 ERNESTO 41.8 336.9 50 471 +1970 8 4 6 15 WILLIAM 8.0 202.9 149 452 +1964 7 4 0 5 HELENE 38.5 91.8 65 683 +2003 9 8 0 5 ISAAC 38.9 18.1 101 256 +1979 12 7 18 3 LESLIE 49.3 326.8 68 292 +1963 6 16 6 25 RAFAEL 33.1 329.8 95 843 +1961 9 11 6 20 ISAAC 55.9 86.5 92 860 +1957 5 4 12 20 ALBERTO 34.1 70.9 68 585 +1964 1 2 18 13 MICHAEL 11.6 160.1 164 213 +1985 11 16 12 16 LESLIE 56.6 120.9 81 492 +1971 2 14 6 12 SANDY 43.7 86.2 101 84 +1966 3 4 0 25 ERNESTO 57.6 234.9 65 705 +1979 9 19 0 23 KIRK 69.2 81.1 24 890 +1987 4 19 18 28 ALBERTO 12.6 266.7 77 608 +1975 3 9 6 19 HELENE 43.4 123.4 49 841 +1979 8 18 12 6 HELENE 12.7 285.9 98 337 +1965 7 19 6 22 GORDON 16.3 176.9 26 9 +1964 2 4 0 23 DEBBY 43.9 70.1 63 495 +1982 5 4 12 8 OSCAR 61.8 13.9 27 170 +1995 12 12 0 7 DEBBY 19.4 128.6 37 436 +1998 1 27 6 20 VALERIE 63.2 265.8 114 396 +1986 9 25 18 23 WILLIAM 56.8 219.3 91 315 +1959 5 23 0 14 RAFAEL 16.4 80.8 131 201 +2003 6 21 6 18 RAFAEL 32.2 230.4 65 260 +1979 10 13 12 3 LESLIE 32.1 108.3 102 717 +1991 10 2 18 16 CHRIS 45.3 190.0 14 505 +2001 4 26 6 22 GORDON 15.1 72.1 78 472 +1990 10 2 6 26 ISAAC 9.2 4.7 32 226 +1969 4 10 6 1 OSCAR 26.4 161.1 85 251 +1994 3 10 6 13 MICHAEL 30.1 272.2 48 736 +1959 7 20 18 6 HELENE 55.6 166.9 149 604 +1994 11 4 12 18 WILLIAM 64.8 326.6 83 115 +1955 5 22 12 20 NADINE 48.6 190.6 18 164 +1994 8 8 18 7 ALBERTO 25.4 37.6 59 66 +1971 8 19 6 22 ERNESTO 38.5 160.6 145 539 +1959 6 20 0 3 OSCAR 8.0 63.5 110 35 +1956 12 11 18 23 ALBERTO 59.7 196.3 67 244 +1976 4 18 6 9 ERNESTO 19.3 325.8 153 643 +1959 8 16 12 8 WILLIAM 30.2 26.0 126 136 +1950 11 14 6 27 TONY 50.2 98.7 96 779 +1960 1 26 18 9 MICHAEL 39.0 232.5 37 87 +1988 1 13 12 28 PATTY 23.2 130.4 43 690 +1953 8 26 6 14 FLORENCE 21.1 212.9 120 50 +1974 5 20 12 11 TONY 34.3 38.6 115 281 +1962 12 3 18 24 LESLIE 62.9 130.2 148 606 +1975 1 10 18 19 VALERIE 52.9 174.2 78 222 +1985 9 10 0 27 HELENE 64.9 35.5 85 848 +1993 8 23 6 16 JOYCE 58.5 98.6 104 424 +1994 1 9 6 1 ERNESTO 11.5 202.2 132 546 +1963 8 17 0 27 KIRK 47.9 245.3 144 648 +1994 12 1 18 8 FLORENCE 41.4 1.8 156 263 +1950 9 18 18 14 PATTY 39.8 86.9 46 357 +1968 5 21 6 20 GORDON 31.3 239.8 27 574 +1960 3 11 6 6 HELENE 35.9 328.2 62 497 +1981 6 17 0 20 JOYCE 68.3 314.9 62 287 +1999 11 28 0 18 VALERIE 29.8 172.8 140 489 +1999 6 7 6 13 ISAAC 16.1 318.6 151 37 +1996 9 5 12 14 DEBBY 24.2 79.3 148 336 +1976 11 16 12 17 FLORENCE 34.6 229.3 25 693 +1982 9 4 12 23 ERNESTO 60.5 174.6 100 523 +1967 2 19 0 2 FLORENCE 48.0 110.9 93 540 +1961 7 22 12 9 ERNESTO 44.5 257.9 131 406 +1982 10 24 18 6 BERYL 66.5 31.3 145 617 +1968 9 22 18 5 TONY 62.3 248.2 108 98 +1990 3 7 0 18 HELENE 36.2 134.6 164 279 +1954 5 3 18 18 CHRIS 12.8 45.3 153 325 +1986 4 19 18 18 BERYL 11.4 136.0 124 521 +1990 2 25 12 7 SANDY 19.8 141.6 163 706 +1950 3 19 12 9 OSCAR 68.8 73.8 79 34 +1951 2 1 18 18 JOYCE 23.1 256.1 157 418 +2000 11 6 0 3 JOYCE 25.2 73.1 29 453 +1999 10 15 0 24 ERNESTO 18.2 114.8 143 769 +1974 4 28 12 13 NADINE 14.5 72.3 123 857 +1951 6 17 12 27 KIRK 55.8 309.9 156 666 +2002 1 5 6 5 GORDON 64.4 83.0 146 573 +1960 7 17 0 13 ERNESTO 59.2 281.5 76 321 +2002 12 23 18 27 NADINE 30.5 166.9 10 780 +1973 11 24 6 21 NADINE 66.4 50.2 59 78 +2004 5 20 6 3 WILLIAM 62.9 208.2 63 20 +1964 3 16 6 25 OSCAR 32.3 33.5 135 674 +1994 9 5 18 12 NADINE 69.6 330.1 118 414 +1955 9 26 0 10 JOYCE 19.5 257.5 163 317 +1970 11 10 0 16 FLORENCE 26.5 175.8 58 874 +1978 5 20 12 13 CHRIS 45.9 21.0 141 559 +1963 4 11 6 8 SANDY 39.4 70.9 61 707 +1977 8 16 0 23 FLORENCE 22.3 266.5 130 366 +1953 7 25 12 21 FLORENCE 10.2 173.8 30 602 +1965 12 16 6 19 ERNESTO 49.3 299.0 78 554 +1955 4 19 18 6 BERYL 67.8 313.7 87 459 +1983 10 24 0 13 FLORENCE 21.2 261.3 81 665 +1971 1 21 18 8 WILLIAM 41.8 113.1 17 861 +2003 3 3 0 13 HELENE 33.2 23.4 87 747 +1965 5 14 6 19 HELENE 57.8 39.6 78 84 +1960 12 22 12 24 JOYCE 63.6 264.9 86 180 +1970 12 14 18 18 SANDY 28.8 118.6 11 370 +1952 7 25 12 6 DEBBY 62.8 290.4 145 367 +1964 3 21 12 21 PATTY 40.5 351.0 152 177 +1951 2 2 18 2 WILLIAM 52.8 78.6 72 657 +1961 4 2 12 28 MICHAEL 54.4 321.3 42 609 +1978 6 2 12 13 TONY 21.4 94.4 153 787 +1977 9 27 12 2 TONY 63.1 303.2 82 304 +1966 9 14 6 3 ERNESTO 57.9 77.5 158 266 +1969 8 2 18 13 LESLIE 51.2 163.0 84 397 +1986 6 10 0 22 SANDY 40.1 198.6 154 435 +1956 7 25 0 3 FLORENCE 49.9 295.7 49 463 +1996 6 7 0 18 JOYCE 31.4 11.7 11 234 +1971 3 1 18 13 NADINE 38.3 287.3 59 711 +1963 7 20 6 11 OSCAR 15.7 212.6 30 728 +1988 9 17 0 8 JOYCE 12.6 105.0 122 712 +1978 5 8 12 15 ALBERTO 62.5 49.0 156 872 +1990 3 12 6 26 KIRK 44.1 307.7 34 172 +1970 7 3 12 26 CHRIS 19.5 346.5 133 898 +1991 4 4 18 25 WILLIAM 28.2 54.6 156 159 +1990 9 12 12 11 LESLIE 54.2 14.5 108 287 +1982 7 10 6 9 LESLIE 66.6 299.6 65 545 +1976 2 25 6 1 ISAAC 11.7 196.3 74 54 +1973 2 8 12 3 DEBBY 19.1 296.4 142 24 +1998 4 27 12 27 DEBBY 22.6 214.1 129 884 +1990 4 17 18 5 OSCAR 64.8 164.5 16 518 +1981 4 10 18 26 ISAAC 38.1 34.4 22 314 +1983 6 28 6 6 RAFAEL 10.1 147.7 140 725 +1979 6 22 0 3 WILLIAM 26.7 158.0 12 208 +1959 1 7 6 19 BERYL 42.4 166.2 73 393 +1999 2 27 12 28 PATTY 11.1 203.1 72 301 +1975 7 11 18 27 PATTY 9.7 29.2 82 226 +1982 8 2 12 3 BERYL 58.7 29.8 150 198 +1953 10 7 18 8 OSCAR 17.4 150.0 130 618 +1996 12 22 6 2 DEBBY 7.5 329.9 17 261 +1968 1 13 12 22 NADINE 12.6 228.0 144 790 +1960 1 7 6 12 DEBBY 67.3 65.5 157 98 +1987 7 9 6 8 WILLIAM 44.8 65.9 128 542 +1998 3 12 0 26 HELENE 64.8 284.1 86 771 +1969 8 10 0 17 HELENE 68.0 272.0 49 250 +1974 10 13 6 1 CHRIS 9.8 107.7 44 640 +1959 10 11 12 3 OSCAR 25.6 59.4 63 510 +1998 10 13 6 25 TONY 15.4 8.1 125 769 +1982 5 27 6 4 ISAAC 14.9 259.5 126 176 +1982 11 7 18 14 FLORENCE 62.1 149.1 10 69 +1979 2 26 6 6 ALBERTO 15.9 333.6 69 498 +1968 10 13 12 6 CHRIS 8.0 175.4 30 729 +1993 1 18 12 7 CHRIS 28.1 111.8 157 422 +1951 10 6 18 11 DEBBY 38.5 349.7 36 660 +1977 9 5 12 21 KIRK 58.2 181.4 134 217 +1995 5 17 6 14 TONY 20.9 113.1 120 319 +2000 7 3 12 17 GORDON 46.2 295.2 90 400 +1972 6 14 6 23 KIRK 19.8 301.2 29 131 +1975 1 13 18 26 ERNESTO 9.5 157.4 131 427 +1955 6 27 18 16 LESLIE 68.9 166.7 46 594 +1984 9 2 18 28 NADINE 58.1 147.4 111 206 +2004 7 20 18 7 ALBERTO 60.0 134.2 96 378 +1957 4 8 0 25 TONY 13.8 41.7 84 544 +1961 9 18 6 16 SANDY 12.7 153.5 163 401 +1950 1 4 18 9 KIRK 40.1 295.4 38 791 +1987 11 18 12 2 RAFAEL 69.7 38.2 100 85 +1953 4 1 6 7 BERYL 15.5 170.8 57 478 +1956 4 27 0 24 NADINE 59.6 87.7 130 125 +1965 6 16 6 19 PATTY 60.6 208.7 107 884 +1972 5 4 0 15 RAFAEL 20.5 190.2 11 51 +1960 1 28 12 13 LESLIE 55.5 119.7 36 369 +1979 1 2 12 20 ERNESTO 40.2 225.8 90 70 +1997 2 27 0 27 RAFAEL 60.9 32.7 36 631 +1998 6 27 6 20 TONY 36.4 155.8 99 683 +1968 2 5 12 21 ISAAC 32.2 27.2 162 881 +1977 1 27 18 1 LESLIE 41.7 84.4 155 361 +1982 6 10 0 18 GORDON 10.1 195.2 136 809 +1953 8 14 6 18 ISAAC 69.6 122.4 72 550 +1954 1 21 18 2 ISAAC 14.8 111.7 125 716 +1963 10 21 0 1 ALBERTO 38.5 50.1 122 199 +1953 8 19 18 13 PATTY 19.8 232.5 40 190 +1967 11 17 0 5 SANDY 33.5 279.2 109 651 +1982 9 3 12 20 NADINE 47.3 287.0 108 205 +1953 11 27 0 21 TONY 32.2 319.1 37 550 +1964 6 2 18 27 TONY 20.1 121.0 88 712 +1991 10 25 18 14 JOYCE 50.5 28.8 86 182 +1954 7 24 0 25 PATTY 45.4 142.2 53 42 +2000 3 13 18 27 VALERIE 17.1 260.8 57 335 +1963 2 12 18 25 VALERIE 31.8 66.6 152 379 +1959 9 20 0 20 FLORENCE 37.5 123.2 23 695 +1977 10 17 12 26 ISAAC 24.4 164.7 95 554 +1986 11 25 18 16 ALBERTO 21.0 337.4 147 499 +2003 7 10 6 15 FLORENCE 38.9 11.1 10 754 +1972 2 25 0 10 NADINE 50.4 268.0 70 23 +1970 8 3 6 13 DEBBY 9.6 28.9 152 826 +1952 4 1 12 10 WILLIAM 29.7 81.3 56 650 +1994 3 25 18 6 RAFAEL 30.8 64.0 28 437 +1964 6 7 6 24 PATTY 60.7 326.2 120 601 +1950 1 21 0 19 FLORENCE 35.9 315.5 107 276 +2002 3 5 6 27 PATTY 53.2 296.8 87 806 +2001 5 28 6 9 BERYL 15.2 161.1 158 733 +1960 12 19 12 3 ALBERTO 7.7 146.2 135 782 +1985 12 22 12 5 BERYL 29.9 125.8 133 611 +2000 1 23 0 23 OSCAR 37.3 87.4 92 12 +1962 11 12 6 21 LESLIE 51.3 306.0 163 708 +1990 11 6 12 10 HELENE 50.2 31.8 104 457 +1961 5 4 0 5 LESLIE 63.4 30.8 92 693 +1951 7 22 0 16 DEBBY 61.7 337.3 35 126 +2000 8 9 18 4 MICHAEL 48.2 208.2 133 4 +1957 10 2 12 6 WILLIAM 32.8 151.8 46 510 +2002 10 18 0 27 GORDON 65.0 188.4 63 269 +1983 2 19 12 14 VALERIE 51.8 131.5 14 454 +1978 6 16 18 6 JOYCE 42.0 123.5 106 23 +2004 5 14 0 28 FLORENCE 23.6 8.6 124 273 +1985 11 25 6 11 KIRK 49.1 20.5 146 752 +1985 9 18 6 25 TONY 25.4 344.9 113 429 +1953 9 16 6 5 CHRIS 12.5 283.6 158 576 +1987 3 12 12 14 CHRIS 57.4 330.4 95 874 +1998 5 21 0 19 VALERIE 35.0 342.4 43 296 +1992 3 26 6 25 TONY 60.2 219.1 84 82 +1978 9 9 18 16 RAFAEL 24.9 349.8 104 77 +1966 11 6 6 23 WILLIAM 64.9 102.1 78 780 +1980 9 1 18 13 FLORENCE 27.3 34.1 134 877 +1986 7 15 18 5 WILLIAM 39.7 278.0 158 631 +2004 2 10 18 21 LESLIE 7.4 330.0 51 524 +1954 8 18 6 1 KIRK 38.8 295.3 130 481 +1974 10 28 0 24 TONY 62.1 36.4 138 765 +1991 7 24 0 8 FLORENCE 36.1 70.7 51 304 +1998 3 22 6 4 PATTY 22.6 193.3 139 95 +1966 7 19 6 27 KIRK 55.7 233.4 66 878 +1952 11 25 18 12 LESLIE 9.4 348.9 164 833 +1972 12 1 12 18 OSCAR 45.3 141.1 116 763 +1959 7 14 18 13 ALBERTO 62.7 210.0 62 769 +1950 6 9 12 23 RAFAEL 58.0 95.0 105 505 +1953 6 12 6 6 ERNESTO 42.8 54.2 113 712 +1989 2 28 18 15 LESLIE 45.5 197.4 139 781 +1953 10 16 12 22 LESLIE 68.3 48.3 133 893 +1971 1 19 6 19 FLORENCE 15.3 289.1 82 25 +1953 1 9 6 6 DEBBY 18.5 235.0 149 331 +1983 1 18 6 3 PATTY 41.9 336.5 75 526 +2001 6 12 18 7 FLORENCE 44.8 23.2 117 497 +1987 2 13 0 8 DEBBY 52.2 148.3 152 433 +1996 10 17 0 11 PATTY 25.3 253.6 92 476 +1966 8 10 0 25 RAFAEL 18.4 165.3 148 408 +1975 6 26 12 27 CHRIS 13.6 300.0 96 130 +1994 3 6 6 25 DEBBY 10.3 231.0 80 306 +1958 12 5 12 22 DEBBY 26.0 327.7 31 873 +1994 12 21 18 23 BERYL 36.1 5.9 148 413 +1989 9 3 18 7 SANDY 44.6 238.9 18 823 +1955 2 5 18 26 OSCAR 57.1 119.1 63 285 +2001 9 28 0 4 WILLIAM 8.0 184.6 18 88 +1988 2 5 6 8 DEBBY 66.4 53.6 103 556 +1966 11 5 0 27 KIRK 48.2 211.2 120 438 +1971 9 16 12 4 PATTY 17.6 162.4 161 667 +2001 10 11 0 7 KIRK 22.8 291.9 23 48 +1969 3 23 12 13 NADINE 10.3 100.9 116 860 +1979 6 15 18 9 ALBERTO 14.3 77.6 42 506 +1990 6 19 12 10 TONY 38.0 101.8 107 649 +1955 5 2 6 25 PATTY 60.7 297.8 138 889 +1985 6 4 6 15 BERYL 28.9 316.5 105 499 +1961 12 9 6 4 NADINE 34.4 311.2 50 311 +1954 10 15 18 2 GORDON 47.8 58.4 42 192 +1953 9 8 12 10 HELENE 22.2 63.8 146 346 +1961 1 15 0 28 WILLIAM 56.7 92.5 93 545 +1980 3 21 18 27 MICHAEL 53.5 72.7 71 666 +1961 4 28 18 2 JOYCE 17.0 52.1 37 866 +1963 6 17 12 3 PATTY 32.6 284.1 86 754 +1959 4 26 0 16 VALERIE 51.2 38.2 44 840 +1964 5 3 12 13 MICHAEL 22.3 270.8 12 325 +1955 6 2 12 5 ALBERTO 47.5 109.0 152 843 +1979 4 27 18 2 PATTY 24.5 169.4 164 761 +1992 9 25 6 6 JOYCE 50.2 101.7 153 367 +1995 2 1 0 16 GORDON 55.3 160.6 163 126 +1953 8 4 12 18 SANDY 49.2 16.2 56 81 +1969 1 17 6 21 NADINE 51.6 280.0 73 858 +1968 4 3 12 17 OSCAR 53.6 242.5 31 479 +1961 1 1 18 5 ERNESTO 40.8 96.9 18 738 +2003 2 19 0 3 MICHAEL 34.4 119.8 126 181 +1961 12 24 6 8 MICHAEL 66.7 273.8 12 767 +1990 8 19 6 24 FLORENCE 15.4 144.7 160 339 +1969 12 4 12 16 OSCAR 58.0 348.6 33 49 +1997 5 13 0 21 ERNESTO 53.8 338.1 93 185 +1957 12 19 12 6 RAFAEL 47.7 312.8 129 86 +1998 4 13 6 11 OSCAR 56.3 248.5 69 168 +1961 5 8 0 15 OSCAR 9.6 141.6 120 164 +1991 8 23 12 12 SANDY 49.4 280.1 118 864 +1962 11 16 0 22 OSCAR 18.3 323.7 71 766 +1980 1 23 18 17 SANDY 59.4 111.1 15 854 +1962 11 2 12 11 DEBBY 68.5 52.8 75 79 +1998 1 7 6 14 GORDON 52.3 280.3 160 710 +2001 12 23 0 26 KIRK 26.1 282.7 89 785 +1953 12 13 12 18 ERNESTO 34.3 235.0 104 609 +1950 3 15 0 20 MICHAEL 31.9 307.1 145 731 +1952 8 10 0 15 BERYL 27.4 234.5 117 141 +1989 8 1 0 4 OSCAR 17.2 84.8 113 837 +1977 9 15 18 6 BERYL 32.4 95.0 61 768 +1998 12 19 6 5 FLORENCE 8.5 130.3 47 486 +1967 8 12 0 15 SANDY 30.0 125.4 61 694 +1976 10 9 18 9 SANDY 60.0 46.9 106 551 +1960 9 25 0 7 MICHAEL 37.9 340.5 61 507 +2004 7 28 18 26 NADINE 42.8 37.5 140 179 +1953 11 28 18 9 HELENE 14.4 135.6 14 719 +1976 1 17 0 26 BERYL 50.3 86.8 75 164 +1979 2 4 18 20 CHRIS 30.9 139.5 67 761 +1987 7 4 0 5 KIRK 38.0 67.7 69 107 +1968 5 12 12 4 WILLIAM 21.0 79.6 57 606 +1977 6 11 6 4 TONY 9.4 172.2 51 432 +1981 11 18 6 14 WILLIAM 11.6 118.4 12 525 +1984 3 22 6 17 NADINE 65.7 95.4 164 629 +1990 10 8 0 21 RAFAEL 60.8 92.9 22 389 +1984 7 4 12 10 FLORENCE 19.3 219.1 40 42 +1961 6 28 12 12 GORDON 15.4 31.2 13 47 +1989 6 20 6 28 MICHAEL 50.7 102.7 29 472 +1959 3 28 12 4 HELENE 54.0 19.2 79 589 +1960 7 22 18 22 LESLIE 39.1 303.8 26 610 +1962 10 27 0 12 FLORENCE 7.3 356.9 10 857 +1974 2 10 12 1 OSCAR 23.3 135.3 111 186 +1987 4 9 0 9 PATTY 29.4 323.7 141 192 +1994 12 24 18 24 KIRK 11.9 326.8 16 579 +1971 10 5 12 26 CHRIS 20.0 309.2 49 208 +1982 7 11 6 28 HELENE 53.7 323.8 164 364 +1953 4 5 0 1 ISAAC 41.6 293.9 25 200 +1998 4 24 18 17 ISAAC 20.1 114.7 50 375 +1989 4 12 0 5 DEBBY 15.9 223.9 16 41 +1999 11 2 18 15 FLORENCE 53.1 321.6 141 231 +1970 2 4 6 12 SANDY 65.1 303.6 156 477 +1952 1 1 12 2 WILLIAM 41.3 151.9 74 895 +1953 10 14 18 17 SANDY 26.8 104.6 100 355 +1952 3 10 0 14 GORDON 39.9 298.7 75 802 +1970 4 28 12 12 MICHAEL 69.5 59.5 21 605 +1984 11 7 12 25 PATTY 25.7 108.3 90 519 +1979 11 26 0 1 PATTY 21.5 136.4 161 248 +1997 6 17 18 2 ISAAC 65.9 321.8 145 525 +1988 8 18 12 7 ISAAC 28.2 41.2 154 135 +1986 1 25 6 14 DEBBY 40.8 239.6 158 34 +1973 9 22 12 8 CHRIS 42.3 182.0 91 281 +1985 1 20 6 28 ALBERTO 45.3 45.3 82 255 +1984 8 27 0 2 TONY 62.5 183.9 18 805 +1971 9 13 12 26 LESLIE 51.9 294.6 149 682 +1989 1 15 12 9 RAFAEL 16.3 168.9 125 321 +1967 8 4 18 24 ISAAC 67.7 46.2 137 825 +1980 10 22 6 9 ISAAC 22.2 148.6 14 750 +1984 6 15 12 10 FLORENCE 28.2 326.5 115 101 +1958 3 12 12 7 MICHAEL 60.7 171.6 38 250 +1950 3 12 0 21 KIRK 15.5 203.9 45 430 +1999 2 10 12 20 LESLIE 17.6 19.2 95 48 +1986 12 18 0 28 WILLIAM 9.4 91.8 21 815 +1998 1 11 12 22 CHRIS 45.3 79.6 55 300 +1987 3 19 18 25 MICHAEL 68.3 32.1 107 716 +1952 7 2 0 17 TONY 66.9 53.7 37 348 +1982 2 18 18 9 MICHAEL 12.1 48.3 25 894 +2002 6 11 0 11 DEBBY 55.7 234.3 137 69 +1983 9 4 18 19 CHRIS 36.7 281.2 51 169 +1961 9 16 12 27 ALBERTO 55.5 123.5 17 47 +2002 7 8 6 20 CHRIS 68.4 275.3 25 317 +1975 8 7 12 8 OSCAR 18.0 295.4 65 422 +1991 8 5 0 19 KIRK 33.0 41.0 27 291 +1990 8 8 0 14 NADINE 42.9 240.6 32 597 +1957 12 2 18 14 CHRIS 14.5 5.2 34 722 +1960 8 6 18 6 NADINE 12.2 20.9 81 875 +1960 2 26 18 27 PATTY 42.7 104.3 93 185 +1962 10 25 6 15 TONY 29.0 331.5 148 240 +1960 12 28 6 6 HELENE 48.2 124.8 67 594 +1984 2 21 12 28 WILLIAM 28.7 278.3 154 132 +1950 3 15 18 20 HELENE 61.6 99.7 91 250 +1962 10 25 12 11 VALERIE 31.8 203.4 155 666 +1954 12 1 18 12 ISAAC 53.8 309.9 68 737 +1977 2 5 12 17 NADINE 41.8 13.3 70 364 +1971 3 6 6 16 NADINE 69.5 71.4 126 410 +1961 1 26 0 3 VALERIE 7.7 51.3 139 629 +1959 2 17 18 27 MICHAEL 40.1 109.8 114 814 +1988 3 11 0 23 GORDON 41.2 292.0 151 548 +1959 4 8 6 21 GORDON 48.1 156.5 99 34 +1978 2 24 12 7 VALERIE 18.0 147.6 39 125 +1961 11 23 0 4 JOYCE 68.5 294.6 108 599 +1952 7 14 18 26 FLORENCE 25.8 233.2 159 539 +2001 8 15 6 19 CHRIS 65.3 160.1 22 831 +1989 5 19 12 5 LESLIE 39.9 228.3 10 205 +1967 1 15 18 18 NADINE 55.9 38.5 24 339 +2004 11 28 6 15 GORDON 39.0 65.5 107 135 +1970 2 7 6 24 JOYCE 54.6 95.2 132 815 +1995 3 3 12 3 RAFAEL 8.5 241.3 39 84 +1969 7 19 12 1 SANDY 57.1 93.8 59 822 +1990 9 25 18 26 ALBERTO 18.7 261.3 135 873 +1974 6 2 6 14 KIRK 24.5 111.8 69 177 +1983 10 19 0 18 ISAAC 12.9 125.8 73 586 +1977 5 25 12 21 TONY 24.1 29.0 94 44 +1964 7 17 6 2 KIRK 8.0 152.8 153 138 +1964 1 6 12 28 BERYL 67.0 239.4 30 143 +1970 12 12 0 5 TONY 7.4 160.9 144 215 +1984 9 14 6 26 RAFAEL 30.4 196.6 16 607 +1984 6 6 12 3 BERYL 12.5 182.5 124 617 +1973 6 26 0 3 KIRK 19.3 193.8 139 296 +1952 4 3 0 24 ISAAC 16.0 229.3 136 791 +2003 10 17 12 15 SANDY 29.1 146.9 137 465 +1953 4 9 12 5 DEBBY 31.0 155.0 160 738 +1952 10 9 6 18 JOYCE 15.8 281.5 124 128 +1994 1 5 0 13 JOYCE 20.0 193.3 95 52 +1993 10 10 0 19 ERNESTO 45.6 221.2 110 53 +1973 6 17 0 3 PATTY 63.9 261.6 80 173 +1966 11 22 18 8 GORDON 11.0 194.0 24 227 +1985 5 2 12 19 DEBBY 31.5 307.0 83 656 +1990 10 21 6 10 TONY 43.5 105.6 141 558 +1974 1 24 6 21 PATTY 15.6 17.7 129 619 +1989 2 13 12 14 VALERIE 18.2 68.4 92 279 +1977 7 17 18 23 OSCAR 11.4 77.5 162 561 +1964 10 14 18 8 FLORENCE 35.4 282.3 64 453 +1975 8 12 18 19 OSCAR 9.5 135.0 129 270 +1994 7 2 6 24 WILLIAM 35.7 354.0 137 250 +1996 4 17 18 19 FLORENCE 36.7 46.2 71 802 +2002 9 1 6 20 RAFAEL 39.3 11.4 26 539 +1980 7 25 12 27 KIRK 40.7 302.3 99 158 +1998 5 1 12 20 OSCAR 46.3 82.0 139 197 +1956 7 5 0 25 LESLIE 12.2 8.9 41 20 +1965 11 10 6 4 TONY 9.6 22.9 23 760 +1980 12 22 18 12 OSCAR 12.1 204.8 83 590 +1987 5 26 18 9 KIRK 52.4 322.7 149 1 +1952 6 28 6 26 CHRIS 47.9 42.2 95 401 +1959 3 15 0 12 DEBBY 32.3 251.3 93 4 +1950 7 14 6 19 BERYL 54.9 159.2 74 26 +1988 7 22 12 14 KIRK 50.7 261.6 145 880 +2000 6 9 0 1 KIRK 61.5 302.5 31 71 +1969 12 23 18 1 FLORENCE 53.0 118.3 122 279 +1988 7 3 18 4 BERYL 66.2 342.8 151 762 +2000 9 3 12 18 ISAAC 37.0 57.3 120 634 +1963 8 23 6 3 GORDON 7.0 201.0 38 586 +1952 3 7 6 18 JOYCE 16.2 88.3 10 608 +1986 4 20 12 20 ERNESTO 62.3 316.1 146 558 +2000 6 3 12 8 GORDON 36.4 262.8 141 56 +1991 9 8 0 27 DEBBY 56.9 305.2 89 848 +1985 6 8 6 8 RAFAEL 61.2 143.9 38 644 +1950 3 20 0 23 VALERIE 12.3 340.6 15 419 +1970 6 15 12 5 MICHAEL 32.9 232.7 91 579 +1995 2 23 18 12 BERYL 15.9 62.6 102 580 +1964 7 1 0 7 ISAAC 20.0 180.6 36 98 +1965 6 4 0 12 FLORENCE 51.4 139.7 149 642 +1956 2 12 0 14 MICHAEL 66.0 10.5 115 676 +1991 4 1 0 13 SANDY 50.0 213.8 131 302 +1963 5 13 18 23 NADINE 37.7 335.6 45 548 +1989 2 10 18 24 TONY 50.1 253.5 57 201 +1959 6 23 0 24 JOYCE 67.5 134.8 10 280 +1969 8 5 6 20 CHRIS 37.3 224.2 28 589 +1998 11 16 6 11 CHRIS 43.0 128.2 124 17 +1997 12 14 6 11 DEBBY 17.0 249.8 29 134 +1959 7 3 12 26 RAFAEL 8.8 316.4 23 450 +1965 1 6 6 10 JOYCE 33.3 38.7 71 571 +2001 8 28 12 15 MICHAEL 15.5 176.7 24 743 +1956 12 25 6 18 SANDY 41.8 17.2 86 248 +1980 10 21 12 10 TONY 37.9 159.9 148 567 +1990 9 5 18 25 ERNESTO 20.7 285.7 27 864 +1967 2 6 0 22 SANDY 38.8 45.5 20 527 +1973 8 1 18 21 FLORENCE 55.3 350.6 93 600 +1993 3 14 6 6 MICHAEL 31.1 116.5 46 289 +1974 4 18 6 17 JOYCE 27.0 256.6 103 142 +1982 4 20 18 10 JOYCE 63.7 282.4 142 217 +1963 4 13 18 4 PATTY 57.2 20.2 101 762 +1991 4 13 18 4 MICHAEL 44.2 196.4 107 830 +1952 7 19 0 15 GORDON 54.7 297.2 80 434 +2002 3 17 18 25 KIRK 43.5 255.7 78 147 +1983 4 11 0 13 OSCAR 46.2 8.5 35 385 +1954 2 16 12 23 BERYL 42.2 228.0 104 483 +1996 12 18 0 14 KIRK 38.6 57.1 144 593 +1990 4 17 0 20 CHRIS 18.3 77.7 109 767 +1987 2 11 0 7 KIRK 66.6 118.8 59 501 +1996 12 11 18 2 VALERIE 68.7 20.3 152 281 +1994 10 17 12 10 ALBERTO 14.2 168.8 51 785 +1950 11 5 0 23 LESLIE 64.0 112.4 14 641 +1998 2 5 12 3 KIRK 13.7 226.7 59 54 +1957 7 5 18 7 JOYCE 15.0 59.7 127 579 +1976 10 13 6 7 KIRK 9.0 258.7 76 40 +1993 9 12 12 1 ISAAC 17.4 312.6 81 297 +1954 6 21 6 7 ISAAC 56.3 321.3 155 339 +1995 5 6 0 7 ALBERTO 31.8 234.1 120 794 +1995 12 25 6 25 LESLIE 38.9 16.3 61 785 +1966 7 18 18 24 TONY 66.3 186.7 55 257 +1986 2 26 12 1 VALERIE 63.7 244.1 70 212 +1974 8 6 0 26 HELENE 37.1 272.0 139 515 +1999 9 13 18 28 JOYCE 15.8 80.8 119 334 +1986 12 26 12 17 OSCAR 37.5 287.1 36 806 +2001 1 7 18 4 MICHAEL 18.0 251.6 132 76 +1982 9 13 18 11 ALBERTO 42.4 146.8 80 299 +1987 7 22 12 11 LESLIE 45.4 337.7 66 240 +1982 7 13 0 14 ALBERTO 41.1 277.5 160 481 +1990 7 3 12 2 FLORENCE 34.9 355.7 91 745 +2000 5 18 0 28 BERYL 50.1 129.7 107 400 +1970 3 18 18 20 PATTY 45.0 300.7 143 69 +1960 4 20 18 7 HELENE 48.7 195.2 114 194 +1981 8 17 6 28 DEBBY 66.7 3.5 129 212 +1998 1 5 6 12 SANDY 41.9 69.3 59 132 +1993 3 3 18 28 HELENE 66.2 9.6 71 699 +1986 6 3 12 1 ALBERTO 8.6 87.8 124 800 +1999 1 4 12 14 DEBBY 13.7 131.1 55 327 +1981 3 2 0 14 TONY 49.9 211.8 164 701 +2004 11 7 0 2 WILLIAM 44.6 90.1 20 557 +2001 6 23 6 10 ISAAC 58.9 91.5 51 714 +1984 2 21 18 15 LESLIE 23.7 301.4 87 296 +1970 8 2 0 16 LESLIE 33.0 236.1 115 532 +1965 4 23 6 10 SANDY 9.4 278.0 17 123 +1982 8 18 18 24 WILLIAM 54.4 336.9 16 597 +1986 10 4 0 23 DEBBY 14.8 105.8 70 806 +1953 11 10 18 3 PATTY 67.8 185.7 74 122 +1998 6 22 6 27 ERNESTO 33.3 110.7 115 176 +1963 10 7 6 17 HELENE 30.5 224.8 34 440 +1958 6 24 0 2 JOYCE 10.6 20.4 26 333 +1972 8 26 0 6 LESLIE 24.3 132.9 123 848 +1993 6 19 6 23 VALERIE 25.9 9.5 32 66 +1957 3 27 0 28 DEBBY 61.4 79.6 141 412 +1964 10 9 6 20 RAFAEL 31.4 17.3 117 873 +1953 11 17 12 4 ISAAC 59.7 332.8 153 413 +1985 12 18 6 19 VALERIE 18.7 81.4 138 262 +1953 11 10 18 3 WILLIAM 50.8 271.7 127 690 +1956 10 16 6 1 ALBERTO 17.4 353.4 85 21 +1996 11 14 6 1 NADINE 8.5 266.7 94 163 +1971 8 11 0 4 JOYCE 32.2 2.0 18 105 +1985 11 13 6 3 ISAAC 32.2 85.0 71 390 +1980 6 19 12 2 DEBBY 49.6 120.9 104 331 +1999 7 23 18 8 JOYCE 10.6 44.7 93 467 +1970 11 4 18 23 NADINE 10.5 354.9 115 847 +1959 9 27 12 4 HELENE 24.1 224.6 87 175 +2001 2 22 12 22 ISAAC 19.6 240.5 73 368 +2001 3 9 18 3 ERNESTO 17.9 8.8 71 406 +1981 12 21 18 15 GORDON 53.9 180.9 33 867 +1999 12 9 12 24 BERYL 18.3 161.3 31 741 +1956 12 21 18 26 NADINE 67.7 147.8 115 387 +1952 8 20 0 24 PATTY 31.0 270.9 79 112 +1985 8 10 18 26 KIRK 63.1 243.5 15 358 +1954 6 19 0 27 HELENE 64.2 237.1 70 468 +1959 8 8 6 20 ALBERTO 24.7 309.1 102 156 +1959 4 19 0 9 CHRIS 47.0 291.0 39 578 +2003 8 20 6 15 NADINE 57.9 175.5 28 690 +1963 9 26 6 11 CHRIS 62.4 42.4 77 204 +1978 6 5 12 15 TONY 38.7 114.6 68 0 +1957 1 24 12 26 GORDON 65.6 357.5 136 437 +1965 2 24 12 9 VALERIE 39.6 67.5 91 641 +1991 10 11 0 21 DEBBY 41.9 327.2 137 786 +1957 10 21 6 24 JOYCE 7.3 147.1 84 761 +1996 6 25 18 9 LESLIE 20.0 93.3 110 842 +1954 12 6 6 8 WILLIAM 48.3 138.4 59 891 +1979 7 13 0 14 LESLIE 40.4 321.8 154 757 +1980 10 4 0 9 FLORENCE 60.6 281.9 79 556 +1980 1 3 18 25 DEBBY 39.5 356.4 18 521 +1981 7 4 18 1 RAFAEL 67.9 334.0 43 514 +1992 1 27 12 9 GORDON 49.3 268.0 91 96 +1990 1 21 18 24 ERNESTO 14.8 98.7 61 94 +1989 6 17 6 14 WILLIAM 45.4 273.6 49 883 +1996 7 7 12 28 OSCAR 40.3 219.1 11 542 +1956 12 13 6 12 BERYL 47.5 40.8 41 735 +1989 5 2 12 23 NADINE 58.6 32.7 93 371 +1964 2 19 12 9 VALERIE 23.2 155.6 73 534 +1994 8 18 0 12 TONY 53.7 157.7 68 735 +1966 10 12 18 13 GORDON 70.0 321.8 154 292 +1981 12 16 18 25 JOYCE 17.8 171.5 60 414 +1993 3 17 6 9 FLORENCE 50.0 82.9 75 513 +1983 5 17 12 10 KIRK 69.3 43.7 107 693 +1972 11 8 6 18 WILLIAM 15.9 22.1 101 236 +1955 8 12 18 17 SANDY 37.8 215.0 137 651 +2000 7 13 6 1 VALERIE 16.7 322.3 17 889 +1990 11 7 0 22 CHRIS 58.9 236.7 138 568 +1955 9 11 0 22 WILLIAM 19.1 261.6 108 5 +1982 1 24 6 23 ISAAC 12.9 157.5 32 557 +1971 5 22 0 25 JOYCE 38.5 288.5 46 67 +2004 10 13 18 18 SANDY 25.1 333.0 120 117 +1989 2 1 6 6 NADINE 43.5 342.0 147 555 +1968 7 3 18 18 SANDY 21.6 270.5 24 423 +1958 9 26 0 5 HELENE 10.3 163.4 138 762 +1975 9 28 0 13 BERYL 35.7 250.6 141 209 +1993 7 6 0 10 NADINE 10.0 300.7 161 248 +1986 2 2 6 8 WILLIAM 36.4 202.6 129 148 +1993 1 22 0 10 VALERIE 23.5 114.9 132 361 +1981 7 1 12 27 TONY 54.2 117.6 130 669 +2004 11 10 0 6 CHRIS 9.9 72.0 61 831 +1971 11 8 0 6 GORDON 7.5 21.3 61 635 +2004 9 15 18 13 MICHAEL 38.1 14.5 112 581 +1980 11 19 12 21 BERYL 23.9 77.8 67 662 +1952 7 23 0 5 PATTY 47.8 74.7 89 213 +1981 11 22 18 25 TONY 23.4 8.2 89 677 +1992 8 10 12 25 JOYCE 44.4 288.1 161 112 +1989 11 3 18 13 WILLIAM 39.1 93.1 52 585 +1951 11 10 6 1 CHRIS 46.2 201.2 164 375 +1993 9 3 6 24 SANDY 10.9 43.2 16 15 +1966 11 27 0 17 LESLIE 51.3 290.2 47 254 +1973 9 16 12 24 BERYL 13.0 59.4 48 827 +1971 5 6 18 28 CHRIS 16.2 98.2 133 26 +1960 10 4 0 1 CHRIS 49.5 201.9 86 697 +1994 11 11 6 2 JOYCE 37.7 74.9 128 796 +1981 5 27 18 10 SANDY 52.7 96.3 47 699 +1951 8 11 0 10 LESLIE 52.6 294.4 63 776 +1989 1 10 0 18 GORDON 59.6 274.9 81 560 +1973 8 24 12 9 ALBERTO 63.2 312.5 45 492 +1989 12 16 12 23 TONY 32.1 13.3 143 428 +1962 3 12 6 21 SANDY 12.7 145.4 132 35 +1952 9 15 12 9 ISAAC 44.9 154.1 49 170 +1966 10 5 18 18 BERYL 49.2 192.3 144 177 +1968 7 10 18 23 PATTY 54.2 247.4 18 557 +1956 4 7 6 18 MICHAEL 21.5 18.1 101 416 +1969 2 21 18 5 NADINE 67.4 61.8 85 341 +1955 10 17 18 18 NADINE 23.8 352.7 46 789 +1953 12 20 12 20 MICHAEL 65.7 123.5 148 485 +1952 1 1 12 3 TONY 57.6 300.3 50 853 +1979 12 17 6 7 DEBBY 19.4 278.3 112 373 +1958 2 20 12 24 MICHAEL 11.3 28.3 73 470 +1988 1 3 18 12 BERYL 62.4 298.8 42 202 +1961 4 9 12 6 LESLIE 32.9 43.9 146 60 +1998 1 24 12 17 DEBBY 26.1 243.4 22 699 +1989 8 20 0 8 SANDY 53.9 297.1 85 320 +1994 11 8 18 18 OSCAR 38.2 166.5 120 69 +2003 11 13 6 14 ALBERTO 18.2 128.8 21 597 +1955 12 18 18 10 HELENE 47.5 133.7 42 342 +1980 7 18 18 21 VALERIE 49.7 48.2 58 291 +1993 9 16 6 13 KIRK 25.2 145.3 20 88 +1968 7 17 0 21 SANDY 24.0 82.7 86 837 +1965 3 28 6 11 NADINE 47.8 144.5 160 40 +2002 7 16 12 7 ERNESTO 8.4 64.6 15 436 +1982 4 20 12 20 SANDY 39.1 24.0 34 346 +1956 8 13 12 11 CHRIS 8.7 136.2 74 648 +1984 4 3 18 7 PATTY 63.2 181.3 132 202 +1997 10 21 0 8 MICHAEL 67.1 16.3 137 556 +1996 10 15 0 8 KIRK 51.5 30.7 46 849 +1964 11 14 6 14 PATTY 32.8 24.6 100 647 +1959 10 6 0 1 VALERIE 32.2 268.5 21 149 +1955 3 24 0 6 HELENE 31.4 281.0 19 549 +1950 12 8 6 3 TONY 68.2 62.1 109 160 +1998 9 27 0 8 HELENE 63.2 24.3 55 775 +1982 8 27 12 23 RAFAEL 53.4 79.7 153 395 +1970 11 12 6 17 TONY 60.7 334.7 42 871 +1968 1 18 6 18 FLORENCE 20.5 190.4 35 264 +1976 10 13 18 28 KIRK 45.4 169.9 18 267 +1987 3 6 12 14 HELENE 68.1 79.5 51 122 +1995 12 15 0 19 ERNESTO 19.5 37.6 108 21 +1968 4 6 0 18 KIRK 45.8 135.5 26 671 +1981 4 24 12 6 KIRK 36.4 204.9 118 671 +1979 4 16 6 13 ISAAC 20.5 127.0 117 72 +1977 6 20 0 19 BERYL 46.9 202.1 156 497 +1978 4 17 6 20 RAFAEL 65.1 125.8 92 27 +2001 12 5 6 28 ALBERTO 35.0 198.4 117 634 +1990 3 15 12 18 FLORENCE 57.9 342.4 40 791 +1953 7 19 12 27 DEBBY 14.2 137.0 64 653 +1989 1 16 0 6 PATTY 55.1 255.8 36 396 +1957 5 27 18 21 ISAAC 18.6 297.2 125 541 +1963 9 19 12 15 PATTY 40.8 138.4 28 529 +1964 3 17 18 12 ALBERTO 66.2 244.9 143 778 +1962 4 3 6 17 LESLIE 41.5 81.3 155 187 +1956 6 22 6 20 DEBBY 69.9 4.6 37 638 +1991 6 5 12 16 HELENE 39.6 270.9 101 829 +1996 9 26 6 9 SANDY 46.1 179.6 66 717 +1960 9 13 6 16 SANDY 46.1 125.8 54 330 +1958 4 4 0 15 ERNESTO 64.6 153.9 92 833 +1963 6 23 0 28 RAFAEL 40.8 211.9 143 746 +1961 6 15 18 21 PATTY 34.1 171.3 21 249 +1983 7 17 0 26 WILLIAM 63.3 274.0 113 333 +1964 7 7 12 13 SANDY 14.6 124.6 60 111 +1987 1 12 12 26 BERYL 10.8 123.6 163 424 +2001 2 22 18 5 SANDY 18.9 326.3 63 844 +1964 12 9 12 27 TONY 31.5 352.4 82 423 +1991 3 16 0 7 MICHAEL 9.2 289.8 104 440 +1953 7 16 0 22 KIRK 45.7 138.5 116 554 +1954 12 16 6 5 RAFAEL 69.0 173.2 69 671 +1958 6 4 12 20 LESLIE 25.5 226.8 132 597 +1972 4 18 18 12 PATTY 41.9 215.9 162 711 +1979 6 25 6 22 MICHAEL 48.3 351.5 23 6 +1987 8 13 6 22 WILLIAM 30.6 222.0 135 589 +2003 6 25 18 20 ERNESTO 66.8 125.9 149 578 +1959 1 18 18 21 MICHAEL 34.9 22.8 127 211 +1966 1 24 18 28 DEBBY 52.2 204.6 83 575 +1996 5 3 6 4 KIRK 50.3 59.9 31 495 +1978 10 25 12 4 TONY 49.4 194.5 14 361 +1954 4 21 6 5 ISAAC 47.0 173.3 52 754 +1974 6 7 0 3 VALERIE 26.8 173.5 31 590 +2004 8 19 18 17 FLORENCE 20.3 298.0 103 624 +1999 3 18 18 2 PATTY 18.1 30.9 130 295 +1957 4 10 6 19 ERNESTO 16.2 357.1 139 334 +1992 1 24 12 23 ERNESTO 58.9 229.9 111 33 +1982 7 9 18 7 RAFAEL 55.0 96.2 41 569 +1998 5 26 0 2 JOYCE 9.0 141.7 132 27 +1968 10 18 6 22 DEBBY 52.7 154.4 141 179 +1997 7 28 0 23 RAFAEL 36.5 187.7 15 523 +1961 11 15 0 8 LESLIE 27.9 35.9 108 630 +1967 2 19 12 21 PATTY 11.8 72.5 34 754 +1954 9 23 18 7 HELENE 52.1 300.0 14 249 +1991 5 23 18 13 SANDY 31.4 41.6 139 255 +2004 7 10 12 28 VALERIE 47.6 160.0 50 810 +1979 8 24 18 5 LESLIE 9.3 101.7 19 286 +1964 3 25 18 11 FLORENCE 66.4 46.1 73 223 +1988 11 26 12 2 ISAAC 62.1 193.6 116 182 +1985 10 9 12 25 OSCAR 17.3 19.3 96 574 +2001 10 15 12 9 GORDON 48.5 343.9 108 404 +1962 1 4 12 5 RAFAEL 10.5 289.0 128 326 +1972 7 3 0 28 ALBERTO 43.1 188.2 102 478 +1967 5 9 6 20 RAFAEL 32.1 321.3 41 833 +1996 6 15 18 10 HELENE 50.5 255.8 104 58 +1991 6 16 12 7 VALERIE 27.6 324.1 99 385 +1962 5 22 18 14 LESLIE 58.7 307.0 67 865 +1982 12 25 12 24 PATTY 45.4 106.5 161 55 +1977 7 16 0 24 OSCAR 46.6 65.7 29 890 +1987 3 2 6 22 CHRIS 41.8 348.1 96 513 +2001 4 14 12 20 ISAAC 14.8 209.1 31 165 +1967 3 19 18 5 JOYCE 38.7 133.9 94 290 +1954 6 16 0 16 HELENE 39.9 73.5 145 272 +1983 9 22 18 1 ISAAC 28.0 321.5 162 892 +1991 9 2 0 16 DEBBY 34.7 223.6 80 864 +1960 12 10 6 15 FLORENCE 11.8 88.9 44 581 +1965 5 20 18 24 ISAAC 70.0 205.7 133 256 +1978 1 10 12 23 VALERIE 19.5 88.6 26 325 +1970 5 2 6 23 ERNESTO 51.3 26.6 79 349 +1954 6 20 0 15 BERYL 52.6 174.0 36 236 +1990 5 22 0 17 RAFAEL 21.2 148.5 123 410 +1951 8 10 12 26 LESLIE 10.6 236.2 87 719 +1953 6 19 12 1 JOYCE 13.9 130.7 73 800 +1971 11 5 0 6 NADINE 11.1 281.7 117 282 +1965 3 11 18 10 ERNESTO 28.4 310.7 97 108 +1979 8 27 0 4 PATTY 35.7 6.2 79 743 +1970 6 9 0 10 HELENE 39.7 11.1 123 511 +1967 9 18 6 10 BERYL 9.4 312.4 135 379 +1988 3 23 6 16 ISAAC 51.6 245.3 163 688 +1961 11 8 0 16 VALERIE 58.1 168.4 59 651 +1989 7 26 12 15 LESLIE 29.6 81.5 150 41 +2002 11 4 6 19 LESLIE 66.6 184.3 117 632 +1985 2 5 6 6 KIRK 64.2 239.2 158 157 +2003 5 15 12 1 TONY 15.5 202.3 18 40 +2000 5 6 6 26 SANDY 14.2 202.9 105 181 +1995 6 6 12 7 TONY 40.1 143.7 20 127 +1967 1 11 6 3 CHRIS 61.3 244.7 112 419 +1966 3 7 12 21 SANDY 37.1 344.4 93 482 +1958 5 18 12 19 HELENE 10.2 345.0 29 473 +1984 8 9 18 3 JOYCE 12.0 270.4 132 148 +2000 10 11 0 19 OSCAR 57.9 9.8 133 605 +1997 3 22 6 15 RAFAEL 62.0 186.5 129 552 +2003 10 17 0 23 KIRK 53.2 147.1 156 557 +1954 10 16 0 18 ISAAC 9.1 221.4 24 11 +1990 3 7 0 22 LESLIE 10.9 133.6 144 581 +1962 6 25 6 8 WILLIAM 23.5 129.4 34 700 +1970 4 13 12 7 HELENE 46.0 319.2 107 512 +1995 9 26 0 13 LESLIE 42.2 331.3 153 514 +1986 11 4 18 25 NADINE 32.7 148.3 56 678 +2003 5 7 18 17 VALERIE 14.4 242.4 49 366 +1958 11 13 6 21 ALBERTO 69.7 354.9 56 798 +1982 4 21 0 4 CHRIS 37.0 118.2 59 104 +1988 4 10 0 4 GORDON 41.9 134.7 76 552 +1997 4 9 0 8 SANDY 50.5 195.8 123 651 +1984 11 4 12 16 VALERIE 28.5 127.7 102 217 +1954 5 8 6 12 ALBERTO 23.9 172.2 93 297 +1955 8 5 12 3 DEBBY 22.7 251.3 92 238 +1956 5 8 0 4 JOYCE 16.4 146.7 150 593 +1982 4 19 0 11 TONY 67.0 12.7 155 812 +1998 4 9 6 12 NADINE 51.4 40.3 22 285 +1987 4 2 12 16 BERYL 45.0 240.1 125 456 +1970 8 6 18 18 BERYL 68.1 271.6 94 114 +1985 2 5 6 9 PATTY 23.0 203.7 67 88 +1965 7 23 6 9 LESLIE 51.9 325.1 45 489 +1955 4 10 6 28 TONY 17.1 79.2 82 679 +1978 6 17 0 22 KIRK 47.3 41.0 126 897 +1989 4 7 12 23 LESLIE 28.6 44.3 76 851 +1989 7 10 12 12 BERYL 12.7 38.5 92 417 +1986 8 18 12 3 HELENE 37.4 204.3 27 821 +1962 12 19 0 13 NADINE 28.1 1.7 39 570 +1982 4 13 0 26 KIRK 55.1 228.7 120 476 +1981 6 3 6 4 LESLIE 35.4 67.2 162 773 +1960 7 1 18 27 CHRIS 26.6 89.5 52 819 +1993 10 10 12 1 NADINE 7.6 57.3 59 650 +1955 7 26 0 11 BERYL 50.6 229.2 112 716 +1959 8 11 6 18 JOYCE 10.7 338.2 160 427 +2003 2 3 0 15 FLORENCE 30.9 124.5 159 526 +1951 11 1 0 21 DEBBY 50.4 50.0 132 19 +2002 7 1 18 5 PATTY 30.7 116.6 146 898 +1976 8 4 12 23 OSCAR 52.0 1.3 37 349 +1968 3 10 6 18 ISAAC 67.7 229.8 29 1 +1974 8 14 18 20 GORDON 44.7 311.9 83 551 +2001 4 18 12 8 CHRIS 60.6 311.9 39 803 +1994 12 26 6 12 DEBBY 25.8 43.8 57 852 +2000 5 22 12 18 VALERIE 67.6 349.1 23 478 +1973 8 14 18 21 ERNESTO 49.7 184.9 135 347 +1988 8 23 12 19 ISAAC 13.7 52.0 111 37 +1969 9 23 12 28 VALERIE 69.3 201.2 92 669 +1960 12 10 12 28 GORDON 34.6 115.6 75 247 +1993 10 8 0 26 MICHAEL 20.9 26.7 67 518 +1983 1 16 12 27 CHRIS 53.9 318.3 13 223 +1985 10 8 18 14 VALERIE 29.8 192.8 160 437 +1996 3 26 18 14 RAFAEL 27.4 118.1 148 502 +1976 6 12 6 2 WILLIAM 64.5 35.4 57 252 +1956 3 10 12 26 JOYCE 13.4 22.2 98 269 +1998 10 21 6 23 ERNESTO 61.2 77.9 132 288 +1965 9 1 0 20 ERNESTO 34.5 200.0 57 675 +1986 3 6 18 16 NADINE 33.8 251.2 102 345 +2004 10 21 12 25 TONY 25.3 178.0 80 135 +1991 10 14 6 15 WILLIAM 36.3 255.9 109 218 +1972 2 7 12 23 ERNESTO 25.5 45.9 37 393 +1960 10 16 18 25 SANDY 34.6 290.4 123 140 +1959 3 23 18 5 MICHAEL 16.6 349.6 18 57 +1994 10 7 0 2 RAFAEL 64.3 135.8 163 803 +1959 4 12 18 28 ISAAC 62.6 326.9 95 258 +1981 9 2 18 17 VALERIE 29.6 93.6 55 102 +1973 9 11 0 6 RAFAEL 32.1 167.4 103 168 +1983 1 1 0 27 JOYCE 44.8 113.0 29 699 +1989 7 11 0 15 NADINE 28.9 244.0 57 399 +1982 3 6 6 18 KIRK 22.3 290.1 69 892 +1952 11 11 0 11 ERNESTO 61.3 104.6 164 112 +1970 2 8 6 7 ISAAC 39.3 289.2 18 174 +1962 1 22 12 14 GORDON 68.3 350.1 144 502 +1953 10 17 18 8 NADINE 58.4 10.4 50 98 +1980 2 16 18 15 RAFAEL 25.9 6.7 141 203 +1960 7 22 0 12 ALBERTO 20.7 49.0 10 104 +1960 9 8 12 28 FLORENCE 49.9 237.7 108 375 +1975 6 6 18 17 JOYCE 31.6 230.0 139 711 +1996 3 21 6 18 ISAAC 52.2 208.8 11 807 +1951 2 10 12 10 WILLIAM 55.6 175.1 156 407 +1986 10 16 0 22 OSCAR 27.2 239.9 50 331 +1992 5 3 0 6 MICHAEL 21.5 193.1 161 234 +1953 2 26 6 21 OSCAR 61.9 172.5 86 461 +1973 2 4 18 2 JOYCE 56.7 107.5 163 182 +1952 6 1 12 26 ERNESTO 66.6 118.5 85 898 +1997 5 16 6 16 ISAAC 65.3 208.8 151 572 +1967 4 26 0 13 PATTY 26.3 172.3 14 21 +1988 10 2 12 8 MICHAEL 21.1 168.8 84 481 +1957 12 16 18 10 VALERIE 48.1 29.6 36 116 +2003 4 26 0 4 VALERIE 53.0 10.6 113 109 +1997 10 18 12 14 WILLIAM 10.6 222.5 73 403 +1962 6 24 12 15 ISAAC 38.3 353.4 69 450 +1988 9 26 18 7 KIRK 63.2 343.3 161 417 +1965 9 20 18 19 TONY 42.3 241.6 84 481 +1952 10 13 18 13 MICHAEL 19.3 286.1 117 217 +1983 3 8 0 25 ISAAC 16.2 288.0 72 338 +1999 7 16 6 16 SANDY 68.5 55.8 153 296 +2004 6 6 18 20 HELENE 23.0 234.8 62 481 +1988 2 7 0 10 PATTY 57.4 109.5 78 165 +1968 12 18 0 6 RAFAEL 37.2 281.1 92 781 +1991 7 14 0 11 PATTY 39.9 48.4 126 539 +1972 6 8 0 12 FLORENCE 10.0 224.6 160 312 +2002 12 19 0 10 ERNESTO 39.1 29.2 11 45 +1954 4 13 6 4 TONY 42.6 49.4 29 878 +1993 5 15 12 19 KIRK 66.9 183.7 84 638 +1996 12 28 0 15 ALBERTO 67.4 87.1 124 294 +1998 9 21 18 1 OSCAR 58.8 111.7 77 505 +1966 12 8 12 13 PATTY 22.8 216.5 69 506 +1971 11 13 18 13 OSCAR 43.1 89.1 59 543 +1998 6 7 0 26 KIRK 66.4 21.5 44 407 +1950 3 17 12 10 JOYCE 27.1 174.5 158 532 +1975 12 5 18 18 WILLIAM 60.5 281.8 133 626 +1950 10 22 0 19 SANDY 55.7 127.4 116 758 +1957 5 1 6 26 ALBERTO 24.9 206.4 133 313 +1975 3 5 12 23 FLORENCE 55.1 65.9 115 628 +1960 7 25 12 19 GORDON 37.3 125.2 81 188 +1956 4 10 6 25 MICHAEL 35.0 331.0 20 398 +1951 5 22 6 3 OSCAR 64.1 214.3 18 413 +1992 2 18 0 7 PATTY 52.2 277.8 31 42 +1954 9 24 18 12 ALBERTO 48.7 247.1 21 65 +1961 10 13 6 19 KIRK 40.3 262.1 68 256 +1970 10 9 18 8 OSCAR 57.6 141.4 145 892 +1990 5 24 12 28 BERYL 49.5 66.4 147 767 +1966 5 27 18 16 ERNESTO 7.4 291.4 102 483 +1995 10 11 6 18 MICHAEL 34.7 48.8 34 125 +1959 4 27 6 27 DEBBY 50.0 5.9 112 633 +1977 2 27 6 10 ISAAC 8.4 47.4 43 360 +1995 10 10 12 15 RAFAEL 12.4 118.7 135 426 +1951 10 2 0 21 KIRK 60.4 177.8 43 338 +1966 12 20 0 14 OSCAR 32.0 229.1 147 638 +1958 7 2 18 21 SANDY 69.9 273.0 28 436 +1978 12 15 18 19 BERYL 8.0 144.4 85 886 +1999 1 4 18 7 FLORENCE 47.8 347.0 35 41 +1976 2 21 6 1 TONY 9.0 148.4 160 812 +1979 1 21 12 6 WILLIAM 41.2 240.0 99 853 +1970 1 23 0 12 KIRK 31.2 332.8 14 68 +1954 7 28 12 7 VALERIE 10.2 260.6 22 427 +1952 3 24 6 2 LESLIE 17.4 77.8 45 474 +2004 6 23 0 24 BERYL 47.6 236.5 154 704 +1980 8 5 0 11 NADINE 58.0 338.1 14 406 +1984 9 27 6 3 MICHAEL 35.8 41.5 140 414 +2003 7 14 18 3 FLORENCE 18.2 106.9 99 760 +1993 8 9 18 23 GORDON 53.9 106.6 142 96 +1998 5 27 6 15 TONY 27.7 64.6 151 169 +1966 8 2 6 28 MICHAEL 27.6 5.2 113 887 +1988 4 16 6 12 JOYCE 66.3 353.3 50 505 +1963 2 22 6 3 DEBBY 36.0 1.8 73 770 +1976 5 14 18 27 ALBERTO 42.0 248.4 115 405 +1987 4 7 6 27 TONY 65.9 136.1 143 642 +1968 10 25 12 6 VALERIE 40.1 95.0 58 49 +1986 10 23 18 4 ERNESTO 16.9 59.4 92 765 +1967 9 11 18 21 PATTY 44.6 54.5 101 155 +1962 12 23 0 18 ALBERTO 39.2 264.8 24 493 +2001 3 27 0 22 BERYL 11.4 228.0 108 177 +1951 9 17 18 27 MICHAEL 9.3 77.6 23 514 +1993 1 25 12 13 PATTY 63.3 4.2 137 858 +1997 11 27 0 7 ERNESTO 47.1 43.5 18 579 +1954 1 7 6 17 RAFAEL 12.5 50.6 30 612 +1989 4 14 18 26 PATTY 56.3 283.4 163 601 +1962 2 17 6 8 PATTY 31.2 186.9 64 766 +1959 5 28 0 22 MICHAEL 10.1 338.0 99 618 +2002 8 24 12 3 FLORENCE 56.8 348.0 64 28 +1979 10 20 6 13 CHRIS 12.6 138.2 27 369 +2003 5 9 12 14 NADINE 41.6 36.3 98 209 +1951 12 28 0 16 ISAAC 50.8 146.4 84 308 +1957 5 25 12 24 FLORENCE 49.3 43.6 105 497 +1966 3 18 6 19 TONY 29.6 341.0 133 483 +1951 2 2 12 25 VALERIE 16.8 239.5 68 468 +1999 5 13 6 1 VALERIE 34.0 43.3 131 583 +1995 8 17 0 10 FLORENCE 51.8 88.4 160 286 +1961 12 1 18 11 ALBERTO 36.0 108.7 76 642 +1950 9 7 0 21 BERYL 66.5 92.2 34 196 +1972 8 28 12 5 MICHAEL 55.4 109.5 107 525 +1952 2 6 0 15 ERNESTO 17.9 231.9 147 691 +1974 9 28 12 24 TONY 37.8 187.1 157 743 +1953 2 6 0 22 HELENE 46.7 202.8 30 274 +1951 3 16 6 7 LESLIE 52.3 174.6 95 531 +1979 11 7 18 24 PATTY 13.6 348.9 19 6 +1963 11 14 0 27 OSCAR 17.4 41.7 134 477 +1999 7 8 18 19 ISAAC 37.7 355.0 149 232 +1956 2 25 6 14 LESLIE 19.8 103.5 154 501 +1962 8 27 0 6 FLORENCE 39.1 278.1 49 769 +2001 2 12 12 23 HELENE 53.4 12.9 34 212 +2001 12 26 0 4 GORDON 51.1 131.6 137 391 +1982 8 8 12 2 BERYL 47.4 349.2 95 870 +1951 12 6 12 20 DEBBY 35.3 170.7 12 407 +1973 10 5 18 21 NADINE 31.0 355.5 114 490 +1995 6 17 12 8 MICHAEL 45.6 102.1 35 335 +1992 1 12 12 21 RAFAEL 56.7 51.0 45 196 +1975 11 1 12 28 JOYCE 15.6 353.6 41 590 +2004 2 3 12 4 OSCAR 22.1 232.1 151 852 +1958 7 19 18 25 WILLIAM 18.6 49.8 35 76 +1988 4 24 0 21 JOYCE 41.7 343.9 16 752 +1964 12 11 6 21 ERNESTO 11.2 302.9 95 440 +1964 6 10 18 9 KIRK 61.0 274.1 134 512 +1968 1 4 0 25 KIRK 37.6 49.2 73 847 +2000 4 27 0 12 ISAAC 33.9 318.3 132 99 +1958 8 1 18 19 FLORENCE 32.7 170.7 114 382 +1952 10 8 6 8 OSCAR 20.0 173.7 88 456 +1994 6 2 12 25 DEBBY 62.0 58.9 100 626 +1985 2 16 12 1 VALERIE 65.8 342.1 58 80 +1955 5 1 12 8 GORDON 21.5 350.7 92 666 +1954 12 2 6 26 KIRK 52.0 8.5 92 232 +1989 9 24 12 9 VALERIE 9.2 117.4 72 547 +2004 7 11 6 11 KIRK 40.2 220.7 124 669 +1952 8 28 18 18 DEBBY 47.2 198.7 18 22 +1983 5 25 6 28 GORDON 28.1 142.7 107 404 +2002 3 24 18 7 SANDY 8.0 279.8 15 59 +1964 5 11 6 24 ISAAC 13.4 319.2 93 544 +1988 4 11 12 15 ALBERTO 68.3 271.2 121 573 +2001 11 15 6 19 BERYL 60.5 119.6 91 370 +1950 6 25 0 10 NADINE 43.7 304.6 107 857 +1989 10 24 12 3 HELENE 24.8 199.6 15 681 +1990 9 7 18 20 WILLIAM 53.5 60.2 99 363 +1962 1 24 18 11 WILLIAM 24.4 341.8 152 689 +1956 5 9 12 26 BERYL 65.7 292.1 41 748 +1956 11 16 6 12 VALERIE 60.1 172.2 19 41 +1971 12 8 0 3 ISAAC 43.6 15.8 22 149 +1991 9 27 12 11 HELENE 67.3 149.7 51 216 +1975 7 9 12 15 BERYL 19.7 158.7 114 324 +2004 11 19 6 1 GORDON 13.0 20.5 15 700 +1996 8 11 6 13 WILLIAM 51.7 59.1 124 681 +1963 3 25 0 2 TONY 9.9 204.2 30 210 +2001 4 9 12 25 PATTY 31.6 74.8 152 344 +2002 7 18 0 21 NADINE 55.1 100.7 132 247 +1987 3 7 6 2 ALBERTO 56.7 240.9 154 506 +1985 8 11 6 25 OSCAR 50.8 235.8 138 326 +1972 12 13 6 2 GORDON 35.8 336.5 98 677 +1960 5 24 0 9 VALERIE 26.6 182.7 114 426 +1959 1 24 0 7 BERYL 56.1 167.5 162 378 +1996 7 7 6 6 OSCAR 39.1 2.0 88 104 +1977 6 19 12 18 GORDON 65.7 322.0 106 415 +1984 8 1 12 7 JOYCE 25.4 97.0 130 742 +1976 3 6 18 24 KIRK 47.5 112.0 43 580 +1984 4 17 12 12 WILLIAM 46.1 258.0 13 39 +1964 9 6 0 24 NADINE 46.0 271.5 55 886 +1958 2 27 18 11 LESLIE 23.9 136.5 71 783 +2004 1 12 12 11 GORDON 52.1 158.8 107 822 +1954 12 25 12 28 PATTY 48.4 83.5 138 809 +1972 2 27 0 22 KIRK 57.9 295.0 55 127 +1964 2 2 0 24 LESLIE 24.8 318.6 111 689 +1988 7 1 18 15 NADINE 56.5 24.2 18 633 +1974 11 28 6 14 BERYL 31.5 86.2 115 568 +1978 10 28 12 16 GORDON 49.6 263.8 131 25 +1951 9 16 18 28 CHRIS 25.9 215.3 111 162 +1970 3 6 0 1 SANDY 44.4 303.4 144 293 +1974 7 6 18 15 NADINE 63.6 249.5 77 640 +1964 7 17 18 3 TONY 7.4 151.4 64 571 +1992 8 12 6 28 HELENE 29.2 61.1 66 879 +1983 7 10 0 2 BERYL 69.5 310.5 44 682 +2004 9 18 6 12 RAFAEL 64.1 63.9 74 406 +1958 10 2 18 21 MICHAEL 37.8 13.4 115 19 +1956 2 23 6 3 WILLIAM 19.8 111.9 43 544 +1958 10 23 0 26 KIRK 62.1 323.3 117 528 +1967 9 1 6 9 NADINE 62.9 105.0 49 132 +1970 4 26 6 17 DEBBY 69.4 264.5 155 257 +1988 9 13 6 2 GORDON 65.5 148.8 147 90 +2001 4 9 18 23 TONY 17.4 126.1 129 690 +1956 5 28 18 28 OSCAR 55.0 55.9 49 794 +1976 4 16 6 1 SANDY 16.0 279.0 142 274 +1999 6 21 0 11 PATTY 57.3 145.8 15 599 +1954 10 5 18 19 CHRIS 8.1 305.1 115 309 +1979 2 23 12 1 KIRK 42.5 70.2 120 502 +2002 11 1 0 8 CHRIS 35.8 24.7 54 80 +1968 6 22 12 14 SANDY 62.9 189.6 70 644 +1993 10 8 6 19 ALBERTO 64.1 210.9 148 352 +1983 7 22 18 26 PATTY 48.2 297.8 73 393 +2000 8 21 18 5 VALERIE 39.2 230.9 147 73 +1993 8 17 6 28 ERNESTO 34.8 69.1 151 238 +1990 4 17 0 13 RAFAEL 44.2 43.0 13 123 +1979 7 2 0 21 TONY 43.7 57.5 151 866 +1993 7 7 6 14 KIRK 40.8 113.1 120 253 +1985 7 22 12 20 HELENE 34.5 216.5 69 694 +1973 12 4 12 22 VALERIE 51.7 13.5 91 644 +1963 3 2 0 11 BERYL 65.2 105.9 145 725 +1971 7 19 12 18 NADINE 57.0 221.0 84 145 +1987 11 19 18 13 MICHAEL 50.1 198.7 103 722 +1997 3 8 6 14 LESLIE 51.6 190.0 139 598 +1976 6 3 6 2 LESLIE 62.7 314.9 36 290 +1959 5 11 12 18 OSCAR 21.1 242.6 14 229 +1965 2 24 6 3 LESLIE 27.8 14.8 92 897 +1994 1 11 6 6 ERNESTO 50.8 193.0 116 65 +1986 3 4 18 3 MICHAEL 41.6 253.5 38 310 +1961 3 23 18 9 WILLIAM 33.6 157.5 158 500 +1984 8 17 12 22 OSCAR 41.1 164.4 96 675 +1967 2 18 0 2 VALERIE 21.3 54.3 75 771 +1960 8 11 18 14 LESLIE 9.5 215.9 145 146 +1994 2 8 12 28 FLORENCE 26.9 202.1 163 581 +1957 7 2 0 23 PATTY 31.4 51.9 74 264 +1999 1 17 6 16 DEBBY 7.5 274.8 11 478 +1980 5 15 6 27 HELENE 28.1 48.8 138 706 +2003 9 8 12 18 LESLIE 56.8 238.6 37 575 +1987 2 21 18 9 ALBERTO 17.3 103.8 139 665 +1950 6 26 6 26 RAFAEL 9.4 210.0 14 591 +1989 11 13 12 14 ALBERTO 22.5 72.4 36 416 +1969 10 22 6 1 LESLIE 29.9 210.5 40 247 +2001 2 16 0 25 MICHAEL 30.2 304.3 158 537 +1957 4 8 18 2 BERYL 15.7 309.5 149 653 +1954 9 12 18 17 TONY 8.2 201.7 130 363 +1960 12 14 0 17 BERYL 21.4 111.8 26 335 +1954 2 15 6 10 HELENE 64.5 103.8 75 556 +1960 4 28 12 2 JOYCE 46.8 268.2 138 158 +1974 12 22 18 13 OSCAR 62.0 288.5 26 437 +2001 2 9 18 4 RAFAEL 42.7 79.6 12 318 +1963 8 24 18 15 HELENE 14.4 62.5 52 584 +1963 5 22 6 22 OSCAR 54.2 143.8 121 701 +1968 9 5 6 7 TONY 23.4 300.2 114 726 +1984 8 3 12 2 VALERIE 48.8 327.6 148 242 +1988 7 8 12 17 ISAAC 57.4 161.4 128 390 +1963 9 6 6 15 RAFAEL 66.5 31.5 64 501 +1960 3 24 18 12 OSCAR 49.7 95.8 129 41 +1974 1 23 18 12 NADINE 26.6 184.7 28 10 +1964 1 28 12 19 JOYCE 49.5 273.2 49 172 +1959 9 25 12 25 ISAAC 16.6 115.0 79 417 +1955 4 14 6 7 VALERIE 21.5 203.3 145 389 +1989 6 6 0 13 BERYL 41.3 294.4 117 396 +1978 1 4 0 11 BERYL 53.6 21.3 97 79 +2004 2 25 0 17 VALERIE 7.7 86.4 86 684 +1979 12 22 12 1 ALBERTO 39.5 279.1 17 867 +2001 8 4 6 19 NADINE 67.5 137.0 73 785 +1966 11 21 18 21 CHRIS 66.6 163.2 16 739 +1966 5 22 0 11 KIRK 65.1 118.1 105 257 +1982 9 10 18 14 GORDON 54.1 139.7 143 836 +1952 1 8 0 10 NADINE 57.1 184.5 108 610 +1971 4 25 6 10 GORDON 44.7 218.1 164 878 +1979 9 12 0 3 CHRIS 19.7 177.3 58 732 +1971 7 1 0 23 PATTY 38.6 18.7 146 228 +1969 6 17 0 17 FLORENCE 57.0 238.9 33 858 +1992 3 2 0 23 HELENE 30.4 193.0 99 612 +1975 2 10 12 6 PATTY 64.6 214.8 50 157 +1950 3 15 12 4 BERYL 8.2 173.3 87 117 +1963 1 8 18 26 JOYCE 48.9 231.1 127 556 +1958 7 25 0 1 HELENE 49.6 47.0 75 695 +1954 9 12 18 22 VALERIE 49.5 135.9 157 224 +1974 5 6 18 13 MICHAEL 66.2 231.9 23 755 +1990 10 23 0 17 TONY 51.1 305.0 55 56 +1960 8 12 6 3 JOYCE 8.5 101.9 81 885 +1975 3 26 18 15 PATTY 12.9 340.8 17 87 +1960 4 28 12 22 CHRIS 43.7 282.6 146 153 +1988 2 21 18 13 TONY 58.5 1.0 60 702 +1979 3 22 12 11 BERYL 23.1 16.7 118 489 +1981 3 9 12 28 ALBERTO 69.4 148.5 83 534 +1972 2 24 12 20 RAFAEL 11.3 168.8 38 871 +1982 10 21 18 15 BERYL 13.4 170.8 57 369 +1982 3 20 18 26 ALBERTO 41.2 82.9 37 431 +1974 2 19 0 20 CHRIS 18.8 256.2 43 386 +1954 3 8 6 8 BERYL 18.1 251.2 51 523 +1980 7 23 12 28 LESLIE 21.8 58.4 123 620 +1956 10 11 12 22 DEBBY 38.1 200.9 73 461 +1964 6 26 0 24 FLORENCE 44.1 63.0 32 802 +1992 1 2 0 24 OSCAR 24.3 191.5 47 238 +1995 12 7 6 24 ERNESTO 29.9 25.8 59 380 +1954 1 22 0 14 NADINE 50.9 38.0 147 559 +2001 7 13 0 26 DEBBY 43.9 305.1 98 58 +1996 12 9 18 8 ERNESTO 21.1 80.6 131 491 +1966 12 2 18 12 WILLIAM 35.2 225.8 83 599 +1963 11 24 12 16 VALERIE 49.7 186.6 124 35 +1979 12 12 0 12 ISAAC 36.9 19.3 114 627 +1996 10 23 6 13 PATTY 31.5 127.5 126 158 +1966 4 8 6 9 FLORENCE 30.0 139.1 99 505 +1983 8 13 0 9 NADINE 56.5 75.6 56 865 +2002 3 18 12 27 SANDY 41.1 266.6 60 450 +1951 2 21 18 19 CHRIS 22.9 110.8 146 194 +1999 6 15 6 7 SANDY 10.8 16.3 52 344 +1993 4 4 6 28 MICHAEL 52.2 141.1 157 683 +1985 10 22 6 23 FLORENCE 47.9 219.7 90 584 +2002 4 18 0 24 MICHAEL 39.2 319.3 72 294 +1951 7 16 0 26 SANDY 7.7 137.7 65 771 +1993 7 6 12 5 ALBERTO 26.3 322.9 26 299 +1950 5 22 12 12 NADINE 41.7 240.1 60 204 +1982 6 5 6 27 ALBERTO 19.6 102.2 103 345 +1995 11 9 0 18 TONY 13.8 171.1 29 719 +1985 9 22 0 1 ALBERTO 40.5 208.0 55 895 +1955 8 19 18 14 FLORENCE 60.8 227.3 123 666 +1999 5 20 18 6 BERYL 26.9 350.8 93 806 +2002 11 20 6 20 LESLIE 29.2 179.6 130 310 +2003 7 7 12 15 FLORENCE 23.6 211.6 43 328 +1986 1 23 0 21 BERYL 52.1 239.2 113 550 +1971 8 25 6 19 ISAAC 8.8 66.1 103 346 +1959 8 2 18 12 MICHAEL 51.3 340.0 137 856 +1969 8 25 12 10 ISAAC 22.6 119.0 131 499 +1972 10 16 18 8 HELENE 53.3 318.6 51 195 +1990 11 5 6 13 SANDY 69.2 234.9 61 346 +2003 12 8 12 17 ISAAC 56.8 303.3 83 422 +1989 10 7 6 9 ALBERTO 48.7 259.8 159 564 +1968 6 4 12 28 TONY 39.0 114.7 66 245 +1976 4 10 6 9 SANDY 41.9 106.0 54 870 +1985 8 14 12 13 SANDY 9.4 288.7 117 446 +2001 8 19 18 20 HELENE 57.4 117.7 46 627 +1984 10 2 0 23 DEBBY 50.3 13.2 121 163 +1951 6 6 18 11 PATTY 66.9 178.1 30 103 +1967 6 16 12 24 OSCAR 47.5 308.5 34 516 +1991 12 10 12 4 HELENE 68.9 257.5 156 712 +1972 4 10 18 15 PATTY 67.9 352.1 160 439 +2003 3 1 6 2 MICHAEL 53.5 304.8 85 463 +1962 1 16 6 20 RAFAEL 37.5 263.5 104 667 +1976 2 10 6 4 DEBBY 20.5 245.7 16 564 +1987 9 13 6 20 ERNESTO 8.6 71.6 97 134 +2001 10 26 12 4 GORDON 67.7 303.3 77 864 +1962 2 3 18 22 MICHAEL 51.1 243.1 163 469 +1974 10 21 0 14 KIRK 22.6 194.0 127 80 +1997 12 10 6 7 TONY 49.7 284.7 151 121 +1991 9 13 12 20 ISAAC 37.8 1.6 89 266 +2000 1 13 0 1 DEBBY 43.8 70.5 84 758 +1961 1 21 0 16 LESLIE 51.2 111.8 42 516 +1993 2 2 12 1 CHRIS 43.5 20.8 57 206 +1977 12 16 18 18 ERNESTO 51.8 174.6 160 685 +1968 7 22 6 25 PATTY 19.9 70.9 96 128 +1961 9 24 12 14 OSCAR 18.9 166.4 136 151 +1969 5 1 0 7 HELENE 50.1 297.9 153 727 +1987 1 26 18 16 JOYCE 8.6 181.2 36 655 +1997 4 8 0 20 TONY 12.2 44.4 70 613 +1967 5 28 18 21 LESLIE 61.3 158.0 67 69 +1992 11 10 12 19 CHRIS 30.5 52.9 42 341 +1992 4 28 6 15 MICHAEL 59.6 356.6 90 506 +1987 1 2 6 1 FLORENCE 55.3 254.3 161 764 +1961 10 9 6 11 ALBERTO 45.4 289.1 34 585 +1958 4 4 12 25 NADINE 24.8 177.3 34 506 +1996 5 4 6 9 DEBBY 22.6 335.6 60 123 +1988 11 4 12 13 JOYCE 45.1 204.3 38 125 +2003 9 25 6 15 NADINE 42.9 145.2 115 465 +1985 4 1 18 6 BERYL 60.2 245.7 149 839 +1981 2 13 18 13 OSCAR 35.3 303.7 39 821 +1986 10 15 0 1 SANDY 38.0 86.3 129 897 +1965 10 10 6 20 ISAAC 15.3 213.1 104 569 +1981 7 7 18 28 LESLIE 45.9 353.3 69 22 +1988 12 6 18 6 GORDON 31.5 79.0 54 743 +1966 3 22 18 16 JOYCE 19.8 237.8 74 108 +1962 3 14 0 14 WILLIAM 45.3 342.2 146 455 +1982 10 19 18 25 OSCAR 36.4 99.8 146 308 +1966 10 16 0 25 LESLIE 57.9 346.5 109 57 +2002 9 19 12 12 GORDON 30.5 314.9 57 748 +1958 8 11 6 20 FLORENCE 62.1 215.3 30 441 +1951 7 12 12 25 RAFAEL 59.8 218.2 130 46 +1998 1 17 12 9 KIRK 17.5 28.8 70 300 +1994 7 23 6 28 ALBERTO 54.0 13.9 162 295 +1972 1 17 12 24 BERYL 15.7 247.7 74 648 +1990 2 5 12 15 FLORENCE 16.3 185.5 109 643 +1985 3 22 6 9 JOYCE 49.7 138.7 11 529 +1996 4 6 18 13 CHRIS 29.2 252.0 143 763 +1981 10 6 18 23 JOYCE 52.8 322.2 51 864 +1972 10 10 18 18 CHRIS 44.6 9.9 63 679 +1979 4 10 12 3 TONY 13.0 310.5 35 612 +1983 1 4 0 4 BERYL 13.2 109.0 61 2 +2002 4 28 6 10 LESLIE 46.2 148.3 130 584 +1996 11 19 0 6 BERYL 26.0 118.6 69 115 +1973 8 13 6 10 GORDON 49.3 231.3 71 692 +1955 3 27 6 13 KIRK 56.4 62.1 112 283 +1957 8 14 0 24 RAFAEL 65.3 7.1 77 165 +1984 5 13 12 16 JOYCE 18.3 303.1 78 83 +1987 5 19 12 1 KIRK 56.0 228.8 22 624 +1979 12 24 12 28 FLORENCE 30.7 350.1 109 19 +1975 11 9 6 27 MICHAEL 54.0 198.0 20 531 +1999 7 24 0 10 HELENE 54.2 334.7 118 405 +1953 3 16 18 27 TONY 11.2 233.7 159 704 +1994 10 19 6 28 ISAAC 30.9 317.5 140 550 +1980 8 15 0 11 MICHAEL 7.1 96.4 41 80 +1991 9 17 12 28 LESLIE 13.2 325.8 126 189 +1964 7 5 6 6 JOYCE 39.1 190.7 93 4 +1990 6 23 0 8 WILLIAM 14.9 178.5 33 19 +1980 10 21 12 23 OSCAR 37.2 94.7 141 517 +1961 7 21 0 8 TONY 67.6 223.5 53 420 +1968 2 25 18 10 ALBERTO 16.5 41.4 11 377 +2002 9 20 0 17 GORDON 37.0 232.7 101 387 +1984 9 9 12 20 LESLIE 40.2 109.7 129 719 +1974 6 6 0 16 RAFAEL 63.6 57.8 15 167 +1964 7 26 0 16 LESLIE 46.3 134.3 22 164 +1966 1 20 6 27 WILLIAM 54.2 254.7 60 258 +2002 5 19 18 14 MICHAEL 14.1 316.4 35 444 +1976 5 13 6 5 HELENE 67.5 306.0 95 410 +1986 11 2 0 13 BERYL 39.4 20.4 26 146 +1955 7 24 18 27 OSCAR 33.4 82.5 38 100 +1962 10 13 12 10 VALERIE 14.9 118.3 109 844 +1983 5 1 6 13 LESLIE 37.5 203.5 117 341 +1961 6 17 18 21 HELENE 24.4 209.9 104 430 +1951 2 10 12 19 CHRIS 21.0 130.2 11 160 +1968 9 28 12 11 GORDON 30.1 96.0 122 315 +1966 12 23 12 16 WILLIAM 63.0 272.4 109 742 +1995 2 18 6 25 WILLIAM 35.3 279.7 80 116 +1958 5 10 6 9 HELENE 21.4 28.9 104 812 +1966 12 6 6 18 OSCAR 45.6 338.8 119 462 +1958 5 22 18 6 DEBBY 29.0 335.1 85 251 +1958 2 21 6 20 ISAAC 29.6 233.9 43 380 +1991 9 15 12 9 MICHAEL 51.3 279.2 153 811 +1962 8 4 0 4 JOYCE 33.1 147.3 66 852 +1981 12 13 12 15 BERYL 51.5 115.5 50 317 +1971 11 19 18 25 PATTY 23.1 54.6 86 718 +1970 2 15 12 14 KIRK 48.5 296.4 86 10 +1956 6 14 0 11 HELENE 40.4 136.1 27 260 +1969 9 11 6 14 MICHAEL 36.7 340.8 72 781 +2003 11 27 12 13 OSCAR 56.1 154.9 88 244 +1994 9 9 18 17 HELENE 45.0 19.5 68 688 +1970 11 12 12 25 KIRK 27.8 12.2 110 58 +1978 9 27 6 15 BERYL 53.7 68.3 128 98 +1957 2 21 18 28 CHRIS 47.0 102.6 55 258 +1989 3 13 0 24 OSCAR 28.7 165.2 136 771 +1997 9 13 0 23 WILLIAM 27.6 208.2 77 721 +1992 1 11 6 16 SANDY 12.4 290.1 19 674 +1996 8 13 6 5 JOYCE 62.8 265.4 51 737 +1954 5 4 6 23 VALERIE 47.4 185.4 88 860 +1985 6 18 18 22 SANDY 45.3 251.4 17 237 +1995 9 20 0 22 NADINE 20.5 45.8 51 68 +2004 4 26 12 8 GORDON 57.3 88.0 73 830 +1992 10 9 18 28 ALBERTO 10.4 13.0 156 20 +1995 6 25 18 15 DEBBY 43.8 63.9 142 151 +1996 9 24 18 13 FLORENCE 55.3 191.0 159 272 +1955 10 8 0 18 OSCAR 55.2 348.4 42 618 +1975 1 2 6 5 CHRIS 8.9 348.9 141 124 +1965 5 22 0 19 HELENE 59.1 65.1 91 456 +1959 1 19 12 14 HELENE 42.7 132.7 29 889 +1960 5 25 6 4 TONY 11.1 250.5 116 538 +2004 7 14 0 27 GORDON 31.9 151.2 150 862 +1981 12 26 18 18 VALERIE 42.7 259.5 75 149 +1955 11 4 0 18 GORDON 10.7 196.6 80 830 +1983 11 4 0 21 LESLIE 9.0 67.2 56 251 +1987 7 23 18 27 BERYL 22.6 190.0 125 769 +2004 5 4 12 4 PATTY 67.3 221.7 138 280 +1981 11 28 18 24 SANDY 53.9 93.2 11 189 +1992 2 9 18 22 PATTY 65.1 145.0 125 898 +1952 11 22 6 3 VALERIE 22.7 81.4 60 418 +1950 3 8 0 16 KIRK 24.9 67.3 52 102 +1950 7 22 18 8 ALBERTO 50.9 284.0 75 39 +1962 5 25 0 9 DEBBY 44.2 10.1 18 285 +1965 9 4 18 15 OSCAR 46.5 221.5 155 770 +1978 1 12 6 1 TONY 51.5 304.9 61 482 +1971 8 17 18 26 FLORENCE 46.3 205.4 21 194 +1973 8 10 6 22 PATTY 64.7 210.1 41 152 +1975 1 21 18 22 OSCAR 48.0 314.0 88 597 +1950 3 9 0 28 ERNESTO 24.4 105.6 71 122 +1993 2 8 18 9 JOYCE 28.8 183.0 130 347 +1962 6 14 0 4 BERYL 63.3 325.8 58 140 +1958 12 18 0 8 VALERIE 68.8 259.9 115 223 +1991 1 9 18 20 HELENE 30.0 14.1 86 773 +1966 7 27 0 16 VALERIE 11.5 328.0 62 869 +1998 7 8 0 5 NADINE 38.0 256.4 35 40 +1964 7 25 12 19 LESLIE 32.6 72.3 111 214 +1991 7 26 18 13 VALERIE 16.3 294.2 122 662 +1996 5 24 6 21 SANDY 68.2 100.7 116 710 +1999 8 24 0 10 ERNESTO 63.8 283.5 45 384 +1979 12 2 12 16 BERYL 64.9 40.2 134 387 +1979 12 13 18 18 SANDY 65.2 157.7 35 228 +2002 11 4 6 22 RAFAEL 29.2 186.4 121 751 +1951 10 5 18 22 ALBERTO 17.9 339.6 74 318 +2000 1 22 0 10 VALERIE 12.0 299.8 60 220 +1997 7 7 6 17 ERNESTO 7.0 27.5 161 460 +1984 7 4 0 25 TONY 30.3 180.8 71 623 +1969 12 27 0 8 OSCAR 36.2 222.8 52 333 +1988 6 27 18 3 ERNESTO 39.9 206.4 22 180 +1994 4 22 18 16 DEBBY 67.4 334.4 107 89 +1961 5 6 18 8 GORDON 44.4 4.5 141 46 +1996 7 27 0 10 ISAAC 8.1 338.8 26 125 +1950 8 22 18 4 ALBERTO 49.4 209.7 130 28 +1974 10 17 6 28 PATTY 10.0 201.1 147 491 +1971 12 18 12 1 BERYL 36.7 148.5 64 866 +1951 11 5 18 5 FLORENCE 63.6 128.4 37 577 +1983 2 5 0 16 CHRIS 16.1 310.6 128 738 +1976 2 9 12 3 LESLIE 10.3 341.2 119 299 +1985 7 14 18 18 ERNESTO 10.3 341.9 53 596 +1978 8 24 6 4 ISAAC 40.8 331.5 160 577 +1981 2 25 18 14 TONY 51.3 295.2 33 570 +1984 2 7 0 2 GORDON 34.2 339.7 20 401 +1981 9 1 0 25 OSCAR 61.6 280.4 119 255 +1972 12 6 12 4 SANDY 38.3 157.3 18 560 +1973 12 17 18 24 ALBERTO 59.9 137.9 100 625 +1956 12 15 18 10 CHRIS 47.5 317.1 156 876 +1995 1 7 0 28 HELENE 11.7 233.8 70 808 +1991 9 18 12 1 HELENE 43.8 32.8 22 51 +1992 7 14 6 18 PATTY 58.3 107.7 33 761 +1973 3 7 12 15 JOYCE 19.5 126.3 104 494 +1978 6 8 6 24 WILLIAM 16.4 297.3 96 498 +1978 6 5 18 18 SANDY 68.4 255.0 59 730 +1965 3 2 12 7 PATTY 27.2 70.6 111 315 +1961 7 8 18 20 KIRK 36.2 75.5 145 754 +1980 2 13 18 5 ISAAC 68.5 328.7 66 133 +1986 12 15 6 12 FLORENCE 14.8 37.9 122 483 +1971 11 17 0 21 MICHAEL 69.3 7.5 66 183 +1976 5 16 0 16 WILLIAM 23.1 144.7 74 29 +1997 2 7 0 2 CHRIS 49.5 311.0 76 742 +1984 3 6 0 17 DEBBY 46.1 140.0 83 280 +1974 5 21 18 9 BERYL 20.0 0.4 93 531 +1972 6 28 0 14 MICHAEL 44.1 289.7 109 197 +1976 3 28 6 22 JOYCE 41.6 340.2 115 154 +1976 4 28 6 7 SANDY 44.4 313.1 42 330 +1972 4 10 6 4 BERYL 35.1 334.7 79 572 +1961 12 8 12 13 RAFAEL 15.5 338.9 68 474 +1971 9 16 6 1 ISAAC 13.1 313.4 21 791 +1974 4 24 18 18 GORDON 50.5 135.4 98 640 +1999 4 12 0 3 OSCAR 48.7 324.0 70 188 +1972 3 1 6 12 FLORENCE 8.8 26.9 51 578 +1950 2 12 18 8 ISAAC 10.3 272.5 64 485 +1980 2 16 0 20 NADINE 25.7 246.8 145 751 +1984 2 4 12 13 MICHAEL 36.7 347.7 163 10 +1964 11 22 6 13 ALBERTO 63.7 200.7 154 181 +1972 11 22 18 23 ERNESTO 17.3 186.2 63 277 +1953 3 26 12 26 ISAAC 57.0 30.7 115 54 +1973 8 8 6 1 ISAAC 43.9 296.0 146 369 +1968 2 6 18 8 DEBBY 59.6 119.0 41 166 +1985 7 19 18 10 FLORENCE 35.8 294.8 71 814 +1977 8 22 18 27 PATTY 66.5 329.9 156 789 +1951 5 17 6 26 DEBBY 43.8 195.0 29 49 +2002 7 14 0 3 ERNESTO 51.8 85.1 114 647 +1957 10 9 6 7 ERNESTO 64.0 154.1 95 33 +1967 12 4 0 22 OSCAR 66.6 254.0 144 152 +1958 10 12 6 26 GORDON 46.4 78.3 75 228 +1976 2 24 12 8 FLORENCE 26.6 41.6 96 362 +1962 5 10 12 3 JOYCE 8.9 26.1 65 797 +1976 1 3 0 10 CHRIS 52.9 98.9 66 581 +1996 9 2 18 4 ALBERTO 64.0 271.6 143 679 +1954 12 23 18 25 DEBBY 10.8 99.1 71 742 +1958 4 6 12 11 RAFAEL 9.6 75.1 17 196 +1983 11 16 12 10 GORDON 34.0 53.0 162 207 +1965 12 6 12 3 TONY 39.0 19.0 85 793 +1973 12 19 6 1 JOYCE 20.2 251.0 85 297 +1995 5 5 12 21 WILLIAM 8.3 216.4 125 644 +1952 5 27 12 3 ISAAC 56.8 357.3 43 21 +2003 9 19 18 22 FLORENCE 46.4 96.7 89 290 +1996 2 8 12 9 ALBERTO 23.3 39.4 70 357 +1982 5 28 18 3 PATTY 20.4 231.5 106 396 +1991 2 10 18 27 FLORENCE 26.8 133.2 153 109 +1965 11 5 6 11 DEBBY 48.1 50.4 113 576 +1997 10 22 0 5 GORDON 10.6 283.0 104 146 +1951 10 10 18 22 HELENE 40.0 80.0 85 666 +1971 5 4 0 12 FLORENCE 14.0 176.5 84 616 +2001 1 7 0 14 VALERIE 29.4 338.6 135 449 +1987 7 2 6 8 DEBBY 19.4 83.4 156 882 +1999 3 11 12 17 DEBBY 13.6 350.5 124 459 +2000 1 3 18 5 LESLIE 32.3 135.9 123 648 +1991 4 23 0 27 OSCAR 7.1 64.3 72 159 +1976 6 25 12 18 SANDY 64.2 292.5 12 522 +1994 9 21 6 27 WILLIAM 23.2 255.6 95 790 +1990 7 3 12 9 DEBBY 59.6 38.1 20 687 +1994 9 9 0 1 CHRIS 67.7 191.9 30 489 +1963 3 1 18 7 VALERIE 18.6 342.0 67 514 +1985 1 3 12 26 ISAAC 58.6 237.7 152 134 +1966 12 27 6 20 RAFAEL 65.4 13.5 39 31 +1980 11 22 6 3 TONY 68.8 19.8 63 170 +1968 4 15 6 2 HELENE 16.2 77.0 90 509 +2001 3 13 6 2 MICHAEL 34.7 304.7 70 70 +1951 2 21 18 25 ERNESTO 56.8 262.7 92 461 +1989 12 9 12 25 SANDY 53.1 35.6 12 318 +1989 12 27 6 6 VALERIE 15.7 165.1 73 789 +1994 2 26 6 18 JOYCE 49.1 195.7 141 161 +1954 3 19 0 23 BERYL 42.4 227.6 96 492 +1974 12 8 12 19 HELENE 53.2 205.8 49 657 +1956 5 15 18 4 ISAAC 65.0 199.4 91 293 +1961 5 1 12 2 MICHAEL 56.2 313.7 53 358 +1977 9 18 18 22 HELENE 67.7 79.0 116 425 +1998 1 8 18 24 VALERIE 24.9 263.0 121 537 +1953 3 20 6 25 VALERIE 8.1 130.6 157 626 +1955 9 15 6 7 VALERIE 42.6 130.5 148 81 +1999 2 9 18 3 FLORENCE 10.4 335.8 62 179 +1963 9 16 0 17 MICHAEL 49.5 266.5 164 573 +1982 9 4 0 27 HELENE 24.9 91.9 52 824 +1968 1 21 6 1 KIRK 22.3 281.8 139 665 +1981 4 18 0 8 ISAAC 41.3 294.8 140 808 +2004 8 25 6 21 MICHAEL 10.8 2.3 110 240 +1964 12 5 18 7 ALBERTO 35.5 325.8 130 371 +1979 6 13 12 14 GORDON 45.3 251.5 61 889 +1978 9 21 12 2 WILLIAM 41.3 179.6 123 161 +1951 1 14 12 19 NADINE 58.7 160.9 164 91 +1985 3 9 6 26 WILLIAM 32.0 97.3 142 652 +2003 1 5 6 12 GORDON 64.6 140.5 120 255 +1974 11 26 0 13 PATTY 46.1 202.8 161 21 +2004 4 10 12 19 DEBBY 67.8 77.9 143 562 +1984 8 5 18 16 NADINE 62.7 115.7 34 895 +1983 9 24 12 25 OSCAR 57.6 223.2 136 153 +1984 9 6 18 8 LESLIE 13.4 20.7 13 229 +1969 9 3 12 13 ERNESTO 60.5 153.0 70 364 +2001 9 9 0 22 DEBBY 14.6 91.8 114 624 +1989 12 27 18 2 TONY 44.5 303.0 93 424 +1953 2 3 18 18 RAFAEL 59.8 325.0 121 176 +1996 4 27 12 11 ISAAC 56.5 44.3 58 703 +1989 1 13 6 25 ALBERTO 56.4 251.1 61 702 +1989 6 9 6 6 MICHAEL 38.5 66.9 82 132 +1979 12 13 0 14 LESLIE 26.9 310.5 133 872 +1993 2 12 6 2 KIRK 15.8 181.1 140 856 +1957 2 3 6 18 JOYCE 42.7 290.2 32 115 +1950 9 14 12 13 BERYL 7.7 261.9 66 448 +1950 3 20 18 24 KIRK 52.3 274.7 91 481 +1973 5 6 6 7 LESLIE 69.9 329.9 45 637 +1971 3 19 12 17 OSCAR 34.6 37.2 96 866 +1991 3 1 6 4 LESLIE 67.8 298.9 63 870 +1976 6 4 6 4 KIRK 13.0 244.7 138 124 +1975 8 6 12 22 OSCAR 23.8 273.1 123 350 +1984 10 10 0 1 JOYCE 20.5 167.3 44 830 +1984 10 9 0 20 LESLIE 39.5 175.7 94 102 +1996 1 16 6 22 LESLIE 40.9 347.1 50 67 +1970 2 9 12 12 GORDON 63.6 104.4 149 3 +1997 12 14 18 10 RAFAEL 18.2 244.1 79 613 +1972 8 28 6 9 LESLIE 27.9 224.0 126 265 +1964 6 25 6 11 RAFAEL 46.6 196.9 104 163 +1985 1 7 12 17 VALERIE 29.5 230.9 105 382 +1992 2 23 12 24 WILLIAM 28.0 3.8 149 627 +1970 2 28 0 19 PATTY 61.8 54.2 82 730 +1960 12 2 18 15 WILLIAM 68.1 231.2 108 62 +1978 8 3 0 11 ALBERTO 10.6 293.3 27 122 +1958 9 27 12 8 ISAAC 27.9 330.2 37 790 +1955 1 25 18 1 ALBERTO 33.6 289.2 42 829 +1980 3 22 12 17 ALBERTO 48.0 188.7 143 737 +1981 7 14 0 13 PATTY 16.1 117.9 107 849 +1999 7 21 18 11 MICHAEL 41.2 171.2 46 389 +1990 11 2 18 2 PATTY 7.1 273.8 11 302 +1998 9 11 18 13 MICHAEL 69.7 150.4 135 682 +1962 5 12 0 24 ISAAC 34.3 4.8 63 77 +1999 12 15 18 18 PATTY 63.1 96.3 29 592 +1976 4 17 12 9 OSCAR 20.2 40.9 87 891 +1971 2 3 6 13 VALERIE 49.1 354.9 19 529 +1961 10 17 0 26 RAFAEL 68.8 81.4 67 11 +1968 3 7 18 23 GORDON 69.1 182.3 18 448 +1988 9 22 12 17 PATTY 13.9 345.1 34 664 +1988 5 20 12 21 CHRIS 13.5 31.4 133 318 +1974 11 3 12 28 VALERIE 57.9 181.5 65 832 +1972 3 25 6 22 MICHAEL 17.0 205.5 115 94 +1991 12 15 6 28 NADINE 60.4 115.3 43 202 +1972 6 8 0 25 ERNESTO 62.8 30.5 111 482 +1985 1 7 18 18 FLORENCE 26.2 234.3 48 415 +1967 5 21 0 19 ALBERTO 46.0 168.5 135 753 +1991 9 28 6 10 BERYL 36.3 296.6 148 300 +1991 1 12 18 12 BERYL 44.8 209.7 134 67 +1965 5 11 18 27 ERNESTO 21.5 317.9 119 714 +1964 1 26 0 27 OSCAR 34.7 124.2 10 695 +1988 5 17 6 8 BERYL 65.3 113.0 155 535 +1963 11 12 6 15 ERNESTO 68.0 26.3 14 739 +1993 12 1 6 20 ISAAC 38.6 277.0 147 641 +1952 5 24 12 23 RAFAEL 35.4 168.3 157 522 +2003 11 14 6 12 ERNESTO 46.6 114.5 91 735 +1996 2 7 0 27 CHRIS 65.4 248.7 141 600 +1950 9 19 12 18 DEBBY 46.4 306.7 52 553 +2001 5 2 18 18 TONY 51.1 336.2 92 846 +1990 9 22 12 26 WILLIAM 45.4 33.0 64 740 +1962 2 7 18 7 TONY 19.2 136.4 115 347 +1962 11 23 6 24 WILLIAM 39.5 6.7 159 631 +1961 8 3 18 17 MICHAEL 17.9 122.7 153 511 +1957 6 5 12 5 ERNESTO 44.2 338.2 81 314 +1990 10 18 0 22 DEBBY 61.6 13.5 124 761 +1984 6 23 12 24 VALERIE 57.7 224.6 137 856 +1984 9 18 0 17 ERNESTO 21.4 183.0 128 526 +2003 11 4 12 10 RAFAEL 43.1 253.9 82 633 +1991 8 18 12 5 OSCAR 35.5 211.5 45 219 +1990 1 14 0 12 MICHAEL 36.8 321.0 80 487 +1956 7 15 18 10 MICHAEL 37.0 113.6 90 375 +1963 12 26 0 12 OSCAR 7.9 140.0 106 382 +1969 1 19 0 27 FLORENCE 30.0 235.5 65 328 +1973 9 20 6 18 LESLIE 62.4 236.6 87 381 +1963 4 9 6 25 CHRIS 30.3 139.3 138 697 +1984 6 26 12 16 KIRK 30.8 110.7 51 270 +1998 12 13 0 2 TONY 11.7 250.9 134 43 +2003 4 10 18 2 LESLIE 14.7 24.4 141 720 +1985 6 14 12 16 ERNESTO 34.0 203.4 132 420 +1987 3 23 12 9 RAFAEL 17.2 131.4 64 826 +1960 12 23 12 17 HELENE 13.2 80.3 161 473 +1951 4 11 12 17 DEBBY 53.6 260.2 71 462 +1991 1 3 0 3 ERNESTO 18.3 204.3 119 272 +1980 5 26 12 8 OSCAR 45.8 66.7 27 412 +1960 1 21 0 22 JOYCE 23.9 144.0 79 241 +2004 11 10 6 19 ALBERTO 56.4 136.0 24 887 +1975 10 15 0 24 KIRK 64.7 55.9 156 320 +1989 8 5 18 8 KIRK 15.9 140.5 67 488 +1966 11 4 6 2 SANDY 15.3 209.7 102 344 +1957 6 11 6 27 GORDON 38.6 101.7 131 510 +1971 6 16 6 24 WILLIAM 30.9 89.1 117 500 +1973 11 17 6 13 VALERIE 19.2 136.2 148 524 +1995 5 7 12 19 FLORENCE 8.8 320.9 45 368 +1973 4 26 18 28 OSCAR 29.7 55.4 70 173 +1967 4 15 18 12 ALBERTO 18.3 271.1 48 279 +1989 7 28 0 7 ALBERTO 32.5 196.1 120 319 +1971 7 28 6 11 ALBERTO 17.8 12.2 135 172 +1963 2 12 18 23 CHRIS 47.6 294.0 38 829 +1977 4 13 12 1 ALBERTO 53.2 147.6 52 87 +1996 2 27 18 27 BERYL 8.5 103.4 101 255 +1964 2 18 6 27 NADINE 12.2 144.2 46 583 +1970 10 5 18 28 DEBBY 23.6 325.3 33 173 +1979 8 10 6 6 FLORENCE 52.9 119.1 27 784 +1988 1 26 18 25 LESLIE 24.9 252.9 20 761 +1996 9 19 0 22 WILLIAM 42.3 26.4 136 632 +1988 8 13 6 14 BERYL 50.3 222.1 124 374 +1990 5 5 6 27 SANDY 42.0 181.2 50 100 +1955 11 22 12 14 BERYL 18.4 122.7 149 205 +1969 1 28 6 20 ERNESTO 51.9 42.1 40 495 +1973 6 23 6 22 ALBERTO 63.1 74.9 30 194 +1952 6 8 18 16 MICHAEL 38.0 253.3 161 838 +1973 8 17 0 28 HELENE 55.4 348.5 89 165 +1984 6 11 6 25 CHRIS 55.1 128.2 135 61 +1990 8 9 0 16 ALBERTO 27.9 293.2 54 519 +1998 12 18 6 18 TONY 26.8 323.7 59 117 +1958 2 10 6 21 SANDY 57.1 263.3 134 694 +2001 4 14 12 14 ERNESTO 41.3 114.1 148 693 +1999 10 15 0 23 DEBBY 33.0 4.3 20 126 +1950 1 28 12 8 HELENE 55.4 143.1 22 612 +2003 5 15 18 19 DEBBY 58.3 237.0 67 685 +1962 11 11 12 2 TONY 8.1 107.1 111 744 +1970 7 22 0 9 PATTY 65.1 165.5 150 512 +1980 11 18 18 17 JOYCE 19.8 32.9 71 353 +1961 7 14 6 7 SANDY 39.6 138.4 52 460 +1996 4 28 6 23 GORDON 64.4 97.9 51 532 +1953 8 17 0 4 ISAAC 59.1 12.6 158 755 +1955 6 7 12 28 WILLIAM 23.0 80.9 38 387 +1972 2 27 18 8 MICHAEL 50.0 240.2 100 703 +1962 6 27 6 13 VALERIE 57.6 131.2 29 362 +1957 7 6 0 1 JOYCE 19.4 220.4 62 697 +1969 3 1 6 2 ISAAC 30.0 213.2 16 742 +1954 1 25 18 3 FLORENCE 9.3 124.7 81 255 +1952 6 8 18 23 ISAAC 68.7 347.0 147 237 +1984 10 20 18 21 SANDY 45.2 210.1 76 489 +1971 3 12 18 10 ISAAC 27.3 42.1 42 736 +1962 12 24 18 5 RAFAEL 68.1 257.0 48 46 +1991 3 15 0 8 OSCAR 24.8 256.0 155 538 +2004 11 9 18 8 TONY 20.3 329.4 151 734 +1994 12 21 6 27 PATTY 24.6 267.4 27 730 +1991 3 3 6 20 SANDY 66.2 325.5 162 291 +1953 8 19 18 19 GORDON 30.3 25.7 101 214 +1988 4 11 6 14 JOYCE 16.4 356.2 60 445 +1988 2 6 12 18 SANDY 25.3 3.1 141 91 +1956 9 18 18 14 ERNESTO 53.7 64.8 20 761 +1989 10 3 6 11 VALERIE 52.4 192.9 115 811 +1996 2 3 12 10 OSCAR 8.8 119.4 72 214 +1988 7 19 0 23 MICHAEL 25.9 337.8 67 831 +1994 6 14 18 22 WILLIAM 70.0 300.6 123 771 +2001 4 5 18 28 ERNESTO 39.3 277.2 101 881 +1962 2 27 6 10 NADINE 37.0 257.8 161 584 +1957 10 12 6 11 CHRIS 12.7 174.8 120 331 +1995 3 16 6 28 WILLIAM 36.4 330.3 96 454 +2003 3 13 6 5 ISAAC 37.1 337.8 25 38 +1966 10 28 18 20 GORDON 65.8 54.1 105 37 +1955 10 5 6 23 HELENE 7.8 105.7 63 835 +1965 9 19 6 15 WILLIAM 10.0 344.8 30 443 +1955 3 6 0 12 VALERIE 58.7 159.5 136 176 +1962 9 22 12 16 HELENE 58.4 258.4 38 294 +2002 7 11 0 14 NADINE 9.3 32.9 67 259 +1997 9 24 12 14 VALERIE 18.9 260.7 15 168 +1998 6 18 12 2 VALERIE 7.7 273.3 24 540 +1988 9 4 6 6 VALERIE 68.5 2.6 131 183 +2003 2 5 6 25 ISAAC 64.4 75.0 54 557 +1955 7 27 12 14 CHRIS 60.1 93.0 91 785 +1950 4 7 6 12 TONY 32.3 123.7 54 612 +1982 7 8 18 9 DEBBY 27.6 32.3 40 387 +1967 4 22 18 21 CHRIS 28.8 90.1 27 543 +1999 6 16 0 10 WILLIAM 67.0 292.7 15 287 +1981 10 15 12 14 FLORENCE 31.5 111.9 16 184 +1981 4 24 18 2 ISAAC 35.2 303.3 117 502 +1984 7 20 6 2 BERYL 49.0 61.5 46 98 +1974 9 15 12 12 TONY 41.2 144.2 91 642 +1975 6 5 18 8 GORDON 49.2 138.9 111 513 +1984 9 18 12 24 JOYCE 16.4 38.2 21 891 +1993 4 18 6 18 TONY 30.4 340.7 60 108 +1995 1 11 6 6 BERYL 57.8 106.0 87 406 +1966 6 6 0 26 OSCAR 56.8 95.9 14 395 +1984 4 27 0 7 WILLIAM 38.4 1.3 85 658 +1951 1 5 0 13 VALERIE 45.4 284.9 20 667 +1988 8 26 18 20 KIRK 60.0 115.8 43 498 +1992 5 7 18 16 NADINE 32.9 211.2 152 251 +1953 9 4 18 25 HELENE 67.9 86.6 98 422 +1990 9 22 18 10 JOYCE 54.0 254.0 129 889 +1973 4 7 12 21 ISAAC 58.7 305.0 22 397 +1987 1 24 18 12 GORDON 16.1 172.5 126 843 +1960 9 24 12 1 FLORENCE 13.1 55.3 78 101 +1977 4 4 18 18 WILLIAM 43.6 9.8 122 136 +1962 8 25 18 23 CHRIS 60.2 61.9 117 587 +1960 10 7 0 28 LESLIE 9.0 183.5 37 752 +1955 2 19 18 13 ERNESTO 50.0 245.7 60 721 +1966 4 16 12 5 OSCAR 57.9 333.1 61 637 +1990 10 20 18 7 GORDON 53.8 253.0 143 625 +1983 3 12 6 27 NADINE 67.6 177.0 156 323 +1992 5 3 0 13 NADINE 38.0 319.5 26 481 +2001 8 6 6 15 ERNESTO 39.7 302.2 96 240 +1951 9 18 18 19 ALBERTO 58.2 337.5 59 383 +1983 3 16 12 19 FLORENCE 45.1 350.6 23 364 +2002 2 2 12 4 TONY 13.1 199.5 23 802 +1968 11 8 18 16 NADINE 17.7 38.2 87 488 +1991 4 7 18 20 SANDY 30.9 94.2 16 613 +1997 9 6 18 5 NADINE 44.4 97.9 22 706 +1986 6 5 12 4 CHRIS 35.6 321.9 91 802 +1954 5 2 0 2 ISAAC 60.8 331.8 31 299 +1959 11 28 18 19 DEBBY 52.9 223.0 77 163 +1962 9 9 0 17 JOYCE 8.3 134.7 64 185 +2003 12 15 0 27 PATTY 20.1 42.1 61 755 +1952 7 27 12 16 OSCAR 60.7 15.8 142 328 +1990 3 15 6 26 OSCAR 32.3 351.9 33 158 +1953 8 9 18 28 ALBERTO 66.0 270.6 95 292 +1961 9 1 18 3 LESLIE 21.5 1.8 69 389 +2002 8 9 6 17 ALBERTO 46.2 233.2 30 698 +1950 10 12 12 6 ALBERTO 55.3 172.6 66 688 +1984 9 5 18 7 NADINE 22.1 192.4 93 4 +1953 8 20 12 12 DEBBY 41.1 327.5 147 60 +1950 9 19 0 8 CHRIS 10.8 77.3 54 813 +1959 6 22 12 2 SANDY 37.7 3.8 41 600 +1960 5 17 18 24 WILLIAM 18.1 197.2 108 198 +1990 10 14 0 19 TONY 9.8 26.3 53 21 +1999 9 15 6 15 TONY 36.3 186.5 112 357 +1979 9 3 0 11 CHRIS 39.9 190.0 17 787 +2000 5 15 12 23 BERYL 55.5 170.1 47 46 +1979 9 17 12 11 GORDON 56.9 1.6 152 839 +1954 2 19 12 1 JOYCE 22.2 216.3 109 383 +1974 5 14 0 11 VALERIE 13.2 177.3 131 779 +1998 12 15 0 26 BERYL 14.5 41.3 58 150 +1981 4 9 18 20 HELENE 37.9 303.2 24 823 +1987 10 22 12 4 PATTY 61.5 336.2 138 338 +1966 7 2 6 5 OSCAR 24.7 237.8 80 520 +1990 1 4 12 4 PATTY 29.8 269.3 162 386 +1978 12 25 18 28 GORDON 9.4 305.8 127 370 +2004 5 10 12 9 TONY 36.6 21.3 84 846 +2003 1 27 0 7 RAFAEL 12.2 194.5 90 863 +1993 3 19 0 21 MICHAEL 68.4 104.9 149 162 +1954 12 28 6 14 VALERIE 40.4 278.2 112 260 +1972 3 14 12 14 TONY 67.4 88.7 97 692 +1954 3 23 0 2 CHRIS 11.1 241.2 103 786 +2003 4 6 18 10 ALBERTO 8.0 198.9 117 47 +1994 5 20 18 24 FLORENCE 69.1 3.6 159 110 +1970 10 6 6 24 OSCAR 34.0 131.3 36 434 +1999 1 7 12 10 FLORENCE 46.1 351.7 63 512 +1979 1 3 12 7 ERNESTO 68.8 303.1 29 680 +1976 4 14 6 28 OSCAR 42.2 199.0 48 118 +1978 1 20 0 23 WILLIAM 59.6 58.7 129 731 +1995 7 22 18 18 ALBERTO 66.1 244.4 54 859 +1976 10 16 18 8 ALBERTO 14.9 212.4 115 328 +1967 12 24 6 18 BERYL 42.9 151.5 21 400 +1986 8 14 18 5 PATTY 60.6 299.7 162 244 +1975 12 28 12 25 ALBERTO 45.0 266.8 25 538 +1998 8 19 18 26 FLORENCE 68.3 189.8 89 277 +1993 6 9 6 12 BERYL 59.0 335.0 88 600 +1976 10 18 18 28 ISAAC 61.3 211.2 151 460 +1973 10 17 6 7 VALERIE 14.2 260.8 61 852 +1994 7 20 6 24 HELENE 33.6 260.7 37 730 +1957 7 2 12 18 TONY 34.4 96.3 56 891 +1980 11 27 6 6 WILLIAM 20.3 127.8 13 868 +1959 9 11 6 12 PATTY 48.6 233.6 136 682 +1978 3 13 18 9 JOYCE 18.0 73.4 142 598 +1986 9 8 0 26 GORDON 27.3 124.2 30 21 +2002 8 16 0 4 HELENE 61.6 341.8 126 505 +1956 1 3 12 6 SANDY 32.4 338.3 50 893 +1985 9 22 0 8 GORDON 24.6 323.2 159 412 +1961 1 16 0 16 ALBERTO 62.6 111.5 22 178 +1986 4 11 0 16 BERYL 25.7 23.1 89 42 +1963 3 5 6 19 ALBERTO 42.3 41.0 109 2 +1966 3 23 18 22 RAFAEL 34.7 235.6 30 404 +1966 7 19 6 14 KIRK 64.2 260.3 151 168 +1956 4 19 12 9 WILLIAM 69.8 90.1 48 795 +1965 3 13 6 19 JOYCE 56.4 246.6 14 661 +1952 3 3 6 27 BERYL 42.1 219.5 17 95 +1991 4 18 6 11 WILLIAM 12.8 191.7 125 357 +2000 5 3 12 1 PATTY 24.0 24.5 137 816 +1983 6 27 6 14 DEBBY 25.0 117.6 29 647 +2004 6 26 12 15 NADINE 34.8 328.6 136 384 +1976 4 20 0 17 KIRK 12.7 319.6 157 34 +1989 8 27 6 10 VALERIE 60.1 160.8 106 415 +1981 7 25 6 5 HELENE 36.6 348.1 29 87 +1980 4 6 12 14 CHRIS 7.2 209.8 73 348 +1965 11 25 6 4 KIRK 26.1 219.7 130 874 +1963 9 21 12 14 WILLIAM 65.3 213.0 163 67 +1984 5 10 12 15 LESLIE 56.1 334.2 128 505 +1979 2 27 18 27 GORDON 31.2 134.4 33 493 +1950 7 17 18 1 NADINE 61.1 71.9 43 587 +1973 4 24 6 11 HELENE 25.6 333.8 132 811 +1951 2 26 0 16 RAFAEL 54.1 0.4 155 644 +1954 11 28 18 6 JOYCE 32.2 350.2 105 558 +1989 5 8 18 9 NADINE 9.8 30.8 79 668 +1973 4 13 12 18 HELENE 63.1 130.8 119 819 +1952 11 25 18 9 DEBBY 7.0 193.1 156 18 +1983 6 4 0 2 ISAAC 20.1 274.1 153 605 +2001 2 10 12 9 KIRK 11.5 273.4 17 736 +1998 12 11 6 13 HELENE 57.5 97.3 102 11 +1953 4 28 12 17 JOYCE 31.5 89.2 147 335 +1963 2 15 12 16 NADINE 26.5 213.5 43 611 +1968 11 24 12 1 JOYCE 51.9 332.5 120 810 +1996 2 15 6 20 ISAAC 42.7 270.5 146 665 +1995 10 21 6 11 PATTY 34.1 180.3 62 658 +1988 4 22 0 16 ISAAC 67.6 28.7 79 299 +1955 11 18 6 13 SANDY 65.1 122.9 163 444 +1992 2 8 0 2 LESLIE 42.3 281.6 157 279 +2000 3 1 18 20 PATTY 15.2 299.5 116 790 +1961 2 13 12 1 SANDY 9.7 7.3 95 734 +1979 5 15 6 5 MICHAEL 48.2 82.3 112 892 +2000 3 27 6 19 DEBBY 50.8 80.2 130 6 +1953 8 17 12 18 MICHAEL 39.2 65.2 90 631 +1998 10 8 6 7 DEBBY 48.2 166.8 78 594 +1995 12 13 12 28 VALERIE 12.6 119.3 112 478 +1998 11 2 18 13 HELENE 64.0 172.2 101 36 +1967 4 11 18 27 MICHAEL 10.0 71.3 128 4 +1967 2 4 0 28 CHRIS 39.2 305.2 36 751 +1950 9 9 18 19 FLORENCE 26.1 195.8 98 503 +1999 3 10 6 17 HELENE 13.2 201.4 90 594 +1984 12 6 6 3 PATTY 61.3 240.2 66 370 +2003 4 8 6 18 ISAAC 51.9 53.7 105 639 +2000 2 4 18 11 VALERIE 25.7 75.3 75 677 +1980 10 10 18 19 ISAAC 9.4 185.8 58 596 +1999 4 11 0 26 GORDON 50.5 3.9 131 253 +1968 8 26 12 3 OSCAR 30.3 355.6 76 518 +1953 6 13 18 19 RAFAEL 16.9 190.2 70 80 +1961 3 22 18 6 FLORENCE 62.9 253.1 42 136 +1987 3 18 18 15 SANDY 59.1 285.7 27 125 +1990 7 1 12 22 NADINE 9.6 238.7 143 83 +2000 6 25 0 16 DEBBY 41.1 192.5 39 243 +2003 11 3 18 4 NADINE 44.0 233.1 67 28 +1968 1 2 12 21 BERYL 46.7 31.0 107 147 +1965 4 22 6 9 HELENE 13.4 309.3 143 637 +1985 8 9 6 13 TONY 52.4 113.7 98 563 +1977 6 19 6 16 WILLIAM 68.3 128.1 107 561 +1969 6 2 12 20 MICHAEL 47.1 225.1 37 4 +1999 1 6 6 8 JOYCE 42.9 190.9 42 837 +1985 8 26 6 10 ERNESTO 24.6 351.2 32 502 +1965 1 9 18 1 OSCAR 51.5 133.3 132 191 +1979 10 5 12 13 MICHAEL 36.6 27.4 88 254 +1963 10 1 18 18 NADINE 23.3 341.6 56 709 +1983 3 28 0 3 ERNESTO 11.9 266.9 75 117 +1982 2 19 18 16 WILLIAM 15.6 285.3 117 692 +1993 5 5 0 11 JOYCE 59.9 326.4 86 433 +1998 8 9 6 20 WILLIAM 14.6 15.1 115 478 +1981 7 2 6 2 BERYL 36.7 126.1 119 678 +1950 11 11 0 28 LESLIE 66.3 237.1 27 384 +1991 8 10 6 24 ISAAC 23.8 328.5 26 741 +1992 12 19 0 22 GORDON 50.8 107.9 88 369 +1988 4 6 0 1 HELENE 43.5 201.5 14 120 +1992 1 11 0 25 VALERIE 57.4 6.3 11 705 +1999 12 28 0 8 TONY 18.0 141.8 41 234 +1976 11 14 6 9 HELENE 59.5 283.7 63 550 +1972 8 19 0 20 PATTY 28.7 270.8 130 721 +1999 9 24 0 19 MICHAEL 45.2 308.9 144 212 +1964 3 6 12 19 TONY 50.3 339.4 137 344 +1951 10 3 0 7 KIRK 51.8 266.3 85 15 +2004 9 19 12 20 ISAAC 15.7 133.4 123 463 +1997 8 19 18 15 OSCAR 48.0 65.3 95 745 +1952 11 19 12 20 MICHAEL 69.3 67.9 112 539 +1964 8 8 12 20 RAFAEL 28.7 130.2 128 148 +1974 4 14 6 25 HELENE 18.2 15.4 16 26 +1996 5 25 18 28 PATTY 49.8 223.9 148 346 +1954 2 8 12 15 CHRIS 17.4 290.8 122 802 +1959 1 20 6 26 SANDY 48.3 131.7 123 691 +2002 12 21 12 10 PATTY 57.0 159.3 16 31 +1962 8 28 0 20 BERYL 41.5 240.5 110 771 +1991 2 25 0 17 SANDY 60.7 157.6 35 512 +1987 8 7 0 27 BERYL 67.4 93.2 122 820 +1997 1 9 12 23 LESLIE 36.1 19.3 164 287 +1969 12 4 6 3 VALERIE 54.2 189.3 114 43 +1991 9 13 12 12 WILLIAM 26.7 54.4 155 392 +1964 7 4 0 12 ERNESTO 42.8 277.7 16 220 +1992 4 10 12 19 ISAAC 67.5 159.4 88 78 +1990 10 2 12 25 ERNESTO 35.8 114.3 22 219 +1979 1 5 6 4 MICHAEL 46.3 312.2 74 843 +1979 5 12 6 11 PATTY 35.2 354.6 31 94 +1983 11 20 0 28 ERNESTO 14.1 61.1 70 180 +1951 1 22 6 28 ERNESTO 59.0 71.3 88 57 +1984 6 4 18 15 BERYL 46.4 255.9 96 100 +1970 2 28 18 17 ISAAC 30.7 333.9 25 270 +1972 4 19 18 16 RAFAEL 57.0 39.1 89 99 +2003 10 5 6 12 TONY 40.1 241.2 58 259 +1953 4 14 12 1 JOYCE 39.4 165.7 154 730 +1985 1 3 0 22 JOYCE 51.3 29.2 56 50 +1984 1 12 0 6 GORDON 8.2 3.5 66 667 +1981 5 20 0 24 PATTY 62.5 153.5 111 460 +1972 10 26 18 9 OSCAR 19.3 236.3 135 585 +1972 3 15 18 4 FLORENCE 41.8 290.2 144 393 +1957 5 24 18 2 TONY 54.6 32.5 104 562 +1994 10 21 18 11 TONY 53.2 252.0 56 609 +1994 10 6 18 11 PATTY 23.3 20.8 46 620 +1964 9 26 12 9 BERYL 41.9 254.7 157 277 +1956 1 13 12 1 ERNESTO 24.2 291.1 49 598 +1990 6 25 6 14 VALERIE 14.7 282.4 100 491 +1985 2 12 12 7 ERNESTO 13.1 83.2 88 479 +1966 1 1 6 21 ISAAC 23.7 261.0 70 548 +1988 11 6 0 26 HELENE 29.8 214.5 76 337 +2002 6 5 18 13 BERYL 12.4 231.5 12 661 +1994 7 14 18 6 JOYCE 22.9 118.7 50 169 +2003 3 3 6 5 ISAAC 25.8 234.1 30 546 +1984 11 5 0 18 TONY 27.7 309.7 92 247 +1978 6 23 6 7 SANDY 54.7 189.9 56 599 +1969 8 16 18 14 HELENE 32.2 190.2 30 159 +2000 7 14 18 25 PATTY 66.2 286.3 123 842 +1992 5 23 0 10 MICHAEL 50.7 85.5 75 897 +2001 11 26 0 1 DEBBY 15.6 268.8 159 324 +1952 12 10 0 4 CHRIS 50.4 48.7 152 577 +1963 12 16 0 10 TONY 7.0 36.3 128 735 +1965 8 26 0 17 OSCAR 53.9 9.8 34 800 +1994 7 9 12 7 RAFAEL 40.9 243.3 90 616 +1958 1 5 0 3 FLORENCE 65.6 10.6 139 666 +1961 5 7 18 12 PATTY 69.1 251.0 52 455 +1996 3 11 12 21 BERYL 33.1 103.0 34 203 +1961 7 19 6 23 VALERIE 14.1 86.3 101 473 +1999 5 23 6 4 HELENE 49.3 131.9 141 819 +1963 1 26 0 8 LESLIE 39.2 0.7 133 428 +1962 11 26 12 13 BERYL 54.3 234.4 86 469 +1989 3 17 18 5 VALERIE 66.1 70.3 120 766 +1985 3 9 12 17 WILLIAM 44.4 111.7 73 530 +1976 8 28 0 26 ERNESTO 69.3 261.0 124 583 +1969 5 23 18 13 PATTY 66.6 155.3 73 652 +2002 7 17 6 24 GORDON 18.9 298.1 112 304 +1952 1 26 12 3 PATTY 21.3 326.3 53 595 +1997 8 21 0 1 DEBBY 43.2 8.6 76 93 +1967 10 19 12 4 ISAAC 69.5 138.2 105 339 +1977 8 11 6 11 ALBERTO 62.0 247.1 115 159 +1977 12 24 6 16 ERNESTO 34.5 269.4 104 357 +1961 1 21 12 17 ERNESTO 27.3 292.2 72 599 +1957 9 27 12 13 RAFAEL 41.3 134.9 57 331 +1995 4 24 12 17 DEBBY 57.9 267.0 147 150 +1954 6 18 6 5 NADINE 8.4 351.9 100 89 +2001 3 5 18 23 VALERIE 59.3 223.2 56 189 +1980 12 20 0 27 DEBBY 7.9 26.1 76 152 +1993 5 12 6 3 ALBERTO 13.5 31.0 101 92 +1957 3 24 6 13 ISAAC 57.3 287.3 46 361 +1999 1 1 12 12 TONY 12.0 116.8 81 810 +1999 3 4 6 22 PATTY 7.4 152.2 77 270 +1986 1 21 18 19 KIRK 26.2 93.6 100 675 +1967 5 7 12 23 NADINE 36.5 245.0 39 594 +1970 4 20 6 5 DEBBY 21.8 326.8 69 708 +1960 12 17 12 25 NADINE 66.0 127.5 47 805 +1982 9 3 0 5 SANDY 49.9 178.2 152 428 +1989 10 9 12 26 RAFAEL 13.3 282.6 23 315 +2002 1 18 0 17 DEBBY 39.8 221.6 63 326 +1960 3 3 6 6 MICHAEL 46.0 160.4 113 145 +1993 6 6 12 9 HELENE 39.6 134.7 99 772 +1952 1 16 6 22 LESLIE 13.8 243.7 67 558 +1952 1 20 6 18 OSCAR 42.5 345.8 144 528 +1987 6 3 0 28 RAFAEL 17.1 195.8 47 298 +1975 8 17 12 21 BERYL 31.3 71.8 55 428 +1978 5 14 6 1 ERNESTO 39.0 129.6 81 197 +1972 1 5 0 28 HELENE 59.0 295.4 75 215 +1994 8 4 0 28 TONY 48.4 157.1 127 173 +1953 3 6 18 2 GORDON 55.0 145.0 143 484 +1974 1 25 18 19 ISAAC 66.8 77.3 140 422 +1980 6 23 0 15 ERNESTO 66.5 284.2 148 32 +1991 1 22 0 22 CHRIS 32.7 18.7 83 141 +1972 8 26 18 22 BERYL 50.8 112.1 51 449 +1981 10 6 12 8 NADINE 21.1 202.4 69 75 +1970 7 5 0 20 SANDY 22.6 351.2 150 38 +1982 12 8 12 27 MICHAEL 63.4 141.4 130 420 +1996 3 24 0 2 TONY 23.6 108.1 73 175 +1970 4 23 12 6 ALBERTO 16.1 19.3 109 473 +1993 11 20 0 15 DEBBY 16.1 17.6 22 890 +1978 4 10 6 24 DEBBY 68.8 291.9 61 80 +1969 11 18 6 3 FLORENCE 16.5 150.2 20 485 +1974 6 26 18 2 ALBERTO 18.4 345.8 110 36 +1961 8 1 6 14 NADINE 21.3 57.9 53 259 +1967 7 25 12 17 NADINE 33.3 294.9 155 563 +1977 3 6 0 26 CHRIS 7.6 231.1 93 244 +1980 2 24 0 9 WILLIAM 21.0 288.3 47 774 +1979 6 5 18 10 NADINE 50.3 326.0 105 605 +1994 1 5 0 18 MICHAEL 56.0 37.5 48 470 +2002 10 19 6 1 NADINE 26.2 101.2 126 442 +1958 11 15 12 19 LESLIE 36.2 267.0 99 40 +1951 12 14 0 20 MICHAEL 37.1 168.8 35 432 +1975 1 18 6 6 SANDY 30.9 150.9 111 158 +1978 1 18 0 8 TONY 16.3 28.3 145 271 +1950 2 19 6 26 LESLIE 44.8 89.1 100 620 +1962 12 4 0 19 WILLIAM 12.6 16.9 123 203 +1984 8 18 6 2 BERYL 48.9 209.4 99 498 +1983 2 1 6 25 RAFAEL 11.5 25.9 11 477 +1962 5 13 12 13 NADINE 9.3 5.5 82 512 +2004 12 8 0 15 DEBBY 31.2 119.5 16 399 +1959 12 25 18 14 CHRIS 35.3 315.4 121 241 +1995 12 4 18 4 PATTY 57.4 318.0 62 302 +1983 11 7 6 14 KIRK 39.0 85.3 10 748 +1975 5 8 12 6 VALERIE 9.7 338.6 127 723 +1961 6 7 6 1 BERYL 62.7 209.3 19 524 +1997 12 14 6 9 RAFAEL 54.5 124.9 133 447 +1952 1 22 18 28 WILLIAM 65.7 344.3 37 57 +1955 9 20 0 15 VALERIE 64.3 279.3 41 641 +1978 12 16 12 26 RAFAEL 59.8 261.5 128 446 +1970 10 2 12 26 MICHAEL 26.1 56.3 159 28 +1969 5 21 12 8 ISAAC 13.2 39.7 154 234 +1957 11 22 0 22 KIRK 69.7 13.2 79 638 +1982 10 14 0 9 JOYCE 43.1 207.0 102 540 +1972 3 8 12 9 JOYCE 66.2 209.1 84 280 +1981 10 25 18 6 TONY 31.7 1.3 98 91 +1997 8 25 6 12 ERNESTO 22.7 52.8 82 357 +1975 12 8 6 18 GORDON 26.7 302.0 141 111 +1993 5 23 18 16 GORDON 16.9 180.7 164 387 +1959 7 5 6 5 FLORENCE 11.4 322.3 41 820 +2004 9 20 6 23 ALBERTO 19.6 154.7 160 885 +1992 8 13 6 14 GORDON 10.8 295.6 88 791 +1991 3 2 12 9 LESLIE 9.3 222.2 142 154 +1996 6 17 12 22 JOYCE 26.1 249.4 138 517 +1952 1 28 12 6 JOYCE 55.6 354.8 119 210 +1982 11 2 18 17 FLORENCE 34.0 335.2 20 72 +2001 6 11 6 17 OSCAR 37.4 86.8 101 679 +2004 5 26 18 7 VALERIE 22.6 275.6 99 664 +1972 10 9 18 4 MICHAEL 9.6 206.7 69 785 +1986 10 14 0 12 OSCAR 67.2 291.9 46 694 +1989 10 16 6 9 RAFAEL 12.4 156.6 47 543 +1950 7 17 6 4 TONY 56.4 108.8 66 87 +1960 7 8 12 8 TONY 19.8 85.9 131 489 +1990 9 26 18 19 ALBERTO 44.2 340.6 136 605 +1994 8 27 12 27 RAFAEL 23.6 106.6 77 213 +1968 1 23 6 5 CHRIS 12.5 349.0 112 15 +1964 9 11 6 24 SANDY 41.5 164.0 126 356 +1988 5 10 18 9 PATTY 25.7 214.3 126 446 +1964 8 5 6 7 NADINE 56.2 50.9 34 521 +1975 5 27 12 26 TONY 65.8 181.7 61 837 +1981 7 3 18 15 DEBBY 56.0 353.5 64 853 +2003 5 24 12 16 NADINE 20.0 94.7 151 3 +1980 6 18 18 22 CHRIS 51.9 309.5 117 575 +1969 12 10 6 6 SANDY 18.4 296.1 151 777 +1974 7 2 6 20 WILLIAM 25.0 178.9 74 853 +1953 2 21 0 24 FLORENCE 32.8 56.0 88 895 +2001 6 17 0 23 OSCAR 54.2 66.1 123 122 +1972 7 12 12 28 TONY 28.6 265.5 23 392 +1966 12 23 18 9 HELENE 62.3 17.3 60 884 +1967 9 10 6 4 VALERIE 38.5 128.6 94 222 +1978 12 22 0 8 FLORENCE 50.9 297.3 65 543 +1955 8 11 0 23 DEBBY 37.3 101.9 159 356 +1995 7 22 0 26 RAFAEL 40.2 138.9 158 404 +1956 10 26 18 24 GORDON 35.0 156.7 106 44 +1998 12 27 18 14 MICHAEL 57.3 59.7 43 509 +1969 11 26 18 19 HELENE 42.5 23.4 13 714 +1981 5 26 6 14 RAFAEL 9.9 315.8 110 537 +1978 4 25 0 1 DEBBY 44.4 137.2 15 845 +1974 2 16 18 22 TONY 68.2 131.0 38 566 +1998 8 15 12 11 VALERIE 47.3 232.1 85 744 +1999 9 28 18 3 TONY 23.0 206.7 124 148 +1973 9 5 6 4 OSCAR 64.5 188.0 12 35 +1967 1 23 12 1 ERNESTO 41.1 249.0 78 580 +1994 2 12 12 5 JOYCE 21.6 10.8 11 693 +1960 2 17 12 3 LESLIE 9.1 243.8 135 402 +1987 3 4 18 10 DEBBY 11.2 92.7 153 189 +1984 7 18 6 26 FLORENCE 25.3 306.6 25 162 +1974 2 12 6 2 RAFAEL 42.1 301.8 130 466 +1994 10 16 12 4 RAFAEL 9.5 255.5 97 422 +1998 8 13 0 15 TONY 38.2 114.0 35 171 +1953 4 3 12 6 RAFAEL 65.8 271.9 160 504 +1975 10 19 0 11 FLORENCE 32.8 96.0 27 687 +1951 6 2 0 20 MICHAEL 13.1 194.5 88 661 +1992 4 10 12 17 ERNESTO 61.3 308.7 33 603 +2004 11 2 0 2 ERNESTO 10.6 269.7 70 552 +1984 3 1 12 28 VALERIE 64.9 48.0 147 294 +1989 9 26 0 26 ALBERTO 65.2 338.9 46 305 +1959 1 25 12 11 SANDY 36.1 100.7 122 170 +1971 4 19 12 21 ISAAC 28.4 317.2 67 798 +1975 1 27 18 14 SANDY 21.6 169.4 22 169 +2004 7 23 18 9 OSCAR 64.3 114.0 29 196 +1958 8 21 12 26 OSCAR 10.8 332.4 118 569 +1985 10 28 12 7 CHRIS 20.7 45.6 150 449 +1973 1 3 12 1 FLORENCE 66.7 78.2 56 466 +1955 5 4 18 1 LESLIE 12.3 24.6 77 746 +1995 9 6 6 22 FLORENCE 17.8 334.5 104 760 +1954 10 22 12 8 NADINE 9.0 289.1 83 569 +1976 8 20 18 4 PATTY 7.7 74.5 62 565 +1966 9 6 18 22 JOYCE 18.8 281.7 156 160 +1954 7 24 12 6 SANDY 38.8 334.6 50 827 +1990 11 24 12 22 BERYL 69.2 286.4 25 357 +1986 5 1 12 25 GORDON 42.4 245.3 159 77 +1988 3 6 18 6 WILLIAM 69.0 193.7 50 781 +1992 3 3 6 20 OSCAR 29.8 262.5 158 379 +1995 3 5 18 13 RAFAEL 43.9 282.9 148 691 +1971 2 20 18 11 ERNESTO 49.0 243.6 112 558 +1956 1 28 18 19 ALBERTO 44.5 251.3 41 398 +2004 7 2 6 21 WILLIAM 41.8 179.4 19 819 +1987 12 12 18 26 PATTY 42.4 123.1 129 307 +1963 7 11 12 10 DEBBY 8.1 6.3 71 215 +1995 6 8 12 5 TONY 47.3 51.5 74 534 +1952 11 8 18 21 DEBBY 38.8 10.8 116 823 +1953 7 4 18 21 SANDY 49.3 180.4 107 505 +1952 7 11 0 8 GORDON 62.2 105.5 71 825 +1958 5 2 0 18 CHRIS 19.8 226.4 51 358 +2002 9 9 18 7 ISAAC 29.9 48.2 42 125 +1972 1 24 6 23 WILLIAM 54.1 67.6 15 315 +1988 10 2 12 17 DEBBY 11.9 172.8 94 666 +1968 2 24 18 20 TONY 59.2 196.8 18 556 +1958 5 14 12 13 LESLIE 20.4 211.6 26 547 +1962 8 22 12 21 DEBBY 51.4 330.3 74 128 +1999 10 25 18 2 ERNESTO 29.0 7.8 100 557 +1983 3 18 12 22 BERYL 67.0 253.8 124 472 +2002 3 2 12 27 JOYCE 12.2 197.4 24 768 +1952 8 27 6 9 WILLIAM 50.5 296.3 134 321 +1997 3 2 18 22 KIRK 50.9 211.4 142 712 +1999 11 9 12 5 ALBERTO 66.3 287.5 49 539 +1952 8 8 18 12 LESLIE 44.8 151.4 144 668 +1998 7 11 12 8 ERNESTO 37.7 55.1 107 146 +2002 7 3 0 27 JOYCE 27.5 202.2 107 158 +1999 9 22 12 15 PATTY 26.7 37.9 125 626 +1965 11 14 6 9 ALBERTO 21.8 218.0 73 14 +1982 7 20 18 22 NADINE 57.7 74.9 21 866 +1958 3 25 12 4 RAFAEL 49.7 77.4 71 72 +1975 5 27 18 22 ERNESTO 41.9 81.8 160 532 +1993 11 2 0 9 NADINE 7.5 8.0 70 814 +1956 7 2 18 4 DEBBY 36.2 139.1 31 767 +2001 8 2 6 1 ERNESTO 63.7 172.0 97 212 +1975 8 9 18 7 RAFAEL 21.8 255.9 159 131 +1992 2 10 6 20 OSCAR 28.8 112.1 93 530 +1999 4 19 18 7 GORDON 35.6 155.2 148 869 +1980 3 25 0 5 TONY 49.2 51.9 96 10 +1960 10 8 12 23 ERNESTO 40.3 110.0 122 463 +1960 12 11 6 24 DEBBY 45.8 296.6 22 562 +1958 9 19 0 6 GORDON 32.3 64.9 20 362 +1960 8 8 0 6 CHRIS 60.1 251.4 21 434 +1993 8 3 18 14 DEBBY 20.5 308.9 67 875 +1975 1 13 0 27 KIRK 41.8 232.3 115 88 +1975 3 28 6 25 FLORENCE 64.6 343.0 45 144 +1973 4 27 12 13 DEBBY 16.8 175.3 124 635 +1988 8 26 0 19 NADINE 12.7 180.9 164 831 +1962 5 10 12 22 NADINE 26.8 164.6 30 842 +1958 5 27 18 27 ALBERTO 7.2 134.5 21 828 +1974 12 21 12 28 LESLIE 8.7 105.5 26 574 +1972 5 27 18 26 RAFAEL 43.9 177.2 41 35 +2004 6 3 18 26 ISAAC 27.2 5.1 137 824 +1955 6 28 6 24 TONY 43.4 342.2 23 877 +2000 1 18 0 28 PATTY 26.6 177.0 118 225 +2003 1 27 6 24 OSCAR 38.8 173.4 128 10 +2000 6 4 0 28 ERNESTO 29.7 139.7 60 398 +1994 10 24 18 7 OSCAR 38.5 154.5 121 135 +1951 5 8 0 2 CHRIS 14.2 242.5 23 45 +1986 5 28 18 4 JOYCE 58.2 6.1 32 276 +1957 2 7 6 25 ALBERTO 9.8 292.1 21 671 +2001 4 13 18 18 HELENE 46.3 20.6 34 5 +1981 6 8 12 15 ISAAC 11.7 326.3 24 552 +1959 6 26 0 22 CHRIS 59.8 58.3 125 627 +1966 6 1 6 21 OSCAR 65.7 33.6 130 236 +2004 1 28 6 18 DEBBY 68.8 209.0 139 806 +1974 1 5 0 17 HELENE 54.3 286.3 27 350 +1951 7 15 0 16 JOYCE 53.2 154.7 108 14 +1976 1 15 6 28 ALBERTO 64.7 108.8 115 250 +1952 1 18 12 12 ISAAC 34.6 306.8 92 102 +1996 12 27 12 28 GORDON 57.8 356.2 118 132 +1997 8 2 18 3 MICHAEL 59.6 163.4 130 667 +1962 9 6 12 27 VALERIE 50.6 180.4 130 283 +1974 12 20 18 15 SANDY 17.3 67.3 57 505 +1995 9 17 18 16 LESLIE 68.3 121.1 23 379 +1972 6 1 0 24 BERYL 32.1 186.3 103 204 +1968 10 12 6 7 BERYL 20.2 58.8 32 814 +1961 5 18 0 21 FLORENCE 52.2 83.5 45 654 +1971 6 2 6 13 KIRK 41.2 184.9 15 883 +1981 8 10 12 15 LESLIE 65.7 345.0 153 684 +1960 11 10 12 19 NADINE 8.8 336.8 73 269 +1981 2 25 6 3 MICHAEL 25.0 148.0 82 642 +1974 9 1 6 22 SANDY 64.3 119.3 111 328 +1999 3 14 12 9 PATTY 11.7 93.9 141 636 +1969 6 7 0 28 NADINE 68.5 78.9 148 783 +1978 3 8 18 6 TONY 34.6 9.8 84 871 +2003 2 17 18 10 SANDY 7.9 109.9 19 494 +2000 8 11 6 7 HELENE 12.1 355.6 19 539 +1969 4 3 18 1 PATTY 42.5 248.7 42 231 +1979 9 5 18 6 ISAAC 66.7 134.0 148 259 +1967 6 4 0 5 FLORENCE 46.9 213.1 122 275 +1996 11 22 0 22 BERYL 7.4 248.4 158 807 +1958 12 12 0 23 HELENE 55.5 223.0 163 605 +1984 5 18 6 22 RAFAEL 36.0 63.4 47 641 +1963 3 16 18 14 BERYL 68.8 47.8 139 562 +1999 10 24 0 21 BERYL 67.2 194.5 83 313 +1980 10 10 0 17 GORDON 51.2 1.7 72 716 +1987 8 3 0 11 PATTY 25.6 103.4 67 570 +1956 8 2 6 8 OSCAR 23.6 219.4 16 643 +1966 9 21 18 21 PATTY 33.1 178.2 158 866 +1977 8 8 18 17 LESLIE 34.6 106.9 40 831 +1971 1 7 12 9 KIRK 68.9 178.6 39 315 +1973 3 9 12 13 JOYCE 30.0 110.5 11 112 +1977 10 18 18 28 GORDON 33.4 297.9 20 287 +1989 8 24 6 17 KIRK 65.7 332.0 64 549 +1953 9 11 6 4 ISAAC 27.6 160.5 18 404 +1986 6 16 6 19 CHRIS 12.5 67.4 158 220 +2004 4 15 18 16 HELENE 41.5 99.2 18 211 +1975 9 19 12 19 ERNESTO 58.6 271.3 55 251 +1959 10 21 6 2 CHRIS 28.0 258.9 18 833 +1993 5 3 12 28 MICHAEL 38.6 315.7 143 887 +1985 3 12 6 4 SANDY 39.0 110.6 32 39 +1981 5 24 12 5 PATTY 14.2 315.1 128 786 +1969 8 8 0 8 GORDON 25.8 258.5 66 744 +1971 2 18 12 20 NADINE 35.7 61.8 140 629 +1989 3 22 18 5 HELENE 40.3 286.9 71 745 +1998 9 13 18 9 CHRIS 14.9 266.9 41 97 +1996 12 14 12 13 SANDY 31.5 297.2 101 813 +1961 9 18 12 13 WILLIAM 47.7 66.6 118 126 +1994 10 7 18 22 SANDY 32.3 30.1 48 524 +2001 1 6 12 1 OSCAR 53.6 137.7 75 301 +2001 6 1 6 14 BERYL 20.8 213.6 20 749 +2001 8 20 18 2 PATTY 41.1 292.9 71 96 +1956 4 13 12 9 JOYCE 12.2 250.9 149 377 +1966 10 21 12 16 JOYCE 49.4 173.6 57 606 +1982 10 5 12 2 JOYCE 22.2 124.0 19 844 +1965 1 4 12 5 LESLIE 14.1 155.2 26 745 +1969 8 12 12 15 TONY 54.7 102.3 30 199 +1979 11 3 0 6 KIRK 21.9 80.4 19 230 +1985 6 2 6 5 JOYCE 12.0 221.6 103 183 +1955 2 28 6 6 ERNESTO 16.7 340.4 36 862 +1963 11 6 18 14 OSCAR 53.6 354.8 157 888 +1971 10 19 6 20 GORDON 32.7 344.8 130 181 +1979 5 12 18 11 LESLIE 14.4 177.9 20 681 +1994 2 11 6 21 RAFAEL 46.0 145.8 59 306 +2001 7 6 18 19 DEBBY 69.2 224.4 141 223 +1989 6 23 6 4 VALERIE 36.8 34.5 74 198 +1990 5 28 6 18 ERNESTO 35.5 131.6 148 722 +1995 10 28 12 22 GORDON 31.7 142.0 26 507 +1986 12 1 18 13 VALERIE 51.4 119.8 105 296 +1957 1 22 6 22 FLORENCE 21.0 214.6 97 631 +1981 11 20 6 6 ERNESTO 62.8 325.1 162 464 +1968 9 20 0 14 LESLIE 9.4 324.9 88 641 +1977 1 17 0 23 CHRIS 40.0 133.4 95 353 +2004 3 28 12 25 CHRIS 63.6 327.4 13 486 +1978 2 26 6 23 DEBBY 8.0 200.7 17 312 +1952 2 1 0 13 ALBERTO 34.0 168.1 154 816 +1979 9 6 18 15 DEBBY 45.6 60.9 125 365 +1967 7 3 18 14 SANDY 67.1 291.9 90 897 +1976 12 26 18 24 OSCAR 61.9 23.8 114 413 +1960 12 13 0 27 VALERIE 33.6 87.1 122 88 +1954 4 3 0 17 ERNESTO 19.8 231.2 90 35 +1968 6 25 6 26 FLORENCE 57.9 272.2 112 383 +1951 6 20 12 14 HELENE 37.1 26.8 117 594 +1953 2 5 6 13 ERNESTO 31.8 63.4 67 876 +2000 6 13 18 25 HELENE 42.9 303.1 136 418 +1995 2 11 6 27 JOYCE 57.1 272.8 100 352 +1993 7 2 6 28 MICHAEL 40.7 291.3 127 477 +1993 4 25 18 17 GORDON 23.8 211.6 154 742 +1972 9 3 12 21 CHRIS 14.4 95.6 102 278 +1994 10 5 6 3 FLORENCE 63.3 122.6 152 380 +2002 5 25 0 17 BERYL 67.0 7.7 48 26 +1969 1 9 0 13 NADINE 50.9 149.9 19 207 +1987 4 24 6 22 RAFAEL 55.5 317.0 38 107 +1969 6 11 0 15 PATTY 56.4 194.3 115 64 +1996 8 13 0 16 JOYCE 58.9 230.4 146 597 +1970 8 21 0 26 TONY 8.1 320.6 31 355 +1958 12 28 12 7 CHRIS 28.9 241.4 131 310 +1986 2 15 18 5 FLORENCE 30.6 147.9 142 516 +1954 11 10 0 25 KIRK 52.7 57.9 102 792 +1967 1 14 6 15 FLORENCE 47.6 88.0 149 208 +1996 11 2 18 20 GORDON 57.6 161.3 48 258 +1973 4 10 0 19 FLORENCE 58.7 21.0 61 141 +1954 9 4 6 22 CHRIS 46.9 265.8 140 6 +1978 6 15 18 7 OSCAR 61.5 65.1 160 343 +2004 3 4 18 21 RAFAEL 48.0 2.3 74 621 +1966 4 12 18 10 NADINE 49.7 305.5 47 367 +1998 1 17 18 24 HELENE 24.6 149.0 147 731 +1972 5 1 18 12 OSCAR 63.3 125.9 133 523 +1982 9 11 0 16 SANDY 65.1 196.3 145 53 +1951 3 14 0 1 OSCAR 57.1 46.8 56 448 +1988 11 8 0 15 FLORENCE 39.9 316.0 63 205 +1974 6 11 6 2 CHRIS 56.6 347.9 122 213 +1986 3 17 0 24 ERNESTO 62.7 163.6 53 651 +1981 11 21 0 9 ALBERTO 54.2 198.4 32 49 +1968 8 12 18 20 DEBBY 33.4 218.1 78 167 +1952 1 14 6 1 DEBBY 57.8 21.0 37 181 +1951 4 14 18 24 BERYL 29.3 319.1 25 688 +2001 11 8 0 25 MICHAEL 63.3 169.8 72 27 +1954 6 14 6 26 FLORENCE 25.6 176.0 161 738 +1995 8 5 18 23 KIRK 11.6 300.9 24 116 +1955 8 5 6 8 JOYCE 33.3 58.9 73 507 +1964 1 1 18 5 TONY 63.8 99.9 13 269 +1959 10 9 12 2 TONY 23.6 199.2 85 310 +1969 10 15 6 16 ISAAC 37.4 53.8 43 211 +1970 12 14 18 13 ALBERTO 25.4 230.0 24 785 +1994 9 21 0 3 WILLIAM 57.2 91.5 61 702 +1959 9 2 18 18 FLORENCE 32.2 137.9 18 652 +1976 11 8 6 25 RAFAEL 26.1 312.2 160 147 +1987 6 2 18 7 ERNESTO 65.3 169.8 89 558 +1966 4 21 12 15 MICHAEL 46.1 76.4 145 788 +1952 4 27 12 27 LESLIE 37.4 195.6 100 207 +1960 5 2 0 12 MICHAEL 28.8 97.7 143 735 +1974 4 11 6 9 MICHAEL 14.8 293.8 65 161 +1986 7 9 6 14 BERYL 53.5 351.1 97 450 +1953 3 13 0 26 BERYL 40.1 193.8 43 200 +1967 7 3 0 15 NADINE 9.9 294.6 19 719 +1961 4 12 0 25 KIRK 49.4 313.1 88 639 +1984 7 6 12 8 CHRIS 15.2 139.4 98 205 +1963 3 12 12 25 HELENE 32.8 55.2 85 69 +1974 4 9 0 10 ERNESTO 9.4 249.9 27 561 +1960 8 6 12 21 TONY 54.5 106.4 126 536 +1951 4 2 18 12 JOYCE 69.6 340.0 84 866 +1972 3 18 12 20 JOYCE 16.5 264.8 141 446 +1984 8 23 12 11 ALBERTO 35.1 169.2 132 38 +1998 4 21 12 21 SANDY 62.2 357.1 103 860 +1987 2 26 18 7 ISAAC 25.7 310.7 11 170 +1957 2 18 0 2 CHRIS 39.2 3.9 46 335 +1992 6 12 18 12 VALERIE 30.1 313.1 44 153 +1955 11 19 12 3 FLORENCE 45.6 216.7 145 479 +1983 4 23 0 19 VALERIE 66.2 218.8 10 856 +1978 5 5 0 22 BERYL 10.6 182.8 96 625 +1957 10 1 18 7 WILLIAM 43.7 289.6 16 194 +1963 9 24 0 12 BERYL 15.5 58.0 89 544 +1970 7 20 0 11 WILLIAM 65.9 107.3 95 800 +1968 3 9 6 24 OSCAR 42.1 64.2 107 847 +1982 5 24 6 2 HELENE 24.9 320.3 32 669 +1961 4 17 18 26 MICHAEL 42.8 263.3 10 280 +1960 1 8 0 11 ISAAC 35.6 140.0 102 864 +2002 5 11 12 18 FLORENCE 11.2 276.8 75 53 +1968 11 1 0 3 DEBBY 53.0 35.3 155 15 +1998 3 5 12 15 HELENE 38.5 126.1 155 283 +1981 7 16 12 7 FLORENCE 10.9 68.2 81 538 +1984 7 17 12 16 RAFAEL 39.8 53.8 145 363 +2001 4 22 12 25 LESLIE 59.5 321.3 10 41 +1950 2 11 18 26 ERNESTO 57.5 226.7 119 865 +1970 12 28 12 14 PATTY 59.3 154.6 105 392 +1974 10 16 12 10 SANDY 24.6 64.0 109 826 +1974 5 6 18 11 OSCAR 63.8 286.6 13 888 +1987 9 18 0 12 VALERIE 7.4 42.2 31 267 +1994 9 28 0 19 WILLIAM 49.3 269.9 155 153 +1973 7 2 12 2 GORDON 35.5 345.0 74 154 +1960 7 21 12 14 JOYCE 39.4 100.7 28 404 +1950 5 4 18 22 VALERIE 30.8 269.4 23 508 +1980 9 15 18 9 NADINE 16.8 241.1 138 87 +1981 6 21 6 3 ERNESTO 55.5 18.5 116 179 +1950 11 8 0 4 HELENE 63.5 294.2 148 106 +1976 6 28 0 4 MICHAEL 32.6 317.1 39 217 +1968 10 9 12 7 HELENE 26.4 255.6 121 315 +1955 6 28 6 25 ERNESTO 16.2 315.6 140 254 +1988 10 18 0 25 OSCAR 7.3 152.8 105 520 +1993 2 25 12 19 NADINE 67.1 345.3 134 125 +2004 7 20 12 22 GORDON 53.2 131.0 84 632 +1977 9 22 6 18 GORDON 19.3 39.4 34 815 +1994 5 17 18 18 ALBERTO 14.6 191.0 116 119 +1994 8 6 6 26 RAFAEL 35.3 56.7 148 93 +1959 9 7 0 19 PATTY 57.8 27.8 64 70 +2004 8 23 0 15 LESLIE 44.5 258.5 89 818 +1960 3 19 6 5 RAFAEL 58.3 166.7 37 422 +1991 3 19 18 11 PATTY 23.3 32.8 40 799 +1996 2 4 6 19 TONY 25.6 176.5 123 674 +1968 7 21 18 4 LESLIE 64.3 67.3 113 427 +1962 10 1 12 6 JOYCE 65.7 328.9 14 652 +1972 12 4 12 6 NADINE 48.8 32.6 147 25 +1963 1 22 0 9 BERYL 17.0 58.6 149 495 +1973 9 10 18 25 LESLIE 61.0 325.1 141 840 +2002 3 1 6 4 RAFAEL 55.0 164.3 56 130 +1950 11 9 12 27 PATTY 66.2 212.0 29 440 +2004 11 6 12 23 VALERIE 52.1 88.2 122 241 +1978 9 8 0 16 CHRIS 67.8 31.8 156 783 +1971 9 15 12 7 KIRK 68.2 15.3 110 665 +1961 12 3 6 15 HELENE 61.2 50.1 77 339 +1993 7 28 6 25 ISAAC 45.3 42.0 118 764 +1981 12 15 6 11 MICHAEL 51.8 143.8 137 543 +1955 9 23 0 23 ERNESTO 15.7 240.3 81 572 +1995 4 8 18 14 BERYL 47.3 228.9 19 441 +1958 12 19 6 1 OSCAR 15.2 127.3 41 11 +1972 10 14 0 21 GORDON 22.8 65.8 96 688 +1979 6 25 12 28 KIRK 65.0 314.4 47 212 +1993 5 26 0 28 HELENE 50.6 155.9 135 355 +1983 2 22 6 14 ISAAC 65.7 108.3 153 252 +1991 2 8 18 20 HELENE 67.7 168.5 64 459 +1980 2 12 6 3 ISAAC 61.9 118.6 70 729 +1968 7 6 12 20 GORDON 63.6 119.6 147 576 +1974 5 23 12 14 RAFAEL 34.1 244.6 72 354 +1982 7 3 18 9 PATTY 47.7 136.7 49 428 +1973 3 11 18 24 VALERIE 40.5 6.0 141 840 +1988 5 1 12 22 VALERIE 67.4 301.3 43 675 +1999 1 2 6 27 DEBBY 56.5 198.0 114 851 +1965 1 17 12 23 SANDY 49.6 155.1 122 764 +2003 11 26 12 5 HELENE 51.8 141.5 142 196 +1991 9 5 0 5 KIRK 39.3 18.5 21 90 +1984 8 11 12 26 ALBERTO 68.3 87.6 151 890 +1967 2 28 12 22 BERYL 68.3 254.0 119 335 +1958 7 10 18 26 KIRK 21.0 185.4 135 210 +1961 5 8 6 17 TONY 38.6 136.7 53 29 +1990 1 4 0 12 PATTY 54.1 46.4 59 753 +1968 12 2 18 8 NADINE 45.8 74.7 20 328 +1991 8 9 6 2 HELENE 15.3 309.8 147 454 +1958 10 17 0 7 JOYCE 59.6 19.5 36 657 +1995 7 13 12 12 SANDY 60.1 265.9 27 808 +1992 12 8 6 26 HELENE 42.4 323.6 107 705 +1950 12 2 0 23 DEBBY 19.0 19.8 133 279 +1979 6 20 0 21 MICHAEL 22.2 23.1 28 873 +1972 9 11 0 13 BERYL 27.0 341.3 45 753 +1988 9 26 18 10 CHRIS 27.1 234.9 42 302 +1989 3 10 6 3 OSCAR 14.5 281.5 157 45 +1973 5 17 18 7 HELENE 28.8 325.2 43 672 +1967 9 11 0 27 LESLIE 33.8 11.6 108 593 +1957 7 25 12 16 FLORENCE 14.8 15.9 108 325 +1970 8 13 18 10 ISAAC 19.9 252.7 117 504 +1984 12 25 6 28 TONY 24.9 55.7 28 602 +2001 7 14 0 11 MICHAEL 40.8 228.3 22 413 +1964 5 10 12 11 TONY 34.6 227.2 134 689 +1977 5 6 12 20 HELENE 51.1 6.5 17 704 +1981 12 22 12 6 KIRK 7.6 334.6 59 608 +1986 3 9 6 18 MICHAEL 14.6 57.5 47 430 +1987 9 3 6 23 KIRK 24.5 234.6 78 327 +1953 4 21 18 21 TONY 68.9 349.0 114 148 +1978 4 2 6 19 TONY 9.6 148.0 125 190 +1987 2 8 18 13 PATTY 69.9 265.3 160 813 +1978 8 26 12 11 DEBBY 26.8 61.4 43 644 +1954 5 19 0 15 BERYL 31.7 20.6 55 718 +1976 2 16 0 14 RAFAEL 47.2 36.5 17 581 +1973 9 22 18 8 VALERIE 65.2 277.1 88 397 +1997 2 21 6 28 PATTY 21.4 312.4 60 109 +1962 6 11 6 17 KIRK 58.9 163.5 149 80 +1975 2 8 12 24 ISAAC 24.0 324.6 66 555 +1966 12 26 0 20 NADINE 10.2 85.9 126 262 +1967 3 5 6 23 GORDON 29.3 198.4 62 551 +1973 12 2 18 25 ISAAC 37.0 64.9 16 131 +1968 6 18 12 1 VALERIE 69.2 346.9 162 604 +1960 8 26 18 21 LESLIE 13.5 8.5 104 176 +1997 10 25 18 26 CHRIS 52.0 218.4 115 845 +1968 1 22 12 25 PATTY 17.8 92.6 12 798 +1979 9 10 12 4 GORDON 51.2 84.7 115 227 +1978 6 18 12 5 ISAAC 55.3 191.3 82 510 +1981 11 18 12 19 ISAAC 46.2 186.5 127 148 +1988 5 20 0 20 DEBBY 41.9 158.7 116 683 +1987 4 12 0 13 MICHAEL 28.9 120.9 148 171 +1982 7 20 0 4 WILLIAM 65.9 43.6 65 528 +1950 10 6 18 7 GORDON 27.1 260.6 156 304 +1994 7 21 0 23 KIRK 68.1 166.5 89 71 +1972 6 26 6 21 ERNESTO 67.7 11.0 126 801 +1972 3 19 0 4 KIRK 29.5 249.7 108 335 +2000 4 9 12 3 BERYL 53.5 249.4 123 316 +1976 5 26 0 24 JOYCE 58.2 335.5 157 366 +1993 12 15 18 16 ALBERTO 32.5 331.4 37 51 +1989 1 2 0 28 CHRIS 34.0 117.6 87 46 +1952 11 2 6 27 FLORENCE 44.9 99.9 95 202 +1981 12 20 6 11 OSCAR 9.8 117.1 66 110 +2000 5 11 6 2 LESLIE 20.2 227.4 61 391 +1979 2 5 6 28 WILLIAM 41.0 150.5 136 378 +1986 7 18 0 5 FLORENCE 11.0 142.8 22 718 +1978 7 7 0 24 ISAAC 20.1 219.8 109 480 +1981 6 25 6 21 ERNESTO 53.4 141.4 131 447 +1982 1 1 6 26 ERNESTO 26.9 219.7 105 68 +1984 8 5 6 20 DEBBY 36.9 195.7 102 63 +1950 1 25 12 9 DEBBY 34.3 89.7 62 876 +1962 6 17 6 15 BERYL 58.6 157.2 13 511 +1990 11 20 18 17 MICHAEL 22.3 331.4 158 478 +1993 3 12 0 16 RAFAEL 51.1 323.0 96 412 +1968 12 7 18 26 VALERIE 39.4 154.0 156 796 +1977 11 28 0 5 JOYCE 53.3 132.1 70 594 +1952 6 15 0 22 FLORENCE 53.0 234.9 76 723 +1981 12 1 12 22 NADINE 49.6 68.1 23 703 +2002 8 1 6 26 NADINE 67.2 161.4 111 621 +1978 5 19 18 28 JOYCE 42.4 289.4 101 592 +1955 2 18 12 20 GORDON 16.1 305.0 128 246 +1973 11 15 18 4 FLORENCE 10.7 110.1 56 26 +1971 10 4 6 17 DEBBY 58.3 27.8 65 643 +1990 3 10 12 5 TONY 48.5 308.2 91 649 +1984 11 1 18 2 SANDY 15.8 310.3 85 780 +1996 2 12 6 9 WILLIAM 39.8 219.3 99 91 +1975 8 28 18 2 MICHAEL 13.0 346.7 61 533 +1952 3 17 0 23 GORDON 41.9 255.2 128 605 +1958 11 7 0 20 SANDY 26.9 53.5 89 289 +1959 2 24 0 27 MICHAEL 56.9 6.8 13 420 +1962 3 5 12 26 OSCAR 68.6 315.9 159 675 +1999 2 13 12 25 FLORENCE 58.2 231.1 23 463 +1968 6 10 0 27 ISAAC 68.7 357.2 37 751 +2003 6 6 6 24 RAFAEL 16.3 316.7 48 376 +1950 12 1 12 6 ALBERTO 66.9 192.0 66 343 +1975 8 11 0 4 LESLIE 50.2 353.9 123 53 +1964 10 12 18 18 VALERIE 49.7 302.7 14 663 +1984 6 15 12 1 MICHAEL 41.5 316.5 59 99 +1971 3 16 12 23 FLORENCE 33.7 22.9 79 216 +1996 9 23 18 6 PATTY 69.1 323.1 161 540 +1962 7 20 6 4 ISAAC 34.6 240.1 40 866 +1953 1 24 18 27 BERYL 32.0 116.5 128 761 +1952 5 16 12 21 CHRIS 38.6 236.2 152 569 +1982 7 17 18 23 DEBBY 38.9 312.8 76 193 +1997 9 21 0 14 KIRK 48.0 345.2 138 704 +2001 6 10 6 20 WILLIAM 31.8 40.1 146 92 +1954 4 28 18 21 OSCAR 38.0 197.6 96 594 +1975 6 4 18 26 VALERIE 39.6 11.9 129 26 +1987 5 2 18 24 CHRIS 40.6 218.1 112 582 +1965 9 11 0 22 VALERIE 22.1 313.2 10 496 +1960 5 22 18 18 ALBERTO 32.5 127.5 120 559 +2003 7 12 0 2 HELENE 25.3 192.5 140 757 +1984 1 4 18 3 PATTY 37.5 76.5 93 458 +1994 8 5 18 3 MICHAEL 49.2 224.4 114 365 +1976 1 11 6 18 JOYCE 30.3 47.4 155 149 +1981 2 24 12 11 GORDON 44.0 114.8 65 790 +1965 5 8 0 7 WILLIAM 33.4 350.3 116 209 +1998 1 8 6 23 KIRK 31.7 103.2 131 619 +1966 12 18 12 1 FLORENCE 50.1 334.9 85 636 +1975 10 5 18 25 MICHAEL 35.8 223.7 125 783 +1971 12 20 6 1 LESLIE 44.9 182.6 25 568 +1969 10 5 12 17 JOYCE 58.8 280.6 68 881 +1980 5 17 0 17 RAFAEL 61.7 11.0 122 96 +1989 10 23 0 19 DEBBY 48.7 84.2 57 172 +2000 7 1 6 18 FLORENCE 44.2 282.2 107 237 +1982 9 26 12 28 WILLIAM 32.6 224.8 39 551 +1975 1 6 18 18 NADINE 21.4 243.7 96 512 +1986 10 26 6 20 WILLIAM 54.9 308.5 78 137 +1954 2 9 18 27 BERYL 55.7 30.1 112 846 +1973 6 9 18 2 CHRIS 44.2 44.2 32 799 +1972 10 9 6 9 WILLIAM 67.7 109.4 79 767 +1957 5 26 0 16 FLORENCE 15.1 249.9 160 424 +1990 11 23 6 24 GORDON 32.7 108.7 51 123 +2003 12 7 12 3 NADINE 14.8 326.1 132 339 +1991 5 3 18 7 FLORENCE 61.0 143.3 128 242 +2001 5 14 12 5 ISAAC 46.1 133.0 51 738 +1950 9 25 18 20 PATTY 54.5 146.7 86 192 +1955 8 4 0 6 FLORENCE 22.7 177.6 44 56 +1963 6 6 0 22 JOYCE 38.7 112.2 138 219 +1992 7 28 0 28 RAFAEL 61.5 31.8 44 514 +1978 11 13 18 27 WILLIAM 30.4 293.7 138 78 +1966 3 16 6 18 DEBBY 26.5 333.2 70 240 +1999 10 25 12 22 KIRK 52.1 73.0 71 365 +1972 1 4 12 6 WILLIAM 10.3 104.9 108 118 +1977 8 22 0 15 BERYL 51.7 346.2 35 132 +2002 4 10 6 25 ISAAC 18.1 39.4 92 200 +1998 10 10 0 18 TONY 17.3 81.1 41 261 +1975 10 6 0 12 HELENE 17.7 329.8 123 332 +1971 9 18 18 6 DEBBY 69.9 282.6 132 319 +1986 5 28 18 9 ALBERTO 20.9 159.5 25 720 +1981 11 14 12 28 ERNESTO 7.5 51.0 13 761 +1988 6 19 18 11 ALBERTO 53.4 3.8 115 169 +1979 4 24 0 10 OSCAR 46.1 249.6 152 435 +1965 5 23 6 4 LESLIE 54.4 184.7 61 760 +1974 12 16 12 18 ERNESTO 29.8 208.3 11 553 +2001 5 6 0 19 HELENE 64.7 99.5 143 763 +2002 12 16 6 24 NADINE 60.3 320.4 90 148 +1963 11 21 6 6 LESLIE 21.5 236.4 65 831 +1973 1 24 18 15 BERYL 62.4 273.9 104 414 +1954 8 4 0 28 LESLIE 50.4 41.9 31 128 +1971 2 15 0 19 FLORENCE 11.2 259.0 64 242 +1973 9 27 6 15 CHRIS 38.1 43.5 88 726 +1964 4 24 0 20 DEBBY 55.9 125.1 26 125 +1956 4 28 12 11 PATTY 25.7 9.3 158 399 +1953 11 23 6 26 DEBBY 10.4 45.9 157 141 +1955 7 13 6 25 PATTY 64.7 58.1 145 18 +2001 5 3 0 20 ISAAC 45.6 46.2 125 239 +1955 12 10 6 27 ISAAC 68.9 70.2 58 721 +1973 8 28 18 10 VALERIE 30.6 270.1 31 259 +1953 4 14 12 10 ALBERTO 23.2 55.9 60 121 +1968 12 14 12 7 ISAAC 65.6 130.4 23 259 +1982 6 5 18 25 OSCAR 10.4 44.2 108 349 +1997 2 2 12 21 KIRK 48.8 66.2 87 876 +1973 3 10 12 26 BERYL 62.7 190.7 38 31 +1950 10 8 12 25 CHRIS 12.4 253.6 29 703 +1952 3 26 12 1 WILLIAM 62.1 107.0 114 820 +1967 8 8 0 10 JOYCE 16.6 209.4 93 295 +1987 1 28 0 21 CHRIS 42.4 88.4 102 500 +1980 5 5 0 9 VALERIE 23.6 192.8 154 247 +1969 10 23 6 28 KIRK 8.4 85.8 75 583 +1999 6 20 12 23 VALERIE 37.7 182.0 115 702 +1991 9 13 0 1 ERNESTO 23.5 15.9 91 667 +1988 5 4 18 21 ALBERTO 61.1 171.1 150 599 +1979 8 28 6 4 BERYL 12.8 243.8 135 620 +1986 5 27 12 6 LESLIE 40.4 236.8 136 82 +1996 3 5 18 1 RAFAEL 69.0 266.3 54 619 +1960 10 9 0 19 KIRK 21.5 235.9 71 866 +1995 6 8 12 19 FLORENCE 42.0 279.0 71 790 +1979 2 23 6 23 FLORENCE 14.0 59.6 53 7 +1978 8 5 12 18 OSCAR 42.8 324.9 20 90 +1996 10 3 18 14 ALBERTO 60.5 141.7 96 780 +1981 4 24 0 20 HELENE 54.3 296.0 72 446 +1953 11 21 18 18 ALBERTO 30.5 2.6 51 147 +1996 9 7 6 5 MICHAEL 56.1 346.0 136 680 +1993 3 22 18 26 JOYCE 66.8 82.6 140 187 +1950 5 8 6 16 OSCAR 8.8 100.2 65 410 +1958 3 26 6 28 KIRK 32.7 128.4 161 471 +1968 12 20 0 14 KIRK 52.7 133.8 87 305 +1950 4 5 18 15 JOYCE 37.7 104.2 12 671 +1951 11 17 12 6 MICHAEL 18.0 82.2 85 54 +1989 1 8 0 4 PATTY 57.5 133.1 88 359 +1984 3 3 18 18 ALBERTO 8.6 71.7 85 695 +2001 11 12 0 28 SANDY 37.7 92.7 41 355 +1972 3 21 0 17 PATTY 59.0 194.0 83 367 +1986 1 22 12 19 LESLIE 52.5 183.3 94 586 +1974 6 24 0 12 RAFAEL 60.5 69.0 110 671 +1951 6 23 6 24 KIRK 29.1 130.8 135 719 +1983 5 14 12 2 JOYCE 43.4 64.5 79 205 +1970 5 8 0 21 ERNESTO 32.5 300.3 81 238 +1974 11 15 18 9 LESLIE 40.2 36.7 110 583 +2003 6 1 12 13 ALBERTO 19.2 17.8 94 821 +2002 9 14 6 27 CHRIS 28.8 244.0 84 212 +1960 3 8 6 18 KIRK 68.7 31.2 72 293 +1991 3 27 18 17 CHRIS 21.1 199.0 155 686 +1971 9 24 18 7 FLORENCE 37.4 231.4 77 407 +1964 9 1 18 17 JOYCE 29.4 38.2 148 847 +1958 2 15 18 16 JOYCE 40.4 70.4 93 18 +1955 6 25 12 21 GORDON 63.8 56.1 146 312 +1987 12 7 0 23 JOYCE 59.1 37.1 112 572 +1962 7 23 6 24 GORDON 40.7 62.3 87 514 +1986 7 17 0 13 FLORENCE 30.3 231.3 65 732 +1976 1 18 18 19 KIRK 9.5 148.6 97 703 +1960 8 27 0 20 OSCAR 27.2 32.2 78 346 +1995 1 21 18 8 MICHAEL 38.7 113.0 123 592 +1987 1 8 12 3 RAFAEL 31.9 180.9 94 174 +1973 5 14 12 28 LESLIE 56.8 237.2 16 48 +2001 7 6 0 24 DEBBY 65.0 41.9 30 709 +1977 6 19 6 2 NADINE 54.4 272.8 157 247 +1963 2 28 12 7 BERYL 62.5 139.1 156 132 +1981 2 7 6 10 TONY 25.3 293.8 43 889 +1950 4 3 6 5 TONY 10.3 351.8 80 301 +2001 3 23 0 27 HELENE 9.8 36.1 105 741 +1950 10 22 0 4 DEBBY 7.4 97.7 44 417 +2001 3 7 12 23 ALBERTO 65.5 237.3 11 707 +1979 8 5 6 15 CHRIS 59.9 32.8 74 751 +2000 10 21 12 18 KIRK 60.7 82.8 90 295 +1983 2 17 6 15 SANDY 17.7 285.8 92 391 +1976 11 27 0 15 JOYCE 51.7 279.3 110 268 +1968 1 28 12 6 KIRK 69.3 323.0 128 240 +1987 8 8 12 9 CHRIS 57.7 94.3 93 624 +1976 5 12 6 9 WILLIAM 25.6 212.1 53 85 +1968 3 4 18 21 DEBBY 37.3 268.3 160 880 +1997 9 5 6 5 FLORENCE 15.5 7.1 22 186 +1965 9 13 0 18 SANDY 9.5 214.6 112 440 +1987 7 2 12 22 WILLIAM 61.4 295.6 61 729 +1990 3 17 12 27 GORDON 43.8 344.4 87 653 +1985 1 24 12 17 OSCAR 11.8 221.2 160 577 +1962 9 6 6 24 RAFAEL 28.1 69.4 136 636 +1965 7 23 12 23 DEBBY 32.3 259.0 152 49 +1973 2 9 0 10 HELENE 31.7 203.6 109 552 +1996 11 25 18 14 WILLIAM 43.0 80.3 21 399 +1997 6 16 18 9 LESLIE 34.7 247.6 53 132 +1989 3 22 18 21 TONY 14.8 328.8 73 867 +1985 9 28 18 22 MICHAEL 49.0 178.9 94 695 +1950 5 21 12 2 PATTY 15.6 323.8 42 855 +1977 11 26 18 27 HELENE 48.1 296.5 45 648 +1983 10 13 6 4 ERNESTO 68.1 11.0 150 505 +2002 4 6 0 24 FLORENCE 64.0 329.6 23 867 +1964 6 1 12 8 MICHAEL 9.5 101.1 122 200 +1974 7 19 6 11 OSCAR 9.2 97.4 10 694 +1975 4 11 6 13 CHRIS 55.0 51.0 13 342 +1972 12 4 6 2 JOYCE 26.7 50.4 129 367 +1955 12 19 12 28 GORDON 17.4 69.0 113 405 +2000 1 13 18 26 VALERIE 24.9 243.0 63 655 +1988 8 23 18 15 RAFAEL 41.1 336.7 51 125 +1974 9 5 0 12 RAFAEL 65.9 27.0 105 298 +1960 5 24 6 6 ERNESTO 39.3 280.3 39 626 +1984 7 1 12 16 CHRIS 39.7 53.7 124 690 +1953 4 7 12 2 PATTY 52.0 213.2 138 743 +1972 6 16 0 4 ISAAC 38.2 232.5 45 357 +1984 11 9 6 24 ISAAC 16.2 156.2 22 403 +1970 7 4 0 10 RAFAEL 9.8 262.7 97 162 +2004 4 7 0 15 ERNESTO 43.8 121.4 18 606 +1983 6 15 12 20 VALERIE 39.7 34.3 156 543 +1975 3 21 0 26 GORDON 14.2 170.7 41 678 +1986 3 7 0 17 JOYCE 12.5 237.3 155 465 +1987 2 4 12 15 ISAAC 58.1 157.4 43 890 +1989 4 11 12 4 KIRK 8.9 241.1 53 890 +1978 11 16 6 15 NADINE 12.4 51.0 57 625 +1972 2 14 6 15 FLORENCE 28.9 191.1 31 708 +1972 5 10 12 16 PATTY 26.2 310.4 74 706 +1962 7 26 6 24 MICHAEL 19.6 347.7 145 777 +1966 5 19 18 15 GORDON 17.3 251.7 64 500 +1964 3 28 12 22 NADINE 69.2 149.5 153 701 +1951 9 5 12 1 CHRIS 47.4 315.3 84 767 +1996 3 7 0 4 PATTY 49.2 357.6 43 47 +1970 10 27 6 4 HELENE 41.5 355.9 150 676 +1994 2 4 0 4 CHRIS 39.7 150.1 103 443 +1977 4 22 12 24 WILLIAM 67.7 39.8 88 723 +1986 2 19 18 25 HELENE 16.1 113.1 68 364 +1951 4 16 18 18 TONY 59.8 264.9 63 331 +2003 3 7 12 7 BERYL 52.6 211.8 105 391 +1994 12 7 18 2 MICHAEL 23.6 68.4 27 687 +1982 12 6 6 21 VALERIE 34.0 51.1 133 177 +1998 2 16 18 9 TONY 62.4 355.2 149 136 +1960 11 10 6 14 ERNESTO 57.5 49.9 135 193 +1991 8 25 12 19 OSCAR 34.4 170.5 133 710 +1950 8 18 6 16 VALERIE 17.9 86.8 115 448 +1965 8 19 12 21 MICHAEL 55.7 172.5 45 246 +1994 1 13 12 12 RAFAEL 34.6 58.9 96 376 +1970 4 19 6 23 NADINE 11.1 55.5 91 566 +1969 7 2 0 11 MICHAEL 27.5 292.1 10 145 +1990 3 15 6 9 GORDON 51.8 272.6 117 106 +1956 6 19 18 5 SANDY 11.5 328.9 58 592 +1988 8 11 18 12 VALERIE 27.3 281.7 163 842 +1971 12 26 18 8 HELENE 45.4 346.1 68 278 +2001 7 7 12 21 KIRK 31.0 98.4 48 197 +1975 1 6 6 12 LESLIE 24.6 213.1 80 628 +1999 5 14 18 17 HELENE 24.4 356.9 66 8 +1956 1 26 0 18 JOYCE 31.6 230.9 60 569 +1957 11 5 12 27 LESLIE 57.5 335.5 158 308 +1981 2 9 12 17 BERYL 47.7 288.0 28 120 +1962 4 14 18 10 FLORENCE 32.1 352.4 145 228 +1961 11 13 0 23 ERNESTO 38.2 163.3 84 437 +1998 6 1 18 2 OSCAR 65.1 298.2 77 287 +1974 8 2 18 13 RAFAEL 30.7 332.0 94 830 +1953 6 16 6 18 OSCAR 23.3 324.1 125 531 +1978 12 3 18 21 WILLIAM 14.4 245.1 108 531 +1951 5 13 6 25 NADINE 59.7 348.2 15 640 +1991 8 22 18 23 ERNESTO 42.6 40.6 88 369 +2000 1 11 18 25 FLORENCE 43.1 61.7 87 651 +1955 4 4 18 15 KIRK 14.5 251.6 81 373 +1979 5 21 12 6 CHRIS 61.3 343.6 98 559 +1986 1 10 18 4 FLORENCE 26.9 278.1 114 375 +2001 8 27 6 25 JOYCE 10.8 292.8 73 261 +1976 3 8 0 25 ALBERTO 37.2 74.5 84 787 +2000 3 25 18 9 ALBERTO 32.1 113.1 102 879 +1961 10 22 0 9 MICHAEL 35.9 63.6 106 287 +1952 7 4 6 28 NADINE 69.6 16.8 62 878 +1969 4 16 0 24 HELENE 43.8 165.2 76 59 +1967 10 25 0 15 VALERIE 22.6 6.9 138 372 +1983 6 27 0 2 DEBBY 29.5 334.3 50 557 +1952 10 25 12 15 ALBERTO 36.8 277.5 120 611 +1975 11 20 6 17 PATTY 16.0 226.9 69 238 +1979 9 5 0 15 MICHAEL 61.5 137.1 129 833 +1985 10 9 6 28 OSCAR 39.0 115.3 159 510 +1975 3 1 0 2 NADINE 23.0 131.9 140 589 +1959 1 24 18 26 WILLIAM 31.9 272.4 20 676 +1973 5 9 12 21 CHRIS 48.4 157.9 19 300 +1950 10 12 18 28 GORDON 13.3 335.0 31 843 +1963 9 1 18 14 RAFAEL 7.1 305.7 50 371 +1952 2 24 18 7 LESLIE 53.2 111.0 76 495 +1966 8 8 12 12 DEBBY 60.5 164.2 155 535 +1991 10 27 6 9 OSCAR 58.0 42.8 27 479 +1954 9 26 12 11 PATTY 18.4 98.7 101 777 +1983 8 28 0 26 SANDY 50.9 4.8 150 194 +1975 9 20 6 16 FLORENCE 32.4 10.1 58 238 +1953 10 18 0 14 HELENE 66.4 8.1 31 783 +1988 9 6 0 7 TONY 27.3 231.5 154 464 +1975 6 15 12 28 NADINE 55.3 114.6 33 224 +2000 11 21 18 8 MICHAEL 54.3 95.6 70 23 +1981 5 24 6 15 RAFAEL 16.6 53.4 33 257 +1954 11 11 0 1 WILLIAM 7.6 19.6 88 837 +2004 7 19 6 7 HELENE 26.6 54.8 135 334 +1983 11 23 6 11 SANDY 12.7 84.9 55 55 +1997 4 13 6 28 MICHAEL 20.1 157.9 36 137 +1999 7 17 0 3 FLORENCE 31.1 258.7 94 700 +1996 11 8 18 8 VALERIE 61.2 356.2 152 846 +2003 2 15 18 19 GORDON 30.3 156.1 52 692 +1979 2 24 12 23 CHRIS 62.9 321.4 126 328 +2003 8 24 18 23 ALBERTO 50.4 182.0 148 78 +1960 2 25 6 14 KIRK 19.7 165.0 146 435 +1970 4 6 6 6 JOYCE 13.7 248.4 54 320 +1982 4 7 18 7 ALBERTO 55.9 101.8 101 45 +1975 1 20 12 9 FLORENCE 42.5 86.1 126 483 +1951 11 6 0 24 LESLIE 12.5 348.6 82 896 +1961 7 15 0 24 ERNESTO 40.5 324.8 133 97 +1988 12 22 12 27 KIRK 61.0 306.8 80 255 +1973 5 6 0 15 NADINE 69.3 320.5 39 444 +1978 10 9 0 3 DEBBY 33.6 350.9 21 668 +1994 11 17 0 27 PATTY 67.7 227.7 108 114 +1985 7 2 0 6 BERYL 55.7 25.2 133 285 +1990 10 12 12 16 HELENE 26.7 322.1 139 32 +1956 9 9 12 14 MICHAEL 46.7 249.5 64 272 +1972 3 22 12 8 HELENE 7.4 355.8 142 702 +1988 6 15 18 25 TONY 21.3 278.3 137 255 +1950 5 18 6 27 FLORENCE 54.9 351.5 41 529 +1972 7 25 18 5 WILLIAM 12.2 87.9 70 415 +1991 5 7 18 13 MICHAEL 14.6 297.1 100 361 +1953 1 7 0 4 DEBBY 58.7 87.1 127 19 +1950 12 9 0 24 GORDON 19.1 100.1 131 466 +1951 8 9 18 16 TONY 44.8 266.2 105 95 +1985 9 11 12 19 KIRK 32.3 17.1 159 302 +1970 3 12 6 4 LESLIE 42.9 134.9 45 893 +1961 3 28 18 13 PATTY 29.6 335.9 125 571 +1977 3 3 0 9 RAFAEL 57.4 0.2 78 278 +1958 4 18 12 28 DEBBY 57.6 232.1 73 847 +1958 10 7 6 22 FLORENCE 57.5 10.0 58 650 +1988 5 2 12 3 CHRIS 69.7 87.2 23 496 +1974 5 16 0 28 FLORENCE 36.9 53.8 30 300 +1975 2 15 0 13 ERNESTO 41.0 287.5 91 759 +1966 11 2 12 8 OSCAR 68.2 211.4 78 182 +1968 1 10 18 18 VALERIE 32.0 241.8 53 787 +1958 6 28 0 10 CHRIS 21.0 157.9 159 263 +2000 5 13 12 8 JOYCE 29.8 233.3 73 834 +1990 4 2 6 2 OSCAR 23.1 88.8 154 707 +1973 12 19 6 9 ERNESTO 63.9 174.8 79 719 +1966 2 24 12 15 ERNESTO 68.8 118.0 137 878 +1973 4 24 6 16 VALERIE 8.0 75.7 108 823 +1978 8 27 18 25 PATTY 13.7 150.2 24 646 +1979 10 15 6 20 MICHAEL 43.7 209.8 100 123 +1985 1 21 6 24 MICHAEL 34.6 175.2 87 55 +1970 9 1 6 19 BERYL 14.6 277.9 40 549 +1953 4 20 6 14 JOYCE 33.5 111.6 77 30 +1969 1 25 18 23 CHRIS 52.7 234.3 79 580 +1998 12 12 6 10 OSCAR 15.9 61.9 130 623 +1970 10 3 18 23 WILLIAM 34.4 135.3 135 202 +1989 5 6 6 4 ALBERTO 19.5 269.2 52 78 +2004 3 22 0 8 VALERIE 9.1 89.7 88 673 +1975 6 12 0 27 RAFAEL 62.7 88.2 73 633 +1956 1 13 18 1 RAFAEL 27.9 268.8 155 570 +1982 8 19 0 2 CHRIS 61.8 128.6 27 41 +1963 2 23 0 22 PATTY 48.8 93.9 46 657 +1979 6 2 6 17 RAFAEL 10.5 268.2 14 854 +1999 1 21 6 26 ERNESTO 12.1 191.5 105 562 +1986 11 19 18 25 KIRK 30.1 128.8 161 518 +2004 1 5 18 11 NADINE 38.7 191.9 160 652 +1997 2 12 12 19 BERYL 35.5 35.6 60 322 +1957 12 18 6 6 JOYCE 67.1 345.2 16 525 +1967 12 27 12 18 SANDY 41.9 279.4 29 35 +1982 1 20 18 24 LESLIE 43.4 114.7 70 311 +1953 1 11 0 9 ISAAC 65.2 184.9 135 305 +1976 10 4 6 12 OSCAR 37.8 102.9 61 799 +1978 1 10 0 16 MICHAEL 62.9 101.6 115 610 +1998 6 27 18 1 MICHAEL 41.9 335.7 71 784 +1968 10 14 0 18 ISAAC 64.0 157.6 45 344 +1990 12 9 18 6 WILLIAM 25.8 255.7 36 313 +1994 2 13 6 10 VALERIE 49.0 142.1 127 851 +1989 5 15 0 2 MICHAEL 51.1 16.3 45 623 +1958 5 25 6 4 DEBBY 10.1 123.7 128 146 +1951 4 17 12 11 JOYCE 29.3 256.1 146 551 +1989 11 27 0 28 ISAAC 12.5 62.5 123 384 +1960 8 28 0 3 HELENE 41.5 333.6 71 385 +1983 10 8 12 26 LESLIE 21.2 241.4 44 490 +2000 11 27 0 23 RAFAEL 69.1 338.5 36 397 +1995 2 4 0 25 CHRIS 45.0 25.7 90 126 +2004 5 6 6 17 NADINE 39.3 219.5 99 753 +1980 6 7 18 6 NADINE 45.3 85.3 111 213 +1983 4 15 6 12 MICHAEL 51.6 21.1 28 569 +1972 2 2 0 12 VALERIE 63.8 195.1 103 344 +1975 7 26 12 3 WILLIAM 12.5 332.3 69 413 +1960 7 27 0 8 TONY 35.0 28.4 153 397 +1998 3 17 0 3 RAFAEL 37.8 271.2 64 55 +1986 5 26 12 7 LESLIE 28.0 11.3 43 372 +1951 7 22 6 10 WILLIAM 59.0 216.2 103 123 +1991 7 19 18 16 ISAAC 58.6 231.0 123 673 +1999 11 23 0 22 JOYCE 7.3 78.3 105 41 +1969 8 24 0 2 WILLIAM 60.4 109.1 93 431 +1969 5 28 0 1 OSCAR 32.0 138.9 143 669 +2004 1 2 12 11 OSCAR 17.0 229.6 117 494 +1957 3 10 0 25 RAFAEL 55.5 222.4 143 660 +1994 11 20 0 10 PATTY 57.0 261.6 155 717 +1986 3 17 6 22 SANDY 44.8 316.0 98 453 +1975 7 19 0 22 JOYCE 29.3 32.3 79 202 +1972 7 2 6 9 JOYCE 24.3 231.4 115 803 +1993 1 14 18 18 DEBBY 25.5 147.6 41 696 +1988 4 22 6 3 ALBERTO 12.6 263.0 102 180 +1969 1 9 12 23 TONY 68.3 43.6 32 131 +1969 4 2 12 3 LESLIE 7.6 14.1 44 549 +2001 1 22 6 10 ALBERTO 51.9 201.8 155 293 +1950 1 22 18 20 KIRK 55.6 292.2 21 702 +1953 11 18 6 10 PATTY 19.0 241.4 144 550 +1957 9 5 12 26 SANDY 12.0 168.5 31 519 +1993 2 26 6 25 NADINE 31.0 163.9 143 616 +1967 4 7 18 28 RAFAEL 25.2 223.9 136 18 +1976 9 26 6 22 RAFAEL 66.2 62.0 145 741 +2003 12 9 6 17 HELENE 8.9 149.8 108 692 +1967 12 8 6 10 WILLIAM 51.7 113.4 138 756 +1967 6 16 0 7 RAFAEL 58.8 188.4 12 830 +1963 9 13 0 20 MICHAEL 32.5 1.9 134 35 +1998 10 27 18 6 CHRIS 39.8 197.9 164 490 +1988 8 4 0 14 KIRK 65.6 58.0 23 125 +1953 3 26 12 7 TONY 33.6 25.9 43 685 +1982 5 15 6 12 ERNESTO 53.7 8.1 47 301 +1973 8 19 6 11 MICHAEL 59.3 254.5 105 505 +1970 12 16 6 23 ERNESTO 12.4 348.7 135 879 +1986 2 17 6 13 VALERIE 20.2 49.4 22 345 +1984 9 25 0 20 HELENE 51.6 3.2 83 143 +1987 6 13 0 27 ALBERTO 42.4 351.4 108 559 +1956 1 8 0 11 RAFAEL 39.9 143.2 93 460 +1976 9 11 6 25 TONY 24.5 54.3 137 393 +1966 6 6 12 22 RAFAEL 41.4 43.8 151 253 +1965 4 1 18 14 PATTY 20.5 276.6 129 813 +1996 3 10 6 28 ALBERTO 66.3 184.0 51 896 +1994 10 3 0 27 GORDON 15.1 92.1 136 871 +1955 3 21 12 9 JOYCE 38.9 149.4 44 496 +1994 6 10 18 9 ISAAC 11.8 217.0 153 252 +1970 1 1 18 7 NADINE 46.0 279.9 92 885 +1982 11 5 18 12 VALERIE 16.3 302.0 92 541 +1996 6 16 18 15 PATTY 27.2 300.9 23 340 +1988 7 17 0 22 HELENE 25.1 206.6 37 511 +1958 2 19 12 3 JOYCE 39.6 32.7 131 447 +1991 11 7 18 23 PATTY 50.0 161.9 49 429 +1978 3 21 12 4 ERNESTO 61.8 288.3 46 218 +1979 7 15 18 6 PATTY 22.2 157.2 75 605 +2003 1 19 12 24 SANDY 10.9 352.1 35 452 +1950 1 9 18 20 FLORENCE 63.2 243.3 75 122 +1995 9 9 0 26 SANDY 33.5 5.9 32 492 +1959 6 13 12 17 HELENE 37.0 85.4 51 258 +1970 4 2 18 4 VALERIE 30.3 201.3 117 325 +1996 6 22 18 25 BERYL 24.3 138.8 163 804 +1973 12 16 6 23 KIRK 44.6 91.7 95 252 +1973 1 12 18 22 CHRIS 39.2 332.4 65 288 +1976 6 4 0 6 OSCAR 41.6 351.1 95 322 +1955 2 27 18 7 FLORENCE 8.6 268.8 27 108 +1990 3 20 6 20 WILLIAM 52.0 203.9 128 564 +1985 2 21 0 15 RAFAEL 38.6 4.1 100 584 +1963 7 3 6 13 FLORENCE 50.2 171.2 93 421 +1956 6 18 12 7 MICHAEL 29.8 105.4 85 493 +1961 11 10 12 28 ISAAC 37.8 318.0 130 610 +1966 10 9 6 27 PATTY 69.9 199.1 22 600 +2004 8 24 18 19 FLORENCE 20.0 12.7 36 521 +1978 7 9 18 7 JOYCE 13.0 151.6 20 232 +1997 9 2 0 28 LESLIE 24.8 106.8 140 518 +1962 1 17 6 18 GORDON 37.0 40.5 113 308 +1966 5 27 0 24 FLORENCE 14.6 317.2 140 864 +1974 6 1 18 26 OSCAR 11.9 316.6 150 117 +1967 8 13 6 11 SANDY 46.1 289.3 62 110 +1994 1 21 6 3 VALERIE 15.4 181.5 38 316 +1984 3 1 12 10 BERYL 30.8 132.5 118 638 +1973 8 28 18 16 PATTY 14.3 34.2 35 286 +1966 4 28 12 3 NADINE 42.1 284.8 20 467 +1977 8 16 18 12 SANDY 68.4 296.2 65 567 +1975 8 8 0 10 LESLIE 7.4 260.2 25 266 +1999 1 28 18 23 ISAAC 36.0 213.5 102 420 +2003 3 28 6 8 VALERIE 59.5 113.8 24 706 +1969 4 23 12 13 BERYL 9.0 138.6 73 574 +1977 11 20 12 8 BERYL 34.2 72.6 42 861 +1954 4 22 6 5 ISAAC 67.4 65.3 93 465 +1966 1 16 6 20 FLORENCE 43.7 30.7 19 385 +1963 3 3 18 18 OSCAR 68.1 304.5 112 336 +2003 2 11 6 19 GORDON 66.6 115.9 137 229 +1967 12 19 18 6 GORDON 52.6 286.1 69 329 +1968 8 23 6 22 DEBBY 55.4 237.5 55 883 +1954 11 11 12 13 FLORENCE 55.4 129.1 69 526 +1971 3 9 18 3 JOYCE 47.3 21.2 47 471 +1955 10 2 18 17 ISAAC 30.9 49.2 41 451 +1996 12 18 18 2 ISAAC 68.9 67.3 70 151 +1958 12 27 6 6 FLORENCE 48.5 20.4 129 123 +1966 1 9 6 5 SANDY 56.4 296.2 59 633 +1980 7 26 12 4 OSCAR 26.1 73.7 110 368 +1966 10 8 0 4 WILLIAM 41.8 177.4 38 433 +1997 3 20 0 5 LESLIE 49.5 262.4 58 493 +1960 7 14 6 11 RAFAEL 54.3 57.6 75 242 +1981 7 16 12 15 DEBBY 44.6 152.2 162 264 +1962 12 15 0 6 JOYCE 22.1 247.0 53 146 +1982 10 6 0 6 NADINE 8.9 305.3 50 669 +1976 12 20 18 2 DEBBY 57.4 2.8 57 37 +1964 9 1 0 8 NADINE 20.9 139.8 76 274 +1995 3 1 0 5 BERYL 38.5 102.0 50 152 +1979 12 12 18 14 ERNESTO 57.3 59.5 128 18 +1973 12 10 18 3 LESLIE 8.4 53.6 93 87 +1976 1 23 12 6 FLORENCE 61.4 290.9 127 69 +1984 4 19 18 17 MICHAEL 39.1 72.1 154 705 +1997 3 25 18 13 MICHAEL 58.1 29.1 90 677 +1970 8 6 12 26 TONY 57.8 295.0 17 804 +1991 12 27 0 19 RAFAEL 23.0 81.4 19 22 +1978 4 22 0 8 FLORENCE 69.1 157.2 15 235 +1996 9 22 6 23 ISAAC 53.5 149.7 30 351 +1969 7 14 0 17 DEBBY 40.8 183.6 37 149 +1951 10 19 12 28 WILLIAM 23.5 328.6 70 301 +1981 9 18 12 25 ISAAC 23.0 329.1 40 665 +1971 5 14 18 4 ALBERTO 55.6 39.5 120 55 +1994 1 25 0 8 WILLIAM 41.6 266.3 36 208 +1989 5 19 12 25 CHRIS 49.3 179.1 12 153 +1995 7 2 12 2 OSCAR 16.7 185.3 78 776 +1996 8 13 6 7 ISAAC 58.8 145.2 130 839 +2000 9 18 12 1 ERNESTO 57.6 272.0 119 257 +1980 3 1 12 28 ERNESTO 39.8 336.5 160 188 +1990 11 12 18 7 KIRK 7.5 66.7 138 584 +1971 4 20 12 1 BERYL 44.1 332.5 28 726 +2001 10 7 0 12 BERYL 48.6 240.1 14 640 +1981 10 20 18 2 VALERIE 37.5 185.2 46 271 +1962 10 17 12 14 HELENE 52.6 74.1 150 423 +1950 6 15 6 21 TONY 39.8 219.8 41 416 +1962 8 28 18 8 KIRK 23.4 202.2 13 175 +2000 7 24 6 22 PATTY 16.8 179.4 81 825 +1986 8 6 18 21 ALBERTO 32.3 222.5 63 491 +1990 12 23 6 22 LESLIE 10.0 14.4 88 467 +1961 10 4 6 9 MICHAEL 51.5 328.4 129 128 +1971 4 6 6 15 NADINE 63.5 221.7 14 254 +1985 7 2 6 27 GORDON 28.9 39.8 34 503 +1978 11 24 0 19 KIRK 33.4 251.4 111 533 +1958 6 27 6 26 FLORENCE 26.9 44.7 15 209 +1999 3 24 12 11 HELENE 22.5 267.6 114 291 +1985 3 7 18 5 MICHAEL 68.2 196.7 38 29 +2000 10 2 0 7 RAFAEL 29.9 324.9 147 412 +1986 1 7 6 21 KIRK 45.7 264.4 52 847 +1974 8 12 0 2 TONY 53.5 288.4 47 176 +1954 6 22 0 23 JOYCE 51.5 270.1 107 144 +1971 8 6 6 10 VALERIE 44.7 248.7 11 761 +1989 9 14 12 8 RAFAEL 18.0 68.3 82 717 +1982 11 8 18 10 MICHAEL 63.6 344.3 38 712 +2000 5 27 6 25 VALERIE 60.7 238.2 95 471 +1963 10 22 18 22 CHRIS 8.7 355.6 55 190 +1971 9 15 6 22 SANDY 68.3 267.2 40 263 +1977 8 20 0 16 ALBERTO 16.7 166.5 130 881 +1961 5 6 6 5 CHRIS 44.1 71.7 131 82 +1988 7 26 18 10 OSCAR 41.6 180.1 122 535 +1973 7 4 0 20 OSCAR 43.2 234.4 22 842 +1998 5 10 12 7 HELENE 21.7 303.0 113 429 +1955 10 24 12 12 MICHAEL 8.7 117.3 61 342 +1989 10 14 6 17 SANDY 22.0 154.3 68 96 +1957 4 28 18 10 WILLIAM 53.1 179.1 148 694 +1973 4 22 0 13 SANDY 56.4 339.8 105 267 +1989 9 5 12 27 SANDY 45.8 205.4 19 480 +1992 3 4 18 11 GORDON 26.4 109.7 93 241 +1986 10 21 18 9 NADINE 10.4 25.5 138 543 +1952 7 13 12 13 HELENE 65.8 57.2 138 437 +1970 3 25 0 22 MICHAEL 28.9 124.4 101 708 +1976 5 2 12 16 DEBBY 64.8 270.5 79 570 +1985 11 8 18 13 ALBERTO 17.3 226.8 14 727 +1980 9 25 6 18 BERYL 54.7 29.6 40 509 +1995 7 20 0 21 PATTY 18.2 240.8 131 274 +1985 12 10 0 5 ISAAC 22.7 302.1 123 705 +1962 12 5 18 7 CHRIS 49.7 281.2 64 96 +1961 1 21 12 11 OSCAR 44.1 352.5 28 279 +1990 6 9 18 22 TONY 18.1 351.8 67 683 +1964 2 13 6 10 HELENE 32.8 27.7 54 615 +1972 4 5 12 14 HELENE 19.1 91.2 124 0 +1953 4 7 6 20 MICHAEL 35.5 61.0 55 478 +1950 8 4 12 9 PATTY 24.3 292.8 77 385 +1955 1 6 18 2 LESLIE 11.0 56.4 144 878 +2000 3 20 18 16 ISAAC 42.4 276.6 146 484 +1957 2 17 18 28 HELENE 43.5 332.2 38 72 +1966 8 22 18 18 LESLIE 38.9 223.9 37 792 +1994 9 22 6 6 FLORENCE 27.4 161.5 146 604 +1965 8 21 12 6 MICHAEL 24.0 19.7 86 304 +1986 12 4 0 12 ALBERTO 28.1 290.4 70 679 +1962 1 20 12 18 TONY 65.6 250.1 59 691 +1973 3 14 12 3 OSCAR 54.9 147.9 98 289 +1983 2 3 6 3 RAFAEL 24.9 112.6 60 274 +1999 10 24 0 22 PATTY 29.8 318.4 145 884 +1954 6 5 0 12 PATTY 23.8 162.8 132 188 +1984 8 13 0 12 GORDON 43.6 274.8 52 693 +1979 11 3 18 15 PATTY 56.8 52.8 118 777 +1956 4 20 18 16 TONY 10.8 188.0 155 681 +1981 11 24 18 9 VALERIE 45.1 86.4 74 386 +1979 9 25 12 1 RAFAEL 14.7 331.9 48 448 +1982 5 4 0 5 PATTY 8.9 255.8 56 405 +1990 12 23 18 11 KIRK 47.2 10.9 54 464 +1999 2 19 6 25 GORDON 9.1 3.0 138 558 +1988 5 14 6 6 TONY 62.2 41.5 158 862 +1950 9 17 0 19 OSCAR 65.8 320.3 128 219 +2004 1 26 18 2 WILLIAM 11.8 234.7 129 885 +1985 5 26 18 21 VALERIE 7.4 68.3 24 478 +1958 2 9 6 24 OSCAR 20.4 244.9 99 771 +1961 7 13 12 18 OSCAR 14.1 136.1 10 487 +1971 6 20 0 11 LESLIE 28.2 61.4 68 868 +1959 8 22 0 2 FLORENCE 20.0 17.4 68 628 +1988 1 18 6 5 GORDON 46.3 70.3 124 701 +1964 9 7 0 12 GORDON 61.9 17.3 133 294 +1956 1 23 12 17 VALERIE 54.6 294.6 89 532 +2001 12 18 0 16 PATTY 60.7 6.8 130 805 +1953 5 22 12 15 FLORENCE 7.6 300.5 156 314 +1988 2 5 12 26 BERYL 21.4 249.9 55 770 +1979 9 14 18 20 HELENE 40.2 234.7 104 626 +1961 12 28 12 10 OSCAR 59.2 313.6 60 597 +2003 1 17 0 12 LESLIE 57.2 345.8 36 478 +1979 10 12 18 8 PATTY 21.2 348.8 36 214 +1984 10 6 12 6 GORDON 9.4 165.1 14 830 +1959 9 24 6 17 VALERIE 7.2 279.9 163 348 +1963 5 16 0 10 OSCAR 57.4 139.2 129 246 +1981 5 21 12 8 NADINE 34.9 88.0 125 147 +1955 3 23 6 13 MICHAEL 66.8 0.6 103 695 +1980 4 3 6 28 RAFAEL 29.7 113.0 87 680 +1994 10 2 6 6 RAFAEL 58.5 128.2 61 366 +1991 12 12 12 16 LESLIE 18.9 105.2 143 551 +1999 8 14 0 28 VALERIE 26.0 245.6 100 384 +1986 5 26 0 8 OSCAR 25.4 272.3 28 313 +1962 4 21 6 8 DEBBY 26.4 193.6 109 435 +2001 1 10 12 23 TONY 61.0 330.5 114 87 +1952 8 28 0 5 ERNESTO 38.9 64.2 87 596 +1983 12 28 6 9 GORDON 51.4 97.0 69 630 +1959 2 28 12 1 GORDON 7.2 180.7 86 83 +1969 6 2 12 27 HELENE 61.2 92.0 71 507 +1968 10 20 6 15 TONY 60.1 74.0 80 683 +1980 4 23 0 8 DEBBY 33.4 253.9 98 589 +1952 6 26 18 27 FLORENCE 19.5 213.6 12 669 +1958 1 14 18 19 BERYL 12.5 167.4 37 13 +1951 5 23 12 6 GORDON 51.4 170.7 127 400 +1996 5 21 18 11 TONY 39.3 116.3 159 503 +1966 2 28 12 24 PATTY 8.7 209.7 112 306 +1975 6 24 0 15 LESLIE 53.2 268.0 136 227 +2000 12 19 6 10 MICHAEL 47.4 256.8 149 865 +1999 7 16 6 4 TONY 55.9 345.4 158 832 +1954 11 3 0 15 WILLIAM 51.8 207.4 15 148 +2000 10 23 18 14 PATTY 32.4 302.2 60 119 +1980 12 18 12 23 LESLIE 22.7 167.0 15 327 +1998 1 3 0 22 HELENE 24.7 345.2 121 10 +1952 8 13 0 28 ALBERTO 68.6 94.0 35 893 +1972 8 11 0 9 KIRK 36.4 226.5 103 686 +1973 7 14 12 22 KIRK 33.3 148.2 81 173 +1957 11 26 0 28 RAFAEL 8.5 168.3 63 258 +1981 11 28 0 28 KIRK 60.5 297.2 133 840 +1998 8 3 6 21 MICHAEL 39.3 111.2 27 675 +1994 11 19 0 2 WILLIAM 52.3 187.8 115 18 +1974 4 28 0 3 TONY 60.5 168.7 32 320 +1957 1 26 0 8 CHRIS 11.1 354.7 15 74 +2001 8 28 6 1 VALERIE 56.7 101.2 140 157 +1952 8 2 0 8 DEBBY 12.9 133.3 31 426 +2002 7 25 6 12 ALBERTO 52.5 145.1 161 533 +1993 7 4 6 17 RAFAEL 43.0 285.8 115 744 +1983 7 1 12 1 PATTY 41.2 166.7 78 637 +1996 7 16 18 18 FLORENCE 52.6 355.3 24 520 +1979 4 9 18 12 DEBBY 19.2 169.5 156 408 +1997 10 18 6 6 ALBERTO 19.8 269.3 59 292 +1966 12 16 18 16 MICHAEL 52.0 338.7 46 807 +1981 5 7 0 1 ERNESTO 39.4 217.6 153 369 +1978 9 1 6 27 CHRIS 14.7 276.4 160 65 +1997 1 27 6 4 LESLIE 17.3 307.8 146 623 +1962 10 4 6 16 HELENE 36.9 171.9 22 736 +1989 6 7 18 15 TONY 40.7 47.3 26 682 +1959 7 24 0 6 VALERIE 13.1 77.9 16 765 +1976 2 12 6 25 PATTY 51.0 173.8 38 769 +1964 2 18 6 1 FLORENCE 55.9 64.9 115 421 +1954 9 4 0 4 TONY 68.2 146.5 115 744 +1973 7 3 12 15 LESLIE 8.3 292.4 128 812 +1961 7 14 18 2 RAFAEL 7.9 53.3 159 62 +1953 7 19 18 19 LESLIE 31.1 155.1 72 771 +1986 5 21 6 10 JOYCE 22.3 314.2 101 189 +1983 8 26 0 15 CHRIS 18.0 53.8 132 790 +2003 4 28 6 14 OSCAR 24.5 21.9 16 636 +1983 1 1 18 12 NADINE 66.2 2.5 23 713 +1957 5 11 12 10 RAFAEL 10.9 191.9 33 250 +1983 12 5 12 5 ALBERTO 37.2 322.1 79 261 +1970 11 7 6 24 FLORENCE 30.4 181.8 62 740 +1995 1 26 0 20 MICHAEL 7.4 93.3 95 7 +1977 1 16 18 28 HELENE 30.6 239.0 56 195 +1971 11 10 6 12 TONY 7.1 341.2 95 65 +1999 1 8 0 3 ERNESTO 43.4 172.9 158 168 +1983 9 15 0 12 KIRK 8.4 257.9 27 792 +1967 4 26 0 10 LESLIE 30.9 295.8 60 793 +1976 4 2 12 7 RAFAEL 29.5 282.9 19 219 +2004 4 9 0 21 GORDON 35.8 147.5 130 530 +1987 2 5 18 19 ALBERTO 50.1 343.0 141 816 +2000 9 28 12 9 ISAAC 23.3 316.0 112 881 +1994 12 22 0 18 VALERIE 36.3 338.7 12 689 +1969 11 22 0 1 ISAAC 22.7 195.1 85 499 +1965 6 16 18 27 NADINE 60.9 144.7 163 203 +1966 4 9 6 14 MICHAEL 50.1 231.1 139 203 +1966 10 18 6 22 VALERIE 11.5 298.8 68 880 +1985 3 2 0 1 BERYL 54.5 221.8 97 59 +1960 6 23 0 13 RAFAEL 66.0 21.1 34 456 +1992 5 4 6 16 DEBBY 9.3 132.7 39 616 +1972 1 7 18 21 HELENE 52.9 261.9 54 492 +1973 10 23 18 28 CHRIS 49.2 310.6 63 649 +1964 10 12 0 26 HELENE 57.8 227.5 135 789 +1950 10 23 0 11 ERNESTO 18.2 69.1 94 696 +1951 5 23 18 16 BERYL 15.6 23.7 22 308 +1961 1 24 6 4 VALERIE 22.7 198.9 29 36 +1973 6 3 0 9 TONY 19.1 176.8 93 69 +1953 2 10 18 25 VALERIE 31.6 231.4 88 73 +1953 8 26 12 24 LESLIE 21.7 112.9 99 604 +1998 5 3 6 15 RAFAEL 46.5 59.9 155 788 +1955 5 13 12 3 JOYCE 17.7 295.5 116 17 +1976 7 13 18 28 HELENE 9.1 159.4 160 230 +1967 7 17 0 19 GORDON 28.9 306.9 26 25 +1981 2 28 0 7 GORDON 25.4 351.2 32 532 +1957 10 23 0 8 SANDY 7.8 223.0 61 367 +1951 5 11 18 5 MICHAEL 66.1 346.1 86 236 +1972 5 19 18 26 WILLIAM 22.2 159.9 142 181 +1977 4 26 6 23 MICHAEL 18.2 187.3 11 161 +1999 2 17 6 5 RAFAEL 28.0 315.8 106 168 +1979 11 19 6 17 NADINE 20.3 157.3 121 661 +1960 7 12 6 5 RAFAEL 28.8 324.3 14 563 +1975 7 14 12 15 HELENE 46.6 293.4 129 342 +1965 11 24 18 14 NADINE 28.3 279.4 54 27 +1982 3 24 18 27 OSCAR 8.6 195.1 21 203 +1971 3 22 6 16 CHRIS 24.4 277.6 35 392 +1964 5 23 12 13 WILLIAM 16.0 190.7 22 822 +1984 4 8 6 4 NADINE 38.7 225.5 112 517 +2000 7 14 12 9 ALBERTO 16.7 160.4 93 668 +1987 12 26 0 28 ALBERTO 13.3 205.2 110 180 +1955 11 15 12 13 BERYL 42.2 154.5 88 625 +1958 6 1 6 20 RAFAEL 48.8 277.9 107 8 +1996 10 21 12 16 ALBERTO 45.1 289.6 115 771 +1950 11 8 18 15 OSCAR 11.6 7.7 148 670 +1971 8 2 0 19 TONY 17.4 218.2 147 247 +1966 10 8 0 11 PATTY 24.5 234.3 134 342 +1963 2 19 6 15 BERYL 10.6 202.7 65 680 +1983 10 13 0 3 BERYL 19.3 44.4 106 526 +1979 9 8 6 20 ERNESTO 22.7 114.5 43 306 +1988 2 12 18 11 CHRIS 38.7 88.7 63 160 +1959 11 24 18 23 VALERIE 35.7 39.0 153 735 +1975 10 17 0 28 TONY 15.6 351.3 85 805 +1963 7 28 0 23 BERYL 50.6 348.9 71 582 +1962 6 23 18 14 KIRK 62.0 76.2 112 519 +1977 4 12 18 6 JOYCE 29.5 156.9 25 851 +1950 10 25 18 26 MICHAEL 33.4 60.1 60 864 +1961 2 26 12 24 ERNESTO 44.5 259.2 49 815 +1997 9 8 18 21 FLORENCE 32.9 326.3 28 401 +1998 8 11 12 4 ERNESTO 43.2 163.2 20 706 +1976 10 15 12 11 OSCAR 28.7 235.2 71 697 +2004 2 5 0 17 CHRIS 45.3 328.3 117 289 +2001 8 23 0 19 CHRIS 48.8 126.8 101 890 +1967 8 15 18 1 JOYCE 31.2 257.9 128 603 +1981 3 24 6 7 LESLIE 35.7 90.3 49 222 +1971 8 7 18 13 FLORENCE 39.5 65.6 97 547 +1950 3 12 6 16 CHRIS 12.7 262.4 95 21 +1995 3 14 0 4 BERYL 29.4 161.7 17 897 +1976 9 1 0 17 FLORENCE 22.5 177.2 46 338 +1995 5 22 12 1 ISAAC 11.6 321.9 23 471 +1996 5 11 12 26 PATTY 55.5 82.3 82 672 +1960 8 27 6 23 SANDY 7.9 286.2 151 839 +1999 4 13 6 28 FLORENCE 41.6 104.7 144 99 +1952 4 23 18 12 DEBBY 38.0 236.7 73 866 +1960 6 1 12 14 NADINE 35.5 173.3 130 330 +1981 1 28 6 11 VALERIE 18.3 257.3 156 832 +1967 12 21 18 26 ALBERTO 32.0 221.5 160 400 +1961 10 8 12 7 LESLIE 27.5 13.8 25 665 +1953 8 3 18 26 RAFAEL 53.5 132.7 87 237 +2000 11 19 0 6 OSCAR 27.9 311.3 91 541 +1997 4 24 18 16 HELENE 24.6 140.9 137 250 +1981 4 12 6 20 HELENE 60.8 246.6 148 459 +2000 11 28 12 23 FLORENCE 28.0 169.6 78 788 +1956 8 19 0 19 PATTY 69.0 10.9 19 533 +1986 3 18 18 24 KIRK 17.0 188.8 105 87 +1987 8 26 18 15 ALBERTO 34.6 299.0 51 892 +1992 2 24 12 11 NADINE 16.8 22.7 65 83 +1957 5 14 0 22 FLORENCE 30.9 279.3 53 415 +1962 4 6 12 22 ALBERTO 48.6 90.1 158 191 +2001 9 15 6 14 HELENE 30.7 163.1 48 451 +1974 4 4 0 21 KIRK 37.5 224.7 120 115 +1985 2 26 0 8 JOYCE 36.2 124.8 120 303 +1956 6 16 0 8 BERYL 24.0 175.5 32 423 +1959 12 28 18 20 TONY 65.4 179.5 19 459 +1959 12 16 0 2 WILLIAM 41.7 181.2 48 489 +1967 9 17 12 24 GORDON 27.2 78.2 92 446 +1956 6 22 12 26 CHRIS 8.2 57.2 22 482 +1975 7 28 12 6 GORDON 38.0 76.5 25 319 +2004 8 28 6 5 KIRK 28.0 13.0 73 525 +1979 12 18 18 8 DEBBY 54.8 247.4 55 532 +2003 2 16 6 9 LESLIE 31.1 244.3 14 452 +1978 11 12 12 20 JOYCE 15.6 355.4 151 351 +1954 2 16 0 23 KIRK 47.3 296.1 101 730 +1964 9 25 6 5 FLORENCE 61.2 228.3 54 533 +1975 6 7 0 23 NADINE 69.2 218.7 151 822 +1978 9 21 6 13 LESLIE 62.0 0.2 34 80 +1975 4 23 12 20 WILLIAM 65.5 58.6 59 634 +1983 4 3 0 26 WILLIAM 60.1 58.7 24 899 +1980 9 9 18 12 LESLIE 34.7 6.6 37 230 +1984 1 3 6 27 NADINE 50.2 335.0 130 86 +2002 3 27 12 2 MICHAEL 35.5 119.9 22 594 +2000 3 10 18 24 SANDY 49.3 235.5 92 293 +2000 7 21 12 1 PATTY 40.0 284.5 92 591 +1970 5 12 0 11 TONY 67.0 284.4 114 843 +1993 1 17 12 8 HELENE 42.9 258.3 116 104 +2004 9 5 12 15 TONY 26.7 350.2 16 12 +1987 12 12 12 17 CHRIS 66.5 242.7 52 357 +1987 5 27 18 9 NADINE 39.7 184.3 59 768 +1958 4 13 0 12 GORDON 42.7 74.4 126 608 +1987 2 28 0 7 CHRIS 66.7 75.8 93 665 +1966 10 7 0 25 KIRK 49.5 196.4 31 367 +1996 9 6 18 25 WILLIAM 65.7 237.8 12 124 +1978 2 23 0 23 FLORENCE 16.9 350.2 57 869 +1957 11 8 0 16 NADINE 52.7 80.2 107 411 +1986 1 7 18 4 CHRIS 48.3 19.6 151 317 +1958 2 6 6 14 VALERIE 62.4 354.5 113 456 +1970 7 3 12 28 PATTY 40.0 330.0 47 235 +1993 12 27 0 5 FLORENCE 69.3 305.2 105 280 +1978 12 23 6 14 GORDON 11.7 163.2 92 315 +1978 10 17 12 17 LESLIE 51.6 189.1 81 283 +1959 3 4 18 22 LESLIE 68.6 155.0 69 805 +1995 6 23 18 17 DEBBY 28.8 157.9 20 291 +1971 3 14 6 22 CHRIS 8.8 295.1 160 342 +1954 2 21 6 8 WILLIAM 13.8 40.9 46 321 +1968 5 21 12 27 WILLIAM 66.3 17.2 109 432 +1962 6 6 12 21 ISAAC 60.3 305.1 128 199 +1970 1 27 0 2 KIRK 38.7 122.3 159 550 +1970 5 19 6 11 ALBERTO 37.4 154.4 93 340 +1950 10 18 0 14 GORDON 69.0 262.7 96 157 +1989 11 11 6 12 CHRIS 35.3 194.6 45 739 +1992 4 19 0 10 NADINE 9.9 185.8 142 863 +1982 3 1 12 25 BERYL 53.5 95.3 106 842 +2004 3 16 12 25 FLORENCE 18.7 166.2 39 57 +1979 3 13 6 1 ISAAC 24.3 233.6 92 805 +1986 1 24 0 22 TONY 68.4 182.2 75 784 +1962 4 26 12 28 NADINE 21.1 128.5 15 632 +1991 10 8 0 7 ERNESTO 67.9 173.1 131 873 +1969 11 5 18 21 WILLIAM 57.9 162.2 73 565 +1981 2 22 18 18 SANDY 70.0 185.9 112 353 +1998 3 2 6 12 ERNESTO 53.9 65.6 115 595 +1987 7 19 18 28 WILLIAM 46.0 100.9 40 525 +1970 9 18 18 16 ISAAC 13.9 46.6 162 239 +1984 10 23 12 25 KIRK 68.4 138.0 159 465 +1993 3 24 18 21 LESLIE 33.1 122.0 57 311 +1965 5 1 12 15 BERYL 15.2 218.2 158 796 +1989 3 22 12 19 OSCAR 48.2 4.0 66 12 +1951 5 9 12 2 ALBERTO 55.8 38.4 137 471 +1990 9 9 6 5 RAFAEL 21.4 130.3 130 832 +1993 2 22 12 16 LESLIE 57.3 245.6 82 274 +1971 10 23 18 25 TONY 60.3 8.3 18 140 +1970 1 9 12 12 JOYCE 50.3 255.4 140 274 +1981 10 4 18 13 LESLIE 8.3 100.5 44 452 +1981 6 6 18 5 VALERIE 62.6 357.9 143 392 +1970 5 14 0 13 JOYCE 31.9 278.4 78 826 +1969 7 11 0 23 ERNESTO 13.4 214.6 135 759 +1978 1 24 18 1 GORDON 56.3 285.7 76 250 +1971 5 1 18 19 GORDON 56.9 347.0 77 413 +1991 3 24 18 8 ERNESTO 12.7 312.4 21 532 +1964 1 19 0 2 ALBERTO 50.8 64.2 33 602 +1958 9 13 12 21 TONY 20.1 212.3 96 560 +1983 12 10 18 17 KIRK 38.1 192.6 73 595 +1999 6 26 12 8 RAFAEL 56.3 65.6 119 899 +1953 5 21 0 16 ALBERTO 19.0 244.7 93 512 +1990 11 24 12 25 HELENE 50.3 335.1 107 830 +1963 10 17 6 4 BERYL 7.8 281.5 70 73 +1974 1 8 12 19 JOYCE 56.0 145.2 153 195 +1959 6 18 0 4 DEBBY 66.5 81.2 20 502 +1964 9 18 12 6 HELENE 34.3 109.0 37 755 +1996 6 14 18 17 VALERIE 29.5 58.5 95 257 +1980 5 8 12 17 ISAAC 59.9 354.7 152 327 +1987 2 12 0 1 WILLIAM 42.2 67.4 77 43 +1986 7 9 18 4 LESLIE 55.3 143.0 108 110 +1980 9 24 18 15 FLORENCE 25.2 27.1 138 123 +1969 9 12 0 9 KIRK 9.5 115.0 130 72 +2001 1 14 0 1 MICHAEL 46.2 307.6 27 395 +1977 1 25 12 27 FLORENCE 28.2 63.1 76 817 +1991 3 15 0 11 BERYL 13.2 110.5 148 866 +1984 1 25 18 7 MICHAEL 60.4 143.1 150 371 +1957 2 3 0 3 GORDON 66.3 177.0 11 370 +1974 2 16 0 3 BERYL 10.4 336.2 129 446 +1998 4 28 0 26 MICHAEL 54.1 19.3 20 875 +1997 4 17 0 11 JOYCE 39.8 146.2 36 600 +2002 3 18 6 22 ALBERTO 35.1 17.5 100 578 +1980 10 8 18 28 GORDON 11.4 184.3 42 502 +1992 7 23 6 4 OSCAR 56.0 321.9 26 798 +1995 7 11 12 6 NADINE 14.6 138.3 151 476 +1982 2 20 6 13 DEBBY 43.6 195.5 22 808 +1983 4 6 12 3 SANDY 47.3 118.7 92 479 +2003 8 11 12 21 LESLIE 28.8 57.1 40 563 +1969 4 23 12 2 RAFAEL 33.8 320.1 128 57 +1956 1 26 12 20 RAFAEL 46.8 327.5 125 271 +1995 7 25 0 1 FLORENCE 48.4 186.5 99 780 +1999 2 11 18 14 GORDON 44.9 89.3 87 414 +1961 9 8 0 25 MICHAEL 62.7 325.3 18 61 +1973 1 15 6 14 GORDON 64.0 230.4 131 636 +1991 7 14 12 17 RAFAEL 53.0 231.6 160 281 +1995 9 19 0 24 ISAAC 63.5 205.9 124 145 +1952 6 16 18 10 VALERIE 45.3 190.5 10 516 +1966 9 18 18 17 RAFAEL 67.9 282.4 45 501 +2002 7 17 12 10 RAFAEL 19.3 259.9 67 411 +1981 9 28 0 1 LESLIE 47.9 218.5 157 787 +1997 6 28 6 13 JOYCE 10.7 145.3 70 679 +1976 9 18 0 27 DEBBY 55.4 162.7 106 218 +1970 3 1 18 8 DEBBY 15.1 137.8 14 295 +1980 3 11 12 21 TONY 8.5 95.4 74 286 +1966 7 21 12 1 PATTY 11.3 137.8 39 865 +1974 4 24 12 14 MICHAEL 11.4 147.9 145 289 +1983 3 21 18 25 LESLIE 28.1 221.5 53 730 +1998 9 16 12 19 FLORENCE 47.8 72.1 66 169 +1964 5 28 12 13 MICHAEL 30.6 267.0 109 214 +1957 1 16 6 14 FLORENCE 19.1 323.1 58 553 +1950 4 11 18 22 FLORENCE 22.1 173.3 85 418 +1993 6 23 0 3 BERYL 37.7 233.2 93 474 +1973 12 13 18 19 ALBERTO 51.1 101.2 18 253 +1995 10 25 0 26 MICHAEL 12.1 297.1 94 657 +1951 12 20 12 21 MICHAEL 12.7 349.2 113 23 +2002 4 6 6 10 CHRIS 54.5 68.0 129 488 +1986 12 9 18 5 JOYCE 17.3 296.7 15 416 +1986 10 26 18 19 SANDY 41.3 102.4 70 833 +1987 5 27 6 20 WILLIAM 61.8 84.3 96 98 +1997 7 21 18 18 ERNESTO 65.9 279.2 43 3 +1999 1 13 6 24 FLORENCE 37.2 158.5 46 353 +1984 8 25 12 26 LESLIE 8.5 266.0 61 297 +1994 8 13 6 28 ALBERTO 43.8 19.1 108 249 +1976 1 7 6 1 FLORENCE 48.3 15.9 96 77 +1988 11 14 12 12 TONY 46.7 278.9 108 791 +1960 7 5 18 16 ISAAC 26.8 25.9 99 322 +1969 7 15 6 3 ERNESTO 53.5 6.1 142 297 +1950 11 26 6 23 ALBERTO 51.2 246.9 150 523 +1981 8 14 18 26 BERYL 58.1 168.0 124 661 +1954 12 18 12 28 ALBERTO 30.5 200.7 77 176 +1981 2 9 12 19 VALERIE 18.9 174.1 14 863 +1987 6 23 12 1 BERYL 32.5 234.7 32 361 +1990 10 2 6 3 VALERIE 55.0 103.1 14 305 +1980 1 6 0 8 HELENE 55.1 52.8 142 746 +1999 8 11 18 24 OSCAR 59.7 90.2 143 536 +1970 3 21 18 17 KIRK 20.0 28.7 139 679 +1999 4 14 6 6 PATTY 65.8 310.6 57 227 +1964 12 18 0 1 SANDY 25.6 253.9 79 744 +1982 4 27 0 10 DEBBY 32.0 148.2 33 270 +1952 10 6 6 28 ERNESTO 24.4 148.7 99 139 +1951 11 8 18 8 HELENE 45.2 5.2 122 171 +1974 9 16 18 17 WILLIAM 54.9 296.2 14 477 +1997 9 7 0 27 FLORENCE 67.0 185.7 160 742 +1970 12 7 12 18 DEBBY 60.9 189.9 127 743 +1968 2 10 18 20 LESLIE 30.9 331.7 120 891 +1957 10 17 12 25 KIRK 60.0 238.7 90 541 +1954 4 26 6 5 FLORENCE 68.3 236.3 48 97 +1966 6 4 0 25 DEBBY 46.9 246.7 132 782 +1995 8 28 6 24 CHRIS 65.3 311.1 40 73 +1961 5 7 18 15 VALERIE 68.3 235.6 86 757 +1968 11 2 18 11 JOYCE 31.8 121.8 75 134 +1982 8 1 18 25 CHRIS 54.8 45.2 160 821 +1954 11 4 18 27 LESLIE 69.6 328.3 56 554 +1966 9 6 12 22 ISAAC 40.1 61.3 105 485 +1954 5 7 12 4 MICHAEL 34.9 1.0 81 791 +1974 3 15 6 17 SANDY 31.8 161.7 39 175 +1977 10 20 18 27 WILLIAM 65.2 98.9 70 217 +1954 9 16 18 4 NADINE 8.6 304.1 149 50 +1953 7 10 0 19 SANDY 13.7 82.1 16 358 +1980 12 24 18 23 TONY 20.8 205.0 158 407 +1976 11 21 6 1 MICHAEL 49.0 350.4 14 331 +1994 2 8 0 8 MICHAEL 35.5 58.1 96 300 +1992 8 1 0 16 HELENE 52.8 331.0 164 126 +1952 9 26 12 22 BERYL 9.1 41.1 154 428 +1961 8 17 12 26 FLORENCE 40.5 77.5 116 730 +1959 11 13 12 6 MICHAEL 40.2 80.2 78 102 +1969 4 12 12 17 GORDON 54.7 214.9 147 777 +1994 11 24 6 26 ERNESTO 43.6 232.5 94 13 +1998 8 14 6 6 ALBERTO 30.9 306.2 83 727 +1992 11 4 0 6 ERNESTO 48.0 236.1 94 834 +1958 6 8 18 6 PATTY 68.5 167.4 40 134 +1955 2 21 18 17 CHRIS 16.6 33.2 159 432 +1986 2 9 0 15 ALBERTO 10.8 253.3 56 548 +1976 9 10 6 23 OSCAR 26.7 81.3 27 101 +1976 12 18 18 6 KIRK 24.2 309.8 107 699 +1998 9 21 6 10 PATTY 10.7 61.4 122 167 +2000 2 22 0 14 OSCAR 25.2 162.0 157 683 +1981 6 9 6 15 PATTY 35.1 312.2 135 591 +1970 12 25 12 3 BERYL 63.4 250.7 124 89 +1978 5 4 0 24 ALBERTO 36.6 278.7 102 156 +1966 5 2 0 6 FLORENCE 65.5 274.8 22 169 +1950 11 11 18 14 MICHAEL 64.3 54.3 132 487 +1973 5 7 18 9 PATTY 47.9 147.2 115 542 +1998 12 6 12 9 CHRIS 33.0 332.3 55 118 +1955 3 6 18 19 DEBBY 55.0 355.0 119 858 +2001 4 6 18 25 HELENE 65.3 52.5 150 580 +1960 11 28 6 25 LESLIE 30.9 219.8 161 806 +1955 5 14 0 1 JOYCE 46.8 180.0 110 187 +1968 9 16 18 28 SANDY 50.1 160.5 42 536 +2004 2 19 18 23 ERNESTO 52.6 186.2 86 832 +1992 3 4 12 18 ALBERTO 57.9 11.7 34 388 +1990 5 1 18 27 ERNESTO 50.0 42.3 147 524 +1969 3 22 12 9 ISAAC 24.3 174.5 25 829 +1973 1 23 18 14 VALERIE 21.1 89.3 135 881 +1982 1 20 18 19 BERYL 37.9 288.8 140 775 +1971 9 7 12 19 LESLIE 25.2 62.8 157 170 +1955 8 8 0 16 OSCAR 58.5 65.9 97 865 +1950 4 18 0 17 ISAAC 16.5 196.7 41 270 +1953 10 27 18 13 OSCAR 29.9 260.3 134 425 +1956 9 26 18 22 LESLIE 58.4 21.0 13 248 +1987 4 20 6 14 SANDY 66.3 120.4 71 562 +1996 5 13 12 1 VALERIE 7.5 70.5 31 163 +1965 4 28 6 2 FLORENCE 69.3 220.6 35 896 +2004 4 17 0 6 LESLIE 49.4 149.4 101 323 +1964 1 27 18 1 HELENE 51.1 302.4 18 721 +1974 5 8 18 26 VALERIE 41.5 211.8 46 336 +1969 7 3 6 6 FLORENCE 33.9 81.7 76 27 +1959 9 6 18 18 NADINE 48.0 211.1 57 444 +1983 1 4 12 16 GORDON 51.8 45.8 36 551 +1985 3 22 18 1 ALBERTO 29.6 289.3 96 652 +1964 9 7 18 9 JOYCE 30.4 199.5 104 618 +1956 6 20 18 27 NADINE 9.8 31.0 58 84 +1964 2 5 12 6 OSCAR 29.3 9.5 38 495 +1978 4 24 0 11 BERYL 47.9 94.3 15 424 +1980 7 24 18 27 KIRK 14.3 245.3 45 813 +1957 11 23 12 28 SANDY 50.2 189.1 81 304 +1988 3 25 18 25 OSCAR 52.6 119.0 91 17 +1978 2 4 6 1 DEBBY 18.9 88.1 74 215 +1987 7 16 18 16 ISAAC 52.5 148.5 138 160 +1980 1 5 18 4 ERNESTO 49.1 268.2 42 344 +1975 9 12 18 21 CHRIS 57.0 9.8 135 574 +1967 6 7 0 26 DEBBY 37.2 87.0 140 822 +2002 3 16 18 27 HELENE 27.6 347.6 145 225 +1986 11 4 18 4 KIRK 65.8 291.3 94 462 +1959 10 1 0 23 NADINE 13.5 209.1 135 117 +1974 2 2 18 1 ISAAC 39.6 197.6 46 570 +1986 9 19 6 25 NADINE 26.8 137.8 158 129 +1983 2 7 0 7 ERNESTO 9.8 170.2 17 253 +1954 6 10 12 25 ERNESTO 40.7 191.4 82 701 +1952 3 8 0 27 TONY 18.1 337.2 64 69 +1996 5 15 18 3 PATTY 8.9 314.8 138 556 +1956 6 10 0 13 NADINE 31.3 137.5 84 691 +1962 7 3 12 23 PATTY 18.8 321.1 124 347 +1965 2 6 6 4 WILLIAM 36.3 331.1 158 136 +1990 5 18 18 19 WILLIAM 52.2 31.0 133 315 +1961 9 1 12 19 ALBERTO 55.1 250.2 130 881 +1986 12 12 0 11 DEBBY 21.7 222.1 90 872 +2002 2 14 12 18 TONY 44.4 245.3 106 604 +1956 7 21 6 18 MICHAEL 20.0 283.8 85 567 +1996 8 21 6 18 SANDY 59.0 25.4 148 330 +1963 3 10 6 22 JOYCE 19.6 17.2 50 312 +1953 1 19 0 19 ALBERTO 28.4 220.5 53 721 +1956 8 12 0 22 ISAAC 18.3 294.4 36 455 +1981 9 24 0 7 VALERIE 42.7 94.2 85 392 +1961 1 6 0 25 JOYCE 22.9 16.4 85 615 +1958 10 22 12 6 MICHAEL 59.7 1.0 29 130 +1973 8 8 0 8 DEBBY 58.4 162.0 67 361 +1952 6 25 12 11 NADINE 17.4 138.7 27 489 +1997 10 20 18 22 FLORENCE 50.0 37.5 83 104 +1985 12 9 12 9 GORDON 14.7 329.5 144 623 +1994 5 6 18 27 GORDON 39.5 342.8 22 876 +1974 10 24 18 23 JOYCE 35.5 254.7 112 799 +1954 3 24 6 14 MICHAEL 24.4 216.8 45 248 +1957 12 14 12 20 PATTY 56.8 65.4 16 549 +1964 2 6 18 18 ISAAC 65.6 4.8 19 551 +1960 12 28 12 11 NADINE 48.1 58.8 54 533 +1997 8 4 0 18 VALERIE 27.3 201.0 21 422 +1992 9 24 6 15 TONY 19.5 11.6 126 91 +1954 11 22 6 11 SANDY 18.3 12.8 99 121 +1986 11 16 12 11 DEBBY 55.0 97.5 70 47 +1981 5 2 12 25 ISAAC 33.4 175.1 60 722 +1960 12 19 0 13 VALERIE 14.7 243.1 59 847 +2002 6 5 18 1 ALBERTO 10.3 259.9 18 240 +1996 9 28 18 19 RAFAEL 69.6 3.8 156 591 +1987 8 18 18 9 OSCAR 46.7 285.2 52 195 +1970 12 26 6 7 OSCAR 14.6 105.5 37 579 +1951 12 26 0 28 OSCAR 27.2 281.7 38 203 +1974 3 19 12 9 RAFAEL 66.2 205.7 119 165 +1964 2 1 0 7 KIRK 45.5 119.8 150 560 +1992 8 6 18 13 BERYL 13.6 99.4 134 560 +1966 7 3 18 18 SANDY 43.4 36.6 122 170 +1985 4 15 0 25 LESLIE 28.4 62.6 15 712 +1987 8 16 18 25 OSCAR 40.8 25.1 33 556 +1955 10 9 0 3 OSCAR 13.1 169.8 145 752 +1950 8 6 18 26 DEBBY 36.0 303.0 68 29 +1997 9 11 12 11 BERYL 46.0 22.8 144 623 +1978 3 17 12 4 VALERIE 37.3 223.1 159 395 +1988 5 1 6 12 ISAAC 41.1 52.4 23 144 +1993 1 12 6 11 FLORENCE 69.2 323.6 101 137 +1964 2 8 0 13 CHRIS 59.0 38.3 29 608 +1958 2 4 18 3 JOYCE 47.6 240.5 144 47 +1967 9 21 0 13 LESLIE 12.4 324.6 139 428 +1996 12 21 0 20 JOYCE 19.9 213.3 68 834 +1987 9 10 0 17 HELENE 21.8 217.8 38 789 +1990 4 10 12 24 TONY 42.1 286.6 113 156 +1985 5 10 6 9 PATTY 67.5 190.6 75 214 +1996 1 21 12 28 NADINE 63.0 260.9 106 166 +1967 4 10 12 11 OSCAR 48.3 48.8 160 378 +1978 8 7 12 28 VALERIE 49.2 14.1 47 594 +1954 3 9 12 11 HELENE 39.5 181.4 141 189 +2001 1 26 6 8 RAFAEL 10.0 97.4 94 784 +2000 3 14 12 16 PATTY 18.5 185.1 55 660 +1962 7 25 0 9 CHRIS 33.6 236.5 17 185 +1965 6 9 6 3 NADINE 50.1 182.0 157 752 +1973 10 9 0 27 MICHAEL 43.7 138.9 88 61 +1999 10 26 0 25 CHRIS 15.4 349.1 45 822 +1985 5 5 6 4 ISAAC 50.9 253.5 144 109 +1994 11 5 0 15 OSCAR 10.7 172.0 148 521 +1950 2 3 0 7 OSCAR 29.4 187.8 39 589 +1976 10 16 18 11 VALERIE 52.9 279.8 139 713 +1961 4 14 0 22 TONY 20.3 28.6 160 392 +1965 4 20 18 7 ISAAC 25.0 137.9 49 542 +1973 10 5 18 10 ISAAC 66.2 225.1 100 266 +1981 4 28 0 28 NADINE 21.3 264.3 101 586 +1960 1 13 6 8 GORDON 39.3 46.0 153 519 +2001 11 5 12 20 MICHAEL 51.0 348.5 124 187 +1975 10 21 6 22 PATTY 56.3 52.2 70 259 +1987 9 3 0 4 LESLIE 17.2 128.1 22 37 +1987 5 11 6 21 PATTY 11.2 207.2 24 186 +1950 7 2 12 20 CHRIS 33.4 253.1 116 177 +1953 2 27 18 14 SANDY 68.4 245.2 73 409 +1987 1 19 18 14 CHRIS 32.6 5.1 71 782 +1997 11 4 6 23 LESLIE 16.8 232.5 137 780 +1976 11 23 18 14 TONY 67.9 306.2 135 59 +1950 1 18 0 12 OSCAR 45.1 148.2 20 522 +1992 8 9 6 20 RAFAEL 41.0 110.8 29 749 +2004 7 13 0 14 ISAAC 31.4 222.7 146 807 +2002 1 15 12 23 JOYCE 66.1 323.9 39 425 +2003 5 3 18 1 SANDY 39.5 101.9 105 807 +1957 6 6 0 19 SANDY 33.4 345.1 63 538 +1974 4 24 6 25 TONY 15.1 185.5 92 323 +1981 7 7 6 20 JOYCE 12.6 128.5 122 632 +1962 3 13 0 4 RAFAEL 10.9 251.6 87 415 +1952 6 16 18 19 GORDON 14.9 244.1 152 683 +1971 9 27 18 14 RAFAEL 11.8 39.6 43 714 +1989 5 17 12 22 NADINE 43.4 273.1 67 99 +1976 4 6 12 24 VALERIE 65.3 1.8 49 558 +1994 11 5 18 23 MICHAEL 10.7 344.5 121 272 +1978 9 22 18 14 MICHAEL 42.0 155.5 43 628 +1970 11 26 12 14 JOYCE 32.3 351.9 48 73 +1957 6 3 12 7 NADINE 31.2 313.6 55 66 +1961 2 6 12 10 LESLIE 62.5 314.5 32 125 +1997 2 3 18 7 WILLIAM 60.5 189.7 115 814 +1991 2 3 6 26 CHRIS 63.8 154.6 38 80 +1976 9 27 0 17 MICHAEL 55.9 24.4 11 578 +1994 9 16 12 28 BERYL 44.5 76.2 160 661 +1988 12 25 6 17 OSCAR 42.7 284.0 66 301 +1955 2 10 18 10 WILLIAM 68.2 236.6 76 101 +1976 2 8 0 4 HELENE 46.0 356.1 35 314 +1996 6 2 18 6 ALBERTO 35.2 328.5 116 239 +2003 9 7 6 22 GORDON 69.1 321.2 17 393 +1987 7 8 18 12 KIRK 52.8 275.5 44 586 +1985 11 24 6 21 WILLIAM 47.1 35.9 134 872 +1978 8 9 0 18 PATTY 23.0 237.3 75 206 +1971 7 13 6 14 BERYL 21.5 276.6 152 268 +1978 7 24 0 2 PATTY 46.4 124.8 130 801 +1978 7 9 6 16 KIRK 47.6 104.2 74 417 +1960 6 2 12 17 PATTY 64.1 324.9 134 479 +2004 4 5 12 21 LESLIE 18.1 336.0 62 247 +1973 3 4 6 27 DEBBY 63.8 258.3 37 462 +1957 5 17 6 3 RAFAEL 29.7 306.7 18 850 +1988 2 13 6 6 FLORENCE 26.0 181.1 67 780 +1972 10 5 6 1 LESLIE 20.4 355.0 161 677 +1985 6 22 6 18 WILLIAM 58.7 149.7 68 750 +1990 5 1 0 12 BERYL 15.7 282.9 152 504 +2002 5 1 18 9 VALERIE 47.5 351.3 136 47 +1979 10 15 12 25 JOYCE 27.8 32.1 144 89 +1983 12 12 18 20 ALBERTO 16.1 105.5 147 164 +1957 3 9 12 17 SANDY 12.1 288.9 79 659 +1953 10 23 18 6 ALBERTO 59.9 176.7 28 563 +1951 9 3 12 13 HELENE 67.5 85.2 50 851 +2004 1 6 12 20 HELENE 66.6 307.0 121 816 +1988 4 10 0 15 NADINE 15.9 242.0 38 83 +2003 11 25 12 14 HELENE 54.8 59.0 164 86 +1953 6 3 0 13 KIRK 15.9 298.6 15 710 +2003 10 9 12 5 GORDON 14.6 212.1 29 23 +1964 11 17 18 18 OSCAR 28.4 129.4 147 37 +1990 8 27 0 14 HELENE 9.8 135.3 36 701 +1961 6 25 6 23 TONY 28.7 194.7 114 377 +1978 9 17 12 28 ERNESTO 46.8 309.8 83 486 +1995 4 4 0 15 SANDY 59.7 24.9 27 102 +1988 5 2 18 18 ALBERTO 68.4 198.5 149 791 +1962 10 28 6 17 NADINE 14.2 105.6 98 830 +1977 5 24 12 3 RAFAEL 34.6 334.3 121 832 +1965 7 12 12 4 DEBBY 65.1 306.4 32 562 +1986 4 13 0 23 PATTY 46.1 55.6 64 665 +2004 11 15 18 17 HELENE 52.9 213.9 62 280 +1982 11 20 0 12 SANDY 20.3 153.6 45 427 +1972 8 4 6 26 OSCAR 12.8 232.3 31 192 +1999 8 24 6 10 MICHAEL 17.3 81.7 148 864 +1963 8 1 6 19 CHRIS 30.1 140.4 14 661 +1956 4 11 6 4 HELENE 11.9 278.1 138 852 +1956 4 17 12 1 DEBBY 13.3 51.9 42 513 +1952 6 18 0 14 VALERIE 12.7 259.7 146 797 +1981 7 25 6 24 FLORENCE 40.3 294.8 65 172 +1980 1 13 6 4 GORDON 26.6 113.0 21 502 +1968 9 26 0 24 FLORENCE 39.5 123.9 35 418 +1957 5 18 18 6 CHRIS 51.0 32.0 86 18 +1984 7 26 12 3 ERNESTO 42.2 218.4 31 339 +1971 2 10 6 20 LESLIE 14.5 348.5 94 721 +1994 10 1 18 26 VALERIE 68.9 183.3 30 631 +1974 9 25 12 27 FLORENCE 58.1 258.0 155 713 +1978 2 28 0 11 VALERIE 22.4 144.3 60 591 +1956 11 22 12 1 LESLIE 8.8 280.6 82 364 +1968 3 9 0 18 ERNESTO 14.3 153.0 71 578 +1957 3 26 18 20 LESLIE 62.7 43.9 112 441 +1961 10 5 18 16 PATTY 40.7 146.6 18 803 +2001 4 5 12 5 GORDON 40.9 68.5 21 518 +1975 11 7 6 3 GORDON 38.6 216.6 117 433 +1998 12 28 12 24 KIRK 8.8 80.0 47 232 +1999 6 10 0 4 HELENE 42.2 244.7 86 466 +1954 5 11 6 4 ALBERTO 53.3 69.9 108 776 +2004 11 2 18 28 BERYL 49.9 342.1 124 369 +1983 1 14 12 14 ISAAC 59.4 278.7 134 45 +1969 8 24 12 26 TONY 45.8 344.3 43 581 +1991 7 16 0 24 OSCAR 23.2 195.6 112 735 +1996 2 16 12 17 PATTY 11.5 91.7 31 548 +2004 12 13 6 24 FLORENCE 19.6 262.1 71 759 +2004 11 2 0 21 MICHAEL 38.4 156.8 102 138 +1997 4 9 6 15 TONY 35.2 350.0 64 243 +1953 9 20 6 7 ISAAC 14.3 216.2 141 698 +1959 8 20 0 18 SANDY 46.9 200.1 38 156 +1992 12 2 0 10 GORDON 42.5 329.3 110 769 +1950 8 23 6 1 ISAAC 32.1 37.0 163 11 +1967 7 12 0 3 FLORENCE 20.4 229.3 62 624 +1973 7 22 18 10 ISAAC 49.5 15.6 27 439 +1980 10 11 18 9 OSCAR 48.9 42.8 150 426 +1963 11 26 6 8 RAFAEL 61.7 72.7 152 276 +1984 4 25 6 27 GORDON 33.1 265.7 161 603 +1996 3 18 12 25 TONY 47.0 45.9 107 672 +1972 5 28 0 28 DEBBY 26.0 93.9 49 63 +1952 1 16 6 4 HELENE 67.3 187.2 80 870 +1958 12 5 6 14 LESLIE 55.8 218.3 56 699 +1963 11 22 18 8 GORDON 66.9 346.2 39 895 +1957 4 25 12 10 FLORENCE 56.8 167.7 86 208 +1997 1 15 6 18 PATTY 54.6 323.8 127 426 +1954 1 9 12 7 JOYCE 26.0 126.9 103 607 +1986 7 18 0 8 KIRK 42.0 196.3 152 865 +1998 12 17 18 10 ALBERTO 13.7 201.0 156 625 +1980 3 26 18 25 SANDY 16.5 343.9 30 521 +1957 12 22 0 3 SANDY 27.2 340.9 28 123 +1954 8 19 0 26 CHRIS 43.3 148.9 81 750 +1965 6 25 18 26 SANDY 63.4 84.1 162 712 +1977 8 7 18 19 WILLIAM 17.8 335.1 143 796 +1956 9 12 18 24 PATTY 48.7 152.1 27 463 +1981 12 25 18 18 PATTY 37.8 66.0 145 327 +1986 1 11 18 15 HELENE 60.9 133.6 141 536 +1988 8 2 12 6 ISAAC 53.6 230.9 22 233 +1973 9 16 18 14 NADINE 44.3 85.5 79 287 +1952 6 23 18 13 NADINE 27.6 331.0 36 169 +1950 4 20 6 1 GORDON 68.4 235.6 45 871 +1951 2 26 12 22 CHRIS 39.2 8.1 25 808 +1978 10 10 18 11 FLORENCE 60.4 31.5 32 531 +1982 3 3 12 8 RAFAEL 10.9 188.1 34 407 +1970 1 19 0 25 RAFAEL 60.1 136.5 136 76 +1971 11 28 0 27 JOYCE 11.1 35.7 36 336 +1965 6 5 6 12 NADINE 32.1 42.3 130 216 +1994 2 16 12 24 CHRIS 66.7 334.0 77 418 +1977 10 22 6 5 SANDY 27.8 15.4 14 614 +1964 7 7 6 14 PATTY 31.4 56.2 127 856 +1997 2 2 18 3 DEBBY 20.0 184.7 132 292 +1974 5 12 18 23 CHRIS 46.0 6.8 25 460 +1954 10 26 18 22 LESLIE 41.7 228.4 130 113 +1986 1 8 18 28 PATTY 18.9 347.0 102 841 +1994 12 9 6 24 ISAAC 64.0 175.5 70 411 +1968 6 4 12 19 MICHAEL 26.3 50.6 47 605 +1953 8 9 6 6 NADINE 36.2 238.1 25 761 +1966 8 21 6 19 FLORENCE 42.5 285.6 113 485 +1973 1 19 0 4 LESLIE 50.8 205.2 18 411 +1993 11 20 12 10 NADINE 48.1 27.1 100 299 +1963 4 3 6 20 SANDY 57.7 39.3 14 467 +2004 11 25 6 25 ERNESTO 66.4 77.2 76 223 +1994 8 17 12 4 OSCAR 9.3 185.1 32 894 +1999 6 19 12 24 MICHAEL 54.5 297.4 134 297 +1974 6 10 18 2 ISAAC 37.2 63.2 15 326 +1966 8 24 6 28 CHRIS 25.3 131.9 12 174 +1979 3 2 6 17 GORDON 19.5 323.2 39 704 +1960 3 19 0 22 WILLIAM 8.6 128.3 158 337 +1998 8 24 18 21 ISAAC 26.1 294.5 10 826 +1964 10 20 0 10 SANDY 58.9 310.2 59 607 +1999 9 23 6 15 RAFAEL 50.4 273.5 135 622 +1952 4 8 0 12 LESLIE 40.2 122.3 82 575 +1962 2 14 0 17 OSCAR 31.6 240.2 33 496 +1992 7 27 12 17 MICHAEL 53.5 111.7 35 234 +1959 1 20 18 3 ALBERTO 68.9 202.0 119 458 +1956 12 6 0 10 ERNESTO 46.3 118.0 25 732 +1995 12 11 18 11 ERNESTO 50.9 351.9 146 511 +1963 9 12 12 5 GORDON 9.6 129.7 136 150 +1993 8 9 6 20 MICHAEL 9.1 113.9 103 181 +1953 8 21 12 28 CHRIS 13.9 179.5 93 707 +1959 11 22 6 12 HELENE 41.6 47.6 113 682 +1978 9 17 12 25 BERYL 7.3 203.0 40 840 +1993 10 17 6 23 ERNESTO 37.3 354.1 163 603 +1953 3 5 0 19 NADINE 10.5 113.5 88 607 +1968 3 21 6 23 RAFAEL 67.2 262.0 119 771 +1975 12 22 6 9 ERNESTO 9.5 135.4 30 317 +1951 4 19 18 3 ALBERTO 50.7 1.1 132 866 +1964 7 20 18 9 DEBBY 14.8 258.7 81 725 +1956 9 3 18 26 VALERIE 35.6 81.9 108 865 +1964 9 25 18 23 DEBBY 18.7 52.7 159 514 +1964 3 9 12 27 WILLIAM 14.0 79.5 133 268 +1960 11 15 6 10 RAFAEL 12.4 204.4 80 194 +1999 9 4 0 25 BERYL 61.1 90.8 67 858 +1994 4 6 6 9 ERNESTO 34.8 37.7 103 566 +2001 10 16 0 5 CHRIS 15.4 113.1 85 363 +1954 11 18 0 6 BERYL 25.2 114.9 117 665 +1961 10 8 0 10 NADINE 16.8 129.9 26 531 +1972 9 11 18 2 BERYL 48.1 241.8 45 0 +1997 2 24 12 2 LESLIE 17.1 118.6 91 814 +1956 12 24 0 22 ALBERTO 45.1 307.4 145 662 +2002 9 5 6 6 ISAAC 26.7 273.7 69 536 +1988 10 17 0 4 KIRK 20.3 198.5 99 857 +1996 4 4 18 6 ALBERTO 43.7 33.3 93 166 +1999 3 6 18 16 CHRIS 57.6 80.6 145 571 +1993 5 20 18 3 OSCAR 65.1 317.8 140 812 +1983 3 16 18 17 VALERIE 27.1 139.9 114 730 +1983 7 5 12 2 GORDON 10.9 339.2 121 705 +1990 2 23 18 10 DEBBY 16.3 286.9 52 128 +1990 12 9 12 15 FLORENCE 33.6 333.2 10 546 +1967 5 15 18 6 JOYCE 53.2 237.2 49 637 +1967 9 9 6 16 GORDON 38.5 111.2 132 88 +1970 11 13 6 8 JOYCE 33.0 27.9 54 52 +1963 4 2 18 19 FLORENCE 7.6 167.6 118 602 +1975 2 10 0 15 MICHAEL 56.3 87.1 37 308 +1978 7 8 12 25 RAFAEL 15.1 37.3 56 459 +1971 1 16 6 1 PATTY 26.1 133.7 155 687 +1977 8 3 6 20 KIRK 21.0 164.9 136 752 +1956 1 1 18 21 FLORENCE 68.0 29.3 95 792 +1999 1 24 12 22 TONY 28.6 323.5 100 520 +1959 2 12 6 14 KIRK 38.1 329.4 34 757 +1969 6 4 0 3 ALBERTO 44.4 295.3 56 405 +1994 8 20 12 19 VALERIE 31.4 355.6 45 41 +1955 2 1 0 7 OSCAR 29.8 90.9 46 470 +1954 12 11 18 3 ERNESTO 19.0 254.0 28 9 +1984 8 9 6 10 CHRIS 45.8 330.6 143 889 +1965 10 17 12 3 ALBERTO 66.1 216.3 132 188 +1958 3 24 0 26 RAFAEL 43.7 189.8 59 441 +1964 4 14 6 23 MICHAEL 63.1 299.6 90 309 +1954 8 20 18 6 MICHAEL 59.9 281.2 81 588 +1993 6 17 12 20 SANDY 18.3 144.3 151 107 +1976 3 16 12 18 PATTY 37.9 49.2 161 478 +1956 6 19 18 17 JOYCE 44.4 349.7 28 195 +1951 12 22 6 5 ALBERTO 39.9 122.9 26 377 +1963 5 4 12 28 KIRK 31.9 189.0 56 447 +1986 6 22 0 14 BERYL 51.8 343.5 90 13 +1971 11 13 12 3 RAFAEL 23.4 294.5 122 831 +1959 8 27 18 23 TONY 30.2 136.5 131 67 +2004 12 1 18 13 OSCAR 16.1 203.8 39 251 +1972 5 4 12 28 SANDY 14.6 108.0 51 534 +1979 11 10 12 19 VALERIE 17.5 139.3 141 567 +1954 5 11 12 10 BERYL 51.5 343.0 71 103 +1974 7 12 12 23 LESLIE 58.9 272.2 49 734 +1960 4 7 6 6 OSCAR 20.9 56.2 13 200 +1992 3 28 6 16 DEBBY 47.5 152.1 16 33 +1963 8 9 12 9 RAFAEL 48.9 172.3 81 741 +1959 12 28 18 19 DEBBY 16.5 74.4 39 718 +1988 1 28 6 8 CHRIS 10.7 23.0 67 699 +1974 8 14 0 11 DEBBY 58.0 183.9 42 357 +1975 7 18 18 22 MICHAEL 24.1 116.9 81 197 +1957 3 27 12 9 OSCAR 29.0 211.1 125 39 +2001 2 8 12 27 SANDY 63.7 24.1 109 745 +1998 1 26 0 10 OSCAR 64.2 150.7 10 216 +1992 2 13 12 5 BERYL 12.1 261.0 90 656 +1979 9 13 18 17 HELENE 51.6 203.4 88 181 +1971 9 15 18 21 LESLIE 65.5 84.1 36 452 +1988 7 23 18 20 RAFAEL 15.5 248.0 77 819 +1997 7 7 12 9 VALERIE 31.4 86.9 32 300 +1968 8 3 0 13 GORDON 68.6 178.7 109 387 +1962 12 2 0 8 KIRK 34.1 195.1 12 249 +1974 11 26 18 5 JOYCE 64.6 348.2 66 376 +2003 1 10 18 23 SANDY 36.2 23.2 55 664 +1981 5 14 18 7 NADINE 22.4 241.1 139 379 +1978 4 28 18 10 ALBERTO 10.3 355.4 55 234 +1954 11 27 0 15 ERNESTO 31.4 27.7 81 145 +1998 12 19 12 2 DEBBY 46.1 184.9 126 459 +1978 5 12 0 6 RAFAEL 69.7 206.1 106 252 +1997 1 1 6 7 SANDY 58.1 278.4 108 88 +1977 5 1 12 14 JOYCE 12.7 314.4 134 714 +1958 4 3 12 8 FLORENCE 20.6 187.8 161 265 +1960 11 7 18 21 SANDY 35.6 137.0 88 341 +1955 12 28 6 24 FLORENCE 50.8 325.0 107 75 +1976 4 6 0 6 VALERIE 69.0 261.9 119 651 +1983 2 16 0 18 MICHAEL 26.9 268.9 63 880 +1986 11 12 6 8 FLORENCE 55.9 254.1 145 458 +1971 2 5 18 10 ISAAC 36.7 346.9 22 857 +1998 12 16 0 25 PATTY 64.0 261.0 62 352 +1963 5 5 6 8 PATTY 35.2 245.7 47 734 +1969 1 28 12 7 OSCAR 67.4 158.3 137 633 +1962 2 20 12 2 DEBBY 15.4 301.9 118 306 +1979 6 17 18 26 WILLIAM 36.3 156.4 19 424 +1968 1 14 18 16 SANDY 38.8 32.9 32 173 +1997 10 24 0 28 SANDY 47.5 347.0 107 880 +1957 3 24 18 1 ALBERTO 8.3 266.8 44 520 +1968 10 22 0 9 JOYCE 15.6 305.9 13 148 +1997 6 6 6 21 HELENE 14.7 296.5 107 76 +1973 12 27 0 16 VALERIE 23.2 325.4 123 570 +1959 9 5 12 14 ALBERTO 49.6 351.1 74 651 +1994 10 9 6 14 KIRK 27.2 10.0 92 819 +1970 7 3 6 12 MICHAEL 15.4 163.8 48 446 +1990 3 14 6 10 SANDY 27.3 14.5 14 215 +2004 1 13 6 25 FLORENCE 18.8 186.7 31 363 +1999 4 17 0 7 BERYL 35.4 296.7 43 867 +1988 6 11 0 7 LESLIE 29.2 50.5 45 6 +1950 10 22 12 12 BERYL 26.6 95.6 79 828 +1973 2 9 0 7 JOYCE 13.6 272.4 103 653 +1981 1 18 6 10 WILLIAM 69.9 309.5 135 514 +1980 5 13 0 7 LESLIE 13.6 152.1 115 786 +1953 10 23 0 16 HELENE 62.1 190.0 122 776 +1980 5 20 18 10 PATTY 56.3 267.9 89 416 +1975 2 22 18 18 RAFAEL 45.5 100.9 85 395 +1991 5 3 6 27 OSCAR 63.7 151.2 108 232 +2003 3 25 18 28 ISAAC 54.5 267.3 16 779 +1960 4 23 18 16 JOYCE 58.7 197.1 83 119 +1989 12 22 18 24 KIRK 67.8 66.7 130 551 +1987 1 10 6 2 LESLIE 10.2 53.3 114 774 +1966 10 12 0 19 DEBBY 68.3 204.5 92 163 +2002 9 18 12 24 OSCAR 62.2 182.4 16 95 +1966 5 4 6 15 RAFAEL 12.1 289.0 145 490 +1997 9 10 18 21 HELENE 56.5 297.4 148 594 +1980 6 18 0 12 MICHAEL 14.7 273.2 72 51 +1970 6 21 6 24 NADINE 10.7 219.5 99 209 +1955 9 24 12 16 WILLIAM 69.1 304.5 74 256 +1956 9 26 6 20 RAFAEL 45.0 355.7 33 265 +2002 7 16 0 28 KIRK 36.0 207.1 62 248 +1993 11 18 6 2 PATTY 59.1 55.4 164 158 +1991 4 8 0 6 DEBBY 20.1 264.7 102 744 +1954 11 16 18 5 LESLIE 56.6 347.2 61 532 +1969 1 6 12 16 NADINE 19.0 189.1 114 460 +1973 9 9 18 6 RAFAEL 10.6 259.2 100 831 +1982 2 25 6 11 BERYL 11.7 116.5 33 333 +1959 10 20 6 27 VALERIE 38.2 82.2 20 763 +1992 3 15 0 17 PATTY 44.8 58.0 35 868 +1957 3 3 0 1 OSCAR 35.6 212.8 126 610 +2003 9 3 6 18 KIRK 48.0 128.3 13 881 +1976 12 17 0 23 LESLIE 16.5 284.0 96 103 +1997 4 18 0 13 CHRIS 46.5 51.1 159 559 +2001 7 19 18 25 LESLIE 58.4 104.6 19 119 +1961 12 24 18 18 LESLIE 7.8 347.4 157 372 +1963 9 9 12 26 LESLIE 57.1 21.7 106 316 +1965 5 24 6 10 NADINE 53.0 303.6 88 746 +1994 9 12 0 13 NADINE 52.1 122.3 74 483 +1980 10 25 6 21 OSCAR 59.2 148.8 32 557 +1976 12 4 18 4 PATTY 53.0 315.1 60 761 +1979 9 3 0 17 FLORENCE 16.0 180.0 118 659 +1955 12 17 0 24 KIRK 50.8 97.7 162 790 +1971 6 3 18 19 ISAAC 48.2 210.7 130 34 +1996 12 25 12 24 ERNESTO 66.3 220.8 78 645 +1964 1 20 0 22 CHRIS 62.3 203.5 40 435 +1994 7 4 12 17 SANDY 51.5 22.4 124 333 +1999 3 1 6 21 LESLIE 36.2 4.9 102 475 +1997 8 7 12 17 BERYL 21.1 118.4 18 262 +2002 7 19 6 20 HELENE 19.0 298.7 82 690 +1988 10 24 0 8 OSCAR 63.0 11.5 44 600 +1995 12 9 6 4 OSCAR 35.3 98.8 113 883 +1985 7 14 18 14 HELENE 34.1 268.1 101 53 +1961 11 2 18 21 SANDY 21.8 348.5 119 413 +2002 8 8 12 22 SANDY 26.1 207.2 140 602 +1984 3 22 6 23 MICHAEL 19.8 275.4 53 547 +1980 6 27 0 5 JOYCE 68.0 288.2 143 603 +1986 6 14 0 5 JOYCE 56.7 352.4 129 374 +2000 9 25 18 28 OSCAR 29.3 38.2 66 163 +2004 1 18 12 5 PATTY 70.0 136.3 111 463 +1951 7 16 0 15 CHRIS 61.7 150.2 116 824 +1990 2 27 12 11 HELENE 44.8 288.5 92 829 +1952 6 21 6 12 VALERIE 29.4 62.8 146 320 +1987 10 11 0 28 WILLIAM 68.2 57.1 142 272 +2003 7 7 18 1 CHRIS 64.2 260.0 17 300 +1971 2 12 18 25 VALERIE 12.7 184.6 94 464 +1980 6 8 18 9 CHRIS 23.3 6.8 46 366 +1979 4 14 6 9 JOYCE 7.8 146.5 160 323 +1966 8 12 0 21 SANDY 47.1 356.5 38 730 +1987 10 18 6 16 SANDY 10.0 46.6 123 378 +2001 12 8 0 25 ERNESTO 28.7 212.5 10 439 +2001 2 22 6 26 TONY 60.2 229.2 87 660 +1980 10 22 12 11 DEBBY 57.2 172.4 79 847 +2001 5 8 12 8 HELENE 31.4 142.2 114 111 +1995 8 28 18 21 OSCAR 49.3 259.7 136 321 +1965 4 18 12 13 NADINE 66.5 23.3 43 624 +1954 1 28 18 8 CHRIS 43.6 68.9 63 210 +1962 6 27 12 19 ISAAC 53.5 248.2 161 812 +1995 11 17 18 8 LESLIE 43.6 249.2 11 274 +1984 3 28 0 25 HELENE 21.3 141.6 119 763 +1971 11 26 18 23 WILLIAM 23.9 336.5 126 103 +2000 5 24 12 4 SANDY 9.9 190.2 10 446 +1979 9 11 6 15 ERNESTO 48.6 117.9 125 157 +1958 12 19 0 16 BERYL 30.4 128.2 124 293 +1971 5 23 0 20 LESLIE 15.7 112.6 80 178 +1993 7 23 6 17 ERNESTO 16.6 19.4 127 676 +1985 2 15 6 13 GORDON 67.8 58.5 115 433 +1991 7 2 18 28 HELENE 8.3 146.5 100 248 +1983 11 14 18 8 GORDON 43.2 278.9 140 36 +1985 2 7 6 10 OSCAR 47.9 265.9 149 827 +1960 11 26 6 7 DEBBY 46.9 97.1 63 522 +1969 7 10 12 8 TONY 36.1 78.1 26 131 +1987 10 25 12 18 RAFAEL 58.3 113.4 67 341 +1950 3 18 6 26 SANDY 63.9 2.4 58 801 +1970 6 20 18 23 OSCAR 9.0 27.8 163 568 +1981 12 8 18 10 NADINE 55.0 187.9 16 66 +1954 2 3 18 7 DEBBY 18.0 48.9 105 544 +1976 3 17 6 27 BERYL 21.3 10.8 102 692 +1981 11 25 0 1 ALBERTO 18.6 329.4 97 801 +2000 10 10 0 26 TONY 58.4 139.5 83 817 +1966 6 13 12 2 OSCAR 24.8 72.7 132 228 +1974 1 3 18 15 KIRK 15.6 350.7 127 601 +2002 6 17 12 15 LESLIE 46.4 260.4 72 118 +1995 8 12 12 27 MICHAEL 42.7 293.2 146 887 +1959 2 24 0 20 NADINE 21.7 84.0 75 364 +1965 10 20 12 22 CHRIS 20.6 45.6 59 397 +2000 9 28 0 6 PATTY 33.7 291.0 147 329 +1989 1 5 6 19 RAFAEL 52.8 332.5 58 259 +1951 6 27 0 25 SANDY 26.5 152.3 72 109 +1956 9 4 0 8 ALBERTO 60.3 185.7 140 395 +1958 3 23 0 16 OSCAR 22.1 315.9 114 222 +1996 7 27 6 13 OSCAR 62.0 84.4 92 585 +1959 12 9 18 10 MICHAEL 60.6 22.9 98 833 +1961 4 10 12 26 ISAAC 52.1 108.1 80 824 +1991 2 19 18 24 HELENE 9.8 47.5 112 500 +1998 8 21 18 13 RAFAEL 51.4 45.7 91 276 +1969 1 19 12 4 ISAAC 60.8 59.2 15 854 +1963 1 16 0 26 ALBERTO 30.0 284.2 42 508 +1994 10 23 0 11 ISAAC 51.7 128.9 15 201 +1978 3 10 18 19 GORDON 15.4 245.5 135 555 +1990 12 26 6 17 LESLIE 49.9 336.4 145 427 +1972 2 25 0 9 PATTY 56.0 75.7 42 552 +1972 6 12 12 11 LESLIE 41.0 37.8 54 313 +1987 4 11 12 20 SANDY 17.4 127.3 73 615 +1989 9 5 12 28 KIRK 19.8 183.4 17 244 +1958 4 21 0 26 KIRK 50.4 153.5 101 812 +1987 3 11 12 28 JOYCE 25.2 178.7 96 411 +1972 8 24 18 9 LESLIE 69.0 180.3 21 6 +2000 7 10 6 7 BERYL 25.8 258.7 152 791 +1971 5 15 0 22 GORDON 41.7 5.7 12 64 +1983 6 8 6 25 SANDY 58.8 167.6 130 639 +1982 5 1 12 13 WILLIAM 39.7 270.7 135 340 +1980 8 4 18 15 NADINE 48.1 150.6 132 678 +2002 4 3 18 4 KIRK 32.8 320.8 16 320 +1955 9 1 0 24 KIRK 9.8 170.7 92 542 +1991 6 10 12 8 TONY 35.0 184.6 68 679 +1965 5 9 18 28 TONY 47.1 203.9 82 195 +1971 9 27 6 16 CHRIS 66.1 141.2 153 808 +1951 6 23 18 25 DEBBY 51.9 265.9 60 294 +1963 3 7 12 12 BERYL 29.3 31.4 109 679 +1986 5 15 0 2 FLORENCE 59.6 355.7 133 173 +1960 2 21 0 25 RAFAEL 41.6 119.2 87 628 +1960 3 9 18 10 CHRIS 55.1 128.4 138 755 +1970 9 24 12 8 PATTY 42.4 234.7 23 824 +1988 8 16 6 1 HELENE 23.8 188.7 113 755 +1953 7 28 6 16 MICHAEL 30.9 106.2 14 831 +1956 12 12 18 8 ISAAC 10.8 356.5 29 592 +1976 2 10 12 16 PATTY 21.2 209.1 40 527 +1959 2 28 0 3 NADINE 38.8 223.5 162 685 +1981 4 21 18 19 MICHAEL 32.3 75.1 136 97 +1960 6 13 6 7 JOYCE 23.7 28.5 26 476 +1993 8 10 0 23 OSCAR 38.8 100.7 76 519 +1996 6 6 12 23 GORDON 66.3 108.1 157 25 +1993 3 9 12 27 WILLIAM 21.5 210.9 98 88 +1989 10 3 0 11 RAFAEL 59.0 266.6 105 886 +1998 2 19 0 6 TONY 30.6 293.4 20 243 +1983 10 25 18 25 HELENE 61.8 150.9 17 804 +1965 9 1 0 21 JOYCE 53.1 139.9 20 744 +1997 12 14 6 25 RAFAEL 61.2 191.2 29 313 +2000 8 22 18 13 ISAAC 67.3 20.9 142 358 +1973 1 25 6 17 KIRK 39.7 253.4 136 45 +1957 4 23 6 25 LESLIE 68.6 92.7 120 397 +1996 10 15 0 6 SANDY 20.5 293.3 91 380 +1961 3 5 18 19 PATTY 31.4 27.8 45 265 +1995 11 20 6 28 CHRIS 52.1 183.9 106 346 +1982 10 14 18 5 WILLIAM 16.2 246.2 23 338 +1961 8 25 18 7 ALBERTO 50.2 76.6 94 769 +1968 10 7 6 22 ERNESTO 66.3 301.5 120 486 +1978 4 21 6 19 ALBERTO 57.0 207.7 123 115 +1972 6 11 6 22 ISAAC 28.4 191.4 101 500 +2002 2 26 12 10 CHRIS 66.8 206.4 18 51 +1975 4 16 6 16 PATTY 68.4 52.6 128 389 +1981 6 7 18 26 MICHAEL 46.7 254.0 152 214 +1970 2 22 18 27 JOYCE 40.5 94.1 20 319 +2002 12 14 6 3 ISAAC 58.9 303.1 124 183 +1995 9 6 18 6 CHRIS 43.8 328.4 148 614 +1950 6 9 0 11 SANDY 58.2 123.7 150 437 +1988 1 8 18 6 PATTY 22.6 232.4 54 814 +1999 6 15 12 13 ERNESTO 54.9 186.3 40 764 +1987 9 13 0 11 CHRIS 18.1 71.9 38 314 +1950 7 7 0 19 WILLIAM 53.6 220.4 39 826 +1985 5 3 18 2 KIRK 17.5 235.5 34 693 +1950 12 6 12 12 ERNESTO 56.3 188.6 95 784 +1968 7 18 6 12 ERNESTO 20.9 200.4 45 821 +1994 9 25 18 5 PATTY 7.7 336.4 115 517 +1983 12 6 0 19 HELENE 56.9 129.8 15 354 +1993 11 8 6 9 ERNESTO 47.6 137.5 41 743 +1989 8 1 18 1 GORDON 53.8 142.7 59 608 +1993 7 23 12 8 MICHAEL 59.5 81.2 151 555 +1972 6 8 12 13 GORDON 53.1 229.1 134 237 +1979 11 20 12 1 SANDY 66.6 144.5 70 742 +1980 12 16 6 24 OSCAR 26.3 79.7 56 439 +1966 10 10 0 15 GORDON 34.1 303.1 44 122 +1958 8 18 0 9 ISAAC 17.9 204.7 70 309 +1982 6 8 6 22 BERYL 27.9 284.3 155 174 +1960 8 25 18 5 MICHAEL 16.6 180.3 130 797 +1960 4 4 0 5 OSCAR 56.0 26.5 118 46 +1955 2 19 6 21 SANDY 16.0 124.6 23 854 +1984 10 21 12 13 SANDY 14.6 107.5 92 597 +1962 1 9 12 7 TONY 17.7 42.0 105 646 +1962 9 21 6 2 ALBERTO 47.1 80.3 143 686 +1969 4 5 12 19 GORDON 39.2 91.4 19 501 +1974 12 9 18 3 SANDY 43.1 149.3 97 642 +1951 10 17 0 13 ALBERTO 29.4 274.8 91 434 +1956 4 23 0 8 ISAAC 65.5 286.4 82 574 +1983 6 7 12 24 LESLIE 52.6 173.9 45 577 +1955 2 12 12 24 ALBERTO 11.3 247.0 157 388 +1961 10 1 0 11 ERNESTO 66.2 159.3 128 809 +1963 12 16 12 5 VALERIE 20.6 326.7 55 406 +1969 10 23 12 10 ERNESTO 55.0 264.4 129 51 +2004 9 8 6 18 ISAAC 8.0 224.7 78 296 +1971 1 27 6 15 CHRIS 36.4 76.9 49 449 +1999 6 4 6 1 HELENE 52.2 115.5 71 483 +1997 12 6 6 25 SANDY 64.3 208.9 116 428 +1999 7 9 18 21 ALBERTO 30.8 121.2 16 811 +2002 6 4 12 13 RAFAEL 42.6 211.6 153 471 +1959 4 19 18 4 DEBBY 56.4 298.6 99 544 +1976 4 17 0 20 LESLIE 11.1 105.3 50 828 +1978 1 26 18 12 ISAAC 17.8 154.3 115 82 +1968 11 1 12 7 KIRK 7.4 271.5 108 356 +1977 5 1 0 12 MICHAEL 18.4 27.4 42 858 +1955 11 7 18 20 FLORENCE 23.5 79.3 145 165 +1977 11 6 6 18 SANDY 54.2 205.3 112 408 +1984 12 9 0 14 VALERIE 27.3 3.0 67 296 +1965 2 6 6 16 JOYCE 8.9 184.6 13 701 +1994 3 21 6 2 DEBBY 29.4 174.4 15 243 +1958 6 26 12 11 TONY 46.9 336.0 30 294 +1969 4 22 6 19 BERYL 68.8 276.4 41 157 +1989 7 24 0 1 RAFAEL 54.5 280.3 84 62 +1990 10 2 6 26 JOYCE 54.5 91.9 87 103 +1999 10 19 6 9 GORDON 24.1 55.4 23 882 +1984 6 20 0 10 KIRK 29.3 122.1 48 721 +1956 4 12 18 24 GORDON 11.9 160.1 118 264 +1956 2 18 6 8 HELENE 45.8 278.9 142 721 +1971 3 2 12 15 JOYCE 47.9 181.4 52 744 +1965 7 22 12 12 JOYCE 48.5 103.7 162 248 +1987 5 20 12 12 SANDY 22.9 180.9 133 768 +1959 8 6 18 24 RAFAEL 19.1 106.3 103 701 +1977 2 17 12 9 FLORENCE 51.2 35.5 118 388 +1996 2 22 18 19 PATTY 56.3 136.3 10 231 +1982 9 13 0 3 VALERIE 53.8 216.3 149 652 +2001 9 4 0 7 RAFAEL 19.4 270.2 55 125 +1981 8 3 6 9 LESLIE 64.8 125.5 41 813 +1957 4 21 18 18 CHRIS 62.7 317.8 143 201 +1996 9 22 18 2 GORDON 37.1 250.2 36 673 +1991 6 12 18 2 MICHAEL 14.0 140.1 154 638 +1990 9 15 12 21 GORDON 9.1 187.2 73 285 +1965 12 9 18 14 FLORENCE 41.1 9.1 23 711 +1983 3 21 0 28 VALERIE 17.6 147.0 130 781 +1991 6 6 18 3 SANDY 29.5 42.7 78 298 +1952 1 21 12 8 WILLIAM 50.9 187.1 106 566 +1968 3 22 18 16 OSCAR 10.1 107.7 17 541 +1985 4 15 18 28 OSCAR 61.3 354.4 138 557 +1977 5 25 12 19 NADINE 25.3 330.4 119 677 +1952 12 5 12 25 KIRK 29.9 187.3 106 265 +1996 4 2 18 17 HELENE 37.0 175.8 49 331 +2003 6 24 18 27 DEBBY 39.3 259.0 159 485 +1969 9 1 0 20 ERNESTO 19.5 257.1 22 857 +1967 5 21 0 7 NADINE 7.7 95.4 94 376 +1971 4 15 6 17 GORDON 20.9 157.7 16 46 +1967 10 3 18 1 OSCAR 30.8 118.7 44 596 +1952 12 28 18 19 TONY 11.1 38.3 65 786 +1953 12 18 12 7 TONY 36.3 223.2 94 457 +1997 6 2 12 11 WILLIAM 34.7 192.8 151 806 +1958 10 14 12 27 RAFAEL 13.9 234.3 107 658 +1955 6 28 18 4 BERYL 28.9 226.2 14 146 +1995 8 10 18 22 OSCAR 43.4 97.7 19 24 +1961 8 11 18 5 KIRK 28.5 145.6 31 791 +1964 10 27 0 7 SANDY 14.8 139.6 150 564 +1968 6 6 6 17 KIRK 15.9 317.7 85 855 +1999 2 10 6 11 GORDON 52.0 182.3 42 97 +1961 1 27 12 16 VALERIE 57.1 89.5 123 587 +1978 10 24 0 17 KIRK 37.7 5.2 121 93 +1993 3 8 0 26 DEBBY 16.8 307.8 67 272 +1954 1 16 6 25 RAFAEL 11.0 340.1 12 588 +1995 1 11 0 13 NADINE 59.3 347.9 162 200 +1991 9 16 12 13 HELENE 47.5 254.0 83 289 +1989 8 18 12 15 GORDON 23.8 312.3 26 850 +1984 11 26 0 19 DEBBY 33.8 88.2 41 156 +1964 6 27 12 17 VALERIE 39.3 71.3 15 862 +1982 8 23 0 17 HELENE 66.5 218.2 88 507 +1989 12 28 0 16 OSCAR 37.6 235.2 108 887 +2002 6 22 18 22 LESLIE 49.5 357.6 66 817 +1975 8 20 12 12 FLORENCE 38.8 217.4 157 108 +1985 10 21 0 17 GORDON 55.1 319.0 80 208 +1976 12 17 18 15 ISAAC 53.9 272.7 26 640 +1982 8 28 18 8 WILLIAM 37.9 313.0 151 96 +1955 1 1 0 14 KIRK 19.5 176.9 141 484 +1994 7 21 12 7 BERYL 43.7 31.9 58 448 +1960 3 18 12 10 VALERIE 20.4 250.3 118 799 +1995 4 15 18 17 GORDON 12.1 11.9 20 577 +1991 12 7 0 26 RAFAEL 69.6 270.3 85 521 +1970 8 1 12 10 TONY 24.0 357.7 161 465 +1967 2 8 18 18 TONY 61.0 205.2 23 464 +2001 1 7 0 6 MICHAEL 14.8 316.9 56 407 +1979 9 11 12 1 ERNESTO 57.2 178.2 127 9 +1989 6 26 12 25 ERNESTO 59.1 335.0 68 118 +1979 8 28 6 2 HELENE 17.6 242.4 68 419 +2004 8 21 18 19 ERNESTO 25.2 248.2 106 6 +1950 10 16 12 15 MICHAEL 22.8 208.2 129 354 +1955 9 13 0 20 ISAAC 68.8 73.5 127 110 +1993 10 20 6 15 JOYCE 31.3 208.4 118 442 +1962 4 25 6 8 NADINE 8.3 95.8 118 898 +1977 4 12 12 23 KIRK 42.2 349.6 124 875 +1955 4 25 18 8 BERYL 47.7 246.2 81 753 +1958 8 7 0 27 OSCAR 29.2 288.3 21 856 +1953 5 27 12 1 CHRIS 7.5 331.0 57 812 +1985 2 1 18 8 FLORENCE 68.6 288.5 113 262 +1970 7 9 18 4 LESLIE 36.0 302.5 74 609 +2003 6 25 12 16 WILLIAM 16.4 348.2 140 275 +1971 6 4 18 9 JOYCE 29.6 169.9 59 717 +1976 6 11 12 16 ISAAC 26.5 307.9 43 150 +1990 9 20 18 24 NADINE 11.0 86.1 106 200 +1990 7 18 18 9 KIRK 53.1 301.7 79 755 +1982 11 28 18 27 ALBERTO 18.7 187.3 133 268 +1953 8 3 18 11 ISAAC 62.6 50.6 60 878 +1966 5 12 18 7 HELENE 9.9 1.6 97 571 +1993 12 13 18 20 PATTY 65.6 8.8 99 18 +1988 11 11 0 16 FLORENCE 33.7 19.0 15 172 +1996 8 16 12 13 KIRK 39.9 107.7 116 695 +1955 7 27 18 1 DEBBY 21.8 58.4 73 369 +1956 5 5 0 27 NADINE 28.4 341.2 110 629 +2000 7 13 0 9 WILLIAM 58.0 34.4 24 672 +1957 5 4 0 18 ERNESTO 46.6 264.1 90 348 +1968 2 11 0 2 NADINE 60.0 95.9 47 781 +1989 9 5 18 18 CHRIS 25.9 293.6 59 276 +1955 1 8 12 19 TONY 26.9 132.1 113 577 +1983 3 24 6 25 FLORENCE 31.3 145.5 37 430 +1961 8 14 18 11 NADINE 23.2 281.6 60 379 +1983 12 1 18 17 JOYCE 61.5 333.9 133 107 +1959 4 28 6 22 MICHAEL 60.0 313.9 150 655 +1983 2 6 12 1 CHRIS 65.6 266.3 60 310 +1991 3 8 12 22 TONY 21.1 52.2 144 341 +1996 3 12 18 16 GORDON 31.9 12.3 157 46 +1971 9 5 0 27 WILLIAM 43.0 230.6 36 897 +1990 9 8 12 17 ISAAC 21.8 147.7 162 774 +2000 6 8 12 18 TONY 48.6 70.8 36 256 +1953 12 18 18 2 RAFAEL 41.9 57.0 44 480 +1959 7 28 0 14 DEBBY 18.7 159.0 137 455 +2001 2 28 12 7 ALBERTO 66.1 216.1 114 891 +1990 5 27 18 5 LESLIE 62.3 172.7 25 80 +1985 9 15 12 3 TONY 46.7 117.0 164 133 +1982 1 27 6 13 MICHAEL 49.9 315.7 120 467 +1972 6 21 12 8 JOYCE 41.5 212.5 34 8 +1982 3 20 12 16 KIRK 65.2 340.4 35 32 +1979 1 20 18 28 LESLIE 29.7 69.7 77 455 +1956 8 27 12 20 OSCAR 26.2 147.0 43 160 +1965 8 28 6 14 NADINE 51.3 65.1 77 695 +1996 8 11 12 11 HELENE 19.8 140.8 117 329 +1990 11 7 0 1 NADINE 32.9 209.2 40 806 +1985 9 28 12 2 LESLIE 56.8 154.3 142 854 +2003 1 25 0 9 DEBBY 11.5 228.9 130 50 +1974 8 5 12 27 LESLIE 44.9 235.2 65 433 +1971 5 14 0 20 MICHAEL 47.7 274.0 136 217 +1995 7 28 6 26 NADINE 61.1 213.2 36 823 +1990 11 1 12 11 HELENE 55.1 321.8 123 550 +1999 2 24 12 5 BERYL 23.7 38.6 30 660 +2001 4 4 6 27 PATTY 52.8 96.4 34 464 +1971 3 24 0 23 PATTY 13.3 309.7 31 511 +1953 5 27 6 12 NADINE 36.0 168.1 125 331 +1952 3 5 18 12 FLORENCE 61.1 245.4 111 575 +1969 1 28 0 27 SANDY 13.1 265.8 12 520 +1950 5 20 0 18 MICHAEL 24.3 154.6 32 522 +1991 10 11 18 1 BERYL 10.5 105.7 21 746 +1971 3 28 18 1 MICHAEL 8.7 161.4 143 112 +1966 3 3 6 10 BERYL 48.5 65.1 96 377 +1991 6 8 6 11 DEBBY 36.2 309.4 111 735 +1969 7 15 0 6 ALBERTO 31.8 252.8 83 782 +1956 6 2 12 24 LESLIE 10.0 343.9 54 423 +1957 9 13 18 28 WILLIAM 33.4 204.4 19 363 +1999 1 28 18 23 OSCAR 61.1 189.5 134 108 +1977 11 26 18 6 FLORENCE 60.4 153.5 93 106 +1971 3 3 0 15 TONY 67.3 312.9 90 180 +1971 2 12 0 12 OSCAR 57.0 81.4 105 464 +1986 1 18 18 8 TONY 22.6 347.1 145 266 +2001 7 12 18 13 BERYL 67.4 312.7 96 119 +1957 2 10 0 12 ERNESTO 20.9 99.5 67 335 +1971 1 14 18 13 VALERIE 67.7 137.6 29 38 +1962 9 10 18 24 HELENE 39.1 174.9 33 625 +1981 5 18 12 11 HELENE 64.1 88.6 69 548 +1985 3 19 18 15 RAFAEL 62.8 5.7 114 735 +1978 7 12 18 23 HELENE 16.1 305.0 114 250 +1968 10 5 12 5 PATTY 36.0 173.0 31 365 +1992 12 11 18 11 CHRIS 43.4 42.5 34 439 +1965 11 21 18 20 BERYL 24.3 70.6 113 886 +1966 6 9 6 23 CHRIS 63.7 296.4 162 2 +1968 11 26 18 4 ISAAC 33.4 202.2 45 536 +1962 1 22 6 11 FLORENCE 23.7 123.0 121 447 +2004 9 26 6 10 KIRK 28.6 84.5 68 827 +1968 5 20 18 12 ERNESTO 66.0 251.0 12 788 +1984 3 6 12 26 SANDY 29.6 141.0 103 524 +1956 7 13 0 7 SANDY 54.8 159.5 60 686 +1980 5 5 18 6 FLORENCE 42.4 143.6 79 354 +2004 10 12 18 28 WILLIAM 45.3 298.3 11 530 +2002 8 1 6 10 HELENE 39.3 23.8 100 326 +1995 1 13 18 26 WILLIAM 59.0 72.4 23 528 +1951 4 12 12 7 JOYCE 36.4 194.5 96 379 +1991 9 10 18 24 LESLIE 57.1 89.8 104 668 +1973 2 9 12 15 SANDY 53.3 81.1 154 49 +1983 5 11 12 2 RAFAEL 67.7 320.2 77 795 +1976 1 10 6 23 ALBERTO 68.6 321.9 84 673 +1996 9 10 0 18 BERYL 16.2 162.1 82 409 +1957 3 17 18 27 WILLIAM 68.7 224.0 141 146 +1983 3 7 0 20 GORDON 24.3 112.3 29 793 +1993 12 24 0 16 WILLIAM 51.1 188.6 144 560 +1953 6 13 0 14 WILLIAM 65.4 317.4 36 435 +1998 2 5 0 9 CHRIS 58.0 7.2 53 784 +1990 10 20 0 28 JOYCE 38.6 109.1 120 897 +1974 12 9 12 20 PATTY 59.4 210.6 15 676 +1964 7 5 18 5 ISAAC 33.6 60.9 148 887 +1995 11 24 6 8 GORDON 18.0 41.9 153 802 +1990 9 23 12 2 DEBBY 8.2 327.5 28 521 +1953 4 16 12 28 ERNESTO 67.8 211.0 17 649 +2002 4 24 0 6 ALBERTO 67.2 297.6 154 484 +1962 8 9 12 19 PATTY 22.4 85.2 104 622 +1975 1 16 6 3 ISAAC 47.7 174.4 93 185 +1978 4 25 18 25 OSCAR 23.2 119.1 67 147 +1981 11 28 0 14 GORDON 51.0 270.3 96 368 +2002 1 24 12 11 VALERIE 68.1 260.5 107 767 +1997 11 25 12 8 OSCAR 31.1 113.7 145 554 +1964 3 7 0 12 HELENE 43.9 270.1 150 543 +1999 8 3 6 4 HELENE 22.3 33.7 76 262 +1993 9 14 6 6 ALBERTO 43.8 61.1 38 242 +1994 12 9 12 15 KIRK 69.7 122.5 152 45 +1999 8 19 18 1 SANDY 29.0 239.8 105 654 +1981 9 14 12 11 TONY 51.2 117.1 34 398 +1992 5 20 18 4 DEBBY 14.9 304.8 128 478 +1986 12 26 6 7 RAFAEL 49.3 52.4 123 832 +1968 10 17 6 27 PATTY 13.8 55.0 57 626 +1980 7 14 18 13 ISAAC 25.5 175.4 86 769 +1973 1 4 12 26 VALERIE 33.6 202.1 140 62 +1971 8 1 18 2 SANDY 26.0 172.6 138 412 +1989 7 5 12 10 KIRK 57.6 226.0 20 759 +2004 3 23 12 14 BERYL 63.7 47.9 60 399 +1967 12 6 12 7 RAFAEL 67.6 21.5 150 126 +1995 4 21 18 7 WILLIAM 25.8 16.7 142 395 +1971 7 26 6 20 JOYCE 53.6 334.9 154 260 +1999 4 22 12 19 DEBBY 7.2 144.5 161 2 +1963 10 4 18 23 JOYCE 48.3 292.6 132 799 +1968 11 13 6 25 TONY 7.3 219.3 149 90 +1953 4 18 12 28 SANDY 46.4 203.3 57 15 +1992 10 25 6 18 ALBERTO 48.8 213.3 109 589 +1965 11 27 6 5 TONY 16.1 97.3 81 27 +1977 3 4 6 3 OSCAR 15.9 55.9 10 293 +1968 7 2 6 4 KIRK 19.8 163.3 164 786 +1950 1 26 6 2 WILLIAM 15.0 185.4 93 467 +1957 12 4 0 17 WILLIAM 38.8 73.2 87 50 +1968 6 11 18 19 LESLIE 20.2 338.2 107 337 +1994 1 16 12 12 TONY 38.2 169.8 94 702 +1978 3 15 6 10 OSCAR 29.6 125.1 58 331 +1950 1 28 12 20 OSCAR 53.6 196.7 105 691 +1981 12 9 12 5 GORDON 67.6 59.9 83 249 +1982 7 9 0 5 HELENE 59.8 10.1 138 703 +1997 12 12 12 26 FLORENCE 29.7 354.3 74 53 +1961 5 4 12 15 LESLIE 67.6 261.2 14 893 +1988 7 12 18 26 RAFAEL 62.2 305.0 47 527 +1992 5 22 18 15 DEBBY 27.2 289.3 148 843 +1963 4 18 0 16 CHRIS 15.1 250.0 54 478 +1996 9 6 0 23 CHRIS 20.0 291.0 97 193 +1952 4 15 12 8 MICHAEL 34.1 67.8 54 64 +1990 4 7 18 28 ERNESTO 28.7 281.1 49 515 +1966 3 28 6 16 ALBERTO 18.7 174.8 53 841 +1965 6 12 0 25 FLORENCE 48.6 133.9 46 539 +1959 11 26 0 13 BERYL 12.7 6.0 83 434 +1958 12 7 0 17 KIRK 53.6 350.7 87 486 +1993 6 25 6 9 OSCAR 15.3 317.6 142 280 +1950 12 7 6 7 HELENE 29.9 149.3 103 510 +1994 4 19 6 5 DEBBY 21.6 227.2 70 423 +1980 11 8 6 21 SANDY 62.7 140.7 53 541 +1996 11 10 0 24 HELENE 53.7 307.0 105 529 +1967 9 24 12 17 ISAAC 11.8 60.5 81 892 +1982 10 11 12 26 ERNESTO 41.0 57.3 36 478 +1969 7 2 0 19 HELENE 56.3 85.8 77 41 +2002 1 17 12 5 VALERIE 19.6 319.8 12 651 +1984 2 20 0 26 GORDON 62.0 175.4 73 363 +1978 8 8 12 5 PATTY 60.5 254.9 99 630 +1969 4 7 6 21 KIRK 53.4 265.3 99 579 +2002 6 24 18 22 BERYL 44.7 197.4 111 215 +1995 4 15 6 28 ISAAC 11.5 295.0 73 50 +2000 12 1 0 15 DEBBY 67.9 133.6 25 744 +2001 6 7 18 16 ALBERTO 24.2 143.3 143 728 +1954 5 8 12 7 DEBBY 26.6 116.6 39 728 +2004 2 10 6 9 HELENE 56.2 50.5 95 553 +1989 2 8 18 19 PATTY 13.4 53.7 53 230 +1989 1 16 18 1 OSCAR 58.4 75.9 142 523 +1982 3 12 18 6 ISAAC 37.2 200.8 135 62 +1971 6 4 12 21 CHRIS 52.9 273.2 116 783 +1967 12 3 0 25 DEBBY 35.5 53.5 129 310 +1990 1 18 12 4 VALERIE 63.0 341.1 144 413 +1983 10 19 6 22 CHRIS 42.0 303.2 109 749 +1997 4 3 18 28 KIRK 17.6 26.5 126 754 +2003 4 5 0 15 OSCAR 66.4 265.2 152 152 +1984 8 8 12 28 GORDON 41.1 2.4 155 577 +1995 12 27 18 15 BERYL 15.0 54.9 55 363 +1970 3 25 12 26 MICHAEL 60.1 188.8 85 385 +1984 6 11 0 4 VALERIE 27.3 204.2 144 161 +1995 2 7 12 20 SANDY 49.0 222.2 25 896 +1981 9 15 6 16 SANDY 17.0 334.1 93 160 +1986 7 21 18 27 GORDON 49.9 78.4 160 745 +1953 11 13 6 24 HELENE 32.1 230.3 49 77 +1990 12 27 18 5 VALERIE 56.5 302.6 63 898 +1978 4 23 12 15 ALBERTO 43.4 288.0 135 837 +1980 3 17 6 19 ALBERTO 17.8 172.8 67 224 +1995 1 21 18 1 RAFAEL 30.6 259.4 129 97 +1981 12 23 6 12 MICHAEL 42.3 76.8 116 244 +1967 2 2 0 3 OSCAR 30.4 329.6 113 361 +1958 11 27 0 19 TONY 16.9 58.2 50 499 +1975 7 26 6 27 SANDY 46.4 60.5 144 120 +1958 12 26 6 5 OSCAR 38.4 64.4 155 514 +1997 1 1 12 25 KIRK 63.2 34.2 80 364 +1953 11 24 6 10 NADINE 42.2 39.1 105 818 +1972 10 16 0 23 SANDY 43.2 175.3 10 657 +1954 5 22 6 4 DEBBY 12.9 178.1 17 381 +1970 2 15 0 25 ALBERTO 65.3 218.6 75 813 +1975 3 22 6 6 RAFAEL 24.9 62.4 33 899 +1971 9 6 12 26 ALBERTO 7.7 213.9 82 495 +1990 4 4 6 22 ALBERTO 53.6 145.1 157 199 +2004 1 4 0 4 TONY 52.5 343.7 128 362 +1961 7 15 6 17 KIRK 40.4 194.5 52 639 +1992 12 24 18 24 PATTY 55.7 95.8 140 240 +1997 11 18 6 16 ERNESTO 22.7 235.3 62 71 +1954 11 28 18 10 KIRK 62.2 337.0 158 535 +1992 6 11 18 24 CHRIS 55.9 234.1 145 487 +1961 12 21 6 22 GORDON 10.1 248.2 72 617 +1975 8 25 18 25 MICHAEL 61.0 24.8 60 875 +1997 7 10 18 25 ISAAC 7.6 142.1 147 881 +1953 8 8 12 24 FLORENCE 23.3 79.7 97 807 +2001 12 27 18 1 RAFAEL 50.6 199.4 35 203 +1951 9 14 0 8 RAFAEL 19.6 348.9 18 603 +1970 7 22 18 19 SANDY 45.5 332.4 14 26 +1965 7 14 12 18 CHRIS 23.8 250.8 76 669 +1959 1 15 18 13 CHRIS 18.9 302.9 30 60 +1974 4 26 6 22 SANDY 30.4 184.0 22 517 +1981 4 12 12 19 HELENE 69.7 133.1 131 258 +2004 8 12 0 24 SANDY 13.8 23.5 63 260 +1998 1 16 18 10 KIRK 30.0 192.3 24 542 +1979 11 28 12 8 ISAAC 66.9 172.9 40 475 +1991 4 7 12 26 HELENE 15.8 210.2 149 839 +1991 2 28 12 10 DEBBY 57.2 326.1 138 771 +1962 2 6 0 9 CHRIS 60.7 146.0 145 823 +1991 4 18 12 6 HELENE 19.7 65.8 81 296 +1978 11 3 12 11 LESLIE 30.4 22.7 105 576 +1969 4 25 0 14 ISAAC 22.1 254.0 48 170 +1963 9 18 12 2 TONY 34.3 142.5 92 296 +1963 9 4 0 12 MICHAEL 22.4 98.3 88 608 +1986 9 14 18 6 HELENE 38.0 357.7 53 318 +1951 1 19 0 2 WILLIAM 20.5 178.2 30 479 +1980 10 1 6 3 PATTY 31.4 355.5 18 34 +1994 1 3 6 27 DEBBY 37.4 319.4 105 868 +1976 6 2 12 14 GORDON 39.8 332.1 32 854 +1971 8 11 18 15 NADINE 15.6 223.7 26 103 +1964 8 13 18 26 BERYL 17.7 117.8 86 854 +1993 3 23 18 20 RAFAEL 45.4 61.4 56 133 +2002 12 20 6 4 PATTY 69.1 350.0 40 195 +1978 2 8 18 23 NADINE 37.8 125.3 13 538 +1964 11 9 18 11 JOYCE 12.4 204.4 30 843 +1967 2 14 18 23 MICHAEL 32.4 10.3 52 130 +1988 1 4 6 21 HELENE 18.8 351.5 123 609 +1952 4 12 6 11 WILLIAM 62.9 196.9 39 462 +1966 11 3 0 1 VALERIE 41.7 74.7 61 748 +1992 5 17 6 5 LESLIE 22.0 272.2 119 628 +1990 7 21 12 20 RAFAEL 52.8 31.4 97 785 +1993 8 28 18 18 KIRK 9.2 201.4 108 463 +2003 12 5 12 10 CHRIS 54.0 290.8 138 628 +1969 10 21 18 13 BERYL 53.2 295.1 154 560 +1975 7 25 12 20 ERNESTO 30.0 298.5 114 102 +1961 1 26 6 24 LESLIE 65.2 113.8 151 551 +1950 5 23 6 1 HELENE 36.6 333.4 118 162 +1954 4 18 18 7 GORDON 52.3 355.4 99 843 +1991 11 21 0 12 DEBBY 35.1 307.4 17 685 +1973 2 13 12 8 FLORENCE 44.9 27.8 120 891 +2003 4 27 6 18 BERYL 19.2 309.9 24 612 +1977 6 27 18 16 OSCAR 49.1 258.8 146 305 +1990 7 24 0 5 KIRK 29.3 213.2 143 57 +1958 12 12 12 28 MICHAEL 56.4 210.3 13 554 +2001 1 9 0 2 CHRIS 68.6 155.4 50 644 +1956 10 3 0 8 PATTY 36.9 52.2 51 171 +1973 11 13 18 20 JOYCE 63.9 139.2 142 258 +2000 7 16 18 3 SANDY 27.0 303.9 112 460 +1952 5 26 12 17 ALBERTO 25.9 49.8 81 463 +1996 3 9 18 9 KIRK 34.9 32.7 84 367 +2000 2 27 12 23 ISAAC 12.2 185.7 112 781 +1995 9 7 0 11 PATTY 61.3 226.1 148 432 +1969 7 25 12 4 ISAAC 9.3 290.8 143 590 +1993 5 8 6 5 CHRIS 65.7 201.8 119 647 +1951 10 21 18 14 KIRK 8.1 204.7 39 125 +1998 8 10 12 12 OSCAR 30.0 177.7 102 12 +1962 4 10 18 21 HELENE 64.7 295.7 160 30 +1996 3 27 0 15 KIRK 28.1 267.8 106 742 +1980 5 11 6 25 FLORENCE 55.5 62.8 105 238 +1951 8 10 18 7 OSCAR 46.9 338.8 18 561 +1952 7 23 18 10 ERNESTO 39.7 274.3 161 638 +1995 1 5 6 16 DEBBY 57.5 357.1 97 19 +1982 10 16 18 10 CHRIS 68.8 47.4 14 236 +1984 6 7 12 1 CHRIS 54.0 354.0 102 33 +2002 8 19 18 1 BERYL 54.6 218.3 15 61 +1987 10 2 6 13 PATTY 38.5 208.1 163 162 +1982 7 6 0 28 JOYCE 66.6 195.3 146 257 +1970 1 20 18 27 ALBERTO 41.2 283.7 105 773 +1967 8 14 0 24 WILLIAM 32.8 328.7 86 425 +1984 5 8 18 21 ALBERTO 20.5 293.1 142 619 +1981 11 12 6 1 ALBERTO 30.3 55.5 113 852 +1954 11 23 6 27 ERNESTO 64.5 132.1 144 356 +1981 1 13 0 28 FLORENCE 13.3 335.1 58 841 +1989 7 8 6 11 VALERIE 31.8 120.6 119 63 +1964 3 28 0 22 GORDON 40.9 59.0 23 842 +2000 9 6 0 28 TONY 57.3 236.2 152 142 +1974 12 25 18 20 MICHAEL 15.4 244.9 108 871 +1973 1 25 0 17 PATTY 42.8 25.2 32 557 +1954 1 2 6 26 BERYL 69.6 338.7 12 361 +1994 3 14 18 13 BERYL 28.4 196.5 153 749 +1964 12 25 6 8 CHRIS 17.2 59.0 31 765 +1968 7 14 18 20 TONY 41.7 299.8 157 647 +1961 6 13 18 4 PATTY 48.6 345.0 122 124 +1975 7 6 6 26 ISAAC 69.6 232.4 76 725 +2001 4 13 0 2 CHRIS 62.2 156.9 116 319 +1973 7 3 0 24 BERYL 12.3 284.6 17 109 +1967 4 17 18 24 SANDY 58.3 215.7 113 234 +1957 4 2 18 3 LESLIE 64.8 50.2 158 569 +1967 4 2 0 9 GORDON 22.3 178.2 24 259 +1977 5 8 6 14 NADINE 38.8 122.9 118 784 +1982 8 3 18 17 NADINE 29.5 204.1 136 12 +1988 12 23 18 18 ISAAC 18.2 283.6 24 780 +1952 2 25 0 26 BERYL 39.2 255.3 107 596 +1958 6 5 0 24 MICHAEL 34.6 344.7 130 533 +1965 9 23 0 24 ALBERTO 58.7 177.9 45 527 +2002 3 26 6 23 LESLIE 8.4 207.7 40 682 +1984 9 13 18 20 VALERIE 34.1 268.5 29 549 +1972 10 23 6 27 DEBBY 13.1 248.6 113 468 +1981 11 16 18 16 CHRIS 62.0 190.1 117 81 +2002 8 10 12 23 ISAAC 23.3 348.2 130 890 +1952 9 11 6 3 VALERIE 49.5 157.1 90 805 +1999 7 16 0 17 ALBERTO 69.9 116.4 101 587 +1981 3 2 6 26 TONY 27.4 30.9 60 156 +1960 11 6 18 7 JOYCE 59.2 158.6 146 392 +1980 4 5 12 15 WILLIAM 57.7 105.1 27 410 +1964 2 18 12 4 SANDY 19.7 318.3 95 658 +1964 2 12 6 6 MICHAEL 63.4 36.3 149 334 +1968 11 26 6 9 SANDY 43.3 221.5 72 139 +1961 1 9 12 13 HELENE 24.1 269.1 113 92 +1953 5 16 6 23 PATTY 61.3 238.4 106 843 +1992 11 24 18 7 DEBBY 33.5 84.9 162 366 +1972 5 17 18 6 VALERIE 61.0 349.3 151 701 +1990 12 4 12 9 PATTY 66.6 222.1 84 775 +1985 2 9 6 16 NADINE 69.5 38.8 42 729 +1965 7 4 12 18 VALERIE 34.4 181.6 60 675 +1988 8 8 12 9 LESLIE 8.1 123.6 81 619 +1960 12 11 0 23 FLORENCE 10.6 136.0 56 220 +1985 7 5 18 18 PATTY 46.9 292.3 71 406 +1992 3 18 0 16 SANDY 19.5 21.7 57 526 +1988 2 24 12 7 VALERIE 33.5 64.8 152 822 +1956 10 18 0 5 KIRK 49.7 60.2 132 607 +1996 2 19 18 8 RAFAEL 13.4 6.8 96 118 +2000 3 6 18 17 FLORENCE 7.1 241.4 52 489 +1988 9 27 0 1 ALBERTO 65.5 297.1 75 532 +1965 2 11 0 18 ALBERTO 39.1 258.2 42 580 +1971 5 3 6 27 CHRIS 30.7 89.8 87 741 +1982 6 26 12 23 PATTY 58.0 333.8 82 227 +1981 10 16 12 22 HELENE 64.5 226.7 76 876 +2001 2 11 18 20 ALBERTO 30.9 27.4 59 351 +1988 6 27 18 3 ERNESTO 16.9 101.7 156 878 +1958 7 16 6 20 NADINE 41.9 205.7 53 118 +1994 5 4 0 22 PATTY 23.4 7.9 99 801 +1966 5 11 18 22 WILLIAM 31.9 185.3 64 842 +1958 7 1 18 14 DEBBY 27.3 245.4 86 226 +1978 8 22 12 10 TONY 52.9 7.2 43 860 +1960 5 1 6 3 OSCAR 16.8 109.4 54 488 +1994 3 17 6 23 FLORENCE 59.0 20.6 38 853 +1995 3 1 0 25 BERYL 46.6 157.9 110 245 +1992 9 7 12 13 ISAAC 34.7 45.0 21 787 +1964 3 1 0 16 CHRIS 12.2 270.0 96 258 +1968 11 21 12 8 LESLIE 67.8 78.7 123 600 +1975 1 6 12 8 HELENE 65.1 7.4 40 256 +1987 1 22 18 22 ISAAC 39.7 126.8 154 871 +1963 8 19 18 26 ISAAC 46.0 199.5 75 222 +1976 6 7 0 20 DEBBY 67.2 12.3 140 3 +1972 11 21 0 13 ERNESTO 14.2 209.7 112 836 +1979 6 7 18 14 BERYL 48.3 123.8 82 83 +1989 3 5 18 13 ERNESTO 56.7 320.3 14 618 +1970 1 14 12 6 CHRIS 8.6 63.8 113 661 +1961 12 19 12 4 DEBBY 14.2 148.8 31 174 +1981 12 28 0 12 PATTY 24.3 151.3 47 897 +1975 12 4 6 1 WILLIAM 52.6 338.7 155 435 +1953 6 5 12 3 ERNESTO 46.6 198.2 60 555 +1956 7 3 12 19 LESLIE 59.5 104.2 143 602 +1993 5 2 18 21 NADINE 44.2 31.9 106 297 +1997 4 22 0 23 HELENE 9.5 304.1 31 262 +1976 4 19 18 7 SANDY 55.7 85.9 145 428 +1952 7 21 18 6 HELENE 36.4 184.8 17 384 +1988 7 19 0 9 LESLIE 66.7 127.5 55 71 +1992 11 25 18 6 KIRK 53.6 215.5 47 488 +1974 7 23 0 22 KIRK 51.4 254.5 52 414 +1972 8 12 12 16 JOYCE 45.6 314.7 113 743 +1958 1 10 12 21 JOYCE 36.3 103.1 71 350 +1969 1 20 12 4 OSCAR 39.3 265.9 133 498 +1998 5 6 6 16 FLORENCE 34.6 349.7 128 75 +1952 7 2 12 20 HELENE 55.1 234.6 109 723 +1989 7 8 0 15 PATTY 67.2 322.2 15 805 +1985 3 20 6 1 NADINE 9.7 192.4 41 853 +1981 6 5 18 22 ALBERTO 28.3 81.5 65 771 +1985 11 21 12 15 CHRIS 11.6 272.9 59 501 +1954 3 23 6 13 HELENE 12.1 7.8 146 701 +2002 9 20 12 15 ISAAC 46.4 164.1 65 234 +1972 6 16 18 5 CHRIS 34.3 117.2 124 124 +1999 7 4 6 26 LESLIE 24.4 327.8 15 428 +1963 6 27 0 11 HELENE 68.8 214.7 15 713 +1964 10 1 12 17 GORDON 21.1 283.8 57 520 +1970 5 3 0 11 VALERIE 32.2 261.2 88 37 +1999 2 11 12 12 JOYCE 45.3 186.5 129 160 +1981 4 26 0 26 FLORENCE 25.9 184.5 41 186 +1964 12 17 6 27 DEBBY 59.1 138.5 77 704 +1972 11 27 0 19 HELENE 40.6 115.6 90 248 +1996 5 4 12 5 NADINE 46.7 162.7 126 316 +1963 3 25 6 17 LESLIE 68.0 119.7 42 379 +1980 10 19 12 12 TONY 31.7 176.7 93 574 +1970 2 9 18 19 JOYCE 18.8 78.8 131 626 +1955 10 7 0 13 JOYCE 23.0 23.2 80 280 +1975 4 27 0 6 DEBBY 64.8 341.3 27 877 +1979 1 21 0 9 HELENE 38.7 273.6 38 754 +1981 7 14 6 28 ERNESTO 36.2 322.3 103 767 +1996 1 6 0 8 CHRIS 54.2 171.6 160 493 +1995 4 1 0 4 CHRIS 22.2 211.2 14 464 +1990 11 15 6 2 FLORENCE 37.6 124.0 10 391 +1997 10 25 6 1 MICHAEL 50.6 136.1 77 604 +2001 7 8 0 23 FLORENCE 29.0 238.3 75 343 +2001 12 7 18 2 WILLIAM 30.9 39.8 159 215 +1989 5 3 6 12 SANDY 49.7 296.6 24 638 +1953 7 12 12 18 FLORENCE 26.6 251.7 47 690 +1971 6 28 12 1 LESLIE 11.3 56.2 138 889 +1963 3 12 12 16 PATTY 62.3 112.1 67 389 +1968 9 9 6 21 OSCAR 46.1 244.2 39 212 +2004 11 21 18 19 CHRIS 26.9 2.9 59 175 +2003 11 16 6 22 ALBERTO 55.1 233.2 24 93 +1992 12 5 12 4 GORDON 30.4 16.4 155 738 +1957 12 20 6 8 MICHAEL 38.7 58.2 133 737 +2000 2 4 6 1 TONY 55.7 241.0 69 873 +1999 3 14 6 21 OSCAR 48.4 238.5 66 335 +1964 7 9 0 27 BERYL 37.2 218.0 26 248 +1978 4 3 12 11 JOYCE 24.0 220.1 123 260 +1974 1 22 12 19 ERNESTO 10.5 146.1 101 500 +1975 5 23 6 26 RAFAEL 11.0 309.4 83 244 +1954 4 16 6 22 JOYCE 61.9 310.3 116 462 +1957 3 2 0 17 FLORENCE 37.1 177.0 133 4 +1954 8 2 18 15 OSCAR 62.7 44.0 137 597 +1959 3 13 12 10 WILLIAM 40.5 320.1 142 252 +1963 5 19 6 20 MICHAEL 56.3 114.5 113 546 +1951 12 11 0 22 TONY 67.3 266.8 99 477 +1950 4 2 0 14 JOYCE 31.7 183.9 65 749 +1972 10 15 18 1 ISAAC 44.5 199.7 120 494 +1968 4 27 12 2 MICHAEL 41.5 170.0 66 662 +1995 11 18 18 1 DEBBY 42.9 42.6 32 451 +1975 5 8 6 20 JOYCE 63.1 97.5 11 477 +1957 1 3 0 18 BERYL 66.6 26.8 80 462 +1983 4 19 12 18 DEBBY 33.7 320.5 152 292 +1991 12 26 6 11 KIRK 28.5 287.1 88 614 +1992 12 6 12 19 FLORENCE 44.9 237.1 105 184 +1991 7 11 0 28 BERYL 9.7 269.2 66 127 +1991 1 14 0 9 ISAAC 17.6 270.5 82 272 +1965 1 20 18 24 CHRIS 57.4 50.6 46 30 +1999 12 28 12 5 ALBERTO 50.7 127.6 157 501 +1993 4 7 18 28 GORDON 68.3 236.4 54 670 +1976 12 14 6 15 ERNESTO 10.2 39.3 104 591 +1992 7 16 0 21 BERYL 58.8 332.0 23 41 +1999 1 6 0 27 LESLIE 25.4 226.9 81 386 +1971 2 18 12 8 JOYCE 33.0 315.5 116 496 +1963 12 6 12 12 NADINE 40.9 226.5 106 468 +1965 5 10 12 17 PATTY 61.2 281.0 153 883 +1960 5 2 18 26 PATTY 15.6 339.4 70 514 +1994 11 25 0 24 TONY 63.2 10.6 17 222 +1987 10 23 12 20 OSCAR 56.1 334.3 135 697 +1984 5 19 12 6 BERYL 25.1 211.3 145 231 +1993 2 5 6 24 FLORENCE 28.0 281.2 134 232 +1990 7 24 6 22 WILLIAM 29.7 7.3 146 294 +1955 4 22 6 20 CHRIS 39.5 254.2 49 362 +1982 7 24 12 21 SANDY 59.4 85.3 113 883 +1972 6 12 18 11 CHRIS 11.6 341.7 62 324 +1952 9 23 18 14 MICHAEL 49.5 32.0 116 148 +1968 4 6 6 14 ISAAC 39.6 350.5 15 536 +1955 10 8 18 1 DEBBY 60.8 134.6 87 105 +1980 9 28 18 14 OSCAR 36.6 279.8 17 477 +1969 8 2 18 8 JOYCE 31.1 9.4 96 389 +1951 12 23 18 6 NADINE 62.2 76.3 66 763 +1990 9 1 0 6 ALBERTO 62.8 137.6 96 468 +1998 6 22 0 5 WILLIAM 46.2 59.7 38 486 +1981 9 16 18 17 FLORENCE 26.6 4.3 98 57 +1958 3 4 12 17 CHRIS 49.6 303.3 147 15 +1952 1 28 12 12 WILLIAM 49.1 220.8 42 386 +1962 10 8 0 24 ALBERTO 20.6 336.2 101 166 +1978 5 6 6 9 ISAAC 30.6 281.9 50 579 +1969 10 20 0 11 ALBERTO 39.5 92.9 103 896 +1970 1 17 6 14 WILLIAM 40.0 158.8 55 167 +2003 3 14 18 15 LESLIE 59.5 63.8 96 41 +1980 4 24 6 18 LESLIE 25.9 103.9 110 756 +1979 5 9 12 13 GORDON 46.9 4.4 131 99 +1987 7 8 12 21 ISAAC 22.4 51.9 44 732 +1980 9 28 0 7 VALERIE 60.2 261.0 153 64 +1952 9 18 0 7 NADINE 52.2 285.1 143 0 +1993 9 10 6 12 WILLIAM 10.1 227.5 107 883 +1997 11 25 6 24 VALERIE 40.8 330.9 56 843 +1965 7 14 18 9 NADINE 47.1 255.1 109 756 +1997 11 22 12 4 TONY 66.1 188.6 38 731 +1960 7 7 12 10 BERYL 58.8 309.9 104 376 +1957 3 4 6 12 NADINE 36.5 273.5 57 316 +1988 12 13 0 17 JOYCE 48.4 266.1 95 801 +1975 6 18 18 20 LESLIE 12.1 225.9 46 898 +1965 6 3 18 8 RAFAEL 68.1 83.4 150 352 +1990 9 19 12 8 ISAAC 54.8 187.4 32 470 +1967 11 5 6 25 CHRIS 20.7 139.5 135 256 +1974 11 25 12 19 PATTY 56.6 195.5 126 772 +1965 2 20 6 3 WILLIAM 37.2 346.6 153 836 +1967 9 16 0 15 TONY 28.6 179.1 156 13 +1999 9 3 0 13 PATTY 52.3 268.6 16 708 +1999 3 24 12 3 BERYL 37.3 144.4 135 670 +1959 4 19 6 25 WILLIAM 9.7 102.0 162 258 +1956 12 1 18 28 DEBBY 39.9 176.6 59 724 +1965 3 15 18 16 RAFAEL 43.3 339.1 132 675 +1972 3 15 12 4 VALERIE 37.3 217.4 160 176 +1970 1 19 18 12 SANDY 47.2 215.5 61 711 +1993 11 2 0 10 VALERIE 32.9 185.6 128 314 +1982 5 12 6 25 PATTY 25.2 314.6 132 83 +1985 12 2 0 10 WILLIAM 23.3 152.5 105 593 +1969 8 16 0 2 OSCAR 25.9 355.3 129 528 +1954 5 2 12 12 TONY 38.4 68.6 31 533 +1956 9 21 0 22 KIRK 30.9 286.4 29 559 +1962 9 10 0 3 HELENE 61.5 136.0 50 155 +1976 12 16 12 10 NADINE 21.5 229.3 29 698 +1953 8 25 6 13 ERNESTO 8.8 8.8 121 341 +1996 1 14 0 14 ERNESTO 11.6 57.9 146 101 +1958 7 7 0 19 OSCAR 67.1 335.5 155 535 +1990 11 28 18 21 TONY 57.7 228.2 63 178 +1998 6 10 6 5 RAFAEL 8.2 119.1 137 787 +1965 7 17 18 14 ALBERTO 15.1 263.5 23 53 +1972 1 23 12 7 KIRK 16.7 272.3 128 760 +1999 7 17 18 24 ALBERTO 8.0 338.5 61 843 +1958 10 26 12 4 WILLIAM 60.3 180.5 142 612 +1989 9 4 6 27 GORDON 60.0 154.3 75 341 +1994 10 18 0 9 NADINE 46.4 229.1 88 345 +1975 1 19 0 22 JOYCE 40.2 97.9 132 413 +1983 3 6 12 17 PATTY 46.2 92.8 152 442 +2003 11 1 12 4 MICHAEL 36.2 267.4 89 862 +1998 4 26 18 8 RAFAEL 14.8 229.0 120 147 +1968 6 14 6 11 NADINE 13.0 118.3 134 601 +1998 6 24 12 8 WILLIAM 35.1 75.8 22 200 +1981 1 16 6 20 JOYCE 69.7 264.3 119 52 +2002 3 9 18 15 SANDY 28.2 285.7 92 531 +1970 7 16 18 8 JOYCE 12.9 51.5 122 326 +1954 1 25 0 10 WILLIAM 29.1 221.6 149 416 +1957 11 28 12 27 LESLIE 33.4 39.7 141 134 +1984 11 25 12 9 KIRK 65.8 334.2 21 733 +1959 1 21 0 22 HELENE 9.6 341.5 122 641 +1970 5 6 0 5 HELENE 39.3 320.7 47 586 +1993 2 22 18 18 NADINE 60.3 220.8 97 829 +1985 10 18 6 26 GORDON 33.1 181.1 89 116 +1951 2 8 18 17 PATTY 60.1 324.4 20 244 +1965 11 21 18 8 PATTY 26.8 295.9 123 192 +1954 2 4 0 7 PATTY 45.0 84.6 110 202 +1968 9 2 18 24 HELENE 35.6 352.2 135 881 +2002 10 3 0 23 ISAAC 9.9 110.5 17 744 +1954 8 8 18 27 KIRK 24.6 30.4 10 145 +1958 2 21 6 13 SANDY 14.5 163.8 80 69 +1967 3 25 12 6 LESLIE 11.3 214.2 107 273 +1967 5 5 6 4 OSCAR 66.7 17.5 113 855 +1959 10 6 18 22 ISAAC 39.9 76.7 84 182 +1958 8 25 18 13 BERYL 55.2 248.7 46 470 +2003 4 21 6 6 HELENE 9.4 39.7 54 143 +1974 10 14 0 11 RAFAEL 31.7 244.6 104 581 +1966 2 21 0 22 SANDY 49.1 130.5 161 513 +2000 6 20 0 15 LESLIE 68.7 156.7 142 478 +1954 2 22 12 5 OSCAR 13.4 318.8 82 521 +1982 1 18 0 3 OSCAR 10.2 29.0 51 809 +1960 9 24 6 1 RAFAEL 43.0 154.1 81 820 +1973 7 7 18 3 WILLIAM 18.2 270.6 29 171 +1958 2 21 6 15 BERYL 61.7 287.7 24 265 +1998 8 7 0 16 TONY 58.0 104.9 20 702 +1981 11 22 0 4 MICHAEL 17.5 239.8 23 511 +1990 7 18 6 21 RAFAEL 27.6 86.7 48 615 +1972 3 23 18 27 GORDON 19.2 229.6 163 237 +1996 11 28 0 18 GORDON 68.4 316.4 135 310 +1982 7 28 6 20 FLORENCE 26.5 219.1 156 221 +1984 12 16 0 19 PATTY 29.4 224.8 94 365 +1972 1 4 12 10 HELENE 27.8 223.7 38 872 +1986 2 11 0 1 ERNESTO 42.4 305.2 90 841 +1982 8 16 18 9 ALBERTO 37.0 73.8 142 62 +1985 7 21 0 19 JOYCE 7.1 181.6 131 85 +1975 7 13 6 4 PATTY 33.4 88.0 58 890 +1985 8 15 18 5 WILLIAM 52.0 110.5 126 473 +1962 9 9 18 16 ALBERTO 66.0 198.7 13 126 +1966 1 14 6 15 BERYL 26.0 349.4 87 455 +1957 5 15 0 2 ERNESTO 8.6 73.4 25 862 +1984 1 28 0 18 MICHAEL 54.9 153.8 79 899 +1980 10 4 12 20 DEBBY 25.0 252.1 43 720 +1968 6 12 6 8 RAFAEL 14.1 316.2 17 851 +1978 1 17 0 4 HELENE 45.3 97.5 53 258 +1963 2 20 0 14 GORDON 21.9 319.5 153 872 +1966 8 2 6 2 ISAAC 57.0 208.8 17 850 +1950 1 1 12 5 ERNESTO 8.4 62.2 134 319 +1952 8 23 0 12 KIRK 8.5 75.7 22 454 +1992 8 3 12 24 PATTY 11.7 79.8 25 531 +1991 9 18 0 25 ERNESTO 9.0 243.1 42 846 +1993 8 20 12 1 OSCAR 57.7 133.3 68 600 +1988 6 11 6 23 FLORENCE 17.0 221.0 66 483 +1950 12 11 6 22 ALBERTO 25.2 165.0 92 792 +1955 1 12 12 8 GORDON 27.5 106.5 78 76 +1989 12 17 12 19 LESLIE 13.8 260.6 115 243 +2000 10 26 0 13 JOYCE 64.5 266.3 83 419 +1970 3 21 18 8 FLORENCE 51.9 298.1 32 96 +1980 11 2 12 19 PATTY 21.7 186.8 21 477 +1985 12 19 18 8 GORDON 46.9 10.1 43 343 +1974 9 10 6 17 PATTY 45.0 127.2 60 275 +2000 8 22 12 10 LESLIE 49.6 200.9 43 287 +1955 8 13 18 15 KIRK 39.7 184.8 75 840 +1957 4 24 18 15 RAFAEL 37.3 132.7 103 1 +1998 9 11 0 3 FLORENCE 17.9 91.6 46 587 +1952 8 1 0 4 KIRK 23.8 330.1 163 28 +1979 5 8 0 17 ALBERTO 54.6 53.0 40 47 +1998 2 14 18 22 OSCAR 43.7 19.8 112 193 +1959 6 16 18 25 TONY 36.2 172.8 124 832 +1967 4 26 6 20 CHRIS 19.9 93.9 42 76 +2003 8 2 6 23 ALBERTO 10.2 259.1 164 39 +1976 3 9 12 10 DEBBY 61.2 137.3 94 885 +1957 1 7 6 19 GORDON 41.6 232.6 17 292 +1994 11 28 18 16 RAFAEL 18.1 229.8 102 246 +1970 8 21 12 28 SANDY 53.5 55.9 90 849 +1985 4 28 18 26 ISAAC 41.2 138.8 90 640 +1984 3 14 12 2 HELENE 11.9 58.9 149 395 +1989 1 1 12 7 OSCAR 37.4 357.5 67 466 +2004 10 28 6 13 TONY 27.1 102.2 135 515 +1954 12 23 6 13 PATTY 43.5 33.7 154 230 +1966 6 14 6 1 HELENE 32.6 123.1 163 509 +2002 1 19 0 24 DEBBY 64.3 103.7 95 881 +1985 6 25 0 3 GORDON 12.6 72.4 90 84 +1950 7 26 6 18 JOYCE 24.9 113.3 52 774 +2004 1 15 0 23 RAFAEL 50.6 291.1 96 57 +1957 7 20 0 19 BERYL 38.7 23.8 31 476 +1968 3 17 0 13 CHRIS 29.9 70.0 134 886 +1958 5 26 12 10 ISAAC 32.4 316.1 93 528 +1984 2 15 0 7 ERNESTO 65.0 64.7 12 412 +1976 6 8 0 27 ISAAC 64.0 336.6 11 436 +2000 12 2 12 7 ERNESTO 15.6 298.3 126 115 +1988 12 11 0 1 OSCAR 63.3 128.7 19 748 +1995 12 14 6 16 RAFAEL 70.0 46.0 22 375 +1974 1 11 12 23 ALBERTO 39.3 56.6 34 60 +1982 3 22 12 27 ISAAC 38.9 41.4 59 212 +1957 11 28 12 18 CHRIS 52.3 19.0 67 7 +1954 10 6 0 5 BERYL 67.2 114.8 99 746 +1950 11 20 6 3 FLORENCE 44.0 127.6 141 884 +1977 4 15 0 15 ISAAC 7.7 246.3 16 221 +1950 4 6 18 18 RAFAEL 12.9 84.8 65 633 +1976 6 18 0 27 SANDY 30.7 284.4 39 44 +1976 1 23 12 6 KIRK 17.0 292.4 11 533 +1961 10 24 0 23 GORDON 43.9 65.2 123 621 +1965 10 7 18 9 OSCAR 62.6 164.9 35 77 +1971 1 14 6 2 DEBBY 35.2 188.6 144 348 +1965 12 17 18 22 HELENE 50.2 92.5 66 50 +1953 9 13 12 10 TONY 32.8 50.6 53 301 +1961 12 21 18 14 DEBBY 64.9 78.9 124 799 +1983 6 3 18 5 OSCAR 18.5 80.8 147 625 +1976 9 17 12 22 OSCAR 19.2 246.4 144 650 +1963 9 20 0 5 RAFAEL 58.7 181.2 30 569 +1974 10 16 6 23 JOYCE 65.7 215.9 130 254 +1989 6 1 0 26 PATTY 68.5 277.2 29 878 +1968 7 4 18 9 PATTY 32.7 301.8 79 160 +1981 5 9 6 12 NADINE 28.2 25.3 67 768 +2002 3 4 6 17 GORDON 37.9 125.6 54 225 +1990 2 19 0 22 BERYL 51.1 148.4 129 608 +1981 10 4 12 25 VALERIE 62.4 34.8 32 150 +1997 6 14 6 13 DEBBY 12.9 152.6 54 709 +1952 1 3 6 25 JOYCE 14.0 247.2 71 761 +2004 4 2 12 14 TONY 19.4 87.8 163 775 +1992 11 15 0 11 JOYCE 49.3 294.8 11 74 +1969 10 18 0 26 OSCAR 15.4 212.1 46 747 +1955 1 14 0 18 ERNESTO 26.9 315.7 39 77 +1954 11 21 12 26 JOYCE 65.2 219.8 34 311 +1971 2 9 12 4 GORDON 10.9 154.8 44 241 +1994 12 28 18 22 BERYL 20.8 49.1 80 540 +1978 5 14 18 11 BERYL 27.2 217.6 26 562 +1964 8 15 18 23 FLORENCE 52.4 338.3 17 875 +1985 12 6 18 10 ISAAC 68.4 270.1 101 538 +1950 10 10 6 9 TONY 27.8 43.4 152 691 +1980 7 23 12 19 PATTY 49.6 337.0 130 345 +1981 1 25 0 26 BERYL 55.0 72.9 87 192 +1958 4 4 12 2 VALERIE 67.7 121.8 35 229 +1971 11 12 12 18 FLORENCE 41.4 253.3 130 626 +2002 4 18 18 16 HELENE 46.9 356.2 162 6 +1983 10 21 12 10 BERYL 57.7 139.4 109 764 +1975 9 26 6 13 ISAAC 39.4 154.6 157 525 +1980 6 14 6 4 NADINE 46.3 219.5 37 643 +1971 6 7 18 5 NADINE 50.4 259.9 44 716 +1957 5 4 6 5 MICHAEL 22.5 49.3 143 107 +1991 3 10 12 7 RAFAEL 17.6 267.6 140 520 +1969 5 9 6 2 LESLIE 24.7 116.3 12 559 +2000 10 21 12 6 WILLIAM 58.0 204.4 126 804 +1979 5 23 6 16 TONY 49.2 281.9 27 673 +1965 9 23 12 6 VALERIE 48.6 297.9 69 190 +1997 9 17 18 20 FLORENCE 65.5 70.5 23 419 +1998 12 18 18 5 OSCAR 12.2 102.3 47 874 +1960 12 23 0 25 SANDY 46.7 230.0 75 465 +1950 12 19 12 21 JOYCE 38.3 311.7 133 464 +2003 5 21 18 16 VALERIE 67.9 313.2 117 209 +1985 6 8 18 5 VALERIE 37.7 75.0 29 247 +1995 12 5 18 27 RAFAEL 45.1 228.2 89 199 +1987 11 26 12 3 ISAAC 25.3 75.9 31 638 +1997 1 26 6 25 OSCAR 41.8 21.0 20 464 +1991 4 19 6 11 ALBERTO 11.8 269.6 140 408 +1982 7 1 0 6 DEBBY 60.2 232.6 134 896 +1991 3 5 18 28 MICHAEL 64.9 73.0 139 697 +1976 8 6 12 2 ERNESTO 38.4 294.4 117 455 +1954 7 28 12 14 GORDON 69.6 281.6 85 815 +2003 11 24 0 25 RAFAEL 53.6 100.6 21 86 +1998 3 19 18 5 RAFAEL 37.3 227.0 116 57 +2004 11 24 18 25 NADINE 36.6 13.9 50 659 +1979 8 17 18 10 ERNESTO 50.6 176.2 79 278 +1973 6 18 6 20 NADINE 65.4 10.1 143 476 +1980 1 7 18 14 NADINE 28.9 79.8 15 284 +1988 10 28 6 22 KIRK 47.4 80.9 126 729 +1978 7 13 12 13 TONY 35.7 132.2 25 756 +1972 6 25 6 1 OSCAR 49.6 4.8 135 779 +1989 9 6 18 21 VALERIE 23.5 160.2 47 416 +1994 8 25 12 21 CHRIS 60.6 94.0 108 493 +1992 9 14 6 9 OSCAR 38.7 77.5 141 566 +1967 7 5 18 21 MICHAEL 33.5 350.7 137 724 +1963 2 10 6 5 GORDON 13.5 38.1 13 416 +1990 2 19 12 24 DEBBY 43.7 326.1 137 266 +1959 11 3 12 12 DEBBY 7.2 300.6 10 162 +1989 3 10 18 19 SANDY 64.7 224.2 39 639 +1989 7 27 6 16 VALERIE 43.1 57.8 55 170 +1978 6 2 6 25 TONY 12.7 312.6 93 830 +1988 12 26 18 3 WILLIAM 61.9 322.3 51 551 +1993 6 25 6 12 ISAAC 64.0 182.8 157 225 +1981 3 22 18 11 TONY 48.7 272.6 59 282 +1950 8 19 6 21 MICHAEL 18.9 235.7 81 636 +1974 8 15 6 22 LESLIE 11.7 41.9 61 529 +1979 1 8 18 15 FLORENCE 7.1 242.8 60 566 +2003 9 9 6 26 RAFAEL 55.9 85.9 91 824 +1991 1 5 18 28 GORDON 20.7 13.3 74 893 +1961 5 5 6 25 JOYCE 26.8 245.8 53 720 +1974 7 11 0 10 SANDY 40.1 349.2 56 564 +1991 5 24 0 5 RAFAEL 16.9 68.6 119 310 +1965 9 15 0 26 WILLIAM 55.8 115.1 51 46 +1969 3 20 0 8 OSCAR 17.6 193.8 164 559 +1990 2 7 0 3 ISAAC 31.7 309.8 141 696 +1993 6 7 0 5 WILLIAM 53.1 7.2 77 588 +1973 6 1 0 26 HELENE 39.8 212.0 143 591 +1980 4 11 0 12 TONY 55.3 125.1 31 467 +1997 3 24 0 12 OSCAR 12.5 48.2 57 165 +1996 12 10 0 18 RAFAEL 55.1 303.6 164 8 +1979 1 16 0 4 ISAAC 41.1 219.3 89 408 +1990 7 25 6 3 HELENE 8.4 122.5 42 193 +1959 7 13 18 13 WILLIAM 47.9 225.9 154 106 +2000 1 20 18 28 ERNESTO 9.7 279.3 134 65 +1985 10 21 6 18 ERNESTO 37.2 259.9 154 566 +2004 12 24 0 8 JOYCE 31.2 274.2 122 803 +1951 2 17 12 2 MICHAEL 20.9 211.7 81 56 +1967 11 9 0 9 TONY 36.4 93.8 38 850 +1952 2 21 6 12 ERNESTO 26.0 298.2 108 783 +1978 8 15 12 8 OSCAR 33.0 59.4 82 644 +1951 12 3 12 13 RAFAEL 55.0 241.5 29 692 +1966 5 15 0 22 ERNESTO 46.0 240.0 135 621 +1958 1 19 12 16 ISAAC 55.2 173.7 135 840 +1980 11 18 12 28 RAFAEL 8.2 2.5 19 89 +1973 1 6 12 19 HELENE 56.7 200.2 109 247 +1984 11 27 6 7 JOYCE 7.2 145.5 44 192 +1952 2 2 18 11 ALBERTO 58.9 198.5 58 600 +1968 12 18 12 10 ERNESTO 46.6 119.2 116 545 +1956 9 6 18 28 NADINE 21.7 112.5 155 102 +1994 3 2 6 26 OSCAR 64.1 323.4 146 722 +1965 3 25 18 7 SANDY 56.3 346.3 142 615 +1979 8 6 0 17 CHRIS 58.2 246.0 66 430 +1991 10 2 12 25 OSCAR 22.5 249.2 154 875 +1973 8 24 6 10 JOYCE 55.2 161.2 131 555 +1976 6 26 18 6 ISAAC 7.7 182.3 25 674 +1988 2 23 12 27 NADINE 54.8 118.5 43 129 +1964 7 24 18 13 LESLIE 52.7 107.0 64 207 +1976 3 2 18 2 HELENE 28.4 173.0 28 542 +1979 4 19 0 1 PATTY 37.3 223.9 66 721 +1958 11 4 0 3 JOYCE 7.5 203.1 26 740 +1997 8 16 18 23 WILLIAM 59.9 82.9 78 384 +1959 6 21 18 19 NADINE 53.9 311.5 66 469 +1971 8 10 0 4 RAFAEL 33.9 11.6 147 421 +2004 4 1 6 3 ALBERTO 51.4 46.8 141 498 +1969 10 27 6 19 ERNESTO 69.7 299.9 113 452 +1989 12 12 12 28 ERNESTO 24.0 305.3 72 434 +1992 3 12 12 13 ALBERTO 29.0 1.6 71 403 +2003 2 19 6 10 LESLIE 37.8 288.3 109 824 +1999 2 21 6 18 KIRK 36.5 156.5 162 772 +1967 3 7 12 6 WILLIAM 66.3 151.5 21 796 +1955 2 21 6 27 OSCAR 62.1 201.0 19 328 +1996 8 25 0 10 RAFAEL 31.6 302.3 143 461 +1994 12 28 18 11 HELENE 29.2 87.6 72 657 +1998 10 25 6 27 JOYCE 62.9 252.3 131 582 +1983 7 14 0 2 KIRK 11.5 215.9 134 732 +2004 6 20 6 17 BERYL 24.5 247.0 90 826 +2002 9 17 0 27 LESLIE 51.4 15.1 60 786 +1991 12 5 18 12 HELENE 10.9 347.2 29 767 +1995 7 6 6 2 DEBBY 36.6 299.2 116 83 +1993 9 17 0 4 WILLIAM 60.8 141.5 134 772 +1968 1 23 0 16 BERYL 59.5 346.8 10 765 +1960 3 15 12 15 NADINE 67.2 19.6 10 335 +1964 5 17 6 12 CHRIS 20.9 54.7 123 157 +1962 12 26 12 13 HELENE 15.5 275.4 159 176 +2004 7 22 0 21 RAFAEL 19.7 259.4 56 170 +1967 11 27 18 7 TONY 66.6 27.9 125 762 +2000 10 9 12 15 WILLIAM 44.9 272.6 97 210 +1959 7 3 12 10 VALERIE 33.4 272.6 87 612 +1973 6 18 6 16 FLORENCE 22.8 79.8 45 863 +1969 6 3 12 18 NADINE 61.4 257.7 40 21 +1967 7 20 6 1 HELENE 55.7 112.8 90 485 +1976 10 14 18 25 WILLIAM 35.3 150.0 64 454 +1971 9 7 12 12 VALERIE 53.5 30.1 107 590 +1996 6 15 12 8 DEBBY 68.4 142.8 64 585 +1983 8 6 12 9 BERYL 18.1 233.0 61 724 +1972 12 19 0 19 SANDY 59.9 343.8 154 58 +1992 4 5 0 9 SANDY 50.4 355.0 41 607 +1988 12 15 18 18 WILLIAM 18.5 350.7 161 144 +1971 2 6 18 22 FLORENCE 12.2 326.1 97 4 +1994 10 2 6 9 NADINE 11.9 159.6 118 104 +1990 7 12 18 5 ALBERTO 44.5 157.2 139 592 +1975 1 21 12 23 ALBERTO 45.3 158.6 57 210 +1987 3 22 12 6 FLORENCE 53.9 120.4 49 726 +1986 7 3 12 12 GORDON 59.4 307.7 124 555 +1969 10 28 12 1 NADINE 65.6 181.8 57 805 +1963 8 21 0 17 DEBBY 23.0 208.8 56 19 +1975 12 17 12 26 CHRIS 62.8 270.9 69 732 +1974 12 12 12 2 SANDY 49.7 157.4 153 679 +1968 2 11 12 14 ISAAC 38.2 46.9 77 280 +1998 4 14 12 17 TONY 20.3 341.2 162 561 +1986 5 17 12 4 HELENE 65.5 21.3 142 507 +1981 5 22 12 19 VALERIE 19.7 61.5 82 874 +1972 1 14 12 7 SANDY 38.9 356.8 120 689 +1963 1 26 6 1 BERYL 8.5 76.0 78 530 +1958 8 26 12 3 TONY 11.0 21.6 140 57 +1972 8 22 6 16 GORDON 26.1 141.0 85 292 +1998 6 4 18 4 TONY 8.3 90.7 125 459 +1968 3 17 6 16 DEBBY 17.5 272.3 30 131 +1998 2 24 18 13 LESLIE 14.1 10.0 112 685 +1954 6 14 0 17 ALBERTO 58.9 39.6 28 772 +1952 6 3 0 1 RAFAEL 35.4 98.1 110 250 +1975 12 23 0 3 MICHAEL 48.4 313.6 135 19 +1975 3 26 12 7 MICHAEL 8.9 123.0 65 821 +1985 12 14 18 15 WILLIAM 61.4 200.2 126 190 +1961 12 17 6 6 HELENE 39.1 344.6 145 66 +1998 9 26 12 13 LESLIE 64.8 327.0 84 465 +1955 8 3 12 17 WILLIAM 67.0 110.3 129 740 +1979 5 16 18 11 SANDY 35.2 256.6 74 229 +1983 11 28 12 8 ALBERTO 15.5 234.7 125 476 +1964 10 15 18 2 GORDON 24.3 313.2 103 897 +1974 7 1 18 14 WILLIAM 26.0 18.9 53 808 +1956 6 27 18 7 TONY 38.0 73.2 97 41 +1962 5 18 18 15 HELENE 9.2 255.8 102 40 +1993 9 17 0 16 ERNESTO 14.7 229.7 83 781 +1964 2 5 0 8 WILLIAM 50.3 184.6 105 476 +1998 1 6 18 10 PATTY 45.1 57.7 136 880 +1970 1 16 12 11 BERYL 34.4 28.4 82 574 +1986 5 15 18 15 JOYCE 63.7 3.5 41 174 +1977 3 28 0 3 ERNESTO 29.3 42.8 37 446 +1956 9 18 18 25 HELENE 59.9 74.6 164 835 +1969 10 6 12 27 DEBBY 32.7 143.1 106 4 +1963 12 14 18 28 ALBERTO 38.6 19.1 86 557 +1954 10 20 0 25 ALBERTO 60.0 333.3 141 307 +1953 2 22 12 10 FLORENCE 16.6 64.8 112 61 +1987 7 14 12 22 RAFAEL 49.9 165.8 90 78 +1974 6 12 0 19 ISAAC 55.8 304.8 134 605 +1959 4 23 6 7 KIRK 57.8 308.0 66 577 +1984 11 4 6 14 GORDON 37.8 56.5 59 725 +1972 8 1 18 1 KIRK 21.4 22.4 120 646 +1976 4 15 0 4 SANDY 69.3 156.3 18 363 +1962 5 4 0 24 LESLIE 48.2 200.9 91 10 +1970 2 11 12 28 TONY 30.7 98.8 152 617 +1950 11 17 6 8 WILLIAM 47.0 309.1 49 796 +1992 12 26 0 18 RAFAEL 35.9 252.0 41 54 +1968 12 19 12 7 GORDON 26.3 156.0 78 297 +1970 7 15 12 18 BERYL 28.3 66.1 104 37 +1979 11 1 6 16 WILLIAM 42.8 185.5 151 159 +1992 3 17 12 27 BERYL 47.0 102.2 31 17 +1976 2 9 12 6 RAFAEL 24.3 218.0 156 26 +1959 4 14 0 22 ISAAC 39.2 167.7 122 204 +1997 1 5 18 3 MICHAEL 42.3 183.7 61 88 +1975 12 24 18 12 WILLIAM 30.8 301.4 57 572 +1951 2 20 0 26 OSCAR 30.4 144.1 80 523 +1986 8 13 12 5 DEBBY 9.2 262.8 100 701 +1994 7 12 0 10 ALBERTO 61.3 145.3 117 446 +1980 8 11 0 16 NADINE 38.1 153.5 107 631 +2001 7 1 6 9 VALERIE 31.3 197.9 46 184 +1954 8 4 0 4 NADINE 55.9 104.3 91 546 +1999 8 25 0 20 GORDON 10.3 306.8 106 727 +1965 11 10 0 5 GORDON 7.3 43.7 85 466 +1985 2 19 6 7 BERYL 15.7 299.4 21 348 +1971 4 23 12 21 FLORENCE 38.0 0.2 148 25 +1977 11 21 0 7 SANDY 31.0 320.5 107 466 +1980 3 22 0 27 ERNESTO 55.9 26.7 97 220 +1974 1 3 12 23 TONY 23.3 8.7 88 277 +1961 4 19 12 21 KIRK 41.6 76.8 92 298 +1993 6 12 0 17 ERNESTO 38.3 91.1 123 630 +1952 4 19 6 27 LESLIE 62.6 356.2 25 514 +1958 8 15 12 19 FLORENCE 63.5 251.3 32 679 +1985 11 26 12 21 KIRK 18.5 338.7 148 554 +1950 11 27 12 27 WILLIAM 27.2 326.7 106 806 +1989 11 5 0 7 MICHAEL 7.6 171.5 146 784 +1956 3 28 18 5 TONY 9.3 345.1 51 507 +1994 9 21 6 24 HELENE 49.7 65.2 135 19 +2004 5 24 6 26 HELENE 40.0 220.0 148 185 +1991 1 27 0 25 LESLIE 57.2 62.2 100 273 +1998 3 7 18 27 ISAAC 50.6 98.7 69 879 +1962 11 4 0 15 ERNESTO 58.4 133.8 164 649 +1990 5 23 12 13 HELENE 43.7 77.8 21 526 +1977 4 3 18 5 LESLIE 17.7 75.5 151 207 +1951 6 1 0 25 HELENE 67.7 87.4 23 613 +1987 4 24 6 6 GORDON 43.2 157.4 42 564 +1982 12 1 6 13 VALERIE 64.3 185.6 36 261 +1967 11 18 12 11 RAFAEL 39.7 189.2 152 220 +1967 1 27 18 18 CHRIS 63.1 249.3 152 354 +1953 7 22 0 11 MICHAEL 59.8 353.6 66 502 +1999 10 27 18 18 LESLIE 64.6 343.1 47 264 +1962 1 26 6 16 FLORENCE 47.3 351.8 157 451 +1959 2 27 12 21 WILLIAM 20.3 205.8 94 490 +1960 7 24 0 28 JOYCE 32.2 163.1 136 163 +1985 9 23 6 6 SANDY 49.7 8.3 60 66 +1978 11 23 12 9 ISAAC 23.0 5.0 53 862 +1965 6 10 12 15 SANDY 49.6 99.1 155 320 +1974 8 17 18 10 ALBERTO 19.5 14.1 65 796 +1994 6 18 18 10 OSCAR 24.7 157.4 104 777 +1969 9 4 0 12 LESLIE 39.8 193.9 124 742 +1961 3 26 0 7 SANDY 29.3 11.9 120 40 +1963 7 17 0 22 OSCAR 27.0 251.1 122 682 +1963 11 28 6 4 ISAAC 64.6 99.9 41 283 +1984 8 10 12 22 SANDY 58.2 271.3 85 894 +1982 12 5 6 1 VALERIE 16.8 131.9 92 344 +1985 1 20 12 6 ISAAC 38.4 308.4 35 807 +1966 9 18 18 28 DEBBY 25.8 213.3 39 819 +1963 6 4 12 5 KIRK 29.5 169.5 109 766 +1999 6 2 12 5 CHRIS 19.8 89.3 161 698 +1960 9 24 12 11 JOYCE 19.4 342.9 69 762 +1976 5 21 12 19 VALERIE 40.3 245.4 129 230 +1988 4 13 0 7 FLORENCE 65.5 80.2 160 789 +1967 10 13 0 23 PATTY 52.7 22.3 77 256 +1981 11 11 0 1 SANDY 65.9 250.1 25 17 +1960 4 7 0 17 RAFAEL 41.8 81.4 77 605 +1978 3 21 6 10 BERYL 25.7 181.7 148 873 +1989 12 16 12 19 ISAAC 31.4 244.5 74 528 +1997 9 8 12 23 WILLIAM 28.8 246.3 109 581 +1972 1 14 18 21 ISAAC 61.1 204.4 138 230 +1992 10 27 0 15 TONY 64.1 286.3 92 456 +1980 5 10 12 13 ALBERTO 26.1 187.2 107 9 +1994 12 22 12 12 ERNESTO 39.7 248.5 159 91 +1965 10 26 12 26 MICHAEL 49.0 343.8 32 789 +1973 9 21 6 5 WILLIAM 66.1 34.0 157 680 +1991 5 28 0 3 MICHAEL 34.3 246.9 91 337 +1960 7 1 18 23 ERNESTO 46.7 145.9 99 565 +1992 6 8 18 6 NADINE 8.1 322.0 127 293 +1965 5 24 18 9 KIRK 20.9 212.9 58 804 +1980 2 3 0 22 JOYCE 27.0 293.9 79 680 +2001 4 22 6 15 ISAAC 63.6 276.7 75 866 +1950 5 9 0 2 OSCAR 69.9 104.0 16 283 +1984 10 12 0 6 FLORENCE 59.5 185.7 45 595 +1986 5 17 18 23 KIRK 47.2 41.7 57 348 +1995 3 17 18 14 ISAAC 42.9 206.1 10 358 +1963 8 19 6 14 PATTY 36.5 29.9 138 871 +2000 11 1 0 12 WILLIAM 58.0 7.7 48 140 +1959 12 4 0 26 PATTY 10.4 298.9 86 421 +1963 8 20 12 25 PATTY 32.9 141.5 93 121 +1982 2 23 12 23 RAFAEL 13.9 105.9 131 760 +1997 10 22 18 27 GORDON 62.0 113.8 107 283 +1991 5 1 6 23 KIRK 43.2 237.4 146 85 +1952 6 16 18 28 DEBBY 9.4 196.3 93 404 +1995 3 17 12 11 GORDON 17.4 342.0 23 304 +1976 10 14 18 14 RAFAEL 62.5 105.0 99 552 +1969 8 27 18 8 WILLIAM 35.2 176.7 113 437 +1986 8 8 18 19 OSCAR 45.3 65.5 161 740 +1975 10 3 12 17 VALERIE 14.6 348.3 105 41 +1960 4 17 18 3 JOYCE 22.3 213.1 147 295 +1962 8 26 0 16 HELENE 7.2 81.1 76 183 +1957 9 5 18 18 KIRK 22.2 64.2 48 625 +1973 11 2 6 3 HELENE 13.7 205.1 81 371 +1978 2 28 0 25 OSCAR 54.1 127.5 140 475 +1952 7 18 6 8 JOYCE 8.3 348.1 95 768 +1971 9 8 18 27 MICHAEL 27.9 354.8 43 132 +2002 7 3 0 23 OSCAR 49.5 345.9 76 124 +1990 6 16 12 25 MICHAEL 18.5 352.7 150 354 +1952 7 26 6 5 GORDON 38.4 1.9 40 53 +1983 10 15 0 7 KIRK 64.4 267.8 89 790 +1966 3 23 18 4 RAFAEL 54.2 335.4 162 581 +1976 9 16 18 17 NADINE 55.6 61.7 35 229 +1992 4 12 0 24 BERYL 60.9 259.4 75 69 +1956 9 26 0 1 FLORENCE 59.2 91.6 57 391 +1977 12 15 12 18 ALBERTO 46.0 303.9 148 420 +1952 10 8 0 3 ALBERTO 42.9 162.0 159 442 +1953 7 3 6 3 RAFAEL 27.3 34.5 99 793 +1977 3 10 12 2 BERYL 59.8 178.7 14 536 +1970 2 10 12 4 ISAAC 16.5 106.1 93 398 +1984 1 28 6 12 MICHAEL 10.9 99.4 162 802 +1957 6 15 12 24 BERYL 55.3 163.8 111 211 +1993 3 9 6 7 OSCAR 27.3 109.9 32 799 +1969 12 4 18 28 HELENE 25.3 299.6 20 170 +2000 2 10 12 5 VALERIE 11.8 332.0 53 755 +1976 8 7 0 4 KIRK 59.9 100.1 128 777 +1976 2 14 0 18 VALERIE 34.6 175.3 130 473 +1952 12 4 12 21 LESLIE 30.0 204.3 64 445 +1987 6 3 0 25 KIRK 41.0 66.4 153 317 +1999 12 26 0 27 KIRK 33.2 348.5 121 43 +1955 1 25 12 8 ALBERTO 33.2 66.1 144 316 +1980 4 3 6 23 HELENE 56.2 211.6 155 401 +1994 7 14 12 12 HELENE 37.3 250.7 40 403 +1969 7 18 0 21 MICHAEL 57.5 197.2 129 543 +1986 10 1 6 6 BERYL 38.4 44.7 75 375 +1989 12 16 0 14 TONY 20.2 245.9 14 239 +1988 2 14 0 25 HELENE 7.5 264.2 58 581 +1993 6 7 12 22 TONY 45.7 48.9 73 109 +1952 2 11 0 18 MICHAEL 30.5 156.1 45 864 +1968 6 27 6 10 PATTY 40.4 185.8 94 808 +1979 8 23 6 4 GORDON 42.9 122.2 60 10 +1996 10 3 18 7 NADINE 69.8 174.3 22 198 +1993 7 26 18 8 ALBERTO 60.2 304.9 61 893 +1994 4 23 6 16 ALBERTO 7.7 211.6 98 512 +1973 2 24 12 1 ISAAC 64.3 211.9 78 296 +1977 3 25 12 25 BERYL 29.1 74.3 21 171 +2004 11 2 0 5 FLORENCE 13.3 48.7 25 508 +1958 4 17 18 14 CHRIS 21.0 294.8 81 826 +1998 1 8 12 19 OSCAR 37.7 135.4 84 539 +1964 6 2 18 5 HELENE 26.7 276.5 34 329 +1963 10 15 6 15 PATTY 9.7 266.4 43 713 +1996 1 7 18 13 FLORENCE 65.4 306.6 128 604 +1961 6 15 0 6 VALERIE 28.0 141.9 84 603 +1961 10 20 6 1 ERNESTO 58.0 4.2 27 870 +1971 7 21 18 11 JOYCE 28.4 27.6 129 748 +1975 6 25 6 14 HELENE 53.9 236.7 122 0 +1998 3 17 18 7 TONY 20.6 22.6 28 368 +1958 8 12 12 17 PATTY 54.0 288.4 46 653 +1972 12 17 6 19 MICHAEL 68.1 139.4 76 630 +1987 4 16 18 26 WILLIAM 69.8 35.8 83 392 +2000 10 2 12 1 HELENE 18.8 178.5 32 277 +1974 10 17 0 15 CHRIS 10.1 206.9 73 115 +1995 11 25 6 2 KIRK 45.9 186.6 86 337 +1966 5 4 0 8 GORDON 56.7 254.0 158 582 +1998 3 2 18 20 PATTY 24.4 112.8 58 735 +1962 12 23 12 10 HELENE 32.9 193.3 45 138 +2004 8 19 0 18 LESLIE 14.4 198.9 132 636 +1977 8 10 6 22 WILLIAM 58.6 194.6 97 587 +1955 9 18 0 15 BERYL 14.1 205.7 143 576 +1960 1 11 0 5 CHRIS 44.1 279.7 24 303 +1972 10 23 12 9 TONY 28.9 173.1 23 137 +1971 2 12 12 23 HELENE 30.1 134.4 82 90 +1962 12 3 6 2 PATTY 35.2 194.9 91 336 +1991 10 15 0 1 TONY 47.0 169.5 102 835 +1963 6 27 6 15 DEBBY 35.5 338.1 162 665 +1979 3 28 6 13 WILLIAM 55.7 112.3 57 773 +1980 11 21 0 16 SANDY 53.2 107.2 159 825 +1983 7 12 18 16 KIRK 14.2 42.5 32 508 +1960 3 23 18 11 VALERIE 54.4 150.5 99 241 +1967 11 19 18 1 MICHAEL 44.3 91.7 36 890 +1975 8 23 6 28 OSCAR 57.7 195.0 72 380 +1957 11 10 6 12 KIRK 22.1 222.0 76 137 +1952 11 1 0 3 ISAAC 55.5 86.1 74 174 +1965 2 21 18 18 RAFAEL 19.3 103.9 156 96 +1979 8 16 6 20 VALERIE 41.7 8.3 20 174 +1979 1 26 6 11 VALERIE 53.3 246.2 14 219 +1983 2 16 18 14 CHRIS 13.6 351.7 27 227 +1953 7 9 0 22 TONY 54.3 318.5 152 504 +1976 5 4 18 20 TONY 28.9 342.4 50 403 +1961 9 22 12 16 NADINE 15.8 242.6 30 651 +1996 3 24 0 27 TONY 33.2 28.9 157 288 +1985 9 2 12 27 JOYCE 44.3 192.6 25 817 +2002 4 21 6 21 DEBBY 58.8 141.2 27 881 +1980 9 20 6 24 ISAAC 8.3 23.8 42 711 +1953 1 20 12 20 WILLIAM 19.4 269.2 132 190 +1979 5 18 18 21 VALERIE 54.7 344.5 108 168 +1976 3 9 12 10 WILLIAM 29.5 131.5 115 538 +1971 4 22 0 16 CHRIS 30.0 210.6 156 704 +1985 9 6 0 21 VALERIE 35.4 335.9 38 242 +1981 5 24 6 9 RAFAEL 34.0 345.6 56 618 +1998 10 23 12 5 TONY 24.8 204.3 90 334 +1982 8 8 18 28 PATTY 50.5 154.6 65 782 +2003 4 6 18 13 WILLIAM 37.5 355.2 58 681 +1967 7 26 0 19 ERNESTO 33.1 232.6 14 759 +1997 9 18 6 17 ERNESTO 12.4 168.7 76 25 +1957 2 8 18 22 WILLIAM 55.3 35.6 63 167 +1955 10 20 12 23 DEBBY 49.1 248.4 148 127 +1962 2 24 0 7 OSCAR 37.7 292.9 121 89 +1959 4 1 6 6 OSCAR 44.3 240.6 129 618 +1982 11 20 6 10 RAFAEL 43.1 226.2 21 441 +1960 4 2 12 25 SANDY 61.7 301.9 147 596 +1975 2 24 12 2 CHRIS 59.1 159.4 96 368 +1997 5 27 18 17 MICHAEL 44.1 275.2 81 887 +1961 9 12 0 21 SANDY 68.4 188.0 159 373 +1961 10 2 0 18 ALBERTO 14.6 274.1 116 318 +2001 1 5 12 6 ISAAC 8.5 304.3 162 70 +1965 9 25 0 9 VALERIE 32.4 197.7 142 192 +1987 11 6 12 9 BERYL 7.5 164.3 57 256 +1966 11 15 0 24 FLORENCE 11.2 353.2 75 738 +1964 2 20 18 9 DEBBY 63.2 175.9 72 491 +1967 1 7 6 2 VALERIE 29.1 58.9 67 285 +1985 3 21 18 2 MICHAEL 24.3 267.8 137 265 +1992 6 23 0 7 TONY 47.6 4.2 105 323 +1951 1 19 0 25 ERNESTO 44.1 27.5 163 378 +1978 2 25 6 27 DEBBY 10.0 221.5 108 429 +1975 9 3 6 7 PATTY 57.8 60.4 70 164 +1981 6 27 12 15 RAFAEL 36.0 118.5 124 214 +1990 4 10 12 26 KIRK 13.3 25.2 84 308 +1952 3 13 12 26 HELENE 63.6 286.9 163 261 +1988 7 13 18 1 VALERIE 31.5 152.7 148 681 +1997 10 6 12 8 CHRIS 27.3 324.6 13 591 +1993 11 15 12 8 GORDON 28.0 100.7 77 638 +1986 5 11 18 12 GORDON 20.8 122.0 124 584 +1992 12 22 18 27 KIRK 36.5 55.6 150 835 +1998 7 11 0 6 ERNESTO 22.3 114.2 75 147 +2003 6 24 12 24 FLORENCE 43.0 334.2 73 558 +2004 8 24 0 25 FLORENCE 34.5 275.3 116 299 +1986 5 5 12 2 DEBBY 56.3 27.5 67 400 +1961 7 2 6 23 ERNESTO 32.6 12.7 126 64 +1955 12 3 18 21 LESLIE 49.4 14.0 51 94 +1989 4 8 0 13 TONY 63.7 3.0 115 183 +1960 11 23 18 2 JOYCE 63.3 288.2 81 137 +1997 10 20 18 25 SANDY 30.9 145.8 68 563 +1956 5 26 6 11 SANDY 10.0 54.6 160 548 +1988 1 16 0 19 BERYL 27.2 300.5 158 208 +1980 10 20 0 7 CHRIS 20.1 211.6 134 613 +1965 8 16 18 10 FLORENCE 26.8 57.9 22 209 +2002 11 19 6 27 HELENE 25.7 319.2 81 182 +1997 9 25 12 14 ISAAC 32.5 165.5 91 337 +1963 2 27 6 21 HELENE 13.0 39.1 148 835 +1956 9 12 12 2 KIRK 14.9 213.3 37 892 +1966 10 7 0 21 BERYL 56.7 196.4 32 144 +1967 11 23 18 20 NADINE 67.6 119.7 151 518 +1961 10 15 0 11 SANDY 58.2 310.6 131 742 +1985 2 17 12 14 JOYCE 33.0 28.6 21 425 +1956 3 21 18 5 CHRIS 25.7 4.6 132 673 +1975 2 5 12 24 NADINE 69.7 160.4 18 895 +1988 2 23 12 26 LESLIE 49.6 109.6 32 407 +1986 8 25 18 24 CHRIS 64.8 176.0 38 61 +1960 4 7 18 12 HELENE 49.0 285.7 22 491 +1950 6 19 6 1 RAFAEL 42.5 51.9 99 299 +1966 1 8 6 13 FLORENCE 25.3 240.4 64 600 +1995 11 4 18 12 WILLIAM 64.1 282.8 104 374 +1983 12 20 18 10 NADINE 58.0 15.3 100 624 +1965 9 14 18 22 WILLIAM 64.0 90.9 64 879 +1982 5 21 12 25 VALERIE 49.0 2.7 20 243 +1989 11 8 18 24 FLORENCE 37.9 15.8 35 615 +1970 6 23 18 25 JOYCE 12.1 38.9 150 660 +1956 8 21 0 12 ALBERTO 22.1 13.4 144 445 +1956 1 8 12 18 BERYL 52.5 259.2 135 3 +1974 6 13 18 17 ISAAC 29.2 341.2 87 484 +1974 3 12 0 23 FLORENCE 42.3 321.1 161 394 +1983 2 26 6 9 FLORENCE 64.4 251.3 11 561 +1972 6 24 0 25 ALBERTO 25.9 18.1 123 134 +1956 9 21 6 1 DEBBY 65.3 88.3 78 299 +1998 9 3 12 13 ALBERTO 67.1 114.9 43 247 +1998 6 11 18 18 MICHAEL 22.6 117.3 47 53 +1978 11 5 6 4 PATTY 20.4 3.8 156 540 +1971 10 12 12 28 GORDON 13.9 278.5 134 341 +1951 5 20 6 23 BERYL 53.8 216.3 128 772 +1965 8 25 12 27 NADINE 36.8 336.0 161 720 +1993 7 16 6 14 PATTY 56.7 33.9 65 39 +1989 7 13 0 2 ERNESTO 30.1 194.8 139 836 +1974 9 23 0 18 MICHAEL 22.2 0.1 70 222 +1984 12 17 12 18 FLORENCE 57.3 144.9 12 719 +2002 3 24 18 28 ISAAC 37.6 329.9 160 123 +1960 9 10 0 28 JOYCE 67.4 340.1 118 1 +1959 6 11 0 16 VALERIE 35.6 172.2 158 149 +1964 1 21 12 10 ISAAC 56.2 91.9 124 119 +1993 5 24 0 8 VALERIE 21.3 207.8 149 615 +1981 5 21 12 8 GORDON 64.4 164.6 53 450 +1972 8 4 12 6 BERYL 20.6 180.4 60 525 +1972 5 23 18 5 JOYCE 19.0 113.1 54 167 +1982 12 4 18 3 ISAAC 35.0 148.9 113 641 +1984 10 12 0 27 HELENE 61.7 205.1 27 129 +1972 8 15 6 2 ALBERTO 68.8 351.5 61 451 +1961 8 22 0 1 ERNESTO 25.1 48.7 33 717 +1950 8 28 18 19 ALBERTO 68.8 179.3 101 124 +1991 7 4 12 14 RAFAEL 47.7 121.5 141 541 +1992 9 28 12 22 KIRK 66.2 8.7 80 170 +1962 4 23 6 19 BERYL 33.7 280.0 18 471 +1996 11 2 0 10 NADINE 59.2 230.7 15 898 +1966 10 6 18 12 MICHAEL 48.8 287.5 126 329 +1972 12 5 12 25 TONY 38.4 257.3 52 748 +1992 5 6 18 22 GORDON 60.4 159.3 112 144 +2002 9 3 12 13 HELENE 31.2 328.2 129 708 +1977 12 27 18 15 WILLIAM 42.4 41.8 162 482 +1988 3 2 6 10 DEBBY 18.3 309.0 25 420 +1956 6 5 0 1 MICHAEL 21.2 355.7 133 228 +1979 1 2 6 2 ALBERTO 52.4 37.7 122 802 +2002 10 24 0 22 GORDON 57.9 73.3 43 75 +1993 3 9 0 14 WILLIAM 61.0 34.4 151 493 +1974 6 7 6 11 ALBERTO 30.0 302.9 66 95 +1967 12 26 18 21 LESLIE 19.3 189.6 104 851 +2000 3 5 12 1 OSCAR 45.5 350.4 59 706 +1995 5 2 12 16 ERNESTO 39.2 281.2 128 835 +1952 1 7 18 28 PATTY 52.8 347.5 66 683 +1983 8 20 12 22 ISAAC 31.1 5.0 89 131 +1993 5 19 6 20 FLORENCE 25.9 245.5 134 400 +1966 6 22 12 1 BERYL 31.3 77.1 100 26 +1960 5 12 0 26 FLORENCE 67.9 59.9 27 194 +1971 9 23 0 20 OSCAR 13.8 281.3 70 115 +1991 6 18 0 10 PATTY 42.9 0.8 90 78 +1993 2 2 18 5 HELENE 40.4 85.8 107 144 +1997 12 11 6 5 DEBBY 30.6 85.6 142 736 +1963 3 10 18 13 SANDY 39.0 236.1 96 148 +1987 2 8 0 10 GORDON 38.8 227.0 77 102 +1991 11 14 6 21 WILLIAM 29.8 319.1 149 323 +1995 7 16 18 13 RAFAEL 59.3 79.6 47 214 +1977 2 7 18 1 ALBERTO 28.7 131.7 122 817 +1973 7 28 12 10 ALBERTO 48.6 113.3 149 520 +1967 11 4 6 2 VALERIE 16.0 60.8 145 473 +1998 12 28 12 22 ERNESTO 32.0 103.9 131 467 +1958 10 24 18 27 DEBBY 56.5 96.0 63 506 +1994 1 18 12 27 GORDON 25.4 324.4 42 343 +1954 9 5 0 23 LESLIE 38.9 153.1 104 607 +1996 5 18 0 11 MICHAEL 34.9 303.5 164 711 +1964 7 15 0 6 FLORENCE 31.7 239.7 60 150 +2002 8 21 6 18 NADINE 49.9 209.4 130 623 +1979 1 17 6 12 NADINE 29.2 227.3 93 639 +1959 7 8 12 24 ERNESTO 7.9 78.0 14 119 +1953 10 13 12 12 ERNESTO 32.7 187.7 12 293 +2000 5 12 12 4 TONY 37.5 289.0 127 91 +1962 9 11 6 13 VALERIE 60.6 37.4 57 44 +1956 1 24 18 23 GORDON 64.7 196.7 51 731 +2004 2 10 0 10 BERYL 21.2 149.1 114 481 +1974 10 7 12 22 OSCAR 62.2 74.7 109 24 +1993 7 15 12 26 NADINE 35.7 70.3 106 646 +1979 6 19 12 24 ISAAC 15.1 255.6 72 691 +1954 7 27 6 26 ALBERTO 48.2 98.0 33 247 +1974 3 25 18 22 FLORENCE 66.1 18.5 161 775 +1973 12 1 6 10 GORDON 20.7 118.1 155 631 +1999 5 19 0 21 KIRK 39.1 42.0 56 767 +1994 5 10 12 1 TONY 55.4 219.4 140 90 +1977 3 16 6 21 PATTY 69.3 229.0 154 294 +1973 8 6 0 4 CHRIS 27.8 125.2 156 255 +1987 3 28 0 9 ERNESTO 69.3 151.0 15 307 +1988 5 27 12 24 MICHAEL 26.0 185.5 82 280 +1962 11 14 0 17 CHRIS 17.8 109.8 20 628 +1981 6 12 18 20 VALERIE 67.4 277.2 129 171 +1987 6 6 0 3 ISAAC 18.8 266.3 60 570 +1988 11 18 12 26 MICHAEL 40.5 131.7 156 321 +1987 8 21 0 17 SANDY 55.2 111.6 56 794 +1998 8 10 6 13 ALBERTO 20.1 304.8 136 892 +1988 9 14 12 23 ISAAC 10.0 145.7 100 821 +1969 2 21 6 22 NADINE 33.1 154.3 55 566 +1965 7 3 12 19 JOYCE 31.2 124.2 140 210 +1960 1 14 18 4 SANDY 31.6 311.7 143 646 +1969 10 12 6 14 JOYCE 24.8 188.8 52 454 +1962 11 22 12 23 RAFAEL 10.5 90.9 137 214 +1969 4 4 12 28 ISAAC 49.7 116.8 52 323 +1963 7 9 12 25 RAFAEL 41.1 327.2 41 591 +1989 9 24 18 2 SANDY 58.2 121.7 63 272 +1965 2 15 18 22 VALERIE 38.5 34.2 103 812 +1995 2 18 18 24 DEBBY 51.7 89.2 49 190 +1980 5 6 12 5 NADINE 7.3 68.6 107 323 +1973 11 3 12 21 SANDY 41.6 277.4 117 732 +1987 4 1 12 2 WILLIAM 69.7 247.8 115 31 +1992 7 21 6 12 HELENE 33.3 292.4 105 814 +1967 3 9 0 15 DEBBY 40.0 315.3 47 736 +1955 11 19 0 8 VALERIE 9.9 169.5 62 326 +1983 2 13 18 1 FLORENCE 16.5 96.4 156 377 +1987 9 13 6 26 ERNESTO 10.9 292.9 13 391 +1951 6 27 6 18 TONY 52.5 219.4 18 71 +1984 7 24 12 12 SANDY 41.6 48.8 137 671 +1970 2 14 6 10 BERYL 46.1 43.8 119 788 +1951 4 27 0 19 HELENE 60.6 112.4 126 112 +1979 8 26 18 23 ALBERTO 18.8 223.2 156 834 +1975 7 2 12 26 JOYCE 23.0 101.1 34 618 +1996 3 25 18 5 PATTY 61.4 13.9 135 369 +1957 1 18 18 13 TONY 64.4 119.5 43 423 +1998 12 22 12 20 VALERIE 23.3 282.7 151 278 +1974 6 21 18 27 PATTY 52.9 15.9 133 169 +1987 5 28 18 12 WILLIAM 42.4 287.4 58 446 +1977 10 6 6 18 TONY 66.0 287.0 51 263 +2003 7 4 12 18 FLORENCE 30.3 170.8 149 785 +1984 12 4 6 24 ISAAC 35.1 7.3 22 386 +1979 9 21 0 5 TONY 60.5 82.2 134 737 +1950 11 9 18 5 NADINE 39.6 229.5 159 220 +1958 5 23 6 22 CHRIS 57.1 326.3 28 558 +1959 10 12 12 21 VALERIE 42.7 308.6 149 365 +1968 5 17 12 25 GORDON 23.5 95.5 70 706 +1974 9 9 12 17 NADINE 33.3 313.1 33 863 +1951 2 1 18 10 WILLIAM 28.8 33.4 76 879 +1990 4 20 6 25 KIRK 67.6 245.7 121 353 +1959 7 1 6 23 MICHAEL 34.5 166.2 158 647 +1999 9 14 0 1 OSCAR 58.5 188.1 57 34 +1995 3 7 0 19 BERYL 51.9 355.2 104 553 +1963 7 19 6 24 TONY 7.7 36.5 64 66 +1998 8 8 12 27 LESLIE 7.2 89.5 141 705 +1959 5 26 12 19 VALERIE 7.2 195.7 148 440 +1957 3 9 18 5 FLORENCE 45.3 108.1 76 74 +1992 3 1 6 10 KIRK 27.7 305.1 55 678 +2002 8 16 12 7 WILLIAM 32.1 276.2 55 328 +1968 1 17 0 14 LESLIE 62.3 167.0 146 34 +1982 3 12 6 14 KIRK 7.9 282.1 107 64 +1957 5 20 6 21 PATTY 50.7 204.0 87 68 +1953 11 28 12 6 GORDON 34.2 164.0 32 856 +1986 1 16 6 8 LESLIE 67.2 353.1 84 373 +1964 9 22 12 19 GORDON 50.3 152.0 148 773 +1990 7 1 0 27 RAFAEL 65.7 102.6 76 5 +2004 1 11 0 23 KIRK 65.5 240.9 138 778 +1973 2 11 18 8 GORDON 26.1 23.5 113 551 +1993 9 9 0 4 MICHAEL 66.4 315.9 128 49 +1985 6 20 18 12 OSCAR 34.2 206.9 148 535 +1950 11 8 6 15 NADINE 7.7 262.1 130 548 +1955 3 4 18 22 PATTY 41.4 13.1 133 179 +1954 11 27 12 15 JOYCE 44.7 144.4 107 836 +1964 12 10 6 9 BERYL 30.6 113.1 133 681 +1971 10 19 12 22 ALBERTO 20.8 225.9 139 727 +1957 4 26 6 9 OSCAR 46.9 0.5 131 372 +1950 9 2 0 22 HELENE 63.2 12.3 94 359 +1965 1 27 12 28 BERYL 66.6 154.4 108 260 +1950 7 11 0 10 LESLIE 34.0 65.2 124 714 +2000 4 23 0 11 GORDON 45.3 31.8 149 588 +1985 1 12 12 12 PATTY 29.9 310.5 110 508 +1958 1 19 18 14 VALERIE 33.1 49.7 48 645 +1978 1 13 12 7 PATTY 21.7 316.4 97 582 +1970 3 10 6 20 WILLIAM 20.3 83.1 39 670 +1996 3 15 12 28 KIRK 54.5 223.0 162 553 +1999 11 26 0 1 LESLIE 53.7 28.3 63 745 +1962 2 27 12 21 OSCAR 57.2 195.3 44 360 +1974 5 14 6 8 GORDON 7.2 346.6 32 714 +1996 9 26 12 25 DEBBY 40.2 215.0 89 326 +1958 5 14 18 4 ALBERTO 35.0 164.1 125 598 +1987 9 12 12 28 OSCAR 37.0 176.6 24 54 +1993 3 21 12 1 VALERIE 39.4 31.1 78 806 +1960 9 16 18 26 SANDY 52.0 207.4 150 705 +1971 2 1 0 4 ISAAC 69.5 324.9 107 333 +2002 12 16 12 6 JOYCE 10.6 142.2 16 269 +1954 8 24 18 25 GORDON 62.8 228.1 34 623 +1993 3 24 18 22 FLORENCE 17.7 184.2 107 157 +1967 3 17 0 27 OSCAR 58.0 265.3 22 410 +1952 4 22 18 8 FLORENCE 49.7 293.1 154 352 +1981 7 9 18 23 CHRIS 60.2 41.4 32 159 +1957 8 28 0 16 KIRK 40.9 249.6 83 141 +1984 6 12 12 4 WILLIAM 49.7 322.8 27 590 +1975 7 8 18 7 ALBERTO 15.5 352.9 139 179 +1953 4 19 12 19 DEBBY 14.8 354.9 81 26 +1990 8 17 0 7 DEBBY 51.4 12.5 103 634 +2002 3 12 12 25 CHRIS 55.4 305.7 26 85 +1953 10 12 0 5 ERNESTO 45.6 184.9 19 644 +1979 3 18 0 12 RAFAEL 27.1 256.9 53 750 +1994 7 28 12 28 ALBERTO 50.2 49.4 37 563 +1960 7 16 0 14 VALERIE 31.8 75.3 69 616 +1961 6 15 0 24 TONY 49.7 171.8 113 64 +1960 6 22 12 17 PATTY 20.9 294.6 71 749 +1965 11 19 0 5 TONY 55.2 63.9 88 29 +1973 2 20 0 4 DEBBY 48.4 313.6 96 305 +1980 8 20 18 4 DEBBY 39.2 44.9 18 352 +1954 1 3 0 13 BERYL 70.0 226.3 98 561 +2004 12 25 18 5 HELENE 26.6 185.9 139 876 +1955 7 24 6 18 TONY 24.2 65.1 68 663 +1972 7 11 12 16 PATTY 41.9 137.5 50 852 +1964 5 20 18 1 DEBBY 59.2 134.8 46 609 +1986 5 2 6 13 OSCAR 61.6 228.7 145 483 +1993 2 8 18 22 RAFAEL 68.1 121.4 34 699 +1982 7 25 6 14 KIRK 10.4 116.0 58 280 +1989 10 5 12 21 RAFAEL 45.2 77.8 137 565 +1961 3 9 12 25 ALBERTO 11.6 297.2 105 110 +1952 1 10 6 9 GORDON 62.0 337.6 78 599 +1992 2 8 18 7 GORDON 24.0 288.5 101 269 +1975 6 3 0 4 ERNESTO 16.5 246.6 104 417 +1968 9 12 0 2 GORDON 53.8 117.4 139 75 +1982 5 2 12 21 BERYL 21.3 184.6 109 210 +1990 12 24 6 23 RAFAEL 60.3 337.7 153 603 +1967 4 17 18 7 DEBBY 67.8 100.7 47 220 +1995 5 17 18 14 ERNESTO 28.3 20.9 34 630 +1954 7 7 18 21 CHRIS 21.3 100.9 86 550 +2002 2 3 12 22 KIRK 49.0 254.3 132 745 +1975 12 5 0 4 GORDON 23.8 210.9 37 27 +1989 12 26 18 3 CHRIS 40.2 310.3 86 833 +1962 3 12 18 6 OSCAR 33.3 140.1 158 571 +2003 10 10 18 11 KIRK 22.4 357.2 52 499 +1994 10 13 18 6 VALERIE 46.7 133.4 94 598 +1961 12 13 6 13 SANDY 36.4 294.2 89 31 +2003 6 1 6 6 BERYL 27.6 57.3 154 258 +1953 1 7 6 18 FLORENCE 42.3 133.8 36 781 +1956 6 6 18 28 WILLIAM 35.9 10.0 72 83 +2000 6 4 0 23 FLORENCE 27.9 283.9 90 88 +1956 12 4 18 24 ALBERTO 48.9 306.7 158 695 +1977 1 27 6 19 FLORENCE 19.0 140.9 133 858 +1978 4 10 18 25 NADINE 20.1 197.1 50 310 +1960 4 5 6 2 KIRK 58.5 308.8 57 560 +1971 11 14 6 24 ERNESTO 68.0 212.5 49 514 +1972 10 22 0 28 SANDY 14.3 159.5 83 220 +1983 12 25 18 14 VALERIE 13.8 60.5 43 623 +1961 4 22 12 4 ALBERTO 53.2 74.3 51 527 +1959 9 13 6 28 JOYCE 7.4 284.9 44 67 +1985 9 15 12 27 SANDY 41.1 38.9 68 308 +1956 1 13 12 11 ERNESTO 54.7 215.5 72 869 +1962 3 19 18 8 BERYL 43.2 341.9 120 825 +1996 7 20 12 26 SANDY 26.4 75.3 60 894 +1957 7 11 12 25 HELENE 37.4 132.6 67 718 +1986 8 13 12 8 WILLIAM 58.1 238.7 156 343 +2000 3 27 6 2 DEBBY 36.9 208.2 47 42 +1959 4 13 0 21 ERNESTO 65.3 45.3 96 763 +1995 6 13 6 1 ISAAC 61.0 293.6 126 180 +1989 10 23 0 12 NADINE 55.4 263.3 32 137 +1966 3 18 18 21 JOYCE 61.8 6.3 22 0 +1988 1 7 12 20 ISAAC 44.6 354.6 37 169 +1982 6 22 6 1 HELENE 34.6 188.9 95 817 +2004 10 9 18 4 DEBBY 8.5 100.4 37 544 +1959 4 15 0 1 WILLIAM 55.5 198.9 98 806 +1954 12 7 6 27 SANDY 25.2 0.1 24 578 +1981 8 5 12 2 JOYCE 61.1 294.3 29 460 +1996 1 12 18 27 SANDY 26.6 253.7 136 373 +1965 11 23 0 25 JOYCE 7.1 114.2 81 27 +1988 12 27 18 24 HELENE 21.7 157.5 49 247 +1963 10 11 12 10 CHRIS 26.4 308.1 148 228 +1982 9 11 12 8 TONY 68.4 0.3 29 501 +1964 10 27 6 21 FLORENCE 62.3 247.0 159 567 +1970 10 19 12 12 PATTY 17.8 3.4 156 280 +1955 12 7 12 12 JOYCE 22.9 92.4 86 714 +1986 7 11 12 14 MICHAEL 63.8 318.2 136 899 +2002 10 12 6 19 PATTY 28.8 256.5 130 663 +1997 10 8 12 4 VALERIE 68.8 182.6 108 619 +1997 7 12 12 11 GORDON 67.5 90.3 72 136 +1980 9 8 12 4 TONY 16.5 296.2 34 510 +1957 11 22 18 9 RAFAEL 46.0 158.5 27 226 +1984 12 25 0 1 TONY 69.7 317.0 25 423 +1958 7 19 0 20 ISAAC 32.7 152.6 143 530 +1973 3 26 6 4 GORDON 17.8 139.5 86 577 +1978 4 4 18 1 BERYL 13.2 94.0 101 497 +1957 12 27 18 7 BERYL 22.5 225.8 108 7 +1969 10 6 6 14 BERYL 41.1 37.9 150 499 +1957 8 21 18 13 ALBERTO 22.6 133.9 56 132 +1952 3 4 18 21 LESLIE 37.8 317.5 119 719 +1999 2 9 12 7 FLORENCE 23.7 195.0 60 265 +1967 12 8 6 18 SANDY 28.8 143.8 77 506 +1991 4 12 12 20 VALERIE 54.3 94.2 23 665 +1965 2 25 0 7 DEBBY 51.3 266.5 101 579 +1991 9 14 12 13 ISAAC 7.2 275.4 85 712 +1962 2 23 18 8 NADINE 21.8 129.7 38 230 +1953 6 9 6 27 VALERIE 43.2 207.5 55 255 +1986 2 14 6 13 KIRK 50.9 242.7 129 78 +1975 12 2 12 25 GORDON 10.2 214.7 76 776 +1973 7 4 12 13 RAFAEL 21.9 93.0 84 241 +1952 8 11 12 9 TONY 35.4 283.1 92 793 +1966 7 25 0 7 TONY 66.3 285.9 107 655 +1951 7 28 12 6 ERNESTO 22.7 320.4 132 444 +2004 9 24 0 15 ISAAC 44.6 109.2 15 153 +1997 9 20 12 13 CHRIS 23.0 210.1 117 656 +1958 3 6 18 17 MICHAEL 67.2 80.5 117 480 +1992 9 21 18 6 KIRK 39.4 160.6 96 129 +1960 2 23 12 19 RAFAEL 32.5 86.0 113 895 +1963 8 7 18 27 ISAAC 66.7 87.7 136 71 +1995 3 28 0 27 TONY 56.1 163.4 25 242 +1957 12 24 18 24 ISAAC 68.5 224.7 116 797 +1962 12 20 0 20 VALERIE 40.8 273.8 43 505 +1964 4 24 6 11 KIRK 32.6 322.8 59 869 +1975 2 3 18 19 SANDY 47.8 41.3 126 172 +1983 10 4 0 17 HELENE 15.7 261.0 141 535 +1970 7 15 12 5 NADINE 39.2 173.6 67 203 +1977 10 18 6 22 ERNESTO 21.2 154.9 114 637 +2003 12 20 18 8 BERYL 26.6 8.9 124 172 +1981 1 6 6 14 JOYCE 63.8 192.4 127 167 +1970 4 6 6 17 SANDY 64.2 26.2 93 853 +1995 12 25 18 25 ALBERTO 37.7 236.4 81 194 +1975 8 20 0 14 HELENE 54.8 218.6 98 448 +2000 3 15 18 20 PATTY 55.8 11.4 148 615 +1987 3 8 12 3 VALERIE 27.4 100.6 24 293 +1966 2 8 0 15 FLORENCE 64.7 205.9 112 436 +1988 1 16 12 18 DEBBY 39.4 166.1 63 382 +1969 9 8 12 16 NADINE 38.5 235.0 122 319 +1957 6 8 18 1 CHRIS 41.1 334.3 15 463 +1981 3 10 6 28 VALERIE 61.9 292.1 108 110 +1984 4 6 0 20 VALERIE 48.6 172.9 70 83 +1982 2 3 12 25 RAFAEL 50.3 192.1 42 753 +1990 8 15 18 7 BERYL 15.3 94.0 13 88 +2003 10 27 18 4 ERNESTO 39.8 48.0 39 88 +1977 9 7 18 8 ALBERTO 40.0 185.0 115 476 +1962 2 10 12 1 RAFAEL 15.3 42.5 115 103 +1980 10 16 0 3 FLORENCE 44.0 194.2 25 9 +1958 11 2 12 6 ISAAC 44.1 173.7 76 237 +1959 12 7 18 5 GORDON 51.8 270.0 94 165 +1950 2 4 12 25 NADINE 25.8 350.0 122 224 +1972 7 3 12 7 SANDY 36.3 110.5 158 482 +1993 3 4 12 21 DEBBY 60.3 304.0 108 116 +1962 6 26 12 22 FLORENCE 8.9 237.2 87 425 +1950 8 27 6 22 ALBERTO 41.1 40.7 132 649 +2000 2 18 12 24 TONY 45.8 49.9 155 895 +1964 5 6 0 5 SANDY 66.1 350.9 51 389 +1964 1 22 12 11 MICHAEL 25.9 216.4 132 296 +1979 11 12 12 3 OSCAR 21.4 36.9 155 537 +1954 11 9 18 18 ALBERTO 37.0 253.4 40 271 +1965 5 13 12 9 LESLIE 68.0 209.6 57 863 +1965 9 4 12 11 NADINE 61.4 300.4 29 443 +2003 6 27 12 17 LESLIE 28.9 353.4 53 820 +1986 4 6 6 17 FLORENCE 34.2 350.5 137 890 +1984 9 4 12 17 TONY 21.4 227.4 36 33 +1952 10 8 18 23 DEBBY 30.4 252.3 115 611 +1952 6 10 0 3 BERYL 67.6 327.6 128 103 +1974 12 6 12 16 RAFAEL 34.7 299.3 81 208 +1958 7 8 0 28 JOYCE 38.9 181.9 107 91 +1994 7 18 12 13 PATTY 45.4 295.5 147 241 +1975 3 21 0 17 RAFAEL 12.0 192.4 148 317 +1956 5 1 0 2 NADINE 47.1 151.1 22 439 +1989 8 25 0 3 OSCAR 11.9 254.0 135 633 +1958 3 27 18 22 FLORENCE 62.3 237.0 127 441 +1971 8 18 0 25 ERNESTO 54.3 111.7 83 741 +1970 2 27 18 8 DEBBY 57.3 103.0 122 123 +1964 5 4 18 2 CHRIS 66.6 357.5 63 471 +1983 8 7 6 7 OSCAR 61.1 153.2 121 494 +1951 2 27 6 13 ALBERTO 25.5 171.3 157 780 +1969 12 20 18 27 CHRIS 43.9 46.5 46 336 +1997 4 18 12 24 JOYCE 38.0 67.0 143 367 +1996 8 7 0 20 SANDY 54.8 280.7 77 350 +1964 9 11 6 6 NADINE 35.6 14.7 104 457 +1959 7 12 0 21 MICHAEL 55.4 236.2 153 605 +1956 7 9 6 3 KIRK 58.6 193.4 71 898 +1964 12 15 12 15 ERNESTO 28.9 226.3 86 807 +1984 7 9 0 4 KIRK 20.3 324.4 119 410 +1958 11 20 6 24 KIRK 19.4 330.2 154 215 +1986 7 19 6 6 BERYL 57.1 83.0 75 428 +1968 2 14 12 24 JOYCE 52.1 287.8 86 441 +1963 8 6 6 10 NADINE 16.7 247.8 46 526 +1985 2 2 6 22 RAFAEL 68.2 314.3 32 370 +1958 4 10 18 15 TONY 27.2 238.1 76 250 +1982 1 15 12 3 GORDON 26.0 178.4 96 697 +1974 2 14 6 12 RAFAEL 53.8 119.8 87 89 +2003 12 1 12 5 SANDY 51.8 274.0 46 683 +1980 8 19 6 14 DEBBY 56.4 289.8 95 174 +1955 10 23 18 3 FLORENCE 21.6 193.5 34 450 +1960 10 24 12 8 ALBERTO 40.9 57.7 147 603 +1978 5 4 0 9 DEBBY 8.6 19.5 156 257 +2004 4 17 0 9 BERYL 30.8 145.2 102 463 +1999 2 12 0 1 FLORENCE 7.7 27.3 38 229 +1973 12 14 18 20 NADINE 43.5 109.6 87 388 +2000 9 11 12 6 FLORENCE 9.8 127.6 92 175 +1994 1 5 18 20 TONY 47.7 8.3 11 135 +2002 12 22 0 1 ISAAC 34.5 333.9 119 412 +1982 8 13 18 5 BERYL 36.0 173.4 42 564 +1979 2 28 18 23 BERYL 17.9 122.8 105 258 +1960 1 15 18 28 LESLIE 9.0 99.7 45 404 +1982 2 3 12 13 GORDON 38.4 149.5 94 216 +1959 3 8 12 19 GORDON 35.3 193.0 13 59 +1982 7 19 12 3 NADINE 65.5 207.1 81 859 +1980 6 27 12 20 FLORENCE 52.4 89.3 160 198 +1996 4 5 6 15 DEBBY 53.8 258.2 42 135 +2002 3 4 18 24 VALERIE 21.3 110.2 163 893 +1978 6 14 12 12 NADINE 60.9 216.3 14 460 +2003 7 14 18 2 CHRIS 20.9 200.2 59 761 +1962 5 6 6 14 LESLIE 35.8 351.9 136 112 +1995 2 1 6 23 LESLIE 27.7 233.3 84 578 +1973 8 17 6 17 ERNESTO 22.3 42.5 110 67 +1993 9 27 6 21 KIRK 44.0 331.8 101 891 +1995 2 25 6 20 PATTY 40.0 276.9 136 192 +1963 2 25 0 14 ALBERTO 19.3 301.1 60 45 +1968 12 21 18 21 ERNESTO 17.6 61.2 129 372 +1999 10 18 0 22 DEBBY 10.7 167.6 92 446 +1981 7 20 12 28 ERNESTO 7.5 46.1 147 140 +1958 3 18 0 22 FLORENCE 61.7 141.6 63 832 +1973 11 4 18 15 DEBBY 8.3 198.5 40 600 +1961 7 18 6 10 OSCAR 28.7 329.5 41 821 +1958 7 11 0 5 KIRK 42.3 356.4 44 184 +1981 7 1 18 11 VALERIE 12.3 193.5 60 618 +1978 6 4 6 21 HELENE 18.5 197.3 99 171 +1996 3 14 12 8 ERNESTO 50.1 240.9 86 166 +1966 2 10 18 22 BERYL 63.5 308.8 125 474 +1994 11 12 6 3 MICHAEL 37.7 25.7 70 606 +1990 9 5 18 9 VALERIE 39.2 289.5 136 736 +1986 9 8 12 18 MICHAEL 44.5 125.7 85 264 +2001 7 20 0 28 KIRK 39.5 101.1 107 657 +2000 5 20 0 23 OSCAR 49.8 135.4 34 351 +1971 5 8 6 25 GORDON 26.8 172.6 10 422 +1962 6 22 12 13 BERYL 43.6 203.2 112 70 +1974 8 25 6 5 GORDON 21.9 232.3 72 409 +1992 12 11 12 4 MICHAEL 12.5 11.1 39 210 +1961 2 1 0 21 SANDY 50.7 210.5 112 390 +1997 11 15 18 26 DEBBY 50.8 138.3 140 666 +1988 5 7 0 27 VALERIE 35.6 253.0 126 878 +2003 7 11 18 21 LESLIE 39.9 202.2 114 443 +1968 7 2 6 1 GORDON 11.7 358.0 159 587 +1960 3 7 6 2 RAFAEL 13.5 188.9 20 640 +1957 11 24 0 6 GORDON 39.6 173.6 36 592 +1973 8 24 18 26 BERYL 30.7 310.3 153 107 +1996 1 14 18 15 FLORENCE 67.9 274.9 66 885 +1953 8 1 0 9 NADINE 52.4 178.5 155 529 +1985 4 18 6 21 RAFAEL 38.1 11.0 20 363 +1976 6 12 18 13 TONY 66.9 265.9 74 415 +2001 10 1 12 25 ERNESTO 22.5 352.6 57 680 +1960 9 28 18 6 TONY 68.0 288.5 92 575 +1990 12 1 6 25 LESLIE 26.9 140.9 146 422 +1966 4 11 6 4 KIRK 67.1 45.8 163 424 +1950 5 10 0 23 ALBERTO 56.2 284.1 19 248 +1986 2 10 12 27 TONY 32.2 102.9 69 667 +1962 7 26 0 4 ERNESTO 56.3 230.1 14 140 +1952 4 10 12 7 OSCAR 35.5 270.1 115 142 +1974 2 2 0 28 OSCAR 27.0 345.3 158 276 +2004 6 1 6 15 CHRIS 32.9 350.7 82 607 +1993 5 10 6 17 ISAAC 37.5 49.2 27 414 +1986 2 12 0 7 SANDY 33.3 188.9 59 683 +1974 12 12 12 3 ISAAC 52.1 232.6 155 382 +1968 12 20 18 4 ISAAC 60.5 218.0 143 875 +1973 3 1 6 8 MICHAEL 63.9 177.5 80 651 +1957 8 19 0 27 ERNESTO 32.3 243.2 111 421 +1970 5 24 12 18 GORDON 26.3 92.6 38 367 +1985 11 5 6 23 ALBERTO 60.9 336.0 57 212 +1996 3 15 18 24 OSCAR 15.5 22.4 21 157 +1981 2 24 12 7 MICHAEL 55.7 280.8 162 470 +1976 4 6 12 27 SANDY 49.8 319.0 125 554 +1999 8 8 6 23 HELENE 56.6 170.7 25 434 +1976 12 9 18 22 ERNESTO 57.9 69.4 137 13 +2002 6 10 18 18 KIRK 7.9 267.1 150 254 +1961 4 15 0 26 WILLIAM 44.8 201.3 11 760 +1974 6 10 6 13 ALBERTO 63.2 127.7 35 583 +1969 10 22 0 7 CHRIS 20.0 306.3 58 137 +1988 9 15 0 24 PATTY 58.6 199.6 60 528 +1953 11 5 0 4 ERNESTO 38.6 5.1 40 11 +1982 12 26 12 8 OSCAR 48.2 113.9 135 507 +1986 9 2 18 19 DEBBY 53.3 161.3 94 650 +1978 1 27 6 5 SANDY 26.2 233.3 91 549 +1957 6 6 18 8 NADINE 34.7 79.4 59 548 +1974 3 6 18 27 MICHAEL 16.4 196.1 13 882 +2001 8 18 18 5 ALBERTO 39.2 209.1 164 358 +1951 3 14 18 10 BERYL 66.7 267.5 67 675 +1977 10 10 0 21 LESLIE 48.0 155.9 115 547 +1972 10 20 6 23 PATTY 10.0 141.8 45 658 +2004 8 9 6 28 JOYCE 64.7 162.3 153 10 +1959 10 1 12 22 ERNESTO 33.0 232.1 108 788 +1984 4 1 6 24 ERNESTO 58.4 101.2 42 566 +1976 9 9 12 15 FLORENCE 28.4 302.5 136 826 +2000 1 22 6 26 FLORENCE 39.3 261.6 134 253 +1985 7 9 6 2 HELENE 50.4 244.3 16 863 +1963 5 21 18 26 DEBBY 26.0 333.6 123 553 +1995 7 19 12 9 HELENE 31.8 115.9 66 780 +1974 3 11 0 23 MICHAEL 42.3 145.2 19 242 +1963 7 7 6 22 GORDON 19.8 306.6 155 196 +1953 9 15 6 9 WILLIAM 20.8 278.7 60 82 +1962 11 1 12 14 HELENE 39.1 231.5 54 269 +1952 8 24 12 21 JOYCE 13.7 65.6 115 73 +1977 10 25 12 25 TONY 42.1 197.0 42 468 +1976 6 12 6 1 GORDON 33.6 74.7 138 244 +1956 11 4 18 28 BERYL 49.0 249.8 130 644 +1996 6 10 18 3 GORDON 27.0 78.9 42 638 +1978 5 1 18 11 NADINE 47.7 239.5 18 36 +1987 1 19 6 14 BERYL 26.0 253.9 126 569 +1965 2 2 12 5 GORDON 24.7 266.4 73 268 +1951 8 22 12 27 GORDON 15.2 333.1 19 15 +1984 7 2 6 5 NADINE 22.7 81.7 80 133 +1972 1 2 0 2 DEBBY 29.1 134.8 64 883 +1955 11 16 0 15 CHRIS 57.1 140.0 12 74 +1990 6 28 6 1 PATTY 47.3 192.8 99 523 +1962 5 21 12 19 OSCAR 23.0 256.6 130 124 +1986 2 22 12 7 LESLIE 28.4 257.7 44 425 +1980 12 9 18 4 MICHAEL 42.7 355.1 153 797 +1982 1 15 6 25 FLORENCE 40.6 144.9 112 854 +1950 3 10 0 23 ISAAC 15.0 64.9 162 847 +1962 6 9 18 13 WILLIAM 11.7 233.9 58 175 +1976 7 12 12 10 ALBERTO 54.4 108.1 111 326 +1964 2 6 18 12 MICHAEL 41.3 332.9 150 806 +1995 12 15 12 27 LESLIE 39.0 98.5 29 169 +1964 8 2 12 10 DEBBY 21.8 358.0 116 102 +1974 3 22 0 22 VALERIE 40.1 324.7 20 606 +1990 8 7 0 17 ALBERTO 59.4 319.0 121 261 +1996 2 15 18 4 GORDON 30.7 9.5 37 584 +1955 6 17 18 3 BERYL 52.8 308.2 99 296 +1957 4 28 0 11 FLORENCE 32.0 43.5 108 669 +1976 5 20 0 20 NADINE 46.1 31.4 74 285 +1975 4 12 18 16 BERYL 64.2 65.5 94 207 +1992 1 7 0 5 SANDY 19.1 185.9 79 748 +1959 3 23 0 4 SANDY 41.2 89.0 83 187 +1978 5 27 0 8 LESLIE 24.1 105.4 120 605 +1967 3 19 0 19 JOYCE 29.3 318.9 135 894 +1985 3 21 18 25 ISAAC 51.7 91.3 80 292 +1968 7 7 12 5 TONY 36.7 286.6 126 276 +1998 12 9 6 7 TONY 34.8 110.0 60 379 +1955 3 11 6 3 VALERIE 54.0 156.9 65 892 +1979 2 5 6 17 WILLIAM 13.1 152.0 31 413 +1982 3 11 18 18 SANDY 24.9 130.6 140 362 +1975 2 26 12 2 BERYL 13.3 224.9 131 536 +1994 9 11 6 15 VALERIE 66.9 88.9 163 774 +1998 12 20 12 5 DEBBY 24.6 259.9 108 260 +1994 1 6 0 8 PATTY 57.6 251.4 44 421 +1992 11 24 6 27 ALBERTO 9.2 146.6 148 412 +1952 5 4 0 10 JOYCE 39.3 232.0 11 241 +2001 6 28 0 25 KIRK 66.0 188.1 97 847 +1973 1 25 6 24 DEBBY 53.6 333.2 43 885 +1994 6 23 0 8 DEBBY 28.4 235.2 29 629 +1998 11 23 18 8 TONY 40.9 342.9 107 727 +2001 9 4 6 10 GORDON 56.1 5.2 48 8 +1959 2 2 12 18 JOYCE 43.8 165.2 50 638 +1955 9 12 6 19 LESLIE 19.5 289.4 95 730 +1988 12 3 18 4 SANDY 19.5 257.8 153 564 +1953 2 25 12 16 PATTY 44.5 85.2 79 617 +1971 10 6 6 13 JOYCE 58.8 89.9 146 481 +2002 7 18 0 20 JOYCE 22.2 156.2 115 499 +1972 9 20 12 16 LESLIE 52.0 227.3 85 681 +1953 2 21 6 21 JOYCE 16.0 192.8 51 851 +1992 3 9 18 4 DEBBY 35.2 72.7 132 359 +1970 2 8 0 20 RAFAEL 9.0 4.3 99 298 +1972 12 8 18 19 BERYL 23.7 247.4 132 559 +1967 3 15 18 17 LESLIE 65.8 307.8 136 852 +1954 5 24 6 23 FLORENCE 18.1 100.5 42 101 +1951 8 27 0 15 MICHAEL 65.4 336.1 104 129 +1999 12 21 6 2 MICHAEL 53.1 315.6 16 761 +1975 10 28 12 18 CHRIS 14.2 263.0 35 26 +1955 5 24 0 26 ALBERTO 56.4 155.5 91 804 +1985 10 13 18 26 ISAAC 57.4 337.1 148 288 +1997 8 18 18 2 WILLIAM 11.1 303.8 30 641 +2001 10 12 0 3 BERYL 58.2 21.9 140 153 +1985 12 3 0 8 NADINE 68.5 140.3 34 123 +2004 9 20 0 10 ALBERTO 13.9 255.2 156 840 +2002 11 7 12 3 FLORENCE 24.5 319.1 64 178 +1993 1 2 12 15 SANDY 40.1 108.1 109 361 +1975 2 20 12 27 RAFAEL 63.1 151.5 13 141 +1995 4 9 6 18 PATTY 52.1 327.4 43 791 +2003 6 20 6 15 FLORENCE 11.5 298.8 112 306 +1962 5 4 6 10 RAFAEL 45.8 194.2 53 75 +1962 4 21 0 21 GORDON 49.2 52.5 50 300 +1994 5 21 12 26 VALERIE 15.0 308.9 95 340 +1950 11 20 6 22 OSCAR 37.4 84.6 106 551 +1994 4 5 18 19 FLORENCE 36.0 131.5 97 657 +1993 3 17 0 15 TONY 67.2 231.5 137 260 +1968 3 9 0 11 KIRK 60.6 90.6 157 98 +1987 11 10 12 26 FLORENCE 66.3 109.8 83 583 +1983 12 13 0 27 VALERIE 30.2 74.4 21 14 +1991 5 19 12 5 BERYL 32.1 332.2 109 648 +1979 10 8 6 2 NADINE 60.3 253.7 137 639 +1953 8 20 12 14 LESLIE 16.0 157.9 140 291 +1979 10 15 12 23 ISAAC 54.5 173.2 117 458 +1951 10 5 0 2 GORDON 25.8 318.1 107 703 +1964 8 23 6 3 WILLIAM 58.5 320.5 142 526 +1951 11 2 0 20 DEBBY 52.6 309.5 131 304 +1984 2 21 12 24 KIRK 28.6 210.7 70 349 +1994 7 4 12 6 HELENE 44.4 249.9 36 216 +1997 3 23 18 13 GORDON 16.9 267.7 21 244 +1953 9 17 12 5 LESLIE 13.3 8.0 44 789 +1967 11 6 12 9 RAFAEL 57.4 191.2 141 707 +2004 9 8 6 14 ERNESTO 38.7 27.6 161 335 +1954 6 14 18 24 NADINE 50.6 191.0 153 661 +1964 1 23 6 5 SANDY 16.5 9.1 45 664 +1988 7 21 6 21 SANDY 56.4 222.6 92 252 +2003 12 17 6 14 NADINE 26.8 157.9 164 412 +1962 10 5 6 26 WILLIAM 29.3 224.5 17 588 +1982 7 13 6 25 MICHAEL 31.9 346.7 45 351 +2003 8 24 0 28 SANDY 35.3 347.4 67 863 +1950 6 4 18 8 OSCAR 14.1 324.3 96 454 +1971 8 8 6 22 LESLIE 18.1 202.4 33 515 +1999 4 26 6 5 NADINE 55.2 38.5 67 473 +2003 7 18 12 7 HELENE 29.0 183.7 86 854 +2000 5 5 0 25 OSCAR 26.1 50.7 29 798 +1996 7 10 0 18 VALERIE 21.3 300.5 145 432 +1966 6 15 12 14 TONY 12.2 227.2 139 285 +2003 11 6 12 24 SANDY 48.6 184.8 154 862 +1969 1 28 18 3 ALBERTO 22.2 52.9 32 41 +1952 8 8 18 17 RAFAEL 22.5 17.2 136 162 +1958 9 1 0 14 SANDY 25.3 83.5 143 205 +1973 10 22 12 27 WILLIAM 49.2 6.4 128 810 +1994 10 20 12 27 GORDON 61.6 127.9 96 376 +1993 10 16 18 12 KIRK 29.3 13.6 121 884 +1954 3 14 18 18 KIRK 48.6 8.5 146 449 +1986 9 4 18 6 GORDON 30.2 316.4 110 719 +1995 11 5 0 5 TONY 32.6 4.1 67 215 +1971 3 12 6 8 ISAAC 63.5 340.2 46 656 +1965 2 23 0 25 MICHAEL 25.9 314.5 58 766 +1968 9 1 12 18 CHRIS 66.7 248.3 66 117 +1963 9 5 6 11 FLORENCE 37.3 4.8 139 836 +1983 8 8 6 5 TONY 18.1 156.7 61 292 +1998 4 13 12 8 GORDON 21.3 125.8 34 717 +1998 6 25 18 6 NADINE 63.7 1.9 87 259 +1969 1 28 18 5 ALBERTO 34.8 198.5 84 0 +1987 11 23 6 8 RAFAEL 29.5 104.2 155 885 +1989 5 2 12 11 ALBERTO 27.7 34.1 65 362 +1999 1 27 18 1 FLORENCE 61.7 305.3 11 190 +1995 6 9 0 1 NADINE 41.9 231.8 151 811 +1964 1 3 18 18 VALERIE 20.4 298.9 154 144 +1967 8 23 0 26 CHRIS 7.2 106.0 27 899 +1962 1 15 12 27 PATTY 56.3 72.0 108 574 +1984 8 23 18 8 CHRIS 49.8 171.0 14 463 +1979 1 22 6 4 PATTY 37.8 204.6 69 706 +1999 6 26 18 22 HELENE 27.4 139.1 84 550 +1998 12 16 0 18 FLORENCE 27.4 20.3 96 159 +1984 5 21 6 28 NADINE 31.7 304.7 62 857 +1960 8 10 0 28 HELENE 68.6 193.7 139 582 +1984 7 10 6 4 TONY 39.0 220.0 38 501 +1955 3 20 0 17 BERYL 17.3 340.4 113 307 +1956 4 13 6 26 FLORENCE 55.1 10.1 163 380 +1969 6 26 6 23 RAFAEL 8.6 92.4 120 2 +2003 4 20 18 14 PATTY 50.9 219.6 104 516 +1986 10 20 6 7 ISAAC 39.9 118.9 100 850 +1955 5 21 0 3 ERNESTO 55.1 183.8 70 474 +1984 3 5 0 13 ERNESTO 61.0 110.0 82 834 +1951 9 11 18 24 WILLIAM 33.3 268.8 153 718 +1992 12 8 0 25 FLORENCE 39.2 197.1 88 81 +2003 7 28 6 3 GORDON 49.7 243.0 32 213 +1998 6 23 6 7 DEBBY 30.1 202.0 74 738 +1973 1 8 12 3 TONY 42.0 173.4 118 754 +1981 8 28 18 22 ERNESTO 39.5 291.7 50 884 +1955 4 22 6 23 NADINE 9.4 137.8 51 609 +2000 3 22 0 26 SANDY 56.4 29.7 55 722 +1982 11 25 18 23 ISAAC 40.5 224.1 13 266 +1989 11 22 12 3 RAFAEL 33.8 5.5 38 798 +1974 9 17 18 7 PATTY 44.6 356.6 137 382 +2004 2 16 12 23 NADINE 11.1 276.8 87 342 +1986 9 18 18 18 JOYCE 24.6 116.2 148 246 +1995 5 14 0 15 WILLIAM 30.9 61.4 10 271 +1956 5 26 6 7 BERYL 56.9 247.2 66 594 +1997 1 22 0 3 DEBBY 61.5 33.9 64 603 +1958 6 17 6 21 NADINE 61.6 78.3 152 582 +1994 2 18 18 14 SANDY 26.1 286.0 52 850 +1977 4 5 0 28 ISAAC 67.4 109.0 52 752 +1954 1 1 0 22 FLORENCE 53.9 187.9 18 704 +1988 10 3 0 21 ALBERTO 14.5 231.3 55 406 +1977 1 9 0 5 DEBBY 50.8 190.9 76 45 +1955 1 2 12 5 KIRK 39.7 32.1 10 230 +1971 7 26 18 9 HELENE 29.3 171.4 30 134 +1973 2 8 6 3 ERNESTO 8.6 6.6 53 876 +1994 11 9 18 7 RAFAEL 13.1 5.0 124 742 +1970 3 20 12 1 WILLIAM 35.6 245.7 159 20 +2000 3 10 0 27 MICHAEL 9.9 157.3 65 161 +1979 6 17 0 21 RAFAEL 55.2 352.0 129 248 +1951 3 18 18 18 LESLIE 29.4 20.3 121 345 +2004 6 18 0 3 ALBERTO 32.9 263.4 16 317 +1998 3 23 18 5 LESLIE 55.2 351.3 143 712 +1990 4 14 0 13 WILLIAM 16.1 336.2 122 114 +1962 1 15 0 23 CHRIS 47.8 341.8 11 393 +1961 9 3 18 6 MICHAEL 19.8 194.6 144 94 +1987 5 1 18 23 HELENE 62.3 300.7 31 160 +1968 7 14 0 10 RAFAEL 57.1 113.9 12 608 +1952 5 4 6 22 GORDON 50.3 40.3 75 456 +1997 3 14 18 15 VALERIE 16.5 107.0 102 333 +1986 3 23 12 11 JOYCE 24.5 43.2 123 596 +1958 6 1 18 1 ERNESTO 8.7 289.0 124 633 +1976 6 19 12 27 HELENE 56.1 210.9 156 760 +1983 12 6 18 10 LESLIE 26.7 177.5 75 672 +1980 3 15 18 28 JOYCE 11.8 272.2 82 350 +1972 11 5 6 25 ERNESTO 8.9 13.9 57 128 +1961 12 8 0 4 ALBERTO 15.0 195.6 29 126 +1976 12 8 12 14 TONY 14.1 51.3 132 341 +2001 3 7 0 26 FLORENCE 43.8 80.0 154 757 +1972 12 22 18 2 DEBBY 40.0 5.4 128 488 +1994 12 21 6 21 ERNESTO 31.1 304.2 58 405 +1961 10 4 0 18 MICHAEL 44.6 26.8 98 802 +1996 7 18 6 28 HELENE 45.9 4.7 99 66 +1996 9 24 0 14 LESLIE 16.7 286.1 98 367 +1965 7 5 12 25 DEBBY 54.2 302.7 106 379 +1953 7 28 18 22 CHRIS 61.9 78.0 128 177 +1962 7 26 0 3 LESLIE 27.7 189.8 25 260 +1983 2 20 6 16 VALERIE 25.4 66.8 29 851 +1956 10 21 18 12 VALERIE 39.6 315.9 76 841 +1959 9 25 12 24 HELENE 23.8 245.6 103 650 +1994 11 19 18 14 MICHAEL 56.9 69.3 147 34 +1961 2 8 12 3 OSCAR 48.3 60.8 83 424 +1988 3 13 6 21 ISAAC 42.3 338.2 146 665 +1957 3 23 18 5 HELENE 12.3 318.9 117 30 +1991 1 5 12 4 RAFAEL 34.7 62.3 114 382 +1982 10 24 6 4 HELENE 45.2 87.9 72 385 +1955 6 17 0 19 BERYL 23.0 127.5 121 141 +2002 10 23 6 5 BERYL 35.7 211.8 104 217 +1972 10 25 0 19 ISAAC 36.6 242.8 23 567 +2004 9 24 6 4 GORDON 19.1 344.9 40 516 +1998 7 27 6 26 CHRIS 50.5 37.1 82 139 +1963 6 2 18 4 WILLIAM 66.8 292.2 13 803 +1950 6 21 0 22 JOYCE 60.6 195.7 102 186 +1986 6 14 0 9 WILLIAM 8.1 90.2 151 713 +1966 9 20 6 18 LESLIE 63.9 268.2 52 862 +1952 11 20 0 25 JOYCE 17.9 246.7 149 568 +1961 5 12 18 2 GORDON 69.3 121.0 27 527 +1966 12 13 18 17 TONY 68.2 78.2 47 180 +1954 3 21 18 3 NADINE 49.4 328.9 151 361 +1974 3 9 12 15 OSCAR 39.5 179.6 66 143 +1955 9 19 18 22 CHRIS 44.8 239.3 71 687 +1951 11 8 0 6 VALERIE 18.6 270.1 72 202 +2003 6 27 0 11 ERNESTO 10.6 116.4 109 799 +1979 2 24 6 10 ERNESTO 9.4 324.0 38 274 +1961 12 5 6 17 ISAAC 24.8 11.4 101 792 +1958 5 27 12 19 GORDON 27.0 11.2 97 402 +1962 8 17 0 12 ISAAC 47.2 172.7 124 341 +1959 5 11 6 6 TONY 66.1 14.3 65 678 +1953 9 17 0 22 VALERIE 17.5 173.5 69 694 +1955 5 15 0 5 DEBBY 58.0 343.1 126 824 +2003 12 18 0 19 GORDON 27.9 205.0 61 458 +1992 5 20 12 7 CHRIS 65.2 189.1 79 701 +1979 5 2 0 25 KIRK 29.7 286.3 129 296 +1972 5 15 18 8 PATTY 12.9 259.3 57 54 +1975 5 5 0 23 DEBBY 37.8 270.8 17 576 +1954 1 22 0 11 TONY 23.9 236.7 147 37 +2000 11 17 6 12 DEBBY 29.8 45.9 62 95 +1970 8 20 18 23 FLORENCE 39.6 128.5 132 860 +1974 6 18 6 12 KIRK 65.9 273.8 82 722 +2002 11 17 0 15 PATTY 23.1 250.6 105 168 +1956 10 6 18 7 TONY 35.7 84.3 122 66 +1995 2 16 6 20 KIRK 37.1 301.9 137 599 +1963 3 26 0 25 KIRK 25.1 252.1 64 411 +1954 6 21 12 27 OSCAR 69.7 78.2 39 43 +1960 5 19 18 5 LESLIE 61.1 318.9 48 67 +1996 5 1 18 15 GORDON 18.0 132.4 10 240 +2002 5 5 12 24 SANDY 33.0 68.3 75 898 +1974 4 22 12 24 LESLIE 21.1 153.7 23 612 +1951 1 7 12 12 LESLIE 69.1 148.8 127 53 +1953 9 28 12 9 WILLIAM 28.5 118.7 131 777 +1965 1 24 6 15 OSCAR 55.9 339.6 47 316 +1963 3 2 18 6 DEBBY 37.6 256.0 45 452 +1984 10 5 6 4 MICHAEL 36.1 349.9 104 249 +1994 6 27 0 5 JOYCE 31.3 200.7 34 417 +1951 1 19 12 1 HELENE 65.2 83.1 65 235 +2000 8 19 6 3 ERNESTO 35.6 69.5 20 295 +1951 11 17 12 25 OSCAR 62.6 238.4 57 768 +2000 7 8 0 22 HELENE 67.2 251.8 53 773 +1994 11 12 12 3 GORDON 65.7 235.2 122 719 +1978 4 22 6 26 GORDON 9.8 128.5 133 843 +1986 9 15 6 10 WILLIAM 25.9 218.2 130 563 +2002 12 1 12 13 TONY 34.2 198.5 163 415 +1982 2 18 6 3 ERNESTO 54.4 159.6 147 844 +1986 12 6 12 22 BERYL 52.8 259.8 127 579 +1971 4 10 18 18 LESLIE 53.1 193.6 21 247 +1958 12 8 6 15 FLORENCE 45.6 194.9 13 153 +1985 9 17 6 7 HELENE 42.5 171.4 56 290 +1991 6 16 18 18 HELENE 28.9 186.2 11 554 +1951 4 28 12 27 MICHAEL 23.9 214.5 84 780 +2001 4 24 18 22 GORDON 65.9 75.9 145 265 +1951 10 2 6 4 ERNESTO 25.2 48.1 31 180 +1976 12 26 18 12 FLORENCE 46.5 151.9 62 205 +1973 11 5 6 19 WILLIAM 55.0 186.2 41 811 +1976 10 17 18 13 NADINE 37.3 16.9 75 331 +1961 10 22 6 9 ALBERTO 45.2 67.1 131 298 +1973 10 11 6 17 PATTY 31.6 83.3 164 561 +1974 10 4 6 15 SANDY 52.2 97.0 147 501 +1973 12 24 18 18 JOYCE 35.7 277.4 160 859 +1972 6 22 18 7 KIRK 63.6 197.8 31 293 +1991 11 10 6 9 CHRIS 29.2 5.9 78 355 +1998 12 7 0 15 ERNESTO 24.0 49.4 29 889 +1977 10 26 6 14 PATTY 57.3 32.6 64 740 +2000 10 8 0 24 JOYCE 30.4 329.4 38 832 +1978 12 2 18 8 WILLIAM 63.1 301.9 127 584 +2000 7 23 0 8 LESLIE 16.9 15.5 74 404 +1990 7 5 18 24 GORDON 49.6 304.1 152 698 +1960 5 17 0 11 ISAAC 27.4 88.3 42 1 +1952 11 12 6 26 WILLIAM 9.7 259.8 127 191 +1989 2 3 18 10 KIRK 9.2 335.6 106 359 +1994 5 6 18 12 LESLIE 48.2 157.8 17 223 +1987 2 3 0 3 VALERIE 46.0 43.5 66 370 +1978 9 5 0 11 LESLIE 19.5 335.7 70 842 +1980 7 18 12 22 DEBBY 23.7 81.0 147 8 +1996 5 28 0 7 RAFAEL 43.7 143.1 126 773 +1973 10 17 12 16 GORDON 34.3 6.8 119 721 +1985 1 11 18 28 WILLIAM 24.9 196.5 42 138 +1963 7 24 0 2 JOYCE 53.6 244.0 15 337 +1950 11 18 18 12 VALERIE 60.5 194.3 51 747 +1968 1 7 18 16 RAFAEL 60.2 146.9 34 185 +1963 8 25 6 13 KIRK 7.1 98.9 136 555 +1980 9 26 0 4 SANDY 52.7 260.2 16 778 +1999 4 16 0 6 LESLIE 64.4 173.9 41 184 +2003 8 5 18 20 KIRK 61.6 171.2 38 322 +1989 7 13 0 1 ERNESTO 39.1 205.4 136 578 +1979 10 7 6 4 ISAAC 57.5 227.4 135 840 +1979 2 4 0 18 BERYL 56.7 97.5 111 149 +2001 3 11 18 24 ALBERTO 41.0 226.6 59 151 +1975 1 18 18 7 DEBBY 20.2 20.6 48 757 +1969 3 21 18 7 SANDY 52.0 164.3 92 277 +1977 5 12 6 28 KIRK 61.4 128.0 127 284 +1983 6 16 6 5 NADINE 23.0 291.6 25 99 +1973 3 16 6 5 ERNESTO 51.7 229.5 56 357 +1954 3 3 12 1 VALERIE 25.3 76.8 15 845 +1956 6 20 18 26 WILLIAM 35.1 209.4 21 619 +1986 2 26 0 4 NADINE 45.9 208.1 31 278 +1976 9 28 0 20 FLORENCE 56.3 241.4 120 544 +1980 11 1 0 20 ISAAC 54.1 231.2 119 350 +1998 9 26 12 21 HELENE 45.0 321.1 71 346 +1983 6 16 0 22 PATTY 20.2 266.2 12 144 +1990 4 15 12 9 LESLIE 34.3 228.7 125 610 +1956 1 15 6 4 ERNESTO 66.4 61.5 28 77 +1996 1 25 6 2 NADINE 16.9 139.1 114 635 +1961 12 21 18 23 ISAAC 41.5 70.5 49 327 +1979 1 21 12 3 KIRK 53.0 235.2 124 254 +2001 11 15 12 23 HELENE 25.0 210.1 59 866 +1972 6 14 12 27 PATTY 67.3 34.9 164 456 +1958 8 21 18 15 LESLIE 52.6 135.3 154 90 +1981 10 3 18 4 BERYL 45.8 165.4 22 505 +2003 1 11 18 11 ERNESTO 35.8 234.5 109 323 +1971 1 13 0 24 LESLIE 25.2 227.3 39 748 +1989 12 6 0 27 LESLIE 15.6 226.7 142 106 +1959 3 15 6 22 SANDY 15.1 9.3 40 108 +1999 5 16 12 21 CHRIS 28.0 208.8 33 535 +1953 8 7 18 4 TONY 41.0 43.8 113 612 +1962 3 23 18 4 BERYL 29.5 86.2 110 352 +1991 9 11 0 12 TONY 37.1 255.0 135 798 +1972 8 23 12 17 FLORENCE 31.9 220.4 16 645 +2001 10 22 12 13 MICHAEL 13.4 279.4 40 346 +1968 1 1 0 27 SANDY 38.4 161.3 13 189 +1995 7 8 18 8 ERNESTO 53.4 314.2 116 202 +1978 2 4 18 18 BERYL 55.6 20.8 18 179 +1960 9 9 18 18 CHRIS 40.3 326.9 99 141 +1963 6 2 12 23 DEBBY 22.2 25.2 99 258 +1990 7 21 0 12 MICHAEL 63.0 239.3 156 160 +1979 3 4 6 26 VALERIE 23.1 348.8 44 264 +1966 9 12 6 8 SANDY 12.4 161.2 138 150 +1962 7 1 6 22 ISAAC 29.1 44.4 34 537 +1981 6 28 18 2 LESLIE 45.5 76.7 81 446 +1981 2 18 12 6 JOYCE 9.2 208.9 47 135 +1994 12 25 18 13 ERNESTO 51.7 324.6 81 209 +1978 10 23 6 9 KIRK 19.1 325.3 106 791 +1989 12 8 12 2 VALERIE 10.3 68.3 144 776 +1988 10 15 6 6 SANDY 42.0 277.1 151 834 +1971 8 27 6 20 DEBBY 43.9 110.7 124 25 +1969 4 6 0 14 HELENE 32.9 256.2 102 807 +1958 6 8 0 14 SANDY 56.6 84.0 120 54 +1971 12 7 6 19 KIRK 52.4 150.3 111 51 +1994 8 18 18 15 DEBBY 29.0 33.5 146 334 +1998 1 28 0 5 FLORENCE 61.9 123.8 93 347 +1960 1 7 18 25 ALBERTO 34.0 199.6 114 161 +1951 8 23 12 2 ALBERTO 42.5 256.2 121 160 +1962 11 3 18 4 JOYCE 37.4 237.4 113 877 +1958 2 7 0 10 MICHAEL 49.9 300.7 20 571 +1957 5 3 0 5 KIRK 14.6 310.5 138 752 +1973 9 9 0 5 ALBERTO 69.3 88.9 116 470 +1968 1 17 0 9 ISAAC 48.5 92.2 66 401 +1966 11 28 0 14 HELENE 61.2 149.9 106 385 +1993 12 4 0 7 DEBBY 53.1 209.7 148 281 +1973 9 11 12 15 DEBBY 32.8 92.7 94 752 +1960 8 22 6 16 BERYL 13.7 247.7 101 396 +2003 10 9 18 16 ALBERTO 11.3 151.6 144 315 +2004 4 2 12 11 WILLIAM 26.3 70.6 66 370 +1988 10 6 18 24 DEBBY 35.4 231.5 44 488 +2000 11 14 6 22 TONY 61.8 283.6 22 93 +1991 2 8 18 21 RAFAEL 48.6 138.0 161 323 +1999 8 1 18 12 MICHAEL 45.9 211.1 97 229 +1982 10 7 0 20 LESLIE 43.2 294.7 60 150 +1975 6 20 0 24 FLORENCE 11.6 239.8 68 338 +1976 2 3 0 22 TONY 51.0 308.4 15 299 +1975 10 24 12 15 BERYL 41.4 306.4 66 895 +1957 2 6 18 10 TONY 39.5 304.1 111 729 +1953 5 21 18 4 ERNESTO 9.0 161.0 140 594 +1969 8 10 6 12 RAFAEL 11.2 11.5 64 250 +1989 1 9 6 25 ERNESTO 44.5 206.4 132 758 +1999 7 23 12 9 VALERIE 61.8 9.2 82 602 +1965 9 10 12 20 FLORENCE 55.6 70.6 18 49 +1995 6 21 6 21 KIRK 52.9 187.9 121 874 +1997 9 25 6 23 SANDY 16.4 222.9 22 767 +1991 2 12 6 2 LESLIE 7.1 238.0 14 626 +1970 8 25 0 13 ALBERTO 63.8 7.1 151 423 +1977 3 15 6 8 WILLIAM 52.1 60.4 112 377 +1992 1 1 0 28 GORDON 51.1 284.3 129 225 +1963 9 4 0 27 SANDY 45.6 282.3 34 844 +1978 2 13 0 22 NADINE 29.3 109.2 95 850 +1967 10 21 18 13 SANDY 52.9 30.7 124 344 +1996 5 17 12 10 JOYCE 19.4 79.0 40 284 +1994 1 12 0 11 KIRK 42.6 111.8 55 296 +1983 6 1 0 17 CHRIS 55.8 76.2 78 614 +1976 7 13 0 9 PATTY 26.1 123.0 164 548 +1984 9 22 0 12 ALBERTO 41.1 147.7 136 559 +1971 10 14 6 18 GORDON 31.6 17.3 54 776 +1964 4 26 0 3 MICHAEL 69.9 186.0 94 762 +1999 9 3 18 1 HELENE 60.6 198.6 78 197 +1986 3 21 6 27 DEBBY 35.5 104.7 149 308 +1974 6 28 6 21 PATTY 53.7 331.0 104 67 +1985 4 18 0 20 ISAAC 17.4 264.6 163 640 +1969 1 21 0 12 CHRIS 16.0 42.7 23 138 +1979 2 24 12 4 LESLIE 29.0 92.8 150 441 +1967 11 12 6 9 KIRK 40.7 140.2 52 794 +1955 5 16 12 13 DEBBY 62.0 325.7 108 118 +1961 9 23 0 8 TONY 48.3 324.3 44 476 +1971 7 16 6 25 FLORENCE 23.9 176.1 66 178 +1991 11 7 0 19 BERYL 47.3 303.9 120 101 +1952 4 16 18 13 LESLIE 36.6 201.2 142 662 +1982 9 23 12 8 NADINE 62.2 84.7 109 840 +1985 8 26 12 1 JOYCE 48.5 35.4 44 541 +1954 7 1 18 13 RAFAEL 8.7 98.6 122 71 +1978 9 16 12 21 HELENE 35.7 43.3 114 220 +1959 10 16 12 1 HELENE 62.3 34.3 19 456 +1957 12 2 0 15 OSCAR 25.1 225.9 85 233 +2003 7 7 18 23 DEBBY 12.4 228.4 100 782 +1973 2 17 0 6 CHRIS 7.2 215.0 59 827 +1975 10 26 6 22 TONY 32.7 117.3 114 765 +1994 11 6 18 26 WILLIAM 30.0 272.2 127 545 +1964 8 15 18 14 OSCAR 43.4 345.2 126 694 +1992 9 20 18 18 TONY 32.2 183.5 158 66 +1995 7 16 6 16 PATTY 50.1 44.1 142 226 +1970 3 16 18 26 DEBBY 51.1 153.9 11 86 +1965 11 21 0 1 GORDON 10.8 85.1 58 726 +1956 6 9 18 16 NADINE 33.5 89.2 110 284 +1986 10 23 18 10 NADINE 69.6 277.6 85 405 +1967 3 11 18 9 FLORENCE 35.7 338.0 148 13 +1964 5 14 6 13 PATTY 41.5 324.6 34 711 +1992 3 13 18 21 OSCAR 63.7 345.0 51 135 +2003 9 7 6 19 BERYL 11.4 312.4 137 537 +1999 7 16 12 15 WILLIAM 14.8 96.1 12 407 +1957 5 20 6 24 ALBERTO 45.9 86.4 72 172 +1996 6 13 18 13 CHRIS 15.2 76.3 148 350 +1968 2 3 12 4 ERNESTO 15.6 311.2 55 30 +1957 1 1 0 19 MICHAEL 32.3 132.4 53 420 +2003 6 22 18 10 SANDY 51.7 129.0 120 530 +2000 4 13 6 28 SANDY 10.0 259.9 11 406 +1958 4 8 12 1 JOYCE 59.9 112.1 35 643 +1979 4 4 18 12 KIRK 9.8 351.1 25 445 +1964 10 19 0 5 MICHAEL 41.6 71.7 107 820 +1965 11 4 0 7 DEBBY 13.5 19.1 34 152 +1954 9 2 12 23 PATTY 17.6 36.9 138 332 +1966 3 27 12 17 GORDON 48.2 185.4 77 75 +1988 11 24 6 6 RAFAEL 33.7 204.8 150 300 +1984 9 24 18 27 HELENE 69.6 31.8 104 157 +1964 6 28 6 3 TONY 66.0 251.5 81 166 +1991 5 2 0 28 CHRIS 43.3 70.2 22 305 +1980 5 3 0 19 OSCAR 33.1 300.4 27 660 +1956 6 23 0 10 JOYCE 8.8 50.7 63 541 +1975 10 4 0 14 HELENE 35.7 53.1 18 7 +1977 4 15 12 17 NADINE 28.0 80.8 161 486 +1995 12 10 6 24 GORDON 10.4 302.6 145 784 +1969 8 14 18 3 ERNESTO 61.6 319.2 66 293 +1964 1 14 6 15 TONY 17.3 290.1 120 255 +1972 9 9 0 15 ALBERTO 31.2 34.3 101 567 +1990 4 7 6 18 WILLIAM 64.8 186.6 56 322 +1969 10 8 6 23 ERNESTO 42.5 235.2 60 352 +1970 2 26 12 15 SANDY 51.0 213.9 164 794 +1966 12 20 12 24 ALBERTO 61.4 267.8 37 397 +1998 10 18 12 6 RAFAEL 40.3 127.3 150 805 +2001 6 5 6 21 FLORENCE 18.3 229.4 109 202 +1987 11 3 0 14 SANDY 33.6 90.3 28 41 +1985 7 20 0 6 NADINE 21.5 121.4 10 222 +1984 3 6 6 22 ALBERTO 14.6 308.6 54 250 +1956 10 21 0 25 LESLIE 25.2 62.4 116 603 +1969 1 17 12 3 ERNESTO 62.7 355.0 44 19 +1961 9 3 0 26 PATTY 23.0 340.3 10 826 +1979 3 1 0 28 WILLIAM 55.6 47.1 80 238 +1953 6 19 6 3 OSCAR 59.1 158.5 152 510 +1999 9 20 6 3 VALERIE 37.3 257.6 36 702 +1955 8 19 18 11 DEBBY 30.6 151.0 96 759 +1988 4 10 0 2 MICHAEL 54.0 321.4 161 429 +1973 7 14 12 24 ERNESTO 51.5 294.0 40 639 +1950 3 25 18 23 KIRK 37.1 182.2 147 859 +1999 12 21 18 14 WILLIAM 22.1 113.8 57 44 +1983 11 8 0 1 PATTY 8.2 343.6 85 837 +1991 9 15 0 25 CHRIS 10.8 33.2 53 784 +1975 12 17 6 22 CHRIS 14.3 9.3 136 288 +2000 12 27 0 20 MICHAEL 35.4 12.2 157 220 +1996 11 16 18 3 BERYL 36.5 195.8 78 338 +1973 1 11 0 2 DEBBY 46.0 180.6 117 781 +1998 12 20 18 3 ERNESTO 55.7 61.2 38 465 +1989 1 16 6 1 ISAAC 20.8 335.8 55 424 +1991 11 19 18 2 ISAAC 7.6 167.1 76 841 +1971 3 12 0 21 PATTY 31.1 115.6 162 169 +1984 7 3 12 9 CHRIS 9.9 65.5 118 506 +2002 2 23 18 14 ISAAC 35.3 109.5 162 568 +1984 5 26 12 2 JOYCE 44.5 277.8 57 61 +2003 6 19 12 27 WILLIAM 62.9 222.3 119 798 +1960 8 5 0 24 ERNESTO 27.3 195.4 91 744 +1951 2 5 12 23 CHRIS 46.0 233.2 61 715 +1990 6 10 6 17 CHRIS 21.4 232.2 66 802 +1961 6 15 12 15 JOYCE 8.9 288.1 142 877 +1965 5 17 18 7 JOYCE 27.7 214.8 106 875 +1992 5 1 6 5 JOYCE 66.9 144.1 97 124 +1957 12 3 6 26 GORDON 36.8 165.9 74 641 +1969 1 1 12 13 HELENE 67.5 116.1 129 740 +1988 5 20 0 19 HELENE 23.2 215.8 33 277 +1969 2 1 0 8 RAFAEL 59.7 9.8 50 395 +1980 7 11 12 16 JOYCE 7.5 187.9 73 685 +2003 10 27 12 4 HELENE 58.6 223.2 94 357 +1995 12 1 12 9 CHRIS 10.3 165.3 126 458 +1970 5 16 12 23 FLORENCE 21.7 3.7 115 816 +1961 12 18 18 4 ALBERTO 39.7 278.0 93 394 +1977 8 18 0 24 ISAAC 64.4 274.5 38 808 +1966 10 19 12 23 LESLIE 8.6 245.8 87 433 +1968 9 20 6 2 HELENE 28.8 77.7 162 803 +1973 2 19 6 8 DEBBY 48.7 100.4 34 3 +1976 3 12 18 15 FLORENCE 67.1 234.1 51 721 +1955 4 16 18 17 GORDON 34.1 196.5 12 71 +1985 3 20 0 26 CHRIS 47.5 340.2 60 683 +1964 7 18 12 14 LESLIE 48.3 76.5 12 344 +2001 12 21 6 1 CHRIS 49.0 284.8 76 620 +1987 1 8 18 4 LESLIE 39.9 179.4 147 844 +1960 12 7 18 27 MICHAEL 59.9 162.5 150 634 +1969 3 3 18 15 WILLIAM 47.2 299.6 43 562 +1956 10 12 12 1 WILLIAM 15.8 99.1 86 201 +1994 4 14 12 20 LESLIE 34.1 67.8 118 187 +1965 7 8 6 5 GORDON 11.4 20.3 79 413 +1955 8 18 6 25 HELENE 21.6 194.2 157 207 +1990 11 4 0 26 WILLIAM 63.6 166.8 93 692 +1989 4 28 12 26 RAFAEL 7.6 298.3 162 792 +1959 12 8 0 20 MICHAEL 31.1 187.5 81 408 +1997 10 20 12 28 GORDON 45.9 184.5 39 783 +1988 3 28 18 27 DEBBY 20.9 188.4 20 817 +1976 4 12 12 7 FLORENCE 42.8 194.5 69 170 +2004 7 28 12 13 SANDY 14.3 220.1 143 470 +1963 6 25 18 21 TONY 56.1 188.3 100 57 +2004 1 18 0 27 SANDY 50.4 60.4 40 103 +1975 12 19 18 25 CHRIS 61.5 250.6 108 66 +1984 9 27 18 9 NADINE 47.6 152.6 104 322 +1961 12 26 6 21 DEBBY 48.3 231.2 78 109 +1985 4 26 12 22 JOYCE 19.9 137.2 146 477 +1999 7 7 18 5 JOYCE 36.6 85.3 44 588 +1969 12 16 6 7 CHRIS 67.6 29.1 148 806 +1958 5 1 12 15 ERNESTO 42.0 146.0 49 304 +1958 2 23 6 25 KIRK 45.6 25.5 24 295 +1971 9 18 0 5 DEBBY 41.9 188.6 109 883 +1992 11 1 6 1 HELENE 18.9 325.9 97 581 +1977 8 11 18 17 MICHAEL 40.9 53.0 147 733 +1978 6 3 0 25 HELENE 58.0 206.7 63 332 +2001 8 15 6 7 KIRK 59.3 212.7 38 872 +1996 10 11 6 22 CHRIS 62.4 194.8 150 498 +1971 10 28 18 25 MICHAEL 58.4 202.0 162 134 +1957 4 19 12 5 GORDON 67.1 145.8 136 812 +1976 12 26 18 5 TONY 30.4 131.0 61 580 +1975 2 17 6 15 FLORENCE 35.4 74.1 27 828 +1995 1 10 18 2 ALBERTO 55.5 120.9 135 410 +1966 12 25 12 13 PATTY 38.5 349.2 133 201 +1990 4 15 18 26 FLORENCE 53.7 201.6 103 210 +1957 8 17 6 8 FLORENCE 65.3 64.4 58 350 +1985 3 20 6 17 FLORENCE 15.8 295.3 110 879 +1975 11 13 12 16 GORDON 35.3 300.1 66 636 +1968 5 11 6 9 NADINE 30.4 212.2 18 176 +1954 6 12 12 7 TONY 31.0 92.8 84 515 +2002 6 27 18 26 HELENE 26.0 263.0 120 55 +1972 5 22 18 12 BERYL 33.8 45.2 56 703 +1961 9 3 0 27 WILLIAM 32.3 144.7 76 786 +1984 5 27 0 6 RAFAEL 44.5 114.6 100 467 +1975 9 4 6 19 KIRK 17.5 6.4 75 120 +1985 7 26 18 5 NADINE 24.9 199.6 104 887 +1986 1 21 0 17 RAFAEL 17.1 264.6 77 171 +1994 11 22 18 27 WILLIAM 43.6 64.1 129 366 +1985 7 24 18 15 TONY 40.8 216.3 41 228 +2003 3 5 18 3 FLORENCE 45.2 6.3 98 486 +1967 3 8 12 16 FLORENCE 7.7 79.3 87 563 +1981 4 18 18 18 HELENE 32.9 196.4 67 176 +1959 3 1 0 11 VALERIE 29.1 41.7 72 492 +1996 5 6 12 20 PATTY 63.9 159.7 76 58 +1997 9 16 12 12 TONY 68.7 269.9 46 684 +2004 8 3 18 9 ISAAC 45.3 337.7 17 507 +1976 11 22 0 26 JOYCE 21.5 333.2 80 103 +1978 2 20 12 1 ALBERTO 36.5 74.1 120 575 +1958 9 21 18 2 CHRIS 8.0 136.1 15 332 +1952 7 6 12 5 KIRK 33.0 321.2 73 557 +2002 5 8 12 10 WILLIAM 29.7 131.4 157 876 +1965 6 21 0 6 PATTY 31.4 137.3 121 231 +1987 11 12 18 23 TONY 35.4 270.4 49 751 +1963 10 1 12 3 ERNESTO 63.9 71.7 123 166 +1974 6 2 18 12 LESLIE 9.9 155.8 69 596 +1988 1 27 12 4 WILLIAM 16.2 81.4 13 517 +1975 5 11 12 24 ALBERTO 66.5 186.2 127 439 +1990 9 17 12 11 LESLIE 39.1 329.1 94 511 +1961 10 6 6 23 MICHAEL 11.3 36.1 38 70 +1984 10 18 0 28 CHRIS 59.3 198.5 83 630 +2003 12 5 12 28 TONY 19.3 256.9 127 279 +2003 2 3 0 10 KIRK 69.3 257.6 135 552 +1991 5 1 0 17 CHRIS 53.2 219.4 55 584 +1979 4 11 12 15 RAFAEL 40.5 73.7 122 687 +1999 6 25 6 18 DEBBY 14.3 87.8 53 789 +1991 4 1 0 18 OSCAR 49.8 163.9 35 223 +1985 8 19 6 20 KIRK 66.4 195.7 54 240 +1955 8 6 12 22 CHRIS 63.8 318.7 37 846 +1962 3 26 18 10 FLORENCE 28.9 201.7 153 758 +1967 6 15 12 10 HELENE 9.0 248.8 153 631 +2003 10 4 12 26 LESLIE 22.6 308.5 89 55 +1984 3 17 0 12 GORDON 23.7 35.8 122 748 +1992 12 28 6 8 FLORENCE 64.8 203.5 33 502 +2003 4 3 18 21 SANDY 28.0 219.0 96 443 +1970 1 26 18 25 NADINE 66.2 41.1 138 700 +1994 11 14 18 11 NADINE 49.9 297.9 143 244 +1985 1 26 12 7 ALBERTO 20.3 313.5 21 55 +1997 6 12 6 12 PATTY 34.2 13.7 40 49 +1966 12 16 18 17 OSCAR 45.0 89.8 107 55 +1996 7 7 12 2 GORDON 38.6 307.0 21 412 +1974 12 27 6 23 GORDON 59.1 39.8 116 351 +1986 1 10 0 21 ERNESTO 64.2 54.0 51 874 +1993 1 4 6 19 BERYL 45.7 10.5 35 711 +1977 1 6 12 19 MICHAEL 67.8 48.6 17 286 +1958 2 11 12 11 NADINE 48.7 26.0 23 235 +1962 11 9 0 3 WILLIAM 11.3 76.0 95 605 +1972 1 11 0 27 HELENE 52.7 259.7 84 491 +1950 10 16 0 13 ALBERTO 45.3 140.0 15 260 +1960 9 18 0 10 HELENE 12.6 72.3 36 455 +1984 4 15 0 1 CHRIS 11.6 170.0 48 738 +1990 11 11 6 23 ALBERTO 25.3 92.8 42 597 +1991 1 17 0 1 ERNESTO 52.2 327.7 62 728 +1986 1 4 18 8 ALBERTO 48.3 126.3 145 142 +1987 2 15 18 22 RAFAEL 24.1 342.9 158 118 +1980 10 6 6 16 MICHAEL 33.7 27.9 161 836 +1990 7 7 12 19 CHRIS 28.3 313.1 87 88 +1968 10 18 12 27 GORDON 16.0 104.3 155 559 +1974 3 1 6 19 MICHAEL 13.8 220.7 69 568 +1976 12 15 6 23 KIRK 68.3 34.1 130 259 +1985 3 12 12 9 ERNESTO 45.6 28.0 96 604 +1952 3 1 0 23 KIRK 66.5 170.9 148 432 +1964 12 12 12 16 TONY 19.1 1.0 62 259 +1966 6 23 12 8 NADINE 45.8 299.6 155 899 +1956 7 24 18 2 OSCAR 47.5 182.7 161 115 +1973 12 13 18 17 JOYCE 53.8 254.7 10 533 +1955 10 7 0 17 DEBBY 12.3 120.5 29 803 +1974 12 8 18 3 KIRK 28.4 296.5 58 300 +2002 12 10 6 13 WILLIAM 48.8 103.6 139 633 +1984 4 7 6 23 ALBERTO 22.8 254.7 50 376 +1971 7 16 6 1 FLORENCE 39.2 254.7 58 596 +1994 3 28 0 19 ISAAC 57.0 31.4 91 81 +1951 5 23 6 5 VALERIE 49.9 196.2 39 40 +1956 10 1 6 1 ERNESTO 7.6 123.4 53 277 +1952 6 4 18 11 BERYL 20.6 187.0 70 808 +1978 4 18 0 5 OSCAR 17.4 123.0 110 121 +1974 8 17 0 20 WILLIAM 55.1 241.8 33 191 +1952 3 17 18 17 WILLIAM 29.8 126.8 85 534 +1991 10 28 18 26 FLORENCE 62.4 58.5 60 623 +1994 1 20 12 22 FLORENCE 8.1 160.1 40 548 +1953 5 22 18 26 WILLIAM 39.9 342.0 120 320 +1980 3 3 6 8 ALBERTO 43.8 321.6 50 550 +1952 1 24 6 28 PATTY 19.0 247.1 87 272 +1992 9 8 12 21 MICHAEL 16.0 34.9 95 769 +1973 3 6 18 27 CHRIS 37.5 194.8 149 371 +1977 2 16 18 25 JOYCE 59.0 44.0 10 258 +1977 2 8 6 15 TONY 45.5 126.5 104 246 +1978 9 18 6 13 LESLIE 23.5 182.9 106 594 +1984 11 19 6 25 GORDON 14.2 210.5 17 440 +1970 5 5 0 13 MICHAEL 20.9 23.6 154 211 +1966 11 27 18 27 CHRIS 69.0 203.8 163 799 +1969 10 8 18 9 PATTY 38.0 130.4 58 532 +1966 5 6 6 20 ERNESTO 39.2 146.1 35 644 +1983 9 6 12 21 JOYCE 31.4 1.5 76 169 +1988 4 11 6 23 ALBERTO 68.7 70.5 145 467 +1969 6 8 0 13 MICHAEL 64.5 181.6 155 363 +1985 5 2 18 22 ISAAC 47.3 337.1 77 867 +1958 1 1 18 20 ALBERTO 56.6 331.5 158 599 +2001 4 23 18 15 ERNESTO 46.2 312.3 158 209 +1983 8 16 12 3 BERYL 21.4 191.4 82 802 +1966 8 17 12 2 CHRIS 38.0 344.4 35 792 +2004 1 13 6 25 LESLIE 37.9 131.4 30 277 +1981 11 4 18 5 GORDON 27.7 294.6 110 159 +2003 4 10 0 24 FLORENCE 19.1 280.3 160 746 +1989 12 28 18 22 VALERIE 38.6 109.5 158 481 +2004 8 6 12 27 VALERIE 15.1 32.3 80 854 +1976 5 7 12 2 OSCAR 10.6 258.3 134 380 +1959 7 7 6 23 ALBERTO 31.9 235.8 23 217 +1973 2 5 6 28 OSCAR 49.7 130.3 137 741 +1997 4 11 12 16 JOYCE 68.0 283.2 73 815 +1953 3 2 18 22 LESLIE 64.8 351.2 154 17 +1957 9 14 0 18 ALBERTO 63.8 162.6 24 505 +1982 3 13 6 7 CHRIS 59.4 353.5 133 887 +1966 10 20 18 11 TONY 15.0 62.5 101 469 +1995 1 3 18 1 ERNESTO 62.3 115.5 126 342 +1969 6 5 12 20 KIRK 50.1 15.2 33 645 +1974 10 25 0 23 SANDY 51.1 175.3 96 600 +1974 9 13 0 26 GORDON 54.3 192.3 128 425 +1982 1 19 0 1 WILLIAM 33.4 324.3 121 762 +1955 6 3 0 1 DEBBY 62.9 281.1 28 138 +1975 4 16 18 6 JOYCE 32.7 47.7 22 172 +2000 4 25 12 22 SANDY 21.2 292.2 55 143 +1992 10 25 6 18 PATTY 44.0 346.7 12 57 +1975 4 8 6 7 LESLIE 18.5 241.4 14 882 +1966 5 4 6 24 GORDON 11.0 66.4 147 768 +1952 12 19 6 7 CHRIS 55.6 211.7 103 246 +1994 6 4 0 20 KIRK 29.7 205.7 135 382 +1987 11 23 6 11 HELENE 65.4 191.0 109 588 +2002 8 20 0 26 DEBBY 59.0 215.3 154 100 +1961 12 27 12 22 PATTY 31.0 145.9 141 705 +1971 12 26 18 4 PATTY 23.9 212.3 155 53 +1999 3 15 0 4 BERYL 66.1 32.4 67 840 +1957 11 9 12 18 TONY 53.8 258.6 109 105 +1974 6 14 18 17 TONY 62.2 347.8 49 490 +1979 10 10 0 13 HELENE 8.4 320.5 130 317 +1987 3 20 6 4 ISAAC 52.4 242.0 119 383 +1994 10 4 6 8 ISAAC 21.7 322.5 77 760 +1955 6 12 12 18 WILLIAM 64.5 13.8 85 78 +1976 5 21 18 21 JOYCE 54.2 19.8 75 9 +1965 3 23 6 7 MICHAEL 25.6 346.5 18 329 +1999 4 3 6 3 KIRK 61.8 74.0 104 495 +1985 2 2 12 4 ISAAC 35.6 55.2 91 800 +1986 7 2 0 15 DEBBY 24.4 179.3 80 729 +1975 7 13 12 15 CHRIS 26.4 305.5 83 750 +1952 4 7 0 5 ISAAC 21.4 5.7 72 831 +1962 7 13 6 6 FLORENCE 9.7 38.5 131 50 +1982 6 13 18 1 TONY 9.1 254.8 55 569 +1968 3 26 18 28 VALERIE 69.8 152.2 26 685 +1988 9 19 6 24 OSCAR 69.4 194.3 75 814 +1955 1 1 6 17 PATTY 14.3 183.2 46 77 +1960 6 7 6 5 VALERIE 41.6 144.9 158 363 +1985 2 24 0 9 JOYCE 39.3 26.0 35 222 +1967 1 22 18 4 BERYL 8.0 40.4 69 836 +1960 2 10 12 7 LESLIE 50.6 122.0 22 264 +1965 7 14 6 2 FLORENCE 8.0 45.6 121 871 +1994 10 13 0 24 RAFAEL 34.1 18.6 115 846 +1958 11 24 0 16 DEBBY 18.7 224.9 93 347 +1974 12 18 6 13 JOYCE 18.4 218.8 53 892 +1965 1 6 12 26 RAFAEL 19.0 123.4 88 90 +1998 7 9 6 17 VALERIE 44.1 353.7 16 756 +1954 7 5 6 3 LESLIE 64.7 129.2 156 203 +1963 9 9 0 13 JOYCE 54.0 56.9 14 580 +1971 7 22 12 21 NADINE 18.2 316.5 47 837 +1982 5 27 6 20 CHRIS 68.5 306.3 98 490 +2001 7 19 18 14 LESLIE 28.6 2.0 84 806 +1996 2 12 18 25 CHRIS 16.3 87.9 19 596 +1964 3 1 6 12 OSCAR 43.8 81.6 69 802 +1952 5 15 0 13 GORDON 40.1 284.6 138 558 +1962 1 11 0 11 FLORENCE 12.4 143.8 64 576 +1997 12 11 12 6 KIRK 68.1 151.1 119 52 +1975 4 18 12 17 TONY 14.0 11.2 73 755 +1984 2 17 18 23 MICHAEL 49.3 44.8 32 580 +1980 6 25 6 25 ERNESTO 49.2 103.4 26 496 +2001 7 4 0 5 BERYL 62.7 20.7 21 26 +1982 9 18 6 27 SANDY 68.4 169.0 55 50 +1964 6 15 6 20 VALERIE 15.9 320.5 104 634 +1998 2 25 6 6 OSCAR 47.6 300.5 151 774 +1958 12 11 0 13 RAFAEL 26.1 90.9 38 331 +1969 6 3 0 7 GORDON 17.6 330.6 92 503 +1983 8 20 0 13 FLORENCE 16.7 231.2 38 248 +1973 6 27 18 20 RAFAEL 48.2 178.1 76 510 +1974 5 18 18 28 MICHAEL 53.4 261.5 122 249 +2003 2 12 6 19 TONY 62.3 247.5 45 810 +1955 3 20 12 4 NADINE 12.5 49.4 43 104 +2004 2 16 0 19 JOYCE 63.9 302.4 160 638 +1991 2 12 6 10 ISAAC 13.4 93.6 85 240 +1988 2 9 18 8 PATTY 38.1 70.5 139 158 +1985 6 23 12 26 ISAAC 17.9 296.4 55 245 +1999 4 21 18 10 ISAAC 38.9 116.6 136 278 +1977 12 14 0 8 VALERIE 67.0 165.9 75 879 +1997 4 11 18 23 MICHAEL 42.6 294.2 83 40 +1983 12 21 0 13 RAFAEL 40.2 341.5 145 390 +1996 4 4 6 25 ISAAC 42.8 253.5 164 623 +1986 1 16 0 1 ALBERTO 18.0 264.9 65 194 +1998 9 19 12 28 HELENE 51.1 312.1 112 146 +1992 8 11 12 15 ERNESTO 60.9 130.7 26 138 +1997 4 5 6 16 ISAAC 56.6 302.4 19 121 +1969 3 17 6 22 FLORENCE 20.9 255.8 143 337 +1986 8 21 12 8 VALERIE 13.7 203.3 10 803 +1959 7 23 12 8 MICHAEL 63.7 59.8 29 191 +1996 1 19 12 8 KIRK 40.3 186.7 155 218 +1952 4 9 18 13 RAFAEL 56.6 180.8 152 850 +1960 12 18 6 8 MICHAEL 30.8 122.2 144 341 +2002 5 1 0 25 FLORENCE 66.7 91.5 153 430 +1953 7 11 6 28 MICHAEL 33.0 328.9 14 459 +1965 10 15 0 20 VALERIE 30.6 203.2 122 292 +1988 1 1 6 16 LESLIE 18.0 162.0 44 582 +1994 4 2 0 5 GORDON 26.4 338.4 87 678 +1963 8 12 0 21 WILLIAM 31.0 196.8 92 17 +1981 6 1 0 24 GORDON 50.7 147.5 43 703 +2003 7 6 18 21 RAFAEL 52.8 250.8 23 651 +2004 1 12 0 26 VALERIE 22.8 219.5 88 226 +1967 5 10 6 24 NADINE 19.7 117.8 157 591 +1985 12 28 0 1 DEBBY 21.8 182.4 10 49 +1952 4 4 18 6 ERNESTO 33.7 143.6 106 484 +1965 1 9 12 19 WILLIAM 41.2 224.1 95 98 +1995 3 17 6 2 ERNESTO 66.7 117.5 82 158 +1959 6 6 6 17 PATTY 38.5 116.6 32 410 +1977 1 15 12 18 OSCAR 11.9 0.2 33 493 +1970 3 23 0 10 BERYL 31.2 171.0 113 840 +2002 5 5 0 19 BERYL 29.6 214.2 86 619 +1984 11 10 12 15 GORDON 67.6 315.0 18 612 +2004 12 6 18 4 HELENE 40.1 142.9 142 287 +1979 7 3 0 24 CHRIS 44.8 331.3 161 176 +1980 5 14 6 6 BERYL 33.8 145.8 161 540 +1995 4 8 0 23 DEBBY 49.5 211.3 57 853 +1969 8 20 12 1 TONY 35.9 273.1 65 217 +2003 2 19 12 26 MICHAEL 48.4 343.1 132 815 +1977 4 27 18 25 ALBERTO 18.0 313.6 101 153 +1961 6 8 12 9 NADINE 9.7 235.0 11 585 +1992 11 18 0 2 VALERIE 18.5 73.5 20 690 +1987 10 24 0 9 CHRIS 58.2 15.0 136 399 +1956 5 1 18 2 LESLIE 9.7 254.5 154 519 +1983 12 2 6 5 GORDON 65.7 146.9 137 201 +1989 7 5 18 19 GORDON 28.0 325.6 113 834 +1960 9 11 18 23 SANDY 23.0 307.1 129 721 +1998 6 6 18 8 OSCAR 42.5 267.0 144 286 +1958 1 17 12 19 ERNESTO 35.5 211.5 43 23 +1967 3 25 6 3 MICHAEL 66.3 191.0 30 820 +1994 6 16 12 2 KIRK 64.6 118.9 17 405 +1955 11 18 12 21 OSCAR 58.3 312.2 93 808 +1978 2 18 6 27 JOYCE 9.6 3.3 115 340 +1974 9 28 6 14 NADINE 43.3 85.1 34 183 +1957 7 25 12 13 GORDON 14.1 3.0 37 398 +2000 5 8 6 14 ERNESTO 69.5 324.6 19 375 +1991 1 14 6 25 DEBBY 69.7 118.0 86 889 +1983 2 8 6 3 JOYCE 20.0 106.5 114 496 +1971 9 21 12 26 VALERIE 15.4 315.4 139 835 +1967 7 24 12 25 RAFAEL 54.4 285.0 150 49 +1992 10 2 18 16 VALERIE 8.4 75.6 49 513 +1967 1 18 6 24 GORDON 34.1 259.6 74 605 +1950 4 6 0 3 DEBBY 64.1 172.7 94 95 +1981 3 20 6 11 ALBERTO 50.1 298.2 33 541 +2004 7 6 18 3 GORDON 49.8 214.0 137 177 +1997 9 9 0 23 RAFAEL 46.6 177.8 20 579 +1992 9 4 18 17 ISAAC 60.6 172.6 98 550 +1982 6 18 12 15 PATTY 19.3 136.6 84 826 +1985 4 20 0 12 KIRK 13.0 165.5 140 157 +1959 1 28 0 19 KIRK 62.2 33.7 101 176 +1984 3 16 18 19 VALERIE 7.2 178.6 57 593 +1965 1 26 18 24 ISAAC 16.1 105.0 144 560 +2003 6 2 12 17 WILLIAM 12.2 53.6 154 821 +2003 12 28 6 5 ISAAC 21.2 277.3 119 424 +1971 6 8 18 10 KIRK 29.3 281.3 111 51 +1980 6 23 6 3 KIRK 9.2 265.4 12 383 +1957 7 10 18 27 BERYL 23.7 174.2 109 75 +1968 8 10 18 9 TONY 7.8 10.1 95 844 +1985 7 3 18 24 CHRIS 55.8 334.7 94 174 +2004 12 10 0 2 OSCAR 16.3 199.1 154 372 +1980 11 27 18 27 LESLIE 51.6 218.3 36 123 +1977 2 26 0 22 VALERIE 26.2 73.1 26 498 +1962 7 17 18 15 WILLIAM 61.3 269.2 91 877 +1983 7 21 0 9 PATTY 7.1 9.2 72 284 +1997 7 27 18 6 SANDY 59.7 255.8 80 233 +1984 5 6 6 3 ALBERTO 43.0 55.2 54 173 +1962 7 2 18 3 DEBBY 8.2 121.1 33 729 +1979 6 15 12 27 JOYCE 60.5 70.0 128 622 +1980 9 6 6 19 NADINE 60.4 107.4 67 108 +1989 11 25 6 1 HELENE 22.7 89.6 131 227 +1997 1 1 0 26 WILLIAM 62.7 231.9 145 444 +1999 10 4 6 11 FLORENCE 43.6 205.4 51 675 +1954 5 7 12 18 MICHAEL 36.4 296.8 106 225 +1966 10 15 6 6 RAFAEL 42.5 230.3 131 620 +1972 11 21 6 13 MICHAEL 54.9 173.2 83 46 +1964 7 21 12 9 KIRK 29.3 317.7 133 356 +1989 2 5 0 2 NADINE 17.2 20.5 86 508 +1952 5 27 18 4 NADINE 42.6 190.6 143 604 +1984 9 28 12 18 JOYCE 11.0 109.4 19 507 +1992 4 23 6 16 VALERIE 33.5 94.6 29 446 +2004 2 9 12 4 BERYL 68.0 200.5 21 810 +1950 8 18 18 11 ALBERTO 36.9 224.7 36 712 +1966 12 26 0 4 RAFAEL 20.8 302.9 96 341 +1981 2 13 18 11 GORDON 43.7 144.8 89 883 +1969 10 7 0 6 MICHAEL 32.0 132.1 83 57 +1973 3 3 6 1 VALERIE 55.2 306.6 44 873 +1961 1 6 0 28 RAFAEL 66.8 129.0 154 846 +1975 5 10 18 11 LESLIE 64.9 314.0 134 239 +1950 1 23 18 19 PATTY 52.8 154.9 35 709 +1986 10 6 12 19 ERNESTO 33.2 257.3 112 643 +1965 9 21 0 16 WILLIAM 8.9 249.4 154 852 +1980 9 23 6 7 RAFAEL 43.3 109.9 93 867 +1964 7 16 12 17 OSCAR 45.7 350.9 59 109 +1993 7 23 6 5 JOYCE 22.3 308.7 141 560 +1972 9 17 0 5 FLORENCE 45.0 186.6 42 188 +1963 6 3 12 16 WILLIAM 16.9 153.5 153 863 +2000 10 23 12 25 JOYCE 62.1 63.3 106 455 +2002 3 13 6 2 NADINE 34.8 69.4 76 805 +1989 5 9 0 27 BERYL 16.3 313.7 149 285 +2004 12 9 6 3 BERYL 42.2 319.2 124 550 +1992 4 13 12 12 HELENE 34.7 332.6 18 214 +1967 10 21 0 24 MICHAEL 21.0 332.4 14 642 +1973 7 15 12 16 TONY 57.2 28.5 28 121 +1950 3 27 0 14 FLORENCE 34.3 279.4 13 448 +2000 12 17 18 25 ISAAC 27.4 274.2 19 843 +2001 8 16 0 25 OSCAR 46.8 89.8 115 73 +1967 8 11 6 11 KIRK 8.4 132.7 34 235 +2001 10 21 0 10 VALERIE 68.5 0.4 43 38 +1955 11 8 18 15 MICHAEL 64.7 167.9 143 505 +1998 7 23 0 21 PATTY 7.4 45.0 64 769 +2004 8 17 0 14 FLORENCE 14.1 200.2 164 837 +1989 4 9 6 14 PATTY 21.9 16.1 132 358 +1969 7 15 0 22 LESLIE 41.7 269.5 141 214 +1981 11 8 0 25 BERYL 47.3 310.4 25 167 +1972 12 7 0 13 JOYCE 38.9 41.4 118 604 +1964 10 4 6 4 TONY 63.7 89.0 21 746 +1951 4 9 18 1 ALBERTO 56.6 257.7 99 476 +1961 11 1 12 12 KIRK 28.5 166.6 23 365 +1992 10 19 18 25 OSCAR 8.7 305.9 107 819 +2002 5 19 6 18 ERNESTO 36.6 327.7 156 326 +1992 3 3 6 9 ALBERTO 41.8 146.8 16 272 +1954 3 14 0 20 KIRK 19.6 12.8 97 292 +1963 9 13 12 19 VALERIE 61.0 11.9 67 426 +1963 11 9 6 16 VALERIE 58.9 187.5 30 202 +1991 2 21 0 28 RAFAEL 11.4 41.6 34 570 +1983 2 24 6 20 KIRK 24.9 304.7 83 172 +1999 1 26 18 8 DEBBY 38.4 54.9 119 647 +1991 5 19 0 2 RAFAEL 15.1 339.2 117 723 +1968 11 25 6 26 HELENE 24.9 106.6 87 41 +1994 4 3 0 22 SANDY 26.3 285.9 90 692 +1958 10 2 0 8 ERNESTO 25.4 61.0 78 331 +1994 3 18 0 13 CHRIS 48.2 232.7 111 847 +1982 2 19 6 4 NADINE 57.7 6.4 140 890 +1987 3 27 18 10 ERNESTO 28.2 13.6 142 201 +1973 5 26 18 9 LESLIE 62.9 287.0 134 50 +1958 1 15 0 7 HELENE 39.8 202.7 20 501 +1984 9 21 0 12 NADINE 18.4 172.8 90 624 +1970 8 15 6 9 OSCAR 53.5 123.5 144 235 +1986 6 23 18 7 DEBBY 58.1 127.5 59 133 +1956 12 19 0 23 FLORENCE 24.1 353.0 89 314 +1995 1 6 0 27 BERYL 68.0 349.2 102 427 +1959 5 18 0 6 OSCAR 36.7 178.7 53 745 +1970 8 18 0 14 KIRK 67.9 134.9 22 376 +1992 10 6 6 4 GORDON 65.5 300.2 144 815 +1974 9 22 18 16 LESLIE 21.4 81.4 100 736 +1966 9 18 6 24 KIRK 9.8 142.4 96 395 +1964 9 10 18 11 MICHAEL 41.0 317.1 86 707 +1950 6 5 18 7 OSCAR 48.3 288.2 88 209 +1992 10 17 0 17 WILLIAM 54.7 267.9 25 363 +1998 12 14 18 8 MICHAEL 50.6 182.9 137 339 +1963 2 17 12 15 WILLIAM 18.0 338.5 80 253 +1971 10 3 12 14 KIRK 38.3 214.8 75 693 +1977 11 9 12 25 KIRK 32.3 73.4 65 518 +1956 8 25 6 25 HELENE 18.2 14.9 135 66 +1956 5 24 12 3 DEBBY 48.8 135.8 117 497 +1974 4 19 0 1 NADINE 35.5 269.6 130 569 +1964 10 27 12 27 ERNESTO 28.2 301.1 133 348 +1995 8 21 18 23 KIRK 25.1 183.3 145 93 +1970 11 8 18 22 SANDY 66.4 213.5 102 494 +1985 3 5 12 11 JOYCE 21.7 262.8 100 355 +1982 10 27 6 1 SANDY 48.8 147.6 118 318 +1980 2 11 18 9 DEBBY 16.8 110.4 119 224 +1966 2 14 18 28 MICHAEL 55.8 178.3 148 678 +1983 11 9 0 1 ISAAC 38.0 113.6 55 295 +1988 8 21 18 10 PATTY 62.7 314.5 109 747 +1988 9 3 18 26 DEBBY 24.3 31.1 51 233 +1988 3 1 18 16 BERYL 67.2 213.0 13 419 +1959 11 20 18 3 MICHAEL 48.7 144.6 71 663 +1951 2 16 0 25 DEBBY 15.0 23.3 18 60 +1992 6 9 18 21 MICHAEL 19.8 62.9 86 87 +1971 5 8 6 18 HELENE 23.3 54.3 98 360 +1953 8 1 0 18 OSCAR 19.9 226.6 51 481 +1958 1 1 18 19 RAFAEL 8.6 68.3 107 172 +1972 5 22 0 16 MICHAEL 44.3 119.5 55 846 +1995 7 21 18 12 CHRIS 24.4 26.8 141 717 +1970 5 4 6 26 MICHAEL 12.3 180.6 126 798 +1983 6 5 12 2 KIRK 44.1 292.3 23 887 +1997 5 10 6 10 ISAAC 13.8 13.2 164 236 +1988 6 3 12 24 PATTY 33.9 134.0 159 294 +1985 3 24 18 28 ISAAC 44.0 315.0 108 68 +1978 3 13 12 9 LESLIE 27.2 100.8 116 29 +1983 4 26 6 12 KIRK 21.5 346.1 63 429 +1982 8 13 6 28 NADINE 53.7 315.0 147 134 +1955 4 11 0 24 RAFAEL 28.9 306.7 40 143 +1977 1 10 0 15 FLORENCE 69.7 46.0 112 53 +1975 7 21 0 9 JOYCE 11.1 301.5 89 327 +2004 12 3 12 27 RAFAEL 25.7 30.0 160 361 +1961 8 10 0 26 VALERIE 44.0 255.1 111 425 +1995 12 24 6 17 LESLIE 20.1 236.7 61 335 +1983 8 21 6 4 JOYCE 53.9 333.7 86 390 +1998 11 19 18 9 TONY 12.6 19.1 88 685 +1973 9 1 6 12 RAFAEL 34.1 171.9 17 196 +1990 1 6 12 9 LESLIE 14.4 91.7 83 437 +1994 4 16 12 15 JOYCE 67.9 200.7 101 705 +1956 9 15 18 9 LESLIE 45.2 173.8 66 273 +1998 2 13 6 5 ERNESTO 62.7 36.4 130 552 +1985 7 8 12 19 LESLIE 36.6 89.3 151 27 +1980 5 14 18 10 KIRK 11.5 100.7 49 374 +1953 5 16 12 10 KIRK 69.8 208.8 122 63 +1973 3 24 6 1 WILLIAM 41.7 267.9 66 801 +1957 12 15 6 14 TONY 23.3 293.3 31 159 +1978 12 9 0 14 CHRIS 57.2 243.2 129 678 +1994 7 14 12 21 FLORENCE 12.4 165.5 147 748 +1972 4 22 0 2 BERYL 35.6 42.5 106 474 +1956 10 10 18 21 BERYL 67.0 332.5 111 600 +1982 12 28 6 22 WILLIAM 15.2 120.8 16 819 +1972 7 25 0 14 WILLIAM 33.5 178.0 134 347 +1988 9 18 18 4 ALBERTO 16.4 113.6 116 482 +1968 4 3 6 6 HELENE 23.4 284.3 144 517 +1976 9 26 12 21 GORDON 20.4 112.8 56 891 +1972 2 17 12 9 OSCAR 10.8 357.0 34 287 +1978 5 1 0 26 RAFAEL 36.8 237.7 26 431 +1957 8 27 18 23 CHRIS 23.8 288.9 142 614 +1976 2 26 18 16 FLORENCE 14.3 192.8 94 47 +1989 10 17 0 28 DEBBY 58.4 2.7 35 520 +1965 8 15 0 23 RAFAEL 47.4 114.3 117 479 +1989 2 20 0 8 NADINE 46.9 355.1 81 207 +2000 1 22 0 23 PATTY 40.6 129.9 119 356 +1971 1 1 6 13 RAFAEL 54.6 46.8 150 467 +1966 7 1 12 22 PATTY 46.1 294.3 145 454 +1978 12 4 6 27 MICHAEL 20.7 129.0 109 136 +1960 7 12 0 19 RAFAEL 42.6 149.2 123 597 +1961 4 21 6 22 KIRK 16.8 136.0 45 485 +1999 1 10 12 19 RAFAEL 16.7 12.5 163 202 +1954 8 3 18 16 NADINE 66.4 182.5 120 810 +1987 1 12 0 19 WILLIAM 30.5 83.2 28 205 +1957 11 12 0 9 VALERIE 42.5 325.2 151 772 +1979 8 25 12 28 BERYL 31.7 266.0 133 135 +1975 10 1 6 25 ERNESTO 26.6 305.0 95 42 +1996 12 15 12 13 FLORENCE 8.3 62.2 127 188 +1962 6 25 18 26 WILLIAM 9.7 325.0 90 181 +1998 9 19 0 11 DEBBY 50.4 66.7 90 99 +1982 12 10 18 18 LESLIE 49.7 204.2 28 673 +1959 7 2 0 14 HELENE 20.3 253.3 28 421 +2001 10 20 6 23 VALERIE 26.0 169.2 74 264 +1974 8 2 0 3 TONY 26.1 145.4 149 156 +1957 4 28 6 24 GORDON 47.8 62.2 110 770 +1981 1 8 6 23 DEBBY 69.5 16.5 126 360 +2002 2 14 18 22 ALBERTO 35.1 254.6 68 894 +1972 8 9 6 6 FLORENCE 46.5 243.4 35 491 +1963 3 15 6 17 KIRK 59.7 267.9 117 16 +1965 3 5 6 14 JOYCE 26.6 110.9 102 110 +1971 9 22 6 1 DEBBY 23.0 80.4 61 732 +1976 3 9 0 7 ALBERTO 46.6 142.4 10 703 +1975 1 23 12 1 RAFAEL 57.4 139.8 160 503 +1988 10 23 0 21 NADINE 11.5 279.9 29 735 +1984 4 21 12 5 SANDY 32.4 344.5 67 54 +1959 6 27 18 8 MICHAEL 31.4 222.1 122 301 +1993 4 3 6 25 MICHAEL 69.6 35.1 121 19 +1993 2 2 0 7 OSCAR 26.9 297.9 28 194 +1972 8 2 0 13 SANDY 69.2 83.4 96 48 +1950 11 18 18 12 GORDON 31.5 316.8 91 124 +1971 10 14 6 2 DEBBY 57.3 291.9 142 605 +1955 3 2 0 14 MICHAEL 11.6 135.2 42 896 +1978 5 3 18 9 TONY 13.1 0.7 124 111 +1990 1 21 0 1 OSCAR 13.7 329.2 142 349 +1967 2 3 6 19 ALBERTO 54.5 157.8 19 744 +2000 11 28 18 20 CHRIS 10.6 257.2 110 868 +1962 4 2 0 18 DEBBY 57.5 83.0 102 137 +1967 10 9 18 7 BERYL 20.3 212.5 87 733 +1973 7 25 6 10 CHRIS 35.6 320.6 138 123 +1966 1 22 18 21 SANDY 53.8 174.5 75 428 +1975 11 17 12 5 PATTY 66.3 109.1 130 110 +1965 4 22 0 10 DEBBY 10.7 18.5 98 157 +1951 1 22 0 22 ALBERTO 56.3 154.4 159 346 +1952 7 4 6 27 ALBERTO 23.8 108.0 107 471 +1999 12 5 6 17 RAFAEL 67.2 357.9 57 822 +1978 9 28 6 10 SANDY 44.2 113.4 135 295 +1975 6 4 12 11 ALBERTO 33.9 202.3 133 587 +1990 10 2 0 1 ISAAC 64.0 298.1 126 533 +2002 12 19 6 3 JOYCE 52.2 302.3 76 116 +1955 6 11 6 4 FLORENCE 14.5 249.8 43 784 +1975 5 8 12 19 ALBERTO 49.9 313.5 162 845 +1993 1 1 6 21 WILLIAM 26.6 158.7 26 389 +1955 4 19 6 10 SANDY 58.6 114.2 121 466 +1990 6 17 0 1 OSCAR 47.1 27.4 77 601 +1966 6 8 0 9 BERYL 31.0 124.2 158 323 +1986 1 15 18 9 ALBERTO 32.4 263.2 97 353 +1999 11 13 18 9 HELENE 27.0 87.7 27 794 +1953 12 9 6 3 HELENE 39.0 347.4 116 151 +1994 12 5 6 26 GORDON 14.0 42.1 96 248 +1960 12 27 6 16 ISAAC 57.0 271.8 90 109 +1997 10 8 6 21 CHRIS 13.9 267.0 59 753 +1970 12 25 12 27 JOYCE 69.7 213.7 126 330 +1971 11 26 18 18 CHRIS 40.3 108.0 102 242 +1993 9 11 6 2 NADINE 28.9 175.2 106 549 +1994 2 20 6 26 CHRIS 51.2 247.0 46 425 +1950 7 5 0 24 JOYCE 69.9 59.4 82 290 +1979 5 15 18 4 MICHAEL 30.8 130.5 97 527 +1975 4 14 18 9 HELENE 59.7 191.2 82 556 +1961 2 14 18 11 OSCAR 47.3 131.2 27 703 +1965 4 26 18 16 GORDON 37.1 48.3 158 344 +1998 12 24 0 9 ERNESTO 30.5 48.9 61 776 +1964 8 20 0 8 ISAAC 47.5 140.4 144 839 +1982 6 19 6 22 TONY 10.4 305.2 119 727 +1964 11 27 0 25 RAFAEL 65.4 74.6 108 585 +1987 2 18 6 18 ISAAC 16.9 214.2 128 379 +1952 10 5 6 18 NADINE 27.8 63.5 39 363 +1959 2 5 6 10 NADINE 34.1 64.5 141 144 +1970 4 23 12 27 VALERIE 48.8 127.6 57 780 +1974 12 1 12 3 RAFAEL 8.7 184.0 153 354 +1995 9 25 6 9 GORDON 20.1 32.1 30 132 +1992 10 10 0 24 KIRK 18.7 78.5 117 44 +1981 6 5 12 11 HELENE 51.2 16.9 41 894 +1978 7 3 6 27 LESLIE 65.0 214.0 42 5 +1977 2 20 0 23 TONY 63.9 94.2 53 270 +1967 2 24 0 10 LESLIE 36.3 75.5 161 797 +1976 4 21 6 27 KIRK 46.8 39.9 34 567 +1966 1 7 12 2 VALERIE 27.2 54.4 143 109 +1980 3 2 18 16 SANDY 20.6 4.5 29 309 +1975 2 14 12 16 FLORENCE 43.3 224.7 36 559 +1952 12 28 0 24 PATTY 60.9 105.7 44 573 +1973 3 28 6 15 TONY 51.3 157.3 50 467 +1961 1 5 0 17 MICHAEL 40.7 194.7 86 865 +1971 4 17 18 3 MICHAEL 32.9 308.3 12 87 +1967 9 19 0 16 CHRIS 44.8 90.6 70 329 +1951 1 26 6 28 BERYL 44.2 29.6 121 884 +1952 4 14 12 27 BERYL 30.8 21.2 157 710 +1954 8 28 0 8 TONY 16.3 235.6 49 620 +1971 10 13 18 9 WILLIAM 34.7 27.6 43 558 +1982 5 2 12 13 WILLIAM 54.7 109.8 55 240 +1995 7 18 6 18 ISAAC 37.3 247.9 158 449 +1973 1 15 6 13 TONY 57.5 348.6 28 390 +1952 11 23 18 23 CHRIS 48.6 247.1 25 90 +1993 6 5 12 24 KIRK 22.1 342.7 55 895 +1973 7 27 12 20 MICHAEL 42.5 36.2 88 892 +1992 10 23 18 19 HELENE 7.4 236.6 136 151 +1992 5 2 12 22 ISAAC 44.9 346.2 155 74 +2000 4 9 6 19 ERNESTO 32.5 296.1 101 896 +1970 1 4 12 11 ISAAC 39.6 314.8 138 550 +1967 9 4 6 17 JOYCE 53.6 103.5 18 560 +1993 7 5 18 3 VALERIE 11.6 352.8 52 386 +1957 2 21 0 9 OSCAR 50.1 354.2 30 412 +1955 1 20 6 25 ERNESTO 46.9 235.8 28 745 +1994 1 23 12 21 DEBBY 33.6 21.6 14 60 +1958 8 12 0 13 BERYL 64.0 87.6 158 245 +1972 11 7 18 28 HELENE 13.2 35.4 108 399 +1989 12 24 0 5 ISAAC 67.9 340.9 66 707 +1957 9 20 6 16 PATTY 60.1 190.7 141 173 +2004 8 27 12 7 WILLIAM 34.1 85.3 97 669 +2000 5 14 12 17 CHRIS 68.4 347.9 53 32 +1967 5 13 12 2 FLORENCE 60.9 297.7 103 539 +1985 12 23 0 12 NADINE 14.0 104.3 41 814 +1977 2 27 0 26 OSCAR 42.1 163.3 67 610 +1979 8 19 6 26 BERYL 31.8 197.3 22 642 +1965 11 13 18 6 ERNESTO 12.2 344.0 131 248 +1987 7 6 6 4 RAFAEL 22.9 98.5 149 285 +1993 5 22 0 8 CHRIS 51.1 309.6 97 34 +1997 9 23 12 8 NADINE 44.2 251.3 32 600 +1985 4 16 0 17 WILLIAM 50.4 228.0 159 17 +1986 8 18 12 19 ERNESTO 39.3 60.0 42 100 +1987 10 8 0 14 ISAAC 16.3 169.3 136 24 +1995 4 6 0 15 JOYCE 44.3 160.8 118 596 +1951 12 3 0 7 HELENE 30.5 221.3 49 228 +1952 2 22 18 9 NADINE 17.7 265.5 91 577 +1967 2 26 12 21 CHRIS 20.1 129.1 101 813 +1981 10 14 6 14 CHRIS 13.7 123.8 60 763 +1989 9 15 6 18 GORDON 39.5 162.1 40 338 +1956 8 4 18 13 ERNESTO 54.1 123.0 145 471 +1975 10 5 18 25 TONY 48.5 90.9 141 199 +1982 4 2 18 13 PATTY 10.6 100.1 67 388 +1995 5 27 12 27 TONY 42.3 228.1 24 612 +1999 1 20 6 13 VALERIE 27.5 289.1 59 527 +1981 4 17 18 25 WILLIAM 26.2 325.0 58 207 +1999 10 18 0 4 MICHAEL 39.4 315.6 161 743 +1963 2 10 18 24 NADINE 42.8 190.9 143 703 +1997 5 17 18 7 JOYCE 21.8 54.6 80 565 +1982 11 9 12 7 ALBERTO 42.5 158.6 146 278 +1951 2 1 0 17 ALBERTO 43.8 2.0 139 44 +1985 10 7 12 22 CHRIS 66.1 267.3 158 537 +1997 4 1 0 3 RAFAEL 32.8 199.9 139 851 +1959 9 11 18 25 ALBERTO 65.0 55.6 81 722 +1967 4 23 6 9 JOYCE 60.2 218.5 38 618 +1992 4 4 0 15 VALERIE 34.6 122.7 88 113 +1991 6 15 18 4 LESLIE 30.1 321.4 95 417 +1967 7 7 0 6 ALBERTO 25.2 347.5 148 573 +1971 10 25 12 7 DEBBY 36.8 220.7 149 469 +1985 9 24 0 21 KIRK 17.5 340.7 41 113 +1975 5 12 18 11 GORDON 24.9 190.3 149 688 +1955 11 4 0 20 MICHAEL 19.0 60.2 41 290 +1968 12 15 18 24 GORDON 66.3 211.0 105 93 +1951 7 22 12 3 MICHAEL 57.8 336.7 136 269 +1969 12 9 6 25 ERNESTO 8.8 248.7 151 9 +1988 7 12 6 2 WILLIAM 45.1 303.0 147 333 +1984 2 3 12 11 NADINE 16.5 226.8 125 458 +2004 4 10 0 26 RAFAEL 56.6 47.5 16 534 +1974 11 20 0 11 DEBBY 11.7 13.9 98 113 +1967 8 8 6 8 FLORENCE 63.3 340.4 97 303 +1981 7 6 0 15 FLORENCE 66.4 183.4 130 133 +1950 11 16 12 15 LESLIE 54.8 10.1 135 9 +1969 2 7 0 22 ISAAC 8.2 31.2 89 260 +1986 3 13 0 28 LESLIE 60.3 219.2 35 289 +1970 5 4 12 24 SANDY 45.9 63.8 124 432 +1988 9 2 18 1 MICHAEL 19.1 322.3 51 71 +1952 1 27 12 5 ERNESTO 48.8 346.9 92 121 +1960 2 7 18 16 SANDY 36.1 191.8 74 792 +1987 4 23 12 11 GORDON 29.3 226.5 106 525 +1991 5 14 6 8 SANDY 27.6 74.3 42 596 +1993 12 6 0 21 OSCAR 12.5 6.2 145 690 +1995 4 8 18 16 PATTY 17.6 273.8 32 570 +2001 6 11 0 3 KIRK 16.9 124.9 37 672 +1999 3 23 12 1 NADINE 9.9 8.5 130 709 +2002 7 16 18 4 ISAAC 13.3 306.4 121 4 +1989 1 12 0 26 ALBERTO 16.0 172.7 38 124 +1966 8 24 6 12 RAFAEL 24.5 325.2 42 70 +1989 4 6 6 3 RAFAEL 26.9 121.5 19 782 +1953 9 19 12 4 OSCAR 45.0 194.7 108 347 +1994 10 10 18 3 VALERIE 7.4 171.0 54 676 +1998 10 24 6 3 ISAAC 13.7 235.6 106 450 +1978 7 20 18 5 JOYCE 63.3 227.8 150 685 +1985 11 20 6 22 TONY 27.6 63.7 118 629 +1964 4 18 18 27 NADINE 39.1 128.9 85 619 +1992 8 8 6 12 TONY 54.1 259.2 11 763 +1956 6 22 18 3 ERNESTO 12.9 334.1 35 86 +1986 11 28 0 7 CHRIS 64.8 160.7 162 235 +1985 10 27 0 28 HELENE 47.7 216.1 70 36 +1962 3 23 0 5 SANDY 33.3 279.4 78 668 +1981 7 22 12 18 RAFAEL 39.1 189.1 141 380 +1953 11 13 0 7 TONY 15.6 159.1 106 805 +1992 3 4 0 21 ERNESTO 26.1 191.3 61 84 +1989 4 1 6 12 VALERIE 49.3 130.6 38 280 +1954 10 28 6 21 NADINE 42.1 167.5 59 385 +1967 7 16 12 20 GORDON 43.2 167.3 128 106 +1986 12 28 0 10 CHRIS 11.4 274.6 99 276 +1967 3 28 0 12 FLORENCE 41.9 310.2 152 27 +1979 1 12 6 16 NADINE 67.1 152.8 149 150 +1987 4 25 12 16 MICHAEL 23.2 289.3 101 833 +2003 2 8 18 19 BERYL 8.5 116.0 50 231 +1996 5 14 0 15 HELENE 15.1 282.2 101 209 +1981 3 5 18 13 FLORENCE 49.8 271.0 33 607 +1972 7 27 0 9 OSCAR 20.6 55.5 152 821 +1979 11 3 12 1 LESLIE 56.6 295.2 22 535 +1954 9 3 6 1 PATTY 12.8 131.6 161 760 +1986 5 21 0 18 SANDY 55.9 330.8 28 114 +1976 4 24 0 24 LESLIE 30.1 354.7 22 796 +1974 5 9 12 27 DEBBY 10.9 293.4 125 407 +1984 3 5 0 19 FLORENCE 69.4 47.7 126 634 +1979 11 22 12 25 BERYL 46.3 227.1 54 183 +1984 9 28 12 7 ERNESTO 46.6 108.3 130 145 +1964 9 9 0 7 VALERIE 54.2 312.8 106 390 +1985 6 10 6 26 MICHAEL 60.6 229.9 114 257 +1979 1 3 18 3 ERNESTO 61.6 268.4 28 894 +2001 3 11 18 7 GORDON 50.4 314.2 130 899 +1989 2 21 6 18 HELENE 21.8 211.0 136 734 +1954 6 27 6 22 ALBERTO 46.9 216.7 14 634 +1953 7 14 18 14 ISAAC 7.4 196.2 140 819 +1991 8 26 18 25 LESLIE 13.4 61.9 128 517 +1956 10 12 12 27 OSCAR 63.6 46.4 147 408 +1967 10 4 6 25 FLORENCE 31.6 247.6 64 35 +1982 2 13 0 2 PATTY 21.1 95.9 75 633 +1998 11 5 12 13 OSCAR 15.3 207.9 79 549 +1957 6 6 6 16 MICHAEL 47.8 203.3 91 122 +1960 9 10 6 21 SANDY 40.7 127.6 156 17 +1965 2 14 18 28 CHRIS 8.4 150.7 87 629 +1956 11 14 12 27 VALERIE 29.1 171.2 59 125 +1967 12 15 6 15 JOYCE 68.2 340.3 137 166 +1988 12 4 18 18 PATTY 44.1 70.9 51 555 +1967 10 24 18 8 LESLIE 21.0 326.3 35 38 +1952 7 3 12 27 GORDON 26.8 317.8 80 50 +1958 12 17 12 14 WILLIAM 34.3 331.6 148 446 +1959 5 2 12 16 DEBBY 63.0 160.0 10 725 +1957 3 5 12 19 PATTY 56.0 214.4 14 240 +1975 9 9 6 26 GORDON 15.8 316.0 48 619 +1993 12 28 0 27 MICHAEL 69.1 87.4 62 478 +1985 6 11 0 27 NADINE 31.7 58.3 94 787 +1954 1 28 18 13 ERNESTO 67.7 106.3 38 230 +1992 8 3 12 23 GORDON 9.4 81.4 75 867 +2004 12 28 12 4 VALERIE 19.1 292.8 163 452 +1957 2 6 12 12 SANDY 21.2 214.7 117 852 +2003 2 16 18 13 OSCAR 31.6 256.4 70 14 +1984 6 14 6 27 HELENE 47.8 7.3 54 372 +1998 8 4 12 2 HELENE 8.9 159.3 113 682 +1977 1 24 18 22 LESLIE 68.7 16.8 137 218 +1957 1 8 18 7 CHRIS 13.3 266.7 76 630 +1963 1 26 0 19 ALBERTO 40.9 146.1 21 750 +1981 1 17 18 19 KIRK 36.5 286.1 83 775 +1980 12 11 18 2 ERNESTO 47.0 85.9 152 501 +1958 7 1 18 16 TONY 41.7 211.8 59 173 +1989 5 19 12 14 HELENE 45.2 240.4 85 378 +1961 8 27 12 3 KIRK 53.6 0.9 104 163 +1964 1 26 12 3 FLORENCE 16.4 321.7 17 424 +1951 3 13 18 10 ISAAC 30.8 124.6 102 860 +1961 10 9 6 26 OSCAR 41.6 332.3 33 438 +1984 3 27 6 28 OSCAR 62.5 140.5 87 391 +1969 12 4 12 28 OSCAR 59.3 9.5 159 344 +1976 11 22 18 21 BERYL 54.9 277.3 161 129 +1961 5 4 6 15 SANDY 30.4 117.2 57 544 +1964 7 17 0 25 HELENE 61.7 237.7 64 293 +1957 8 20 18 4 SANDY 9.8 337.3 67 881 +1976 12 16 12 16 PATTY 38.1 211.0 103 529 +1998 11 1 12 17 KIRK 51.2 122.5 46 325 +2002 6 12 0 5 BERYL 31.0 155.8 115 689 +1959 10 3 0 21 ISAAC 48.8 208.7 16 690 +1971 3 17 18 26 PATTY 59.9 192.2 102 411 +1993 6 17 12 10 CHRIS 19.5 75.1 10 565 +1970 12 3 18 27 FLORENCE 36.5 278.5 28 561 +1992 7 5 12 22 KIRK 51.8 109.3 50 54 +1994 5 4 18 14 HELENE 62.5 86.4 109 334 +1982 12 22 0 18 GORDON 31.3 33.2 50 398 +1960 4 9 18 26 LESLIE 25.7 169.2 97 598 +1996 11 6 18 2 LESLIE 38.0 33.6 142 398 +1982 5 28 0 7 OSCAR 64.6 78.0 42 314 +1950 3 13 6 25 FLORENCE 36.2 258.8 17 641 +1953 8 3 0 3 VALERIE 54.7 342.5 131 391 +2003 4 9 0 27 PATTY 8.2 355.6 48 671 +1984 3 14 6 16 KIRK 61.0 216.8 42 576 +1952 10 17 6 24 VALERIE 68.0 276.4 95 798 +1970 3 5 0 6 GORDON 16.9 45.0 97 184 +1982 10 15 6 10 VALERIE 18.5 56.2 106 622 +1988 9 14 18 26 LESLIE 25.4 194.5 133 447 +1994 6 2 6 2 CHRIS 17.6 77.1 11 247 +1996 1 10 0 15 VALERIE 64.5 204.2 158 847 +1982 10 2 12 15 PATTY 8.4 212.7 127 401 +2002 10 7 6 3 ERNESTO 52.7 141.7 83 667 +1974 2 25 6 25 FLORENCE 40.2 107.2 111 811 +1980 4 18 0 3 OSCAR 59.8 174.3 151 176 +2003 12 24 12 17 ALBERTO 60.2 138.6 56 117 +1994 9 14 12 2 LESLIE 55.0 74.1 154 225 +1980 4 4 0 28 DEBBY 36.0 297.0 82 873 +1950 8 22 18 21 GORDON 37.7 142.9 92 467 +1989 9 2 18 3 ERNESTO 34.1 143.8 93 500 +1968 6 9 12 2 MICHAEL 35.3 74.0 16 161 +1998 5 11 0 7 BERYL 36.9 71.1 42 895 +1978 6 17 0 21 HELENE 13.0 44.8 87 668 +1958 8 17 6 20 NADINE 50.0 1.8 38 439 +1953 10 2 0 26 JOYCE 25.4 56.8 33 821 +1982 3 23 18 28 VALERIE 37.6 157.9 52 488 +1954 9 11 6 10 HELENE 19.4 142.2 18 26 +1988 2 27 6 2 RAFAEL 37.0 347.4 54 481 +1989 10 5 0 18 KIRK 28.0 51.8 145 699 +1961 12 28 12 14 TONY 34.5 129.9 63 458 +1952 11 25 0 20 PATTY 22.7 117.1 94 120 +1958 8 6 0 26 GORDON 61.1 216.3 81 137 +1987 3 13 6 18 BERYL 42.0 353.0 124 670 +1952 10 5 6 7 RAFAEL 16.6 110.5 24 363 +1995 2 20 18 25 ISAAC 26.9 165.6 32 129 +1984 9 18 18 9 ALBERTO 22.9 350.3 156 732 +1968 9 15 12 16 OSCAR 14.3 113.9 100 194 +1987 6 19 6 7 DEBBY 11.0 91.2 148 100 +1951 11 19 12 17 ALBERTO 43.6 226.6 123 565 +1965 6 8 12 3 LESLIE 21.1 282.4 145 844 +1952 5 11 6 20 KIRK 34.4 326.2 123 158 +1998 10 20 0 7 RAFAEL 39.2 14.0 84 65 +2003 7 18 18 18 MICHAEL 53.9 54.5 135 166 +1974 5 19 0 5 MICHAEL 17.3 268.2 152 64 +1963 12 13 18 13 FLORENCE 34.0 44.4 79 385 +1998 5 5 12 3 VALERIE 41.5 56.5 162 444 +1997 1 18 0 7 DEBBY 58.1 268.8 18 558 +1972 2 16 18 19 OSCAR 57.8 321.3 81 579 +1955 8 1 0 22 WILLIAM 24.3 249.5 16 263 +1969 6 14 18 27 CHRIS 42.6 125.7 101 213 +2002 7 11 12 4 TONY 39.8 171.1 135 111 +1963 9 8 18 7 KIRK 65.0 125.8 32 75 +1986 4 22 0 5 ISAAC 48.9 276.6 17 375 +1985 5 7 18 3 RAFAEL 25.0 69.5 58 689 +1975 3 6 12 27 OSCAR 62.8 269.9 11 691 +1985 10 17 0 6 TONY 64.3 311.6 17 525 +2003 2 23 18 12 ERNESTO 43.6 226.9 92 634 +1998 4 23 0 19 ERNESTO 59.2 197.5 154 669 +1951 11 5 12 1 PATTY 10.7 341.4 49 316 +1988 10 5 0 12 TONY 41.2 327.6 49 163 +1973 10 19 12 25 JOYCE 33.3 271.5 138 708 +1975 5 10 0 27 SANDY 50.5 312.0 87 178 +1995 1 12 18 1 GORDON 38.3 294.5 125 730 +1984 9 26 6 28 BERYL 34.9 339.8 148 181 +1956 3 19 18 19 MICHAEL 23.8 356.4 86 636 +2002 4 16 0 9 TONY 57.3 327.0 76 636 +2001 10 3 6 8 VALERIE 28.0 353.9 96 254 +1986 5 7 6 6 ISAAC 65.8 265.0 159 773 +2001 10 12 12 18 PATTY 39.7 273.0 57 39 +2001 1 8 6 26 RAFAEL 9.6 175.8 107 322 +1982 1 22 6 14 MICHAEL 21.9 6.7 19 587 +1995 4 28 6 28 OSCAR 44.3 3.7 159 550 +1953 10 9 18 19 SANDY 43.3 347.3 78 885 +1966 4 5 6 18 JOYCE 24.5 270.9 61 715 +1984 6 21 12 26 TONY 39.9 311.4 14 642 +1975 4 21 18 7 RAFAEL 40.1 247.9 78 225 +1973 5 21 6 18 KIRK 52.3 102.2 32 91 +1979 6 27 18 7 BERYL 62.0 260.5 74 546 +1958 5 9 6 25 KIRK 47.2 150.3 133 841 +1977 4 9 6 9 WILLIAM 57.8 156.4 39 188 +1986 8 19 18 2 HELENE 54.2 345.6 75 11 +2004 8 10 18 18 ALBERTO 43.6 14.2 141 86 +1963 11 7 0 6 JOYCE 28.8 324.4 24 653 +1988 7 21 0 21 WILLIAM 66.3 109.3 157 253 +1980 7 10 12 8 PATTY 23.6 131.9 139 394 +1953 10 7 18 8 BERYL 18.0 276.6 80 601 +1995 9 5 0 5 RAFAEL 28.7 336.2 161 111 +1990 5 5 18 12 ISAAC 28.5 183.3 133 617 +1972 7 2 12 20 ISAAC 44.3 197.0 94 798 +1967 7 24 12 18 JOYCE 49.9 328.6 76 557 +1999 4 20 18 23 NADINE 45.4 128.1 62 475 +1979 11 4 12 3 NADINE 55.4 121.0 87 494 +1958 12 6 18 9 JOYCE 15.3 174.9 104 41 +1959 3 26 6 5 WILLIAM 58.8 75.9 132 573 +1955 4 13 0 27 GORDON 29.4 257.7 147 225 +1958 1 25 12 17 ISAAC 63.1 82.9 79 829 +1988 4 22 0 28 ALBERTO 38.9 199.2 23 381 +1952 2 22 6 23 KIRK 59.7 231.2 49 797 +1954 5 19 6 10 GORDON 61.8 299.2 85 120 +1969 1 23 12 15 PATTY 10.9 42.9 92 636 +1987 7 23 18 21 WILLIAM 58.9 272.7 21 359 +1987 1 23 6 12 DEBBY 52.1 109.9 74 44 +1975 10 7 12 12 FLORENCE 40.1 254.3 64 632 +1958 2 6 6 17 ISAAC 54.9 257.9 104 371 +1972 1 19 6 16 BERYL 61.0 18.0 28 188 +1990 4 6 6 20 MICHAEL 62.3 66.3 60 820 +1966 12 2 12 27 ERNESTO 56.7 14.6 105 615 +1992 8 28 0 12 OSCAR 59.8 246.2 159 375 +1976 5 18 0 20 MICHAEL 30.6 180.8 139 238 +1973 7 8 12 3 LESLIE 51.8 111.7 129 552 +1952 6 14 6 11 SANDY 13.5 289.2 65 6 +2004 4 2 12 18 ERNESTO 35.3 110.1 148 798 +1977 11 24 18 12 VALERIE 64.2 235.5 110 372 +1991 2 27 18 20 LESLIE 26.5 262.1 163 517 +1967 2 25 6 26 SANDY 48.3 81.5 155 738 +1980 7 3 6 12 OSCAR 66.8 328.0 75 296 +1977 5 3 0 27 JOYCE 14.2 98.7 16 769 +1962 4 1 12 15 VALERIE 52.9 41.4 88 308 +1967 1 5 18 20 PATTY 46.8 118.2 144 589 +2002 11 21 6 4 MICHAEL 25.1 109.6 149 42 +1954 5 9 12 12 RAFAEL 13.5 215.3 136 486 +1965 2 7 18 21 GORDON 30.0 185.1 40 508 +1975 10 27 0 17 OSCAR 36.4 270.0 39 122 +1955 2 1 0 5 HELENE 35.4 285.8 71 683 +1984 10 6 6 2 RAFAEL 62.0 147.5 97 341 +1996 5 15 6 19 FLORENCE 26.4 49.5 137 35 +1992 10 9 12 11 OSCAR 32.9 253.3 36 667 +1966 2 2 0 6 ERNESTO 36.5 219.6 80 369 +1959 6 17 0 2 ALBERTO 16.2 312.6 74 191 +1992 4 6 6 15 KIRK 62.5 96.9 27 807 +1978 7 15 18 3 MICHAEL 16.8 282.7 145 778 +1977 4 3 0 24 WILLIAM 43.2 129.7 139 85 +1966 6 19 18 7 CHRIS 50.4 242.9 140 238 +1992 4 11 6 24 BERYL 58.6 137.9 138 432 +1981 3 4 0 15 MICHAEL 52.1 147.4 134 279 +1966 11 23 18 20 BERYL 26.4 200.1 88 223 +1987 12 3 18 17 ERNESTO 67.5 239.9 159 278 +2003 9 15 0 1 VALERIE 7.4 129.4 142 406 +1993 8 23 18 19 ISAAC 48.5 49.0 144 886 +1994 11 21 12 10 MICHAEL 28.3 238.6 22 362 +1964 5 25 18 7 KIRK 67.0 358.0 65 895 +2002 11 12 0 12 KIRK 69.4 99.6 29 282 +1970 9 4 12 7 GORDON 65.7 308.0 103 441 +1971 11 27 0 18 DEBBY 14.2 331.9 48 404 +1954 7 23 12 9 CHRIS 59.4 256.7 110 436 +1968 9 11 18 22 ALBERTO 10.7 242.7 21 38 +1959 12 2 12 11 RAFAEL 65.7 349.3 28 487 +1979 12 1 12 20 GORDON 9.8 302.7 39 753 +1977 11 18 0 18 SANDY 43.5 222.3 46 623 +1977 9 28 18 15 LESLIE 23.1 205.0 49 604 +1955 12 24 18 9 HELENE 11.0 322.9 114 433 +1978 7 9 18 6 FLORENCE 50.6 206.1 155 616 +1952 1 23 18 17 SANDY 42.4 338.6 133 387 +2004 4 24 6 26 BERYL 13.9 237.8 99 106 +1988 9 25 18 18 MICHAEL 39.0 174.5 10 674 +1961 8 23 0 12 HELENE 47.6 158.1 145 453 +1956 12 26 12 3 SANDY 40.2 328.4 132 197 +1966 12 22 6 10 JOYCE 23.1 203.0 131 499 +1985 3 4 18 9 RAFAEL 68.6 158.9 108 402 +1977 4 3 6 21 GORDON 47.6 344.4 90 29 +1969 10 25 12 16 BERYL 30.4 229.5 153 37 +1981 3 7 6 5 FLORENCE 26.5 115.5 20 741 +1956 11 6 18 12 SANDY 30.2 187.6 83 558 +1985 5 5 12 14 SANDY 9.3 238.8 14 748 +1973 9 27 0 15 WILLIAM 12.8 198.9 131 339 +1994 1 17 0 2 WILLIAM 19.9 356.2 116 67 +1994 12 19 18 26 NADINE 63.1 80.9 96 352 +1966 4 6 6 27 FLORENCE 42.0 277.1 48 835 +1961 10 6 6 12 ERNESTO 37.7 324.9 74 774 +1960 3 4 18 14 WILLIAM 22.4 60.1 53 350 +1971 12 23 12 4 OSCAR 63.2 175.9 140 714 +1978 2 14 0 1 JOYCE 25.8 84.9 75 159 +1956 12 18 6 16 JOYCE 65.2 266.7 21 754 +1963 9 13 12 11 TONY 13.0 217.6 121 9 +1953 5 7 6 26 CHRIS 50.8 21.1 131 728 +1970 9 9 18 27 ISAAC 35.8 319.3 111 849 +1969 2 12 0 20 GORDON 47.8 253.1 135 158 +1963 8 18 0 2 HELENE 40.8 53.2 55 475 +1963 12 5 18 26 RAFAEL 21.8 265.7 104 877 +1995 2 4 18 10 OSCAR 60.1 291.2 141 14 +1954 1 9 0 26 KIRK 16.7 59.7 12 502 +1973 5 2 12 1 ALBERTO 62.7 306.3 109 327 +1953 3 3 6 2 PATTY 48.9 214.3 115 471 +1954 9 21 18 25 SANDY 35.1 164.5 16 61 +2002 7 8 12 25 OSCAR 36.0 106.1 125 255 +1989 10 21 18 3 ERNESTO 67.1 143.3 50 230 +2002 10 4 18 9 HELENE 37.1 132.5 80 664 +1984 8 1 6 8 JOYCE 56.4 287.1 144 841 +1968 7 10 18 18 DEBBY 60.7 255.5 124 427 +2001 11 17 12 18 GORDON 40.4 180.7 148 777 +1992 8 2 18 17 MICHAEL 60.6 344.8 81 733 +1991 8 25 12 8 HELENE 23.2 19.6 111 823 +1976 8 16 12 6 WILLIAM 43.2 32.2 102 732 +1967 5 27 12 3 VALERIE 31.1 95.0 42 66 +1983 1 5 0 19 ISAAC 62.5 294.9 64 389 +2002 8 24 0 8 CHRIS 19.4 62.6 80 666 +1997 11 16 12 15 RAFAEL 21.2 300.0 53 416 +1986 12 3 12 7 DEBBY 21.5 351.1 48 845 +1974 12 19 12 12 ERNESTO 14.9 222.7 98 422 +1975 3 8 0 4 ISAAC 68.3 21.9 125 769 +1958 5 4 18 20 FLORENCE 25.1 277.1 83 776 +1958 6 3 6 21 DEBBY 45.6 31.6 63 301 +1993 11 12 6 2 CHRIS 68.0 130.8 114 651 +1973 11 18 18 1 BERYL 58.4 45.6 96 127 +1972 2 14 18 5 KIRK 27.2 342.6 36 527 +1992 10 15 12 23 TONY 22.0 52.4 51 139 +1979 8 21 0 2 VALERIE 49.9 127.1 140 375 +1993 3 6 18 14 ALBERTO 15.6 229.4 146 807 +1962 9 13 0 12 SANDY 24.0 325.6 112 701 +1973 2 13 0 12 RAFAEL 41.3 238.7 105 509 +2003 6 5 18 27 OSCAR 54.2 292.1 25 822 +1983 12 28 12 23 SANDY 30.3 132.9 125 237 +1967 12 2 6 10 ALBERTO 56.6 147.3 29 512 +1994 2 25 0 1 KIRK 41.8 61.4 106 165 +1988 6 1 18 6 HELENE 19.1 107.2 132 864 +1971 7 16 18 18 RAFAEL 64.1 251.3 121 11 +2002 2 28 12 27 DEBBY 56.2 250.1 115 263 +1977 4 15 6 26 ALBERTO 32.3 216.5 141 682 +1967 1 22 6 4 DEBBY 44.5 39.1 141 215 +1983 8 11 18 7 LESLIE 48.6 203.4 15 166 +1964 5 1 18 13 NADINE 47.1 278.5 105 730 +1953 9 21 18 20 DEBBY 29.6 184.1 61 431 +1970 10 9 18 19 DEBBY 34.0 262.8 77 631 +2000 5 10 12 23 CHRIS 25.6 325.3 119 428 +1973 10 9 12 3 KIRK 47.0 259.3 125 159 +1954 9 28 12 6 CHRIS 46.8 63.3 121 242 +1986 4 11 0 20 JOYCE 46.0 147.7 116 333 +1974 1 14 12 18 SANDY 31.1 8.5 134 170 +1991 8 16 18 15 NADINE 39.9 9.9 41 248 +1996 12 6 6 9 ERNESTO 46.6 17.0 78 133 +1978 5 7 6 26 WILLIAM 37.8 280.6 152 178 +1977 9 12 6 5 FLORENCE 57.3 33.0 85 345 +1965 10 3 0 6 MICHAEL 58.8 265.0 105 431 +1979 6 9 0 28 OSCAR 34.8 210.9 90 756 +1997 11 18 18 18 RAFAEL 23.9 275.8 50 650 +1963 7 12 18 22 WILLIAM 41.9 141.3 52 475 +1969 12 7 12 22 SANDY 24.7 205.0 26 274 +1984 9 15 6 20 ERNESTO 20.8 183.3 140 30 +1961 11 5 0 2 VALERIE 59.6 185.7 81 42 +1951 2 15 6 10 GORDON 34.3 147.5 104 808 +1951 10 21 12 4 GORDON 25.6 316.3 133 816 +1984 12 17 18 9 JOYCE 26.3 35.9 85 436 +1957 7 18 12 25 PATTY 9.4 336.8 18 576 +1955 8 17 6 21 ALBERTO 52.3 53.5 156 607 +1975 11 13 18 14 NADINE 55.0 304.0 133 169 +1991 3 23 6 10 FLORENCE 42.2 339.0 11 632 +1981 4 27 0 25 KIRK 12.4 309.3 157 482 +1984 9 13 0 2 CHRIS 68.6 139.9 29 154 +1990 6 23 6 24 HELENE 10.4 205.1 140 419 +1976 6 17 6 10 WILLIAM 62.4 178.8 47 261 +1954 8 21 0 19 FLORENCE 44.0 103.6 152 712 +1961 2 22 0 14 SANDY 30.7 53.1 114 840 +1971 2 22 0 10 ALBERTO 59.7 188.7 52 60 +2001 8 8 18 6 FLORENCE 37.8 355.3 147 18 +1996 12 4 6 16 ALBERTO 14.9 307.4 129 756 +1950 5 25 0 27 ERNESTO 60.6 12.6 93 641 +1958 7 16 6 27 SANDY 20.2 207.1 71 112 +1967 5 28 18 5 HELENE 67.3 229.5 133 585 +1972 9 17 0 25 FLORENCE 29.9 49.6 131 243 +1963 6 5 0 13 DEBBY 53.6 85.8 123 23 +1984 4 19 12 20 GORDON 32.8 259.1 128 589 +1990 1 19 18 13 SANDY 27.7 10.2 82 428 +1987 2 14 12 26 PATTY 37.4 256.3 26 787 +1965 2 19 6 10 BERYL 66.5 163.7 75 450 +1952 7 2 6 10 ERNESTO 57.2 52.1 164 336 +1996 12 17 0 8 DEBBY 53.6 46.7 122 797 +1970 9 18 6 26 FLORENCE 56.5 248.7 17 303 +1957 5 24 0 11 LESLIE 58.8 109.6 131 52 +1988 10 16 0 24 TONY 38.2 216.8 15 397 +2000 8 12 6 20 OSCAR 62.5 305.6 55 336 +1972 3 7 12 16 ISAAC 63.9 353.0 134 733 +2000 11 9 18 26 ALBERTO 13.6 57.7 98 826 +1973 6 13 12 14 SANDY 61.7 28.9 13 348 +1957 1 12 12 23 SANDY 11.5 33.8 43 570 +1964 9 27 12 20 ALBERTO 68.6 123.0 66 670 +1979 6 14 18 15 HELENE 52.9 151.1 44 41 +1975 4 6 18 3 KIRK 68.5 207.8 112 828 +1958 9 10 6 5 GORDON 69.5 71.8 12 631 +1968 2 7 18 16 KIRK 42.2 254.0 37 632 +1971 4 27 18 24 HELENE 46.6 123.2 154 70 +1974 5 14 12 2 WILLIAM 44.4 240.5 91 443 +2000 5 6 0 26 PATTY 51.0 25.7 100 44 +1994 8 13 12 13 CHRIS 41.3 206.3 133 789 +1993 11 23 0 27 TONY 56.9 76.5 149 600 +1968 7 25 18 10 ALBERTO 10.6 347.4 30 471 +1990 8 6 6 25 FLORENCE 46.5 341.5 31 753 +1989 5 18 12 17 ALBERTO 20.9 26.5 154 793 +1994 7 16 0 15 LESLIE 69.0 206.7 158 622 +1989 11 8 6 12 HELENE 24.9 127.7 111 596 +1960 4 4 12 18 NADINE 45.7 0.4 141 182 +1990 4 2 18 9 RAFAEL 48.1 346.1 96 791 +1974 10 15 0 21 CHRIS 42.2 8.9 66 387 +1984 2 23 0 9 DEBBY 41.8 132.3 81 896 +1959 8 3 12 9 BERYL 19.1 120.7 121 522 +1993 9 2 12 12 JOYCE 56.4 277.0 87 679 +1992 4 17 0 5 HELENE 63.1 120.3 37 600 +1984 2 10 6 10 VALERIE 9.0 26.3 85 877 +1989 5 22 12 13 MICHAEL 66.0 205.6 86 789 +1970 2 16 18 21 BERYL 33.8 161.5 11 502 +1961 10 24 0 15 ALBERTO 60.2 121.0 87 123 +1992 1 8 18 6 KIRK 26.7 116.1 142 818 +1964 5 10 0 14 BERYL 47.8 115.9 26 241 +1976 3 7 0 2 ALBERTO 19.6 161.0 46 895 +1951 11 12 6 15 RAFAEL 28.2 287.8 127 368 +1987 6 21 12 5 GORDON 62.1 132.3 59 375 +1998 1 7 6 27 SANDY 62.5 351.6 93 89 +1982 6 24 18 11 DEBBY 41.3 245.3 123 531 +1957 8 17 6 11 GORDON 43.3 307.5 114 380 +1975 5 14 18 17 WILLIAM 47.4 239.6 19 399 +1997 7 1 6 18 SANDY 66.4 173.2 62 801 +1951 12 4 0 12 RAFAEL 15.0 121.2 78 895 +1983 3 23 18 19 MICHAEL 67.0 97.7 106 188 +1957 12 18 18 17 NADINE 31.6 1.6 77 442 +1980 9 6 12 11 FLORENCE 63.2 290.9 76 468 +1954 8 14 18 27 OSCAR 57.6 297.0 38 578 +1986 2 27 6 18 PATTY 59.3 294.5 61 253 +1988 4 2 12 24 ALBERTO 14.6 262.3 21 515 +1969 12 6 6 5 JOYCE 7.9 119.1 24 345 +1987 7 6 12 12 VALERIE 61.9 1.6 42 886 +1991 6 27 12 10 GORDON 63.6 160.0 137 840 +1970 2 27 0 23 NADINE 33.7 48.0 144 183 +1955 4 12 12 4 ISAAC 8.0 33.3 163 729 +1987 6 14 18 13 RAFAEL 64.2 206.8 106 54 +1950 2 13 0 13 NADINE 22.0 189.9 42 183 +1989 2 21 0 24 OSCAR 48.3 170.4 66 198 +1995 7 19 12 14 SANDY 50.4 275.0 17 68 +1988 5 8 6 20 HELENE 45.7 236.2 102 724 +1985 3 4 18 6 SANDY 57.0 56.5 49 773 +1974 7 27 18 12 HELENE 35.6 33.1 28 491 +1957 6 28 12 3 PATTY 47.8 177.1 147 688 +1994 12 5 18 27 VALERIE 10.6 189.5 131 442 +1960 4 28 0 3 LESLIE 17.9 312.6 38 128 +1976 2 20 12 27 PATTY 52.3 18.5 125 366 +1999 1 8 0 15 VALERIE 14.8 271.6 109 145 +1964 8 18 0 7 DEBBY 38.0 154.6 55 847 +1955 4 17 0 19 KIRK 46.5 205.7 53 648 +1977 10 20 0 4 MICHAEL 38.9 77.1 158 729 +1975 6 28 12 17 DEBBY 25.4 243.4 136 118 +1996 11 14 0 21 TONY 32.2 349.8 38 36 +2002 1 26 12 23 JOYCE 41.8 283.7 80 403 +1992 9 20 18 19 LESLIE 45.6 172.1 143 526 +1954 7 17 18 23 ISAAC 23.0 191.3 57 837 +1970 5 27 18 13 CHRIS 15.8 163.5 132 84 +1986 8 22 0 6 VALERIE 51.3 76.6 120 288 +1981 8 7 6 5 CHRIS 61.0 101.9 65 103 +1998 4 24 18 14 TONY 64.5 27.2 99 6 +1980 7 11 12 10 BERYL 7.8 316.3 112 0 +1982 7 2 18 8 ALBERTO 57.3 114.6 29 741 +1950 3 2 0 8 WILLIAM 16.1 325.0 90 559 +1951 8 7 12 1 ISAAC 41.5 237.7 143 736 +1960 12 25 0 26 ISAAC 31.7 318.8 62 417 +1957 9 5 12 18 LESLIE 33.9 19.3 130 353 +1960 4 3 18 20 TONY 25.9 273.8 42 666 +1963 11 3 12 14 HELENE 27.2 137.9 81 592 +1998 5 28 18 9 GORDON 31.0 152.6 80 495 +1961 6 23 6 6 TONY 12.1 172.9 152 273 +1989 9 3 6 25 BERYL 20.3 354.6 80 368 +1985 7 12 6 17 ERNESTO 16.8 348.1 95 132 +1973 3 2 12 5 CHRIS 43.9 143.2 108 414 +1972 5 5 12 2 ALBERTO 43.3 94.0 98 372 +1999 9 6 6 24 VALERIE 39.8 64.4 159 142 +1957 11 12 12 21 ERNESTO 27.8 210.5 53 815 +1971 4 10 12 14 FLORENCE 22.3 51.0 49 621 +2001 12 7 12 11 TONY 67.2 12.3 123 612 +1979 6 7 0 18 BERYL 39.0 54.5 18 523 +1977 6 13 0 27 JOYCE 11.6 227.9 162 660 +1962 2 24 6 22 KIRK 59.1 255.1 48 550 +1977 11 3 0 4 RAFAEL 59.4 4.0 116 556 +1970 11 8 18 15 VALERIE 42.3 153.7 148 871 +1951 4 23 18 19 FLORENCE 19.0 68.3 42 239 +1956 5 5 18 16 FLORENCE 62.1 270.5 164 426 +1956 8 15 0 22 PATTY 18.2 235.9 160 857 +1968 4 15 0 28 OSCAR 64.2 280.5 94 818 +1963 1 20 12 28 SANDY 48.8 68.4 123 339 +1971 3 19 12 9 NADINE 20.5 213.9 95 811 +1968 5 20 12 6 GORDON 35.4 345.0 27 534 +2004 10 17 0 21 OSCAR 8.8 321.6 86 305 +1970 10 2 6 3 GORDON 28.6 6.4 88 243 +1994 10 3 12 18 GORDON 28.3 314.5 89 277 +1997 8 25 6 10 RAFAEL 42.7 219.3 106 337 +1979 4 25 18 8 OSCAR 61.9 42.2 116 171 +1954 2 28 18 4 VALERIE 57.0 198.3 121 75 +2000 8 7 12 12 TONY 46.5 7.1 127 608 +1969 2 27 18 18 ALBERTO 39.1 204.2 81 227 +1977 9 15 18 2 LESLIE 39.3 303.6 33 706 +1974 2 27 18 2 ALBERTO 23.1 198.8 133 343 +1961 4 28 18 17 ERNESTO 63.0 148.5 13 863 +1984 7 4 18 8 PATTY 18.6 215.1 141 292 +1956 8 10 12 17 WILLIAM 67.0 15.7 164 185 +1980 2 3 6 11 DEBBY 45.2 265.7 40 640 +2001 1 14 6 16 ISAAC 43.6 318.6 65 536 +1984 1 20 6 5 OSCAR 33.2 187.0 75 422 +1956 3 14 6 19 DEBBY 37.3 240.7 10 847 +2000 7 8 18 26 LESLIE 11.6 208.8 56 586 +1979 3 23 6 28 DEBBY 43.6 187.2 28 871 +2000 6 1 6 8 NADINE 18.3 172.6 74 337 +1976 1 19 0 8 CHRIS 28.2 251.2 60 3 +1992 9 3 0 6 ERNESTO 7.2 274.2 72 880 +1953 9 15 6 9 KIRK 13.9 30.4 79 775 +1997 9 16 12 26 GORDON 46.1 317.9 127 202 +2003 6 14 18 2 BERYL 46.2 16.9 159 140 +1959 7 23 12 28 FLORENCE 48.6 345.3 123 586 +2000 2 15 12 5 BERYL 24.8 156.2 128 665 +1975 10 13 18 11 PATTY 59.2 184.4 75 618 +1992 4 25 18 21 WILLIAM 36.8 163.2 116 221 +1953 2 13 0 28 JOYCE 36.4 11.9 155 302 +1983 1 11 0 7 RAFAEL 36.8 187.3 150 256 +1992 3 23 18 23 WILLIAM 55.9 86.7 44 675 +1977 4 26 18 9 NADINE 52.0 11.2 51 297 +1951 5 19 12 7 CHRIS 47.9 9.8 41 235 +2002 8 13 18 13 ISAAC 46.6 121.0 126 381 +1950 9 24 6 8 VALERIE 8.1 149.8 12 823 +1962 12 28 6 24 CHRIS 57.0 242.3 45 198 +1971 9 11 0 9 DEBBY 19.1 319.6 111 520 +1970 12 11 0 9 DEBBY 60.6 74.8 23 25 +1961 4 21 18 17 TONY 12.5 285.8 74 109 +2002 5 25 18 18 OSCAR 35.5 301.4 98 691 +1994 12 5 18 11 OSCAR 61.2 50.1 30 138 +1979 6 2 0 27 RAFAEL 7.4 232.7 116 474 +1998 4 27 0 11 RAFAEL 20.8 76.0 156 636 +1983 5 17 6 14 NADINE 45.8 83.3 94 67 +1997 6 8 6 11 GORDON 50.4 332.4 68 384 +1999 5 20 0 18 OSCAR 53.0 186.8 138 663 +1992 6 4 6 3 CHRIS 49.9 125.9 113 326 +1974 11 3 18 24 NADINE 69.8 215.4 36 860 +1974 12 5 0 1 OSCAR 34.4 48.9 87 526 +1978 10 17 0 25 VALERIE 51.8 306.2 66 82 +2002 5 25 12 8 ISAAC 55.6 333.9 93 867 +1960 5 24 6 12 HELENE 54.1 75.7 33 657 +1983 4 7 12 28 GORDON 63.2 206.3 89 11 +1966 7 17 18 26 TONY 7.3 240.7 84 225 +1999 7 2 12 22 CHRIS 41.3 310.1 82 556 +1983 4 7 6 16 BERYL 52.2 41.9 93 254 +1971 9 1 0 14 CHRIS 52.9 15.9 105 367 +1991 4 1 0 22 HELENE 49.1 136.6 163 385 +1990 4 10 18 17 PATTY 7.7 257.0 62 173 +1962 10 13 18 28 JOYCE 46.4 254.9 95 161 +1963 5 16 18 8 SANDY 26.3 299.1 21 490 +1980 4 26 6 14 WILLIAM 51.6 49.6 27 797 +1991 6 9 18 17 ALBERTO 27.5 119.3 122 784 +1973 11 23 18 21 RAFAEL 52.4 356.1 102 387 +1963 11 16 0 22 SANDY 23.5 277.1 16 647 +1965 1 22 18 13 KIRK 8.6 276.5 60 724 +1952 10 26 12 28 JOYCE 51.7 204.9 19 727 +1988 12 9 12 9 NADINE 63.1 87.0 96 4 +1984 6 19 6 23 JOYCE 67.9 223.0 13 551 +1972 8 6 6 5 PATTY 28.8 168.5 93 231 +1951 9 26 6 24 LESLIE 32.3 34.7 128 50 +1967 7 14 12 13 PATTY 14.1 22.7 88 823 +1984 3 7 6 12 SANDY 24.2 272.8 99 315 +1975 8 28 6 12 SANDY 31.9 302.7 19 659 +2000 11 7 12 23 ERNESTO 39.5 71.9 95 852 +1959 12 21 6 22 BERYL 9.3 313.0 16 573 +1996 3 4 18 12 VALERIE 10.8 266.0 30 420 +1978 4 2 6 16 RAFAEL 45.3 162.2 89 395 +1979 6 26 12 10 RAFAEL 66.6 311.5 156 222 +1976 12 7 18 8 ISAAC 61.7 125.6 87 399 +1988 8 4 12 10 DEBBY 12.7 101.3 59 551 +1964 4 2 18 2 MICHAEL 34.3 289.1 125 260 +1954 10 17 18 23 ERNESTO 32.0 294.3 72 421 +1970 11 28 12 7 OSCAR 48.5 308.6 74 571 +1980 3 27 6 7 DEBBY 38.3 53.5 122 475 +2000 3 10 18 24 KIRK 37.6 276.5 91 318 +1989 1 13 12 20 PATTY 48.7 176.1 45 894 +1966 12 24 6 2 DEBBY 34.3 298.9 42 610 +1984 12 3 0 28 TONY 17.6 58.2 50 601 +1980 7 5 6 27 BERYL 27.8 260.2 70 805 +2003 12 14 6 26 SANDY 46.9 52.6 125 655 +1994 5 27 6 25 ERNESTO 18.6 48.3 93 724 +1972 10 22 0 4 MICHAEL 34.1 101.7 35 532 +1991 3 22 0 16 RAFAEL 55.6 313.0 139 883 +1986 10 5 18 17 TONY 56.8 211.4 54 246 +1988 7 5 0 27 TONY 27.7 30.0 155 461 +1996 2 24 12 12 PATTY 54.3 74.6 11 336 +1998 9 17 12 26 OSCAR 16.1 209.3 136 517 +1975 11 8 12 28 OSCAR 61.7 63.8 126 838 +1974 7 20 12 9 DEBBY 45.2 256.7 101 709 +1979 8 12 0 21 CHRIS 47.7 97.6 56 210 +1971 8 21 6 27 WILLIAM 56.9 190.1 60 400 +1986 11 8 18 10 MICHAEL 34.5 96.9 141 665 +1960 8 19 18 9 DEBBY 46.3 272.2 65 76 +1967 5 18 18 9 ISAAC 29.2 284.3 112 415 +1984 12 22 6 4 PATTY 26.1 31.4 36 767 +2003 9 19 6 15 LESLIE 37.3 106.0 136 595 +2003 10 12 0 5 NADINE 48.6 275.7 37 80 +1990 4 9 0 5 HELENE 61.1 45.0 163 198 +1966 10 5 0 9 BERYL 36.4 104.4 70 878 +2004 12 28 12 1 NADINE 53.6 110.1 143 660 +1988 10 12 6 24 NADINE 12.4 68.8 98 200 +2001 5 28 18 12 PATTY 37.2 343.9 104 461 +1990 11 24 6 10 LESLIE 40.0 223.9 52 496 +1974 9 25 0 4 JOYCE 46.6 337.5 23 305 +1976 11 18 12 16 VALERIE 7.5 262.8 136 587 +2001 4 27 6 21 KIRK 49.0 131.2 69 522 +1987 9 8 0 28 VALERIE 27.6 289.2 129 304 +1981 12 9 12 7 DEBBY 63.0 22.4 156 278 +1970 5 22 12 11 FLORENCE 19.5 65.9 37 212 +1957 12 10 18 27 JOYCE 12.1 244.6 33 579 +1971 6 14 0 6 JOYCE 14.6 120.3 110 783 +2000 8 9 12 15 NADINE 23.1 21.0 108 868 +2000 5 7 6 13 MICHAEL 18.0 334.9 83 237 +1998 6 15 12 18 FLORENCE 36.8 193.0 78 662 +1995 12 20 12 24 GORDON 69.0 124.0 52 315 +1959 7 3 18 28 TONY 36.5 264.1 88 420 +1989 1 7 18 26 MICHAEL 61.8 250.3 154 249 +1980 11 10 18 12 CHRIS 26.8 28.5 55 108 +1991 4 26 0 27 OSCAR 36.6 268.8 119 621 +1953 10 12 18 2 WILLIAM 17.0 56.8 41 259 +1995 3 7 0 24 JOYCE 11.5 143.4 33 17 +1981 9 7 6 12 ERNESTO 51.0 208.7 120 418 +1981 1 28 6 3 CHRIS 59.6 249.9 62 896 +1977 12 2 6 9 SANDY 29.6 54.6 13 825 +1996 11 10 0 17 GORDON 37.4 314.6 58 564 +1971 11 15 12 2 ERNESTO 53.7 59.4 94 556 +1984 4 27 18 21 ISAAC 65.9 258.5 89 208 +1983 8 14 6 10 MICHAEL 13.7 326.2 61 107 +1991 7 23 18 2 JOYCE 61.1 339.5 158 819 +1970 6 9 12 12 DEBBY 12.7 354.9 10 293 +1992 9 8 12 12 OSCAR 66.4 336.8 57 778 +1985 9 5 12 14 ERNESTO 57.4 287.8 102 406 +1997 2 5 18 15 FLORENCE 31.9 250.0 13 46 +1979 2 10 12 17 JOYCE 33.8 267.1 15 8 +1984 8 13 12 5 MICHAEL 61.0 50.0 146 442 +1975 11 9 0 15 MICHAEL 34.8 229.1 156 698 +1991 4 26 6 13 JOYCE 13.8 130.6 19 306 +1962 7 22 12 5 RAFAEL 24.6 100.9 157 428 +1998 1 21 18 5 TONY 30.6 187.9 139 469 +1964 3 25 18 2 CHRIS 23.9 156.5 104 456 +1969 6 26 6 19 WILLIAM 8.0 5.9 140 372 +1964 4 28 6 7 SANDY 69.6 248.6 10 663 +1990 6 26 6 21 CHRIS 31.2 109.2 40 885 +1977 6 9 12 11 PATTY 7.8 144.7 18 808 +1992 2 25 12 9 VALERIE 24.5 128.2 156 544 +1978 2 21 0 13 PATTY 54.6 200.4 52 48 +1990 4 27 18 1 ERNESTO 15.0 257.1 84 811 +1999 5 10 12 4 ERNESTO 55.5 73.2 62 249 +1974 10 21 6 16 RAFAEL 23.3 178.7 60 620 +1980 11 26 6 18 VALERIE 17.5 188.5 63 760 +1961 6 9 12 9 RAFAEL 16.1 92.9 121 521 +1955 8 10 12 25 DEBBY 14.2 21.8 163 243 +1953 12 4 0 14 HELENE 36.9 155.3 17 159 +1978 2 24 0 10 ALBERTO 60.6 98.6 89 784 +2001 6 19 0 2 MICHAEL 37.5 95.9 24 526 +1995 7 17 12 12 KIRK 13.3 232.7 62 56 +2002 8 20 18 27 TONY 62.4 308.3 19 607 +1983 1 21 0 23 SANDY 29.7 110.5 109 523 +1994 3 9 18 28 DEBBY 47.0 23.6 104 583 +1958 1 9 12 23 GORDON 26.1 142.0 141 143 +1966 6 14 0 17 VALERIE 47.6 187.3 160 818 +1953 11 25 12 14 WILLIAM 67.2 344.3 123 896 +1956 2 27 6 13 ERNESTO 66.0 282.5 25 510 +1975 5 3 6 1 JOYCE 52.9 36.9 74 161 +1977 5 19 0 11 TONY 39.1 259.3 156 295 +1960 12 5 12 26 BERYL 64.0 335.4 116 497 +2001 10 27 6 22 ALBERTO 55.0 76.0 67 436 +1965 5 23 12 24 VALERIE 10.9 49.7 21 178 +1962 10 26 0 16 ERNESTO 12.9 33.3 95 497 +1953 12 13 0 5 TONY 40.5 295.0 29 695 +1953 9 7 6 27 ALBERTO 23.8 212.4 57 551 +1992 8 12 18 25 CHRIS 46.4 302.5 84 120 +1980 7 1 0 2 ISAAC 38.0 260.9 81 544 +1993 4 14 0 25 ERNESTO 17.4 336.1 40 434 +1979 7 1 0 16 FLORENCE 60.3 74.7 158 414 +1976 4 5 0 14 LESLIE 29.4 96.2 124 850 +1977 7 27 12 2 LESLIE 42.7 165.1 35 406 +1997 7 6 12 27 HELENE 57.4 131.4 47 438 +1967 3 20 12 8 VALERIE 51.1 167.1 123 557 +1997 6 11 12 14 JOYCE 33.7 146.2 51 736 +1981 7 26 0 24 HELENE 31.4 59.2 44 804 +1958 11 5 18 18 DEBBY 48.9 137.4 135 876 +1969 9 9 0 23 OSCAR 31.4 162.0 124 819 +1992 9 23 12 15 DEBBY 36.5 298.7 17 140 +1982 2 22 18 16 OSCAR 36.8 330.6 14 702 +1981 4 1 12 15 LESLIE 58.4 140.1 135 665 +1998 10 8 18 5 ALBERTO 42.2 97.4 69 596 +1997 9 15 6 21 BERYL 47.4 52.7 156 678 +1994 11 15 0 8 JOYCE 55.7 307.8 143 21 +1957 2 10 6 14 JOYCE 13.7 11.7 60 133 +1978 7 20 6 15 CHRIS 43.7 102.5 162 513 +1973 5 2 18 21 JOYCE 40.9 3.2 131 22 +1970 12 21 0 8 RAFAEL 18.3 122.9 33 124 +1987 10 24 0 16 NADINE 60.8 33.5 100 220 +2004 5 1 18 23 DEBBY 14.3 7.6 62 456 +1973 12 13 18 22 ERNESTO 62.3 187.3 156 258 +1973 9 13 6 3 HELENE 28.9 211.3 79 711 +1998 2 5 6 24 ERNESTO 51.3 137.6 142 446 +1962 8 1 6 15 CHRIS 29.7 207.4 161 493 +1984 4 7 6 18 TONY 30.6 20.4 110 237 +1972 4 3 18 1 VALERIE 11.3 159.4 34 164 +1964 5 9 18 17 HELENE 36.5 270.3 46 27 +1990 4 18 18 20 MICHAEL 31.8 303.2 81 735 +2001 10 3 12 24 OSCAR 34.4 187.1 119 560 +1950 9 2 18 4 ISAAC 36.8 96.7 16 506 +1982 12 28 12 22 JOYCE 10.7 319.2 59 388 +1983 7 9 12 21 ALBERTO 27.4 141.1 109 766 +1956 8 26 6 27 KIRK 37.0 43.7 91 68 +1973 2 3 0 2 TONY 63.8 60.4 153 773 +1961 6 7 18 21 DEBBY 22.9 92.4 120 740 +1996 5 27 6 8 HELENE 19.2 254.6 83 463 +1976 1 16 18 26 KIRK 50.0 231.0 153 355 +2001 10 16 0 20 WILLIAM 19.8 106.7 138 12 +1993 2 11 12 7 BERYL 69.9 73.5 84 830 +1954 10 8 12 9 WILLIAM 19.1 236.6 72 744 +1988 11 11 6 2 ALBERTO 19.3 53.8 46 789 +1983 2 11 18 12 MICHAEL 42.8 245.6 29 811 +1982 12 10 6 17 FLORENCE 22.5 44.4 144 771 +1955 5 25 18 13 JOYCE 62.2 129.9 25 408 +1993 11 19 0 16 JOYCE 50.7 142.0 153 266 +1961 6 16 18 25 ISAAC 68.4 70.9 53 858 +1961 6 24 12 8 WILLIAM 29.9 78.6 92 349 +2001 2 17 18 15 ISAAC 8.8 228.6 102 735 +1950 5 25 6 11 PATTY 58.8 89.4 131 741 +1986 6 26 0 19 RAFAEL 28.0 3.1 58 114 +1986 12 2 6 1 BERYL 62.8 304.8 61 683 +1955 12 4 12 18 WILLIAM 55.9 218.2 56 268 +1990 7 16 18 13 MICHAEL 49.8 115.3 160 403 +1952 2 3 18 6 GORDON 16.9 311.6 19 683 +1998 1 4 6 2 GORDON 67.8 101.9 80 469 +1959 2 5 0 24 MICHAEL 54.8 128.3 84 871 +1955 12 11 12 13 GORDON 18.8 27.4 116 721 +1988 2 15 6 14 BERYL 46.4 293.4 127 574 +1958 12 25 12 18 ISAAC 38.4 276.4 30 408 +2002 11 4 12 8 BERYL 49.6 5.1 117 125 +1997 12 12 12 8 GORDON 42.4 345.1 140 75 +1953 9 6 18 5 LESLIE 49.9 203.7 132 728 +2001 10 8 6 7 VALERIE 15.8 299.4 160 434 +2004 2 14 6 4 MICHAEL 69.8 71.8 21 27 +1990 6 27 6 1 BERYL 35.0 5.2 135 407 +1998 10 6 18 11 JOYCE 18.8 303.9 160 882 +1997 5 25 6 15 DEBBY 18.5 301.3 87 360 +1998 3 3 0 27 ERNESTO 26.7 182.2 62 668 +2004 11 16 18 18 WILLIAM 46.5 243.7 29 738 +2002 6 14 18 10 FLORENCE 64.9 21.3 33 866 +1952 10 17 0 11 FLORENCE 58.8 200.8 149 445 +1987 7 21 12 9 JOYCE 48.5 42.4 144 111 +2004 12 1 12 22 OSCAR 65.4 164.2 103 792 +1994 10 7 18 6 RAFAEL 67.1 14.4 118 574 +1967 8 16 6 25 MICHAEL 41.6 250.6 76 495 +1999 7 4 18 16 VALERIE 63.4 228.1 69 337 +2002 12 14 0 17 BERYL 59.0 288.8 136 723 +1961 9 11 18 10 WILLIAM 56.5 123.5 138 318 +2003 7 10 0 17 ISAAC 65.1 82.8 21 182 +1971 10 2 0 15 WILLIAM 56.5 350.4 48 507 +1961 1 2 6 11 NADINE 32.5 215.4 112 586 +1951 9 25 12 24 HELENE 56.6 174.9 42 502 +1973 2 26 6 28 DEBBY 7.6 2.7 35 654 +1961 12 21 18 17 NADINE 45.0 40.6 67 367 +1958 3 25 0 5 PATTY 13.9 154.1 164 537 +1960 11 28 0 17 HELENE 12.6 63.8 74 197 +1979 10 2 12 24 ALBERTO 60.1 49.4 146 622 +1985 4 15 6 16 BERYL 67.0 175.2 150 5 +1956 6 20 0 5 WILLIAM 8.5 137.8 48 876 +2004 6 4 18 11 ERNESTO 17.6 124.8 69 382 +1998 1 2 0 6 MICHAEL 23.5 102.2 139 690 +1955 12 13 6 26 GORDON 28.2 347.4 96 763 +1979 12 21 12 11 JOYCE 45.2 267.5 34 531 +2004 11 13 6 11 MICHAEL 34.0 0.2 69 250 +1994 1 14 12 10 MICHAEL 34.0 242.7 149 32 +1961 9 21 6 23 CHRIS 16.9 291.2 19 677 +1963 3 21 12 28 GORDON 38.1 338.1 11 521 +1961 1 19 12 7 ALBERTO 65.9 175.8 53 747 +1980 8 1 12 20 RAFAEL 48.9 39.1 117 672 +1968 3 25 18 12 ISAAC 54.7 313.7 63 658 +1989 4 13 6 11 SANDY 33.1 78.3 88 273 +1950 1 10 12 5 CHRIS 10.2 108.0 75 846 +1999 4 22 6 19 ALBERTO 68.3 344.2 10 752 +1991 5 27 0 3 ALBERTO 66.4 108.8 140 154 +1951 12 22 6 15 KIRK 45.9 90.3 133 346 +1977 10 25 12 5 BERYL 60.4 222.3 114 466 +1991 6 25 6 21 RAFAEL 44.2 126.3 90 686 +1955 1 19 6 2 KIRK 42.9 244.3 137 429 +2003 7 21 12 16 NADINE 55.9 54.3 49 276 +1957 3 4 12 4 BERYL 31.4 16.1 99 605 +1963 1 3 12 12 ERNESTO 52.1 262.8 32 263 +1960 9 15 18 26 RAFAEL 10.7 214.9 117 171 +1989 10 12 18 20 ALBERTO 12.8 124.4 141 523 +1952 11 13 18 10 RAFAEL 59.5 275.4 90 650 +1968 11 15 12 26 TONY 38.4 81.1 46 176 +1970 8 2 0 14 MICHAEL 14.2 4.3 134 395 +1975 12 24 6 9 LESLIE 14.4 9.7 87 460 +1955 3 17 12 12 ALBERTO 23.9 2.6 130 831 +1955 8 1 18 8 CHRIS 20.8 263.6 106 147 +1986 6 6 18 12 ALBERTO 62.3 83.8 85 732 +1998 7 20 12 4 PATTY 21.7 123.2 138 146 +1957 11 11 0 21 MICHAEL 15.9 93.4 148 657 +1962 11 15 0 11 ISAAC 51.6 246.4 156 383 +1990 6 24 12 4 BERYL 23.9 11.2 10 37 +1998 5 22 6 18 ERNESTO 8.3 117.1 29 729 +1960 3 28 6 23 ISAAC 32.3 271.5 66 308 +1983 10 25 18 21 SANDY 40.7 234.9 15 151 +1984 8 25 6 20 DEBBY 52.7 312.7 56 522 +1994 3 4 18 19 GORDON 27.8 312.8 31 529 +1968 8 2 18 14 GORDON 68.2 345.2 164 8 +1957 5 5 6 16 WILLIAM 10.6 247.6 22 839 +1952 12 12 12 7 NADINE 55.5 75.7 141 299 +1967 10 4 18 5 RAFAEL 11.3 173.6 87 692 +1963 2 2 18 12 BERYL 58.4 16.9 66 306 +1970 4 7 6 1 LESLIE 23.4 199.9 54 253 +1985 6 7 0 6 DEBBY 29.8 329.8 65 205 +1982 11 15 12 12 TONY 56.0 300.5 163 526 +1963 1 25 6 24 CHRIS 21.2 266.8 53 757 +1980 4 22 18 22 MICHAEL 63.4 295.7 121 566 +1971 4 18 18 7 HELENE 61.1 107.8 87 96 +1987 10 4 0 7 ISAAC 41.5 269.3 69 386 +1952 7 11 18 10 FLORENCE 41.8 135.4 74 177 +2000 11 15 12 24 ISAAC 69.2 172.9 76 140 +2003 6 28 6 17 ISAAC 62.9 348.3 57 537 +1980 9 20 0 20 MICHAEL 54.7 64.8 24 474 +1985 5 10 18 15 OSCAR 11.5 67.0 107 382 +1996 7 27 6 24 DEBBY 12.8 37.9 89 89 +1972 12 10 6 28 OSCAR 32.7 173.7 140 201 +1967 3 24 18 9 LESLIE 36.9 59.3 123 87 +1957 9 5 12 27 CHRIS 66.6 250.5 87 271 +1966 6 4 12 22 NADINE 17.6 330.3 82 57 +1958 5 11 0 16 PATTY 17.3 334.6 124 147 +1950 2 13 6 18 LESLIE 13.8 38.0 142 28 +1973 11 16 18 14 TONY 41.8 40.5 53 85 +1971 6 22 12 13 NADINE 14.4 238.4 153 289 +1994 3 22 12 27 KIRK 25.3 82.6 155 264 +1991 8 27 12 10 ERNESTO 39.1 43.8 64 825 +1976 4 6 6 6 FLORENCE 24.9 311.7 76 363 +1953 3 4 18 21 OSCAR 23.5 58.2 126 368 +1994 4 24 12 2 PATTY 29.7 267.6 61 642 +1982 7 19 0 16 ISAAC 54.7 245.3 143 552 +1976 2 22 0 11 NADINE 15.4 44.9 142 621 +1969 1 16 12 2 NADINE 48.7 97.5 89 416 +1989 9 16 0 8 FLORENCE 48.3 291.6 90 518 +1960 3 14 6 11 RAFAEL 62.7 172.0 29 480 +1981 4 14 6 15 SANDY 33.8 30.9 159 162 +1968 3 8 12 4 TONY 65.1 254.8 11 674 +1987 2 27 0 27 LESLIE 42.3 56.7 120 466 +1957 5 13 12 10 ISAAC 18.3 199.0 115 717 +1965 10 3 6 4 CHRIS 56.0 193.5 43 312 +1958 9 15 18 6 HELENE 7.5 306.0 104 831 +1976 12 24 12 11 DEBBY 61.3 146.4 111 45 +1989 9 25 18 23 JOYCE 61.2 12.6 10 669 +1966 10 23 12 19 MICHAEL 19.3 122.9 18 703 +1997 9 15 18 26 NADINE 57.6 263.5 41 477 +1975 5 19 0 27 VALERIE 29.8 109.4 31 49 +1958 10 9 12 10 CHRIS 7.3 93.1 22 698 +1990 2 14 12 19 MICHAEL 12.1 301.3 157 633 +1981 10 15 12 10 PATTY 22.5 171.6 139 896 +1979 4 4 18 8 FLORENCE 13.5 274.3 39 272 +1977 8 11 0 25 WILLIAM 34.2 14.2 93 28 +1997 10 16 0 26 HELENE 35.9 121.0 98 712 +1967 12 16 12 26 PATTY 47.8 21.0 130 487 +1987 12 28 6 28 VALERIE 45.9 105.1 155 510 +1983 7 22 18 23 ISAAC 31.6 285.6 95 471 +2003 4 26 6 25 OSCAR 67.9 43.6 149 310 +1975 2 28 6 6 NADINE 27.2 356.4 62 808 +1973 3 11 6 5 BERYL 17.6 335.1 66 491 +1953 5 3 6 4 CHRIS 57.8 231.3 141 333 +1984 10 9 0 7 JOYCE 51.2 20.0 22 209 +1967 7 21 6 1 DEBBY 19.2 231.4 113 7 +1950 11 7 6 21 FLORENCE 67.5 312.6 153 102 +1991 1 1 18 28 MICHAEL 16.3 65.0 150 169 +1970 1 23 18 24 PATTY 68.8 221.1 46 235 +1972 6 23 6 25 ISAAC 7.0 198.5 53 509 +1961 11 19 18 7 MICHAEL 49.6 267.8 134 421 +1964 5 15 12 10 TONY 16.7 131.6 23 363 +2001 11 19 0 23 ISAAC 40.8 109.3 26 176 +1978 12 19 18 2 ALBERTO 64.4 199.8 92 154 +1954 6 17 18 23 ERNESTO 58.7 258.7 11 20 +1967 11 5 6 23 WILLIAM 67.3 64.2 92 363 +2004 8 17 6 14 VALERIE 27.7 279.7 83 131 +1970 4 25 12 24 KIRK 57.6 193.2 53 330 +1974 11 3 18 7 SANDY 50.8 306.3 93 713 +1961 5 12 0 10 ERNESTO 35.5 342.8 15 530 +1967 2 22 0 16 ALBERTO 55.9 115.4 158 127 +1952 6 15 6 25 LESLIE 25.5 65.4 20 360 +1997 1 18 0 9 RAFAEL 29.6 81.2 66 644 +1950 1 17 18 1 ERNESTO 50.2 75.0 46 897 +2001 7 22 18 1 ALBERTO 60.6 249.5 151 165 +1999 9 3 18 8 SANDY 17.8 189.8 93 532 +1993 4 7 6 21 SANDY 36.1 72.9 51 620 +1975 4 27 6 18 HELENE 42.0 78.6 159 492 +1985 7 2 18 20 GORDON 20.9 273.2 79 749 +1954 3 6 18 23 SANDY 53.0 265.0 66 325 +1954 3 21 18 24 ERNESTO 57.3 27.4 92 640 +1988 7 28 18 24 WILLIAM 62.2 39.2 56 395 +1983 2 15 12 8 BERYL 12.5 77.0 16 467 +1993 4 3 12 13 JOYCE 68.2 253.6 17 538 +2004 7 10 12 17 MICHAEL 38.9 53.8 34 558 +1966 8 1 12 3 BERYL 15.8 116.5 38 368 +1984 1 7 0 19 WILLIAM 32.0 115.8 58 455 +1985 7 22 6 22 CHRIS 29.5 48.5 16 462 +1955 3 18 0 7 ERNESTO 62.6 80.1 84 242 +1996 11 21 12 2 ALBERTO 36.3 55.5 109 464 +1975 2 4 18 26 LESLIE 19.2 25.9 25 729 +1987 3 14 12 6 MICHAEL 40.0 126.2 76 728 +1988 10 28 6 4 DEBBY 27.2 39.8 37 38 +1996 1 21 0 8 ISAAC 20.3 239.0 69 766 +1965 1 28 12 18 GORDON 67.6 3.2 144 191 +1996 11 11 18 4 CHRIS 60.8 304.6 13 531 +1979 12 6 0 27 LESLIE 64.5 135.2 49 623 +1973 10 27 18 20 PATTY 30.2 131.1 21 420 +1970 3 25 6 13 WILLIAM 49.9 338.2 85 890 +1996 4 1 0 14 ERNESTO 45.5 39.2 32 828 +1983 4 6 12 8 KIRK 39.8 293.0 76 544 +1980 12 2 0 6 CHRIS 26.9 37.6 128 230 +1978 1 20 18 23 ALBERTO 39.4 19.5 111 18 +1997 8 8 12 22 LESLIE 54.1 10.5 46 317 +1973 2 22 18 13 NADINE 38.8 265.7 28 151 +1991 6 7 0 19 LESLIE 67.8 120.2 12 400 +1974 5 2 12 1 OSCAR 21.5 206.2 93 224 +1986 3 24 18 20 GORDON 68.5 232.2 147 216 +1968 11 9 18 25 DEBBY 44.7 9.4 83 615 +1992 5 21 6 22 PATTY 12.7 243.9 149 402 +1952 10 15 0 8 FLORENCE 66.8 316.6 22 656 +2001 12 12 12 17 BERYL 24.2 221.0 42 273 +1997 8 9 12 28 KIRK 29.9 114.6 83 803 +1963 10 4 0 7 GORDON 46.1 160.8 147 50 +1964 11 11 0 25 WILLIAM 11.4 237.1 66 86 +1961 2 28 12 24 TONY 15.6 136.5 68 400 +1983 9 27 12 5 LESLIE 47.5 75.6 155 357 +1976 8 9 6 2 ISAAC 13.3 352.2 37 529 +1993 3 9 12 28 ALBERTO 18.6 154.4 87 380 +1975 2 14 6 17 HELENE 35.2 253.5 48 310 +1974 1 18 6 24 FLORENCE 32.6 127.0 15 416 +1981 5 25 6 18 VALERIE 37.3 143.9 87 175 +1996 1 10 12 19 RAFAEL 8.6 101.4 156 137 +1990 9 25 6 19 NADINE 52.5 244.5 67 603 +1966 8 9 12 3 ERNESTO 29.5 52.6 153 56 +1998 2 14 12 24 DEBBY 31.4 231.4 60 193 +1951 4 21 18 18 OSCAR 21.9 2.4 64 146 +1975 10 10 12 25 FLORENCE 61.9 158.4 117 895 +1974 2 8 6 6 WILLIAM 42.0 50.3 98 594 +1967 1 24 6 24 PATTY 12.5 125.4 43 851 +1993 9 5 18 9 KIRK 56.9 214.9 86 385 +1961 3 16 0 26 BERYL 27.1 244.8 156 701 +2001 7 13 18 24 VALERIE 24.0 51.4 156 407 +2004 2 6 6 17 WILLIAM 24.6 114.1 100 201 +2004 6 8 6 23 HELENE 16.2 55.6 103 580 +1976 12 12 0 19 BERYL 37.3 165.3 114 79 +1992 3 20 12 24 BERYL 31.2 146.1 100 790 +1996 6 9 12 1 HELENE 67.1 129.6 141 815 +1983 1 27 0 25 ERNESTO 66.5 188.7 76 387 +1958 1 3 6 28 ISAAC 67.3 289.4 60 807 +1986 3 13 12 1 ISAAC 67.8 57.3 49 381 +2003 5 13 6 4 FLORENCE 46.5 112.8 125 335 +1990 5 5 18 5 PATTY 26.6 323.7 90 33 +1961 11 7 0 22 GORDON 22.6 120.7 68 435 +2003 6 16 18 13 ERNESTO 14.7 258.8 12 705 +2001 12 8 12 10 ALBERTO 33.0 305.1 58 207 +1966 12 3 12 18 ERNESTO 20.1 296.4 34 124 +1969 6 22 0 9 VALERIE 55.7 357.7 61 110 +1958 5 24 6 3 NADINE 7.6 160.6 66 14 +1997 12 19 6 8 CHRIS 61.4 154.6 152 710 +1977 10 17 12 3 PATTY 37.1 24.4 21 806 +1957 1 28 6 15 RAFAEL 42.3 21.3 71 156 +1987 11 17 6 26 HELENE 49.8 315.3 114 591 +1975 1 14 18 17 BERYL 22.4 238.0 109 855 +2002 3 20 18 5 WILLIAM 21.8 209.0 75 742 +1966 8 1 6 11 RAFAEL 30.8 203.6 23 193 +1959 4 4 0 1 NADINE 64.7 210.7 124 104 +1977 3 28 0 3 NADINE 68.9 259.4 160 4 +1957 2 19 0 24 MICHAEL 68.6 39.9 45 447 +1968 12 27 18 23 PATTY 54.5 1.5 122 862 +1955 12 5 18 15 ALBERTO 13.9 89.8 79 717 +1953 1 1 18 2 FLORENCE 64.3 313.2 105 257 +1968 5 23 12 4 WILLIAM 18.3 223.0 129 213 +1968 11 16 12 8 GORDON 44.4 16.9 156 28 +1978 3 1 12 9 VALERIE 7.6 200.1 70 475 +1963 9 25 0 2 FLORENCE 14.7 12.3 145 13 +1950 1 14 12 8 ERNESTO 36.6 213.0 48 515 +2003 5 7 18 23 SANDY 19.0 31.3 76 100 +1996 11 11 0 16 ALBERTO 68.0 346.9 144 882 +2003 8 21 0 18 CHRIS 31.3 17.7 73 139 +1951 12 3 18 19 LESLIE 13.2 328.0 62 364 +1971 8 28 12 27 RAFAEL 62.2 43.4 120 80 +1966 3 6 12 25 MICHAEL 66.5 38.9 25 454 +1982 11 7 18 12 LESLIE 63.0 160.4 62 689 +1960 1 1 6 10 ERNESTO 32.3 335.7 117 577 +1980 7 22 18 3 FLORENCE 62.1 216.9 132 157 +1975 4 17 12 6 NADINE 32.9 199.7 29 14 +1966 2 13 18 17 FLORENCE 32.2 30.3 121 402 +1955 5 12 6 5 VALERIE 64.4 124.5 112 891 +1966 10 19 0 17 KIRK 36.9 323.0 63 332 +1983 7 4 0 26 ISAAC 34.6 17.8 73 871 +2001 1 4 0 4 ERNESTO 35.4 312.0 15 442 +1962 3 1 6 22 MICHAEL 49.9 22.6 158 633 +1972 2 14 12 4 NADINE 32.1 301.2 78 751 +1978 3 27 6 21 FLORENCE 61.6 277.3 141 120 +1988 8 15 12 1 VALERIE 60.0 271.6 95 708 +1993 3 7 0 24 DEBBY 49.1 24.5 78 342 +1974 7 21 12 27 RAFAEL 36.6 159.0 101 666 +1956 4 6 6 9 LESLIE 58.6 181.0 150 832 +1985 9 11 12 14 WILLIAM 35.6 9.2 10 558 +1996 5 15 6 17 SANDY 13.5 126.4 109 221 +2000 5 11 12 5 PATTY 13.8 331.1 137 664 +1991 12 28 6 8 PATTY 7.3 39.4 31 361 +1976 7 15 18 7 GORDON 67.5 313.1 141 70 +1965 6 7 0 19 JOYCE 11.6 70.5 121 571 +1983 12 3 12 2 CHRIS 65.3 316.8 99 778 +1975 9 16 0 7 VALERIE 9.6 211.1 111 317 +1994 6 2 12 6 FLORENCE 27.5 59.9 139 874 +1977 8 23 6 10 JOYCE 46.2 191.9 22 416 +1961 8 25 0 13 BERYL 68.7 321.8 139 354 +1976 7 5 6 22 KIRK 44.5 238.7 10 101 +2001 12 19 0 14 OSCAR 32.1 106.9 16 583 +1963 12 18 12 23 FLORENCE 45.4 220.0 158 597 +1977 3 25 6 4 BERYL 42.5 108.8 148 772 +1984 2 23 12 10 ERNESTO 24.8 143.8 110 525 +1990 9 28 6 6 BERYL 21.2 322.7 76 455 +1966 8 10 0 5 OSCAR 14.2 50.2 152 349 +1952 1 4 12 8 WILLIAM 62.6 274.3 124 877 +1965 9 9 12 11 CHRIS 67.8 116.9 144 213 +1969 2 26 6 9 VALERIE 69.4 77.5 10 536 +2001 1 18 6 16 JOYCE 33.8 68.3 142 561 +1958 9 18 6 19 WILLIAM 51.2 222.7 155 304 +1977 8 7 6 10 LESLIE 34.3 193.2 152 490 +1959 12 15 18 11 DEBBY 58.4 129.2 59 795 +1996 7 16 0 26 TONY 9.9 120.0 10 223 +1983 5 7 6 27 CHRIS 69.9 197.2 126 855 +1987 4 15 18 10 MICHAEL 30.7 68.0 66 366 +1952 8 28 0 15 MICHAEL 23.2 324.3 92 384 +1979 5 9 0 26 TONY 9.3 268.2 107 672 +2001 7 27 6 13 CHRIS 34.6 211.6 116 497 +1966 10 10 18 4 VALERIE 48.4 118.1 54 52 +2002 4 17 6 22 GORDON 48.1 42.0 123 742 +1975 8 17 18 23 PATTY 55.0 344.2 87 183 +1962 3 14 18 26 GORDON 14.4 149.4 33 761 +2004 7 12 0 10 RAFAEL 35.8 7.1 117 201 +1960 1 18 12 3 TONY 7.1 241.9 132 307 +1995 9 16 12 20 JOYCE 38.2 334.3 136 499 +1992 2 16 6 14 LESLIE 34.1 311.5 78 205 +1960 3 28 6 5 MICHAEL 65.3 187.1 18 797 +1954 2 16 6 17 WILLIAM 7.8 225.6 154 688 +1992 12 16 6 24 BERYL 25.5 8.8 135 134 +1969 9 15 0 24 KIRK 15.5 55.9 27 334 +2002 8 22 6 27 PATTY 33.2 237.8 137 84 +1955 6 24 12 12 KIRK 58.9 131.8 121 502 +1995 5 17 0 1 SANDY 9.9 204.6 82 857 +1958 5 3 6 9 LESLIE 58.0 229.1 145 600 +2001 12 23 0 6 HELENE 38.2 23.5 80 589 +1988 3 17 6 2 DEBBY 32.4 138.4 134 528 +2003 6 18 12 7 JOYCE 25.4 94.7 81 92 +1973 12 21 18 3 KIRK 17.4 216.1 51 27 +1965 2 25 0 7 ALBERTO 27.3 32.3 98 459 +1952 9 27 0 19 GORDON 54.3 251.9 74 40 +1955 2 10 12 3 BERYL 55.1 212.3 82 840 +1960 1 28 6 3 CHRIS 30.8 345.9 12 7 +2003 4 16 18 16 TONY 9.9 246.0 36 514 +1984 11 18 18 17 PATTY 54.2 246.9 99 310 +1972 12 18 18 15 FLORENCE 60.2 278.9 59 656 +1963 2 16 12 7 LESLIE 70.0 251.8 44 43 +1980 2 21 6 28 SANDY 33.3 93.0 143 472 +1956 12 20 12 14 HELENE 16.3 141.9 61 733 +1999 6 9 12 24 ALBERTO 27.1 84.0 146 18 +1980 10 9 18 15 WILLIAM 31.9 336.1 21 410 +1960 10 2 0 16 SANDY 37.3 71.4 114 866 +1979 4 28 0 18 ISAAC 53.8 34.9 135 269 +1999 3 18 0 14 HELENE 64.6 308.1 102 717 +1981 5 25 6 8 RAFAEL 57.5 261.0 57 865 +1956 8 5 12 15 ALBERTO 11.2 253.5 126 222 +1955 2 17 18 22 OSCAR 34.7 1.0 43 510 +1998 4 19 6 2 LESLIE 39.3 12.9 42 68 +1975 7 16 18 11 GORDON 27.7 103.6 125 440 +1996 12 16 18 12 WILLIAM 31.1 237.5 25 847 +1992 6 21 18 22 FLORENCE 57.3 351.8 61 119 +1960 9 20 0 11 ALBERTO 9.2 66.6 107 780 +2003 3 6 0 14 SANDY 25.0 83.5 112 826 +1956 3 26 18 18 ISAAC 41.4 146.0 157 191 +1975 8 10 18 4 CHRIS 49.8 196.2 57 41 +2001 6 16 6 5 KIRK 27.1 353.8 152 68 +1972 4 11 12 14 LESLIE 26.7 18.5 139 216 +1999 12 11 0 24 WILLIAM 11.0 79.1 134 41 +2001 4 8 6 13 CHRIS 19.2 253.6 70 112 +1956 1 17 12 7 SANDY 21.9 25.0 115 442 +1970 12 11 6 4 MICHAEL 43.2 330.8 124 334 +1975 6 24 0 2 FLORENCE 12.5 307.0 102 536 +1967 4 27 0 20 BERYL 9.4 59.5 74 618 +2001 7 21 6 8 KIRK 9.2 126.0 37 669 +1988 10 17 12 20 ERNESTO 37.6 340.1 71 739 +1950 5 15 18 22 CHRIS 66.6 88.4 87 243 +1971 6 24 6 17 ERNESTO 17.5 353.2 12 244 +1988 6 10 6 7 LESLIE 26.3 242.7 51 175 +1984 5 20 12 23 RAFAEL 55.9 245.0 142 395 +1971 3 12 12 18 TONY 59.7 12.7 35 874 +1954 8 1 0 19 VALERIE 42.6 341.0 16 516 +1994 12 24 18 1 VALERIE 69.9 216.0 42 575 +1981 4 26 0 8 ISAAC 68.0 90.1 155 6 +1961 1 7 18 19 JOYCE 65.9 106.9 157 724 +1973 4 19 6 2 SANDY 12.6 215.7 31 68 +1954 12 6 12 10 GORDON 60.3 52.7 131 184 +1950 3 28 0 22 TONY 25.2 200.6 108 589 +1992 11 23 6 1 LESLIE 68.6 216.7 63 127 +1978 3 7 12 6 ERNESTO 61.3 322.5 157 335 +1988 11 17 12 13 HELENE 18.0 193.1 63 343 +1976 9 27 12 19 FLORENCE 30.9 281.3 135 674 +1996 5 17 12 11 FLORENCE 22.2 207.4 139 136 +2001 12 10 12 25 PATTY 30.1 203.5 65 610 +2001 10 6 0 18 DEBBY 44.3 127.6 113 477 +1961 6 15 18 7 KIRK 24.5 349.1 154 709 +1990 3 19 18 7 JOYCE 68.3 249.2 97 453 +1955 8 15 0 7 NADINE 32.5 311.0 163 157 +1993 10 28 18 18 KIRK 23.3 332.0 31 495 +1989 7 5 0 22 KIRK 18.1 176.9 39 470 +2002 12 9 6 16 OSCAR 15.3 217.1 66 112 +1954 10 23 6 5 WILLIAM 17.9 89.3 52 138 +1994 12 25 12 24 TONY 47.1 278.6 53 733 +1960 1 16 12 19 RAFAEL 60.8 57.0 24 780 +1955 1 6 6 18 ALBERTO 62.6 252.3 139 489 +1988 2 23 0 11 VALERIE 11.7 212.7 58 38 +1987 6 6 6 11 WILLIAM 34.2 125.5 20 854 +1992 7 6 0 15 JOYCE 25.2 178.3 90 356 +2003 12 22 18 13 RAFAEL 58.7 40.6 77 344 +1967 12 14 6 27 ERNESTO 43.7 82.8 88 288 +1971 6 17 6 17 RAFAEL 34.0 164.8 100 136 +1960 5 22 6 28 RAFAEL 47.7 221.9 61 355 +1982 6 28 6 15 ISAAC 10.9 76.7 163 656 +1980 8 28 18 15 LESLIE 21.3 114.8 28 870 +2004 5 26 6 24 ERNESTO 28.9 41.6 19 869 +1996 5 23 0 7 SANDY 42.3 234.3 149 512 +1957 1 19 0 10 FLORENCE 11.4 265.3 94 149 +1997 11 20 12 7 SANDY 51.9 155.8 72 553 +1984 3 11 18 3 GORDON 19.1 79.8 122 65 +1972 8 15 0 4 GORDON 9.0 136.2 45 717 +1959 4 12 18 22 KIRK 45.4 245.6 75 118 +1973 10 27 12 8 JOYCE 23.3 105.0 55 434 +1972 1 5 18 26 HELENE 30.2 230.4 118 629 +1979 3 24 0 2 BERYL 37.3 150.2 61 161 +1986 10 17 18 27 HELENE 61.3 147.2 112 301 +1963 12 11 12 23 CHRIS 61.0 129.1 136 219 +1992 10 24 6 18 FLORENCE 40.0 247.0 99 440 +1958 11 20 6 1 LESLIE 42.6 338.5 55 775 +2000 9 11 6 20 GORDON 60.0 326.5 132 787 +1982 2 2 12 21 BERYL 31.8 205.7 114 763 +2002 9 11 18 2 GORDON 23.5 91.4 151 402 +1979 4 1 18 27 ERNESTO 45.6 195.7 141 517 +1986 9 7 6 14 BERYL 26.3 261.7 23 378 +1959 7 24 6 24 DEBBY 69.9 41.4 144 735 +1968 8 7 0 24 VALERIE 29.7 18.8 124 97 +1965 3 19 12 9 ALBERTO 37.3 128.7 33 463 +1954 11 13 18 23 ALBERTO 47.2 307.3 96 154 +1960 9 11 6 21 JOYCE 20.4 96.3 120 826 +1976 1 21 18 26 NADINE 13.0 300.8 46 636 +1967 11 19 12 24 MICHAEL 9.4 351.8 57 461 +1960 6 7 0 19 FLORENCE 39.1 108.7 160 311 +1973 1 15 6 8 CHRIS 65.0 209.1 80 183 +1998 1 5 12 7 ISAAC 53.4 132.6 74 366 +1967 5 5 0 13 HELENE 36.0 143.4 52 528 +1979 2 11 18 10 RAFAEL 26.1 352.3 56 736 +1965 12 18 0 13 FLORENCE 29.8 87.7 132 311 +1970 12 11 6 18 HELENE 57.9 200.5 56 693 +1963 6 5 18 12 LESLIE 47.7 144.5 83 488 +1994 4 28 18 11 VALERIE 69.9 240.9 126 771 +2003 1 8 0 24 MICHAEL 21.0 154.5 16 532 +1995 4 17 12 21 ISAAC 7.5 166.4 154 458 +1979 11 6 18 5 GORDON 61.0 287.1 12 312 +1966 6 22 6 8 RAFAEL 68.1 71.4 18 727 +1997 2 20 6 16 FLORENCE 10.6 333.6 17 789 +1988 2 18 12 24 HELENE 62.9 201.3 149 22 +1982 6 28 0 19 OSCAR 16.9 307.4 83 215 +1968 6 4 18 19 TONY 60.3 300.2 115 651 +2002 2 28 18 18 OSCAR 22.3 301.1 130 431 +1999 2 24 6 9 ALBERTO 57.6 4.5 90 61 +1992 12 26 18 26 GORDON 66.5 253.4 10 38 +1955 2 9 12 14 GORDON 11.9 266.3 68 680 +1965 10 4 6 6 ALBERTO 60.1 22.6 49 377 +1982 8 16 18 6 BERYL 66.5 171.7 91 98 +1995 12 7 6 21 RAFAEL 69.6 226.3 50 30 +1995 7 7 6 10 PATTY 60.8 23.9 42 854 +2003 6 14 12 24 WILLIAM 37.9 67.7 101 645 +1976 4 13 12 16 NADINE 69.1 154.1 103 747 +1999 10 16 18 12 VALERIE 35.4 211.5 108 622 +1999 5 20 6 12 TONY 62.8 27.2 133 723 +1999 9 9 12 20 SANDY 44.1 316.6 73 19 +1973 10 13 12 13 JOYCE 60.1 222.2 87 124 +1954 10 26 18 13 WILLIAM 38.2 356.5 38 381 +1971 10 19 6 11 LESLIE 44.1 332.4 33 284 +1984 12 13 6 24 VALERIE 12.5 147.7 153 256 +1950 6 9 12 16 PATTY 44.3 284.7 90 598 +1990 11 28 6 28 SANDY 14.6 252.9 73 881 +1998 12 25 18 19 SANDY 28.1 323.1 22 783 +1962 2 8 0 28 SANDY 47.5 213.3 37 506 +1977 11 27 12 21 HELENE 58.2 297.0 56 288 +1953 4 16 6 4 PATTY 12.5 189.9 117 344 +1965 2 10 0 8 LESLIE 28.0 156.0 13 57 +1964 2 22 12 14 VALERIE 45.0 125.3 46 118 +1953 6 6 12 6 DEBBY 60.6 44.5 20 719 +1989 1 4 18 13 FLORENCE 21.8 136.4 110 667 +1995 5 7 6 14 RAFAEL 65.2 196.7 38 173 +1954 5 12 6 5 ISAAC 14.2 225.8 133 281 +1981 12 25 18 5 ISAAC 47.1 354.7 45 307 +1968 9 11 0 18 MICHAEL 63.1 308.7 73 621 +1998 8 13 12 19 VALERIE 22.2 295.7 135 134 +1982 1 21 18 14 HELENE 23.7 308.5 154 513 +1990 11 20 12 15 RAFAEL 16.4 192.7 25 495 +2001 1 23 18 9 GORDON 55.2 202.3 115 566 +1975 5 8 12 14 LESLIE 13.1 89.3 142 114 +1952 1 6 6 20 KIRK 35.4 201.9 114 83 +1965 9 2 12 23 JOYCE 48.6 290.0 58 739 +1974 11 7 0 22 ERNESTO 11.5 163.9 108 366 +1951 8 24 12 18 ALBERTO 33.5 61.6 59 37 +1981 11 22 18 27 TONY 44.4 318.0 93 755 +1982 11 14 0 3 KIRK 42.3 301.2 68 420 +1972 10 4 18 1 ERNESTO 17.7 135.9 103 589 +1995 8 25 12 5 WILLIAM 22.6 11.9 54 359 +1988 4 5 18 25 ISAAC 17.5 47.9 19 374 +1998 8 8 18 13 ALBERTO 50.5 233.1 59 803 +1988 6 16 6 18 WILLIAM 22.4 61.6 146 255 +1998 9 9 18 1 CHRIS 53.2 41.0 36 687 +1996 1 1 18 6 JOYCE 34.1 271.8 109 518 +1994 8 4 6 2 SANDY 12.3 115.0 78 640 +1971 7 2 18 12 CHRIS 30.0 21.8 43 834 +1950 2 20 0 20 CHRIS 23.1 37.5 18 666 +1987 12 22 18 24 RAFAEL 14.7 131.8 163 724 +1998 10 20 18 17 FLORENCE 39.4 78.3 139 663 +1984 11 14 0 22 OSCAR 48.8 250.7 105 202 +1971 11 14 18 11 HELENE 38.0 251.0 147 821 +1987 1 5 6 18 ALBERTO 17.6 90.9 48 371 +1993 5 7 0 21 BERYL 8.2 268.0 92 893 +1966 10 22 0 21 OSCAR 38.6 25.1 162 162 +1956 9 28 18 7 LESLIE 61.7 220.4 32 796 +1953 11 23 0 14 NADINE 16.1 202.2 89 818 +1955 12 17 6 21 BERYL 52.8 258.5 20 51 +1986 7 20 12 20 MICHAEL 34.6 19.4 127 858 +1952 4 16 18 3 NADINE 16.7 74.5 33 40 +1960 12 11 18 22 HELENE 65.7 289.0 113 828 +1993 10 13 6 23 HELENE 49.3 142.4 123 759 +1966 2 14 0 28 VALERIE 66.1 32.2 119 313 +1974 8 26 12 13 HELENE 40.4 189.2 116 599 +1977 1 11 0 28 KIRK 12.7 272.9 76 6 +1995 9 9 12 23 BERYL 33.9 80.1 32 300 +1977 8 13 12 11 WILLIAM 65.3 339.6 80 253 +1980 3 9 18 20 ERNESTO 11.0 216.0 112 322 +1960 7 27 12 20 BERYL 40.0 2.0 39 397 +1983 4 8 6 24 KIRK 29.1 255.5 118 354 +1997 10 8 12 3 NADINE 37.4 145.1 49 32 +1956 3 6 6 17 SANDY 60.2 131.3 108 358 +1977 12 19 12 14 HELENE 36.3 8.8 52 585 +1988 8 27 0 11 ALBERTO 46.1 175.0 86 272 +1995 10 9 0 11 LESLIE 48.3 174.9 12 109 +1973 2 22 0 18 TONY 41.7 83.0 101 578 +1998 2 20 0 3 TONY 46.4 290.9 115 309 +1983 10 15 6 2 VALERIE 54.0 252.0 66 785 +1958 9 14 18 24 TONY 16.9 51.4 108 385 +1975 12 10 0 4 PATTY 9.5 142.6 115 679 +1998 5 3 12 25 DEBBY 57.2 245.8 141 748 +1982 10 21 6 22 CHRIS 53.5 183.3 139 738 +1973 6 16 12 6 DEBBY 35.0 124.4 10 112 +1955 2 13 18 26 FLORENCE 23.3 125.8 35 10 +1974 9 27 12 9 RAFAEL 32.8 81.9 65 593 +1979 10 2 6 7 NADINE 26.3 92.4 156 109 +1984 8 18 18 24 GORDON 55.4 316.0 78 636 +1982 11 2 6 7 GORDON 65.5 284.6 139 464 +1958 2 25 12 20 TONY 43.9 15.3 97 822 +1978 7 18 18 14 MICHAEL 60.9 347.7 61 244 +1966 11 4 18 10 DEBBY 53.4 216.3 153 832 +1992 5 10 6 6 HELENE 48.2 76.7 32 673 +2000 5 25 12 2 CHRIS 30.1 136.3 57 39 +1969 5 27 0 28 ISAAC 12.0 88.5 115 113 +1970 8 28 0 25 WILLIAM 59.2 262.9 149 769 +1967 10 12 6 11 BERYL 61.7 46.2 140 759 +1954 8 26 12 14 WILLIAM 13.8 291.3 56 11 +1975 3 25 18 1 GORDON 57.0 48.4 82 646 +1970 5 13 6 16 JOYCE 26.9 252.6 59 807 +1975 9 21 6 4 FLORENCE 35.5 349.8 32 236 +1964 4 4 6 12 ERNESTO 9.9 205.1 59 807 +1981 4 10 6 10 ISAAC 25.4 148.5 21 513 +1997 9 13 0 22 HELENE 32.3 301.2 35 392 +2004 3 14 12 11 KIRK 44.0 234.6 91 47 +1972 3 19 6 15 ALBERTO 33.7 158.1 38 760 +1993 1 3 12 21 LESLIE 31.5 179.9 161 558 +1969 2 20 0 16 SANDY 67.5 172.6 54 863 +1961 4 27 12 23 PATTY 26.6 105.1 108 803 +1972 1 6 0 20 FLORENCE 39.2 33.1 11 691 +2004 3 3 0 4 LESLIE 56.3 68.5 66 704 +1959 12 25 18 8 NADINE 18.5 151.2 57 681 +1963 4 20 6 22 JOYCE 62.0 19.1 116 423 +1960 2 19 18 24 BERYL 55.1 200.7 57 801 +1956 10 18 12 22 GORDON 21.2 36.1 100 565 +1995 6 27 18 17 VALERIE 16.9 59.3 103 180 +1965 12 16 6 16 MICHAEL 16.9 204.2 155 5 +1997 3 18 6 1 WILLIAM 50.2 239.4 131 803 +1992 11 25 12 28 GORDON 13.3 314.6 83 499 +1972 3 24 0 7 SANDY 62.9 323.6 93 288 +1999 8 25 0 14 RAFAEL 40.4 69.0 141 490 +1986 4 25 6 14 DEBBY 9.2 171.6 39 201 +1984 5 9 0 16 KIRK 38.1 132.3 10 653 +2001 6 16 0 22 RAFAEL 50.7 148.2 104 553 +1988 12 12 12 27 CHRIS 67.1 340.4 138 718 +1969 12 11 18 12 WILLIAM 30.5 191.0 115 330 +2002 11 13 0 14 JOYCE 13.6 95.6 79 570 +1967 6 14 12 17 DEBBY 14.7 19.5 57 430 +1992 4 16 12 24 SANDY 58.8 116.5 78 539 +1980 11 20 0 5 OSCAR 57.2 176.4 153 518 +1956 10 6 12 5 DEBBY 29.3 300.8 115 15 +1994 10 12 6 7 PATTY 36.9 282.4 43 162 +1951 7 19 12 6 WILLIAM 58.6 223.2 141 873 +1976 1 8 12 25 TONY 32.3 142.1 111 14 +1967 7 26 0 2 VALERIE 66.9 189.0 162 608 +2002 2 18 6 8 TONY 12.2 261.2 158 34 +1977 7 27 6 16 RAFAEL 37.6 259.8 104 83 +1962 12 18 6 5 DEBBY 11.7 144.8 159 449 +1987 12 16 6 21 GORDON 27.5 273.3 41 224 +1999 2 6 12 23 SANDY 55.4 50.2 76 454 +1964 11 15 12 10 MICHAEL 19.1 130.7 161 34 +1977 11 15 18 9 NADINE 19.2 279.2 123 611 +2003 2 28 6 12 ALBERTO 50.6 114.0 88 404 +1974 7 6 12 18 WILLIAM 11.4 225.8 83 399 +1994 6 5 0 19 WILLIAM 11.7 332.5 47 388 +1983 8 4 0 21 RAFAEL 66.6 339.1 126 384 +1960 9 24 6 28 NADINE 42.9 217.9 164 241 +2003 10 4 0 12 ERNESTO 66.8 171.0 61 482 +1967 3 20 6 21 ERNESTO 38.8 27.3 66 798 +1956 9 25 6 6 JOYCE 50.0 51.1 156 54 +1998 7 20 18 7 ALBERTO 31.3 170.4 103 142 +1981 3 6 18 20 ALBERTO 38.7 217.8 86 650 +1966 3 4 12 21 ISAAC 63.1 279.1 83 93 +1974 4 9 12 2 CHRIS 47.3 207.2 145 566 +1985 11 4 0 1 PATTY 61.7 184.6 134 649 +1954 3 8 12 15 DEBBY 53.1 356.1 74 693 +1987 2 23 0 8 FLORENCE 16.0 35.0 95 18 +1977 3 2 0 13 LESLIE 44.0 10.6 82 548 +1981 11 7 6 19 JOYCE 39.3 200.7 108 175 +1993 2 3 12 7 ISAAC 40.7 273.8 142 687 +1987 9 4 18 2 ISAAC 52.1 98.2 36 550 +1978 10 14 18 18 ALBERTO 45.7 139.6 143 31 +1979 4 23 6 10 PATTY 39.1 147.6 116 278 +1986 1 13 12 26 WILLIAM 60.9 302.5 81 842 +1989 8 24 12 17 RAFAEL 51.4 122.7 149 567 +1970 8 14 12 16 ALBERTO 48.4 68.8 138 899 +1969 12 17 6 13 MICHAEL 55.8 217.7 149 51 +1971 10 26 0 1 CHRIS 31.4 147.9 104 343 +1981 8 13 12 17 NADINE 8.5 253.1 21 816 +1958 2 14 0 16 KIRK 10.6 128.8 51 694 +1988 1 4 0 10 PATTY 28.3 300.6 18 186 +1982 5 28 18 19 JOYCE 32.4 206.6 87 14 +2000 8 20 18 25 VALERIE 68.4 182.6 83 106 +1996 12 12 12 4 VALERIE 41.2 330.4 129 852 +1991 1 17 0 2 SANDY 18.8 335.1 58 679 +1984 5 13 6 18 CHRIS 44.1 236.7 28 579 +1966 9 2 18 5 TONY 16.0 199.2 22 576 +1964 12 12 18 9 VALERIE 23.1 316.0 137 718 +1997 11 9 18 22 BERYL 62.3 267.8 146 263 +1999 1 18 12 24 OSCAR 9.6 210.4 112 750 +1959 5 21 18 8 GORDON 57.6 33.8 21 846 +1989 8 13 6 19 ERNESTO 67.6 196.3 22 584 +1972 2 8 12 20 GORDON 58.1 31.9 51 368 +1992 8 19 6 10 GORDON 61.4 164.1 103 745 +1961 5 23 0 6 ISAAC 66.0 196.5 97 173 +2000 1 4 12 25 ERNESTO 59.2 159.5 26 208 +1975 9 3 18 25 ALBERTO 45.0 136.2 70 615 +1959 10 14 6 9 OSCAR 21.7 94.5 25 225 +1995 6 14 6 23 ALBERTO 19.0 282.7 124 114 +2003 3 27 18 13 RAFAEL 14.7 348.1 105 116 +1977 4 2 6 22 FLORENCE 70.0 18.8 35 752 +1957 2 4 18 14 KIRK 36.8 265.2 74 819 +1980 2 7 18 18 WILLIAM 57.3 208.5 130 828 +1986 5 24 0 4 VALERIE 66.7 299.9 64 238 +1996 7 6 6 7 TONY 13.5 314.8 153 105 +1968 10 15 0 16 LESLIE 34.1 347.2 47 265 +1997 6 18 6 14 WILLIAM 21.1 263.7 28 530 +1976 9 13 0 16 CHRIS 62.2 145.3 133 390 +1996 7 24 12 7 ALBERTO 47.1 241.3 47 399 +1953 1 11 6 14 GORDON 15.2 179.0 89 878 +1999 3 20 0 22 DEBBY 38.9 320.2 80 334 +1951 4 14 12 4 LESLIE 65.9 321.1 89 442 +1967 3 16 0 3 MICHAEL 21.9 46.7 20 535 +1983 10 2 18 15 ALBERTO 11.8 302.5 94 772 +1977 4 2 0 11 LESLIE 46.5 28.0 61 13 +1953 3 1 18 24 BERYL 32.3 144.9 74 346 +1962 12 10 12 6 WILLIAM 29.7 335.6 110 32 +1964 5 1 18 6 ISAAC 50.6 228.3 67 81 +2004 2 25 18 23 CHRIS 46.1 322.7 114 662 +1973 2 8 12 14 ERNESTO 32.6 206.5 112 442 +1959 10 2 0 23 KIRK 22.9 203.3 38 543 +1956 3 4 0 6 PATTY 26.4 182.3 85 299 +1994 8 2 12 6 DEBBY 22.0 41.3 105 337 +1997 11 20 6 13 WILLIAM 28.5 108.7 63 439 +1990 7 26 18 28 PATTY 24.8 53.6 158 682 +1998 3 3 6 24 BERYL 46.2 145.7 157 154 +1979 11 3 0 2 VALERIE 34.7 274.8 145 647 +1984 10 28 0 2 TONY 45.4 296.8 124 600 +1994 12 1 12 2 LESLIE 51.5 163.9 150 77 +1997 9 28 0 14 GORDON 25.3 234.3 95 519 +1964 9 23 6 15 LESLIE 53.8 114.1 118 640 +1967 9 4 12 3 ALBERTO 13.7 111.4 38 65 +1987 2 7 12 13 PATTY 48.2 138.5 82 598 +1955 7 13 12 28 CHRIS 67.0 343.5 120 852 +1994 12 11 0 18 SANDY 53.9 194.3 20 158 +1972 3 12 18 11 TONY 41.1 177.8 59 170 +1958 7 25 0 20 CHRIS 48.8 91.9 61 161 +2002 3 7 12 15 SANDY 19.0 214.5 134 607 +1973 10 10 18 11 HELENE 54.0 7.0 132 102 +1974 6 12 12 28 KIRK 42.9 136.8 27 868 +1986 10 10 0 18 NADINE 34.7 44.9 163 73 +1997 6 7 0 12 WILLIAM 50.3 96.9 13 659 +1954 4 23 0 17 FLORENCE 12.2 53.2 63 94 +1951 1 20 12 14 LESLIE 54.3 184.8 36 736 +2004 11 3 0 25 LESLIE 19.5 180.0 77 109 +1953 4 23 18 3 NADINE 39.1 109.5 70 224 +1983 1 12 18 10 VALERIE 58.9 110.3 22 400 +1956 7 7 0 22 LESLIE 50.7 222.3 65 330 +1977 12 9 12 20 ALBERTO 31.8 182.0 135 682 +1968 6 25 0 19 ALBERTO 64.2 155.7 36 362 +1953 5 26 12 21 HELENE 58.4 186.3 160 265 +1986 10 4 6 4 OSCAR 20.5 323.9 157 60 +1982 4 3 18 18 HELENE 68.9 309.6 41 337 +1975 3 2 18 20 DEBBY 20.8 264.5 11 39 +1951 2 7 6 13 FLORENCE 20.6 174.9 138 281 +1987 7 13 0 9 NADINE 22.0 63.4 33 504 +1975 5 9 12 4 LESLIE 26.9 218.4 145 887 +1972 2 18 0 21 MICHAEL 38.7 85.9 156 778 +1952 3 4 6 2 PATTY 40.7 244.9 49 220 +1956 7 4 6 22 BERYL 60.2 200.8 12 424 +1998 3 4 12 28 ALBERTO 34.0 235.7 29 582 +1951 6 26 0 15 GORDON 37.0 356.3 156 817 +1978 11 3 12 8 NADINE 19.1 13.4 15 249 +1995 7 5 12 22 VALERIE 55.2 354.4 56 569 +1975 6 15 6 25 DEBBY 52.8 98.7 43 65 +1969 7 18 12 24 NADINE 64.6 137.0 110 443 +1987 1 9 18 23 PATTY 26.7 252.7 77 506 +1983 1 5 0 6 HELENE 51.0 66.9 120 137 +1960 9 3 0 17 MICHAEL 48.1 333.6 18 271 +1975 10 24 12 21 ISAAC 13.0 162.2 102 397 +1961 10 9 12 1 FLORENCE 68.7 37.6 78 750 +1998 8 12 0 12 SANDY 14.2 248.1 85 402 +2000 7 17 12 20 TONY 68.7 30.1 150 29 +1976 11 5 12 21 BERYL 36.8 198.8 67 487 +1968 3 27 12 10 CHRIS 54.1 159.1 86 58 +1962 11 26 6 3 MICHAEL 69.1 283.2 46 433 +1995 11 9 18 3 CHRIS 24.4 140.3 33 107 +1952 6 15 0 27 RAFAEL 29.9 52.9 67 212 +1995 7 24 0 21 GORDON 64.5 75.2 35 25 +1951 9 24 0 26 SANDY 13.2 0.4 114 800 +1953 5 16 0 20 CHRIS 15.5 9.9 103 826 +1990 2 22 0 12 RAFAEL 7.6 354.0 12 137 +1980 9 8 18 19 BERYL 49.5 223.5 76 697 +1985 10 20 0 11 SANDY 56.9 341.3 143 195 +1988 6 25 0 11 TONY 30.7 354.5 51 152 +1950 8 1 0 16 ISAAC 36.9 290.1 125 180 +1985 2 1 18 14 ALBERTO 47.5 25.6 62 124 +1986 8 25 18 14 RAFAEL 55.2 253.9 69 374 +1952 11 17 18 20 DEBBY 64.9 17.1 136 96 +1967 12 10 6 19 BERYL 24.1 80.7 158 751 +1950 2 12 0 10 SANDY 38.8 10.2 40 425 +1995 10 4 0 2 SANDY 28.6 144.0 64 558 +1982 5 14 18 7 HELENE 28.0 237.2 59 608 +1977 6 11 0 20 MICHAEL 63.0 23.8 61 543 +1956 5 1 12 15 VALERIE 23.3 26.5 23 662 +1975 6 6 0 17 ERNESTO 57.8 13.7 156 408 +1954 1 12 0 10 KIRK 39.4 163.0 24 125 +1999 4 13 18 2 ERNESTO 26.5 316.7 54 696 +1997 3 3 18 6 CHRIS 30.4 126.4 35 719 +1959 5 20 6 13 MICHAEL 37.7 108.9 122 473 +1976 5 19 0 24 OSCAR 43.5 42.3 163 43 +1972 1 20 12 12 TONY 36.8 59.0 61 651 +1960 9 8 12 15 ERNESTO 58.0 260.6 141 761 +1963 5 20 18 8 RAFAEL 16.7 208.7 20 700 +2003 1 22 0 22 GORDON 64.5 106.8 102 687 +1981 5 23 18 10 LESLIE 7.2 232.0 54 716 +2000 10 25 0 27 FLORENCE 38.1 298.6 131 427 +1997 5 19 12 20 ALBERTO 40.2 49.7 110 576 +1958 1 8 12 5 MICHAEL 66.4 189.5 71 377 +1979 10 14 18 4 TONY 56.6 155.9 116 148 +1963 6 1 12 22 ISAAC 40.1 266.1 46 640 +1998 12 18 18 19 KIRK 24.0 111.6 65 475 +1984 5 9 18 1 ALBERTO 26.9 44.4 91 131 +1962 5 18 18 11 VALERIE 16.0 281.8 118 575 +1995 10 24 12 28 ALBERTO 42.2 160.8 13 558 +1990 5 11 0 5 SANDY 65.7 353.7 88 461 +1969 10 24 12 3 WILLIAM 43.0 314.1 117 265 +1966 12 11 0 10 SANDY 36.5 318.7 107 476 +1972 3 4 0 7 NADINE 19.4 191.0 130 428 +2003 1 26 18 22 DEBBY 48.7 67.9 26 564 +1966 8 16 12 9 ALBERTO 55.0 44.0 94 214 +1969 11 18 6 28 BERYL 42.3 31.5 62 776 +1972 1 1 6 16 FLORENCE 34.6 185.6 126 371 +1961 8 20 12 25 OSCAR 23.1 82.4 21 609 +1995 2 14 0 1 HELENE 51.1 62.5 72 29 +1970 9 12 0 9 DEBBY 55.1 209.3 27 411 +1958 1 7 0 14 BERYL 48.4 120.3 39 96 +1952 5 19 12 2 WILLIAM 39.3 129.5 132 297 +1958 2 4 12 8 ERNESTO 35.0 221.2 50 428 +1999 9 8 18 12 TONY 57.3 206.7 84 723 +1955 7 18 18 2 HELENE 43.2 47.0 51 779 +1972 6 26 6 15 DEBBY 36.5 118.4 94 703 +1996 4 19 0 1 RAFAEL 45.5 286.0 75 492 +2002 10 2 18 13 VALERIE 35.6 92.1 64 268 +1999 5 2 0 22 ERNESTO 39.3 118.3 38 108 +1991 4 7 6 1 ISAAC 60.3 116.3 137 876 +1993 7 19 0 27 DEBBY 39.7 267.8 130 430 +1985 8 11 12 6 JOYCE 46.5 218.0 127 177 +1990 2 18 18 8 RAFAEL 60.5 276.9 108 32 +1983 8 20 0 21 LESLIE 10.7 294.0 34 147 +1990 1 23 0 17 ALBERTO 49.9 66.6 109 640 +1993 8 11 0 1 SANDY 46.7 291.6 133 420 +1965 10 20 6 3 ISAAC 36.0 248.6 154 241 +1973 11 14 12 21 TONY 21.3 107.4 19 368 +1963 5 8 0 18 PATTY 30.7 33.0 142 677 +2000 7 27 0 14 ERNESTO 15.5 88.4 119 661 +1975 1 9 12 6 NADINE 65.4 202.3 160 169 +1950 4 2 18 27 CHRIS 31.2 30.6 44 291 +1957 1 12 6 8 JOYCE 69.3 329.0 37 218 +1972 4 13 12 25 KIRK 69.1 230.0 96 332 +1962 8 4 12 19 VALERIE 56.5 124.9 110 105 +1984 4 4 18 18 WILLIAM 47.5 165.5 84 347 +1982 5 28 18 6 ALBERTO 33.7 318.1 69 324 +2004 5 28 18 6 ERNESTO 16.7 136.5 125 768 +1999 4 16 18 18 RAFAEL 62.2 121.2 103 94 +1977 1 28 12 28 PATTY 13.0 65.5 94 680 +1985 8 27 18 4 SANDY 56.8 355.3 152 832 +1978 9 7 6 15 CHRIS 43.9 243.8 93 450 +1955 5 3 6 10 KIRK 14.0 239.1 14 690 +1963 10 6 12 21 FLORENCE 22.2 264.1 130 222 +1973 11 2 18 26 DEBBY 47.9 121.9 147 592 +1961 6 24 18 2 WILLIAM 35.6 340.8 136 115 +1955 6 8 18 27 FLORENCE 12.1 245.4 16 881 +2000 7 1 6 22 MICHAEL 12.4 182.6 73 239 +1954 12 13 0 3 MICHAEL 33.3 304.3 114 722 +1994 3 5 18 14 OSCAR 44.7 0.2 142 284 +1985 6 14 0 7 MICHAEL 10.8 137.9 86 176 +1970 11 22 18 26 MICHAEL 49.3 62.4 153 13 +1958 2 13 6 3 MICHAEL 41.0 315.1 155 683 +1973 1 12 12 5 RAFAEL 39.7 177.4 73 390 +1987 10 24 12 12 FLORENCE 63.0 300.1 28 566 +1967 4 27 0 3 BERYL 10.3 103.1 158 200 +1979 1 12 12 25 ISAAC 26.0 107.9 116 38 +1998 4 9 18 3 CHRIS 64.3 62.7 153 728 +1970 2 4 18 5 SANDY 55.8 70.5 18 756 +1989 2 7 0 21 FLORENCE 36.5 187.4 20 159 +1973 2 25 18 26 HELENE 51.4 97.4 118 340 +2001 8 27 18 10 CHRIS 16.7 221.4 51 108 +1955 3 20 12 22 CHRIS 19.5 117.9 145 673 +1980 6 19 0 13 ERNESTO 55.8 131.5 110 218 +1983 3 10 0 10 KIRK 8.9 314.9 150 591 +2004 7 2 6 6 RAFAEL 57.7 219.1 44 689 +1961 6 21 18 20 NADINE 35.9 5.0 42 226 +1998 9 17 6 8 ERNESTO 49.8 19.1 81 352 +1961 2 2 12 3 BERYL 12.6 178.5 75 396 +1956 3 17 12 10 LESLIE 31.9 140.3 13 719 +1974 6 26 0 19 BERYL 41.4 207.4 29 111 +1972 5 17 6 28 GORDON 7.9 336.6 33 755 +1964 5 12 6 17 ERNESTO 50.8 37.2 109 347 +1988 10 24 18 4 WILLIAM 29.4 331.6 26 748 +1969 6 6 0 19 GORDON 14.5 127.7 107 173 +2001 6 20 18 27 ISAAC 41.8 298.3 63 493 +1996 10 1 18 21 FLORENCE 35.5 170.2 104 421 +1972 11 17 18 22 KIRK 49.3 298.1 32 584 +1996 7 5 12 21 ALBERTO 39.7 37.9 27 533 +1956 11 16 18 16 VALERIE 50.6 341.7 36 554 +1957 11 22 0 19 GORDON 9.7 46.2 153 738 +1958 6 18 6 22 PATTY 33.1 221.2 63 296 +1951 11 11 6 12 ALBERTO 17.4 324.2 139 289 +1970 2 21 0 23 VALERIE 49.1 50.3 160 743 +1982 4 18 18 6 GORDON 69.4 17.4 110 500 +1993 11 6 6 20 ERNESTO 36.7 317.8 78 506 +1951 4 22 12 12 BERYL 22.4 296.2 17 313 +1961 2 12 18 16 TONY 31.5 52.8 138 548 +1986 6 14 6 4 PATTY 36.6 141.6 117 460 +1977 11 11 12 2 KIRK 24.9 294.3 142 47 +1994 2 18 6 14 FLORENCE 22.2 169.6 69 808 +1967 1 24 12 1 MICHAEL 69.1 207.1 128 134 +2003 10 18 18 21 ALBERTO 63.3 334.3 51 41 +1992 9 9 12 19 SANDY 58.4 323.1 34 589 +2001 8 21 18 25 TONY 25.3 136.3 95 750 +1990 3 28 18 23 VALERIE 25.4 255.6 119 740 +1950 2 12 18 16 VALERIE 50.7 29.7 81 259 +1972 6 4 18 25 SANDY 17.9 273.0 134 882 +1971 11 15 18 20 LESLIE 26.1 153.0 98 568 +1963 1 13 12 18 VALERIE 59.9 173.5 38 489 +1980 4 20 12 1 TONY 35.6 317.5 21 778 +1971 10 28 0 28 LESLIE 37.7 73.8 138 324 +1967 2 28 6 7 LESLIE 55.3 348.7 92 400 +1996 1 27 12 4 NADINE 29.3 287.2 126 304 +1962 11 15 6 19 ALBERTO 59.6 190.7 90 214 +1950 12 7 12 6 NADINE 45.6 45.8 32 172 +1983 3 23 12 26 ALBERTO 62.1 316.1 152 693 +1995 3 9 0 8 BERYL 9.1 155.9 98 712 +1976 12 17 18 9 VALERIE 28.3 218.9 20 5 +1958 8 9 6 22 WILLIAM 62.1 175.9 140 59 +1956 4 1 12 12 LESLIE 41.3 101.9 51 600 +1961 6 23 12 24 JOYCE 13.2 4.1 56 443 +1958 11 9 6 18 RAFAEL 59.6 93.0 99 743 +1984 10 10 0 2 MICHAEL 18.5 351.0 26 402 +1967 10 22 18 11 FLORENCE 8.3 25.4 156 676 +1993 9 21 18 18 OSCAR 14.6 79.0 86 441 +1959 12 17 0 14 BERYL 9.2 149.7 34 805 +1970 12 6 0 10 BERYL 23.3 12.1 40 791 +1997 11 14 6 2 CHRIS 34.5 336.8 113 492 +1952 7 23 0 3 KIRK 55.7 109.1 47 161 +1981 6 2 12 27 CHRIS 38.7 85.9 113 132 +1973 7 4 0 2 TONY 25.1 273.6 60 536 +1986 2 20 12 9 JOYCE 49.2 48.2 151 555 +2004 9 23 6 12 DEBBY 34.6 112.1 101 846 +1984 7 9 6 10 BERYL 26.3 158.6 40 140 +1973 11 14 6 24 BERYL 33.9 17.0 23 451 +1988 8 5 0 21 OSCAR 29.6 160.7 152 581 +1962 8 22 18 21 BERYL 63.9 291.7 142 312 +1994 11 2 6 17 OSCAR 23.2 305.9 74 795 +1960 8 5 18 27 VALERIE 27.7 239.9 150 494 +2002 12 24 12 12 RAFAEL 20.2 175.1 130 591 +2001 10 12 12 15 PATTY 28.2 263.0 152 232 +1957 9 22 6 18 TONY 16.9 177.9 147 267 +2001 7 12 12 23 GORDON 13.5 289.2 79 284 +1978 7 2 12 5 RAFAEL 57.8 20.1 64 892 +1990 2 27 0 25 KIRK 61.7 121.0 96 527 +1982 12 14 18 5 SANDY 49.9 32.1 108 720 +1970 10 26 6 10 ALBERTO 8.8 294.5 78 832 +1990 6 17 12 24 SANDY 60.9 229.6 75 517 +1958 9 18 18 10 NADINE 36.4 107.4 112 83 +1997 8 6 0 11 CHRIS 66.6 52.4 61 391 +1980 4 9 0 14 ALBERTO 43.8 320.6 28 693 +1990 5 14 6 6 CHRIS 31.4 222.8 24 656 +1987 11 5 0 12 VALERIE 16.0 103.4 142 762 +1966 10 24 0 17 SANDY 55.3 161.5 24 384 +1950 6 6 0 3 MICHAEL 31.6 179.1 141 192 +1957 2 12 6 17 PATTY 44.3 17.7 50 531 +1958 6 28 0 21 BERYL 68.4 243.7 155 367 +2001 11 18 6 28 NADINE 61.7 196.7 12 96 +1967 4 17 6 15 WILLIAM 38.1 334.1 18 280 +1990 9 23 12 23 VALERIE 27.2 249.5 61 274 +1988 1 18 18 18 OSCAR 20.1 175.1 79 463 +1951 3 19 0 7 DEBBY 17.9 339.1 54 833 +1983 9 16 12 8 KIRK 63.2 96.5 10 863 +1998 3 8 6 7 ISAAC 43.7 296.2 46 524 +1954 3 5 0 6 VALERIE 8.5 200.8 18 333 +1975 5 1 0 19 ISAAC 16.0 332.5 17 650 +1951 12 4 6 15 JOYCE 67.1 99.7 109 588 +1999 9 17 6 1 DEBBY 62.8 43.4 126 118 +1971 11 17 6 10 HELENE 66.6 215.0 11 745 +2001 12 5 12 5 SANDY 48.6 179.2 136 339 +1976 5 10 12 4 ISAAC 11.4 287.0 51 752 +1988 9 13 0 20 ERNESTO 31.8 229.6 29 329 +1995 12 26 6 23 ALBERTO 31.2 215.2 59 551 +1985 8 24 0 28 OSCAR 29.1 171.4 110 876 +1958 6 16 0 16 FLORENCE 70.0 227.5 53 694 +1954 7 24 6 5 CHRIS 34.0 297.5 162 788 +1951 12 13 12 21 CHRIS 18.8 128.9 44 773 +1984 2 7 6 1 SANDY 60.9 299.1 142 851 +1996 5 15 6 9 VALERIE 61.6 176.8 35 293 +1987 11 13 6 21 TONY 14.5 221.8 65 57 +2000 4 24 0 19 ALBERTO 66.4 174.9 43 646 +1956 7 16 18 13 PATTY 49.3 129.6 23 578 +1989 12 13 12 5 HELENE 57.8 104.2 54 14 +1958 3 9 18 5 JOYCE 33.2 198.7 148 819 +1972 4 27 6 5 RAFAEL 13.2 110.9 47 182 +1960 11 28 0 25 WILLIAM 34.7 159.9 114 863 +2002 2 3 12 3 JOYCE 39.6 50.7 162 388 +1988 12 10 0 4 NADINE 65.9 124.8 154 814 +1950 3 3 18 6 PATTY 55.5 357.9 147 565 +2002 9 28 12 12 TONY 37.6 132.0 154 144 +1961 10 13 6 20 RAFAEL 47.9 280.7 106 364 +1967 5 6 12 14 HELENE 51.1 114.7 93 655 +1956 8 26 12 11 HELENE 49.8 227.3 149 480 +1976 6 15 6 27 HELENE 39.8 312.9 12 693 +1987 9 17 12 27 WILLIAM 58.1 336.7 66 298 +1971 6 4 0 9 FLORENCE 12.5 240.3 130 28 +1964 11 3 18 21 PATTY 58.3 339.3 116 577 +1952 8 14 6 8 NADINE 22.0 244.8 33 268 +1973 4 8 6 15 GORDON 53.7 323.7 91 642 +1999 9 14 12 25 NADINE 30.4 38.1 23 833 +1950 6 28 18 8 TONY 29.9 18.8 90 264 +1990 10 3 18 18 BERYL 10.5 137.6 107 231 +1966 10 6 18 19 LESLIE 58.2 277.5 159 268 +1965 9 8 0 24 LESLIE 37.9 161.4 162 496 +1980 3 25 0 13 FLORENCE 10.3 148.3 128 418 +1993 5 8 6 13 WILLIAM 8.3 336.0 11 104 +1967 7 14 12 14 HELENE 36.8 323.4 60 608 +1967 2 22 12 11 LESLIE 60.1 118.4 28 406 +1963 1 1 6 18 PATTY 26.6 53.3 108 150 +1967 6 25 18 14 DEBBY 67.9 253.9 29 449 +1968 6 8 6 25 CHRIS 51.0 339.7 40 268 +1956 11 25 18 5 DEBBY 49.5 48.7 75 105 +1990 3 17 18 23 BERYL 38.0 102.6 14 442 +1991 10 20 6 10 CHRIS 40.9 87.8 86 331 +2002 6 8 0 19 ALBERTO 62.5 356.5 133 487 +1958 10 25 0 6 LESLIE 57.7 151.3 66 733 +1996 4 22 6 25 LESLIE 33.4 76.0 69 380 +1992 10 27 18 19 MICHAEL 9.9 192.0 91 650 +1984 4 7 18 9 LESLIE 28.3 64.9 15 435 +1989 9 20 18 1 KIRK 22.7 98.0 35 92 +1963 12 21 6 6 HELENE 33.6 102.3 19 544 +1955 2 16 18 12 VALERIE 46.7 240.9 114 374 +1986 9 6 18 10 MICHAEL 14.5 300.7 14 11 +1999 11 14 0 24 LESLIE 50.1 253.5 110 78 +1971 5 24 6 11 TONY 33.1 120.5 51 681 +1991 6 4 6 6 MICHAEL 8.5 350.1 38 687 +1957 12 20 18 16 DEBBY 45.4 38.5 134 480 +1964 11 16 6 15 LESLIE 27.6 331.5 14 780 +1999 8 7 6 5 ERNESTO 34.8 17.2 21 582 +2002 9 12 18 10 DEBBY 47.7 318.3 79 786 +1991 3 21 0 5 DEBBY 56.3 95.8 29 874 +1972 7 23 6 10 MICHAEL 30.5 299.8 30 799 +1959 9 18 6 20 RAFAEL 22.7 3.4 141 447 +1996 1 4 18 3 WILLIAM 51.7 357.1 91 724 +1989 3 16 12 6 FLORENCE 17.2 115.7 149 108 +1982 11 12 12 3 JOYCE 69.4 129.6 10 693 +1954 6 19 6 25 JOYCE 45.5 65.8 158 276 +1956 5 19 12 15 JOYCE 40.4 251.1 11 401 +1994 3 22 18 20 OSCAR 52.6 259.0 126 218 +1988 8 24 18 21 JOYCE 66.8 214.6 139 277 +1953 10 24 12 11 NADINE 40.0 81.5 85 588 +1980 4 26 0 4 TONY 33.2 124.0 108 547 +1996 11 13 18 1 WILLIAM 28.3 180.3 62 58 +1992 9 22 0 2 JOYCE 51.7 29.6 69 510 +1952 2 3 0 8 JOYCE 11.2 335.3 129 299 +1953 8 27 12 27 MICHAEL 62.2 248.5 37 315 +1987 2 3 12 12 VALERIE 44.9 167.3 155 222 +1982 5 27 18 13 PATTY 24.8 64.3 37 662 +1970 3 20 6 9 SANDY 63.8 306.2 112 443 +1965 10 17 0 17 LESLIE 53.3 77.2 163 889 +1988 2 17 18 26 CHRIS 68.2 43.8 51 647 +1962 12 3 0 11 ISAAC 56.4 223.6 114 565 +1998 11 1 12 8 ALBERTO 55.8 48.8 59 645 +1992 3 11 0 27 ERNESTO 8.7 135.3 36 485 +1978 4 26 12 8 HELENE 55.0 326.8 161 301 +1962 11 8 18 7 MICHAEL 45.7 1.3 132 544 +1991 12 15 6 6 CHRIS 53.5 154.3 100 387 +1973 5 8 18 1 JOYCE 64.9 56.9 58 387 +2000 12 15 0 26 ERNESTO 35.6 49.9 95 210 +1962 9 1 6 1 OSCAR 24.0 354.7 65 194 +1965 5 27 12 9 ISAAC 40.6 43.8 43 397 +1991 5 2 18 8 HELENE 49.5 259.7 40 87 +1983 6 9 6 6 GORDON 43.0 111.4 106 205 +1950 12 17 6 8 HELENE 31.5 283.2 122 842 +1972 10 19 6 23 KIRK 60.6 291.5 131 888 +1980 7 8 12 20 DEBBY 16.2 284.1 36 279 +1988 6 9 12 18 ERNESTO 35.5 19.0 123 799 +1979 9 6 6 14 NADINE 31.3 189.0 107 285 +1999 6 7 12 25 FLORENCE 25.8 75.8 24 116 +1994 4 12 12 3 VALERIE 35.2 293.7 153 561 +1978 7 21 18 28 TONY 12.8 186.7 59 647 +2000 1 7 18 26 ERNESTO 20.0 317.6 106 353 +1987 6 18 6 1 ISAAC 7.0 281.2 132 234 +1965 7 12 12 18 BERYL 40.2 248.9 54 79 +1951 1 14 18 20 ERNESTO 28.6 293.5 147 683 +1957 8 6 18 6 TONY 22.1 132.9 80 365 +1986 5 28 12 4 MICHAEL 66.0 112.3 58 603 +1956 9 13 18 27 BERYL 36.7 213.3 32 386 +1982 11 12 12 4 ALBERTO 27.1 86.3 74 374 +1999 3 2 18 2 OSCAR 63.7 52.5 94 518 +1979 4 25 6 12 MICHAEL 61.3 108.2 23 777 +1978 12 13 0 7 PATTY 31.5 162.4 74 691 +1988 5 6 6 4 SANDY 50.4 99.8 24 804 +1963 6 2 12 17 BERYL 50.0 294.6 110 503 +1953 2 25 18 14 SANDY 34.9 173.9 54 696 +1960 3 25 18 27 CHRIS 33.9 152.6 133 290 +1992 1 2 12 25 PATTY 20.9 179.0 15 543 +2000 11 2 6 3 NADINE 36.4 240.8 56 308 +1986 11 27 0 4 TONY 48.7 335.2 67 372 +1966 6 10 6 8 JOYCE 17.4 111.4 61 261 +1973 2 10 0 11 BERYL 61.0 75.1 37 408 +1987 1 10 6 17 RAFAEL 20.2 310.8 51 241 +1975 3 28 18 17 TONY 44.9 274.2 126 234 +1988 7 20 12 18 ERNESTO 30.4 297.5 131 410 +1959 11 23 18 1 ALBERTO 45.9 37.3 104 423 +1968 12 21 18 26 TONY 26.1 280.9 73 637 +1965 12 25 18 25 JOYCE 33.2 184.1 31 179 +1967 2 12 18 22 KIRK 34.4 115.1 129 18 +1973 2 13 6 11 LESLIE 30.7 274.0 153 656 +1950 10 3 6 10 FLORENCE 53.6 194.8 158 650 +1980 4 19 12 11 ALBERTO 16.4 157.3 94 145 +1971 11 26 18 1 CHRIS 33.2 259.0 82 302 +1961 1 13 6 8 HELENE 47.8 108.8 45 155 +1960 4 27 12 18 SANDY 45.4 197.5 59 438 +1970 7 6 12 12 ERNESTO 52.5 49.2 120 872 +1992 5 25 12 2 SANDY 67.0 310.1 28 271 +1966 12 3 0 28 SANDY 13.7 113.5 100 790 +1977 9 23 6 22 JOYCE 30.2 43.6 139 729 +1980 4 11 12 12 JOYCE 62.9 190.2 102 352 +1990 10 21 18 9 LESLIE 24.6 1.5 62 864 +1992 9 24 18 22 BERYL 7.1 156.6 72 647 +1987 5 18 0 9 OSCAR 67.0 96.6 43 849 +1972 11 11 0 23 NADINE 8.9 12.7 77 410 +1972 7 12 6 10 VALERIE 58.8 57.6 23 239 +1987 7 13 6 4 SANDY 13.0 254.8 76 236 +1963 5 16 6 17 SANDY 51.7 279.1 13 216 +1963 7 10 6 12 PATTY 39.0 33.9 49 536 +1957 11 4 12 7 RAFAEL 15.7 15.2 109 627 +1979 8 13 12 2 LESLIE 63.8 220.5 49 719 +1986 5 27 0 22 NADINE 30.4 41.4 107 364 +1971 12 20 12 10 ALBERTO 53.4 40.8 148 467 +1999 2 27 18 11 HELENE 55.1 337.2 65 856 +1951 11 10 6 23 VALERIE 51.2 336.3 54 621 +2002 9 22 6 2 FLORENCE 43.7 185.5 89 639 +1988 10 10 0 15 OSCAR 11.4 331.3 102 784 +1975 4 22 0 20 DEBBY 22.6 57.2 64 539 +1998 1 20 0 24 GORDON 57.3 317.0 38 582 +1966 6 9 6 4 NADINE 31.0 332.4 144 93 +1961 9 13 6 20 RAFAEL 61.2 271.5 143 702 +1979 8 8 18 13 WILLIAM 9.6 179.9 155 641 +1997 12 5 12 19 DEBBY 37.7 193.2 33 591 +1950 1 14 18 1 RAFAEL 29.5 71.4 70 819 +1969 5 16 12 27 ALBERTO 36.9 114.5 41 94 +1997 6 5 6 6 VALERIE 31.0 117.1 21 383 +1977 6 3 12 11 OSCAR 12.8 155.6 65 632 +1996 12 4 12 15 DEBBY 44.4 116.5 115 231 +1952 11 5 18 5 GORDON 23.2 330.9 145 280 +1968 7 23 18 4 KIRK 27.0 140.6 135 409 +1950 4 22 6 20 KIRK 39.7 335.0 164 518 +1968 1 2 18 6 KIRK 60.3 291.4 98 744 +1957 11 27 18 6 JOYCE 13.0 241.5 107 812 +1995 6 12 18 11 LESLIE 17.1 72.9 109 703 +1972 4 16 18 2 TONY 20.8 112.5 19 537 +1957 3 26 0 17 PATTY 66.7 198.7 137 670 +1996 4 27 18 3 OSCAR 51.0 293.7 58 878 +1992 8 5 0 17 ISAAC 55.9 33.8 44 359 +1985 5 6 12 25 JOYCE 8.3 317.9 44 283 +2000 9 1 12 23 OSCAR 40.3 146.6 32 563 +1967 9 11 6 9 RAFAEL 29.4 242.2 93 462 +1964 9 24 0 4 ERNESTO 50.0 56.4 16 54 +1963 11 17 12 3 HELENE 35.5 343.2 96 755 +1955 2 10 18 28 GORDON 24.1 294.4 42 569 +1991 4 7 12 4 VALERIE 43.7 228.0 20 885 +1993 10 18 18 10 BERYL 14.4 52.8 82 311 +1953 12 18 0 5 PATTY 47.4 339.7 70 458 +1973 1 10 18 10 JOYCE 62.1 351.1 131 804 +1955 9 19 0 1 NADINE 25.6 250.5 136 464 +1999 1 19 6 28 KIRK 17.7 293.6 26 352 +1970 4 15 6 10 JOYCE 8.4 173.6 60 855 +1988 10 3 0 15 TONY 65.1 148.8 114 764 +2003 9 12 0 26 NADINE 50.7 337.5 33 102 +1979 6 24 6 1 ERNESTO 15.2 29.0 65 617 +1979 6 14 18 9 KIRK 48.3 315.9 12 520 +1986 4 20 12 19 GORDON 36.3 250.3 84 724 +1996 6 2 0 17 GORDON 13.8 200.3 80 146 +1998 7 1 0 26 CHRIS 11.7 189.2 32 695 +1975 5 8 12 12 GORDON 40.5 161.6 103 709 +2002 3 9 0 26 LESLIE 10.4 7.7 138 186 +1953 4 21 6 16 PATTY 59.2 182.4 31 327 +1975 4 9 6 16 NADINE 42.9 144.8 30 243 +1958 12 7 18 22 JOYCE 67.9 72.8 109 543 +1960 1 22 6 12 LESLIE 66.2 90.0 46 771 +1982 3 8 18 26 VALERIE 22.2 205.7 160 564 +1955 4 23 12 13 DEBBY 46.5 212.3 108 709 +1982 12 21 0 28 SANDY 13.8 45.4 64 892 +1983 4 13 6 1 HELENE 13.6 152.4 101 126 +1969 7 17 0 26 JOYCE 58.0 101.8 39 185 +1991 5 18 12 28 CHRIS 28.6 356.3 139 472 +1998 6 27 18 2 GORDON 26.7 147.5 47 3 +1975 7 1 6 2 DEBBY 14.6 160.0 125 805 +1961 9 28 12 16 FLORENCE 20.0 45.8 94 580 +1975 1 5 6 19 LESLIE 59.6 129.2 68 488 +1969 3 21 6 19 SANDY 30.8 109.5 10 110 +1992 1 11 18 6 ISAAC 20.5 209.8 39 815 +1956 8 26 0 13 WILLIAM 38.7 237.3 10 401 +1951 12 5 18 26 CHRIS 38.8 244.8 44 767 +2002 1 13 6 17 TONY 65.8 11.7 45 897 +1984 11 21 12 25 PATTY 7.6 12.2 77 226 +1972 9 16 0 28 TONY 38.1 44.9 95 764 +1977 10 18 18 21 RAFAEL 49.5 131.9 63 501 +1988 6 10 12 2 ISAAC 27.0 274.6 81 239 +2002 11 7 0 18 KIRK 13.6 68.8 133 160 +1971 3 12 0 8 HELENE 23.4 305.1 27 848 +1978 5 9 12 21 ALBERTO 32.9 158.2 80 331 +1970 5 19 12 22 GORDON 8.2 6.0 136 102 +1986 12 1 12 26 BERYL 13.7 202.7 21 277 +2004 1 14 0 24 PATTY 11.2 16.8 56 416 +2001 10 6 6 12 TONY 28.0 197.2 47 35 +1959 3 20 0 18 ISAAC 55.8 179.7 93 613 +1996 11 23 18 17 WILLIAM 43.9 301.0 20 374 +2003 5 13 0 5 FLORENCE 40.2 49.6 14 320 +1970 3 24 6 26 ALBERTO 30.4 148.6 109 473 +1968 2 25 12 23 CHRIS 62.1 212.0 64 281 +1972 10 19 6 8 SANDY 48.1 147.9 51 839 +1976 8 14 12 9 PATTY 42.8 176.0 124 79 +1973 4 25 6 9 FLORENCE 65.1 8.8 121 390 +1999 9 10 6 13 ISAAC 57.6 226.8 137 774 +1958 9 23 12 5 BERYL 24.3 343.8 124 15 +1986 12 15 6 9 JOYCE 69.6 250.7 131 414 +1991 5 2 6 23 KIRK 60.7 32.2 133 430 +1965 11 7 0 24 ALBERTO 65.4 179.1 103 831 +1952 2 15 6 7 ERNESTO 58.0 21.3 147 889 +1969 2 5 6 28 WILLIAM 11.2 81.0 108 598 +1958 10 13 6 16 ISAAC 57.3 84.3 158 290 +1958 1 4 6 10 PATTY 48.2 340.8 46 861 +1990 10 3 0 25 WILLIAM 54.0 272.8 147 503 +1984 12 6 6 6 TONY 60.2 267.6 146 394 +1972 7 3 6 26 CHRIS 8.4 139.4 60 193 +1965 5 24 0 8 VALERIE 45.3 309.4 86 555 +2003 1 11 18 7 TONY 48.5 16.2 160 430 +1985 10 5 12 23 BERYL 63.6 62.0 45 649 +1976 10 22 18 11 NADINE 57.3 334.9 76 432 +1957 6 6 12 27 ALBERTO 40.3 177.4 112 276 +1995 5 7 6 8 VALERIE 51.6 344.4 157 772 +1968 6 1 6 10 HELENE 29.4 271.1 61 291 +2004 11 7 0 24 TONY 12.3 126.9 93 741 +1960 2 11 12 6 ALBERTO 48.1 147.0 69 524 +1958 7 25 6 18 PATTY 46.8 84.0 25 547 +1988 6 10 18 21 SANDY 34.2 4.3 24 577 +1994 6 6 18 22 KIRK 50.7 199.8 15 180 +1980 7 9 12 17 TONY 65.5 340.6 88 274 +1964 3 15 18 2 KIRK 45.0 82.2 20 563 +1968 10 16 12 10 MICHAEL 35.0 43.7 151 703 +2004 12 2 12 11 SANDY 24.4 16.3 147 61 +1952 1 3 6 15 PATTY 64.8 214.3 154 281 +1956 3 21 18 19 TONY 65.8 325.4 37 668 +1970 2 6 12 17 TONY 65.7 15.4 15 323 +1978 5 25 6 20 GORDON 65.6 22.9 47 556 +1956 7 16 18 11 DEBBY 27.8 301.1 120 97 +1956 10 13 12 12 CHRIS 8.4 156.3 101 848 +1966 5 5 6 5 GORDON 59.2 72.6 78 332 +1963 8 26 12 20 BERYL 19.1 317.9 155 40 +1999 6 17 6 16 WILLIAM 17.8 48.8 23 586 +1966 9 12 12 6 RAFAEL 52.3 111.4 35 499 +1990 8 24 0 28 CHRIS 67.6 223.7 12 415 +1998 10 10 0 11 BERYL 67.0 120.4 89 445 +1996 1 16 6 17 TONY 54.6 21.4 89 164 +1969 2 25 6 6 MICHAEL 57.3 202.8 77 32 +1969 2 12 12 24 BERYL 46.8 269.9 46 54 +2003 10 19 12 22 ALBERTO 23.5 41.6 125 741 +1969 2 25 6 3 JOYCE 10.0 303.8 15 108 +1981 6 2 0 21 TONY 15.1 294.1 89 377 +1992 7 25 12 2 JOYCE 9.2 353.8 29 672 +1992 9 17 6 7 VALERIE 47.2 90.0 135 827 +1985 7 17 6 7 ERNESTO 69.8 80.7 27 764 +1992 7 6 0 18 ERNESTO 24.7 320.3 18 702 +1994 8 27 6 3 PATTY 44.8 13.9 45 792 +1998 11 2 0 13 GORDON 58.2 166.5 58 887 +1972 7 1 0 11 ISAAC 51.4 46.8 25 532 +1959 12 4 6 1 JOYCE 42.3 101.1 10 533 +1977 3 4 0 20 PATTY 8.8 122.8 25 243 +1954 7 20 6 12 SANDY 69.7 240.1 94 473 +1960 3 8 0 2 SANDY 64.3 339.3 100 782 +1955 9 10 18 13 HELENE 39.7 224.1 59 327 +1950 2 28 18 2 FLORENCE 22.0 260.0 34 559 +1983 6 4 18 11 ISAAC 44.6 177.6 163 111 +1955 10 16 0 4 MICHAEL 50.2 265.7 15 770 +1957 3 16 6 22 ALBERTO 55.7 285.0 92 759 +1965 4 12 12 23 SANDY 14.5 196.8 75 503 +1994 8 26 6 19 GORDON 23.7 259.1 27 130 +1979 4 9 18 24 JOYCE 45.2 257.7 16 207 +1954 11 14 6 27 GORDON 60.2 355.7 136 369 +1951 8 27 12 14 CHRIS 46.2 154.4 44 328 +1996 5 19 0 3 SANDY 60.6 1.3 79 879 +2001 9 15 0 3 CHRIS 56.5 308.3 58 298 +1981 10 10 12 23 KIRK 9.5 314.0 78 618 +1972 9 2 0 14 HELENE 34.6 98.1 69 38 +2001 1 18 0 2 SANDY 62.5 308.5 143 714 +1972 11 21 0 28 TONY 60.3 118.5 126 343 +1971 4 10 0 2 NADINE 16.8 210.9 100 713 +1988 3 24 18 3 RAFAEL 10.5 258.9 30 693 +1960 9 17 6 16 CHRIS 33.6 254.7 41 715 +2002 8 4 0 28 OSCAR 41.7 6.8 123 284 +2001 4 15 18 17 ALBERTO 38.8 191.3 114 407 +2000 1 23 12 26 HELENE 40.8 259.5 52 653 +1974 5 20 18 6 ERNESTO 30.4 184.8 128 432 +1960 11 1 0 24 GORDON 65.7 255.2 149 734 +1970 3 13 12 16 TONY 19.1 336.0 129 688 +1975 3 11 18 28 ISAAC 60.2 148.7 95 305 +1953 1 6 6 27 KIRK 37.9 285.6 40 12 +1965 9 12 12 3 KIRK 33.9 350.2 64 547 +1954 2 17 12 22 SANDY 29.7 249.9 133 480 +1996 4 12 0 21 MICHAEL 62.1 204.6 82 322 +1995 5 13 6 18 HELENE 38.9 333.5 110 321 +1955 3 24 18 28 MICHAEL 20.3 190.6 133 242 +1989 11 22 12 18 OSCAR 36.9 230.5 46 793 +1962 6 19 18 3 TONY 38.1 21.8 72 506 +1980 12 1 18 3 MICHAEL 55.5 98.2 115 274 +1980 9 6 12 26 KIRK 34.4 211.7 18 269 +1991 5 11 12 20 NADINE 46.2 135.1 132 411 +1950 10 5 0 5 VALERIE 22.9 259.7 62 752 +1981 10 22 18 7 WILLIAM 31.6 243.8 108 564 +2002 4 26 12 9 RAFAEL 19.5 108.1 54 439 +1976 3 27 0 2 MICHAEL 59.5 6.9 13 603 +1995 7 5 6 10 ALBERTO 28.9 2.7 140 427 +1978 3 16 12 21 LESLIE 12.0 353.8 68 422 +2001 7 12 18 17 GORDON 52.7 66.9 30 771 +1993 3 22 18 1 LESLIE 60.8 236.2 100 337 +1966 4 11 6 3 OSCAR 13.2 338.1 23 866 +1961 10 2 12 16 LESLIE 48.9 76.5 123 52 +1950 7 25 6 13 ISAAC 44.8 253.7 25 695 +1995 10 5 18 5 GORDON 64.0 258.0 49 312 +1988 8 10 0 27 NADINE 58.2 43.1 22 339 +1950 4 17 6 9 VALERIE 66.8 61.3 69 500 +1991 2 17 6 13 PATTY 59.7 165.7 30 724 +1995 7 28 18 16 PATTY 44.9 4.0 85 624 +1963 11 15 0 8 MICHAEL 37.0 208.6 71 68 +2003 12 21 0 27 RAFAEL 21.0 261.5 77 27 +1978 9 7 0 20 PATTY 39.0 105.9 71 564 +1951 6 17 6 11 SANDY 14.3 66.8 143 14 +2000 9 5 12 17 VALERIE 56.1 198.4 24 861 +1986 1 19 6 4 LESLIE 40.1 6.1 34 510 +1982 7 16 12 8 MICHAEL 61.1 58.1 158 504 +1969 3 1 0 15 MICHAEL 10.6 326.3 163 351 +1995 9 28 18 23 RAFAEL 14.9 28.1 160 342 +1984 12 13 0 9 JOYCE 7.1 34.4 149 690 +1980 8 13 0 4 TONY 62.1 204.4 24 810 +1995 7 7 12 3 ERNESTO 49.9 175.5 64 105 +1982 7 8 12 11 DEBBY 43.0 258.9 90 47 +1970 4 18 6 19 OSCAR 24.0 199.2 18 666 +1980 2 1 0 7 WILLIAM 67.7 271.6 141 156 +1991 5 27 6 3 MICHAEL 31.3 310.0 163 843 +1970 4 2 18 21 ISAAC 20.6 68.7 147 178 +1959 9 24 12 1 BERYL 59.0 263.9 44 493 +1965 5 1 12 27 SANDY 61.8 43.2 151 200 +1963 6 28 6 28 GORDON 45.1 329.1 81 376 +1953 8 14 12 1 ERNESTO 48.8 254.9 23 446 +1992 11 1 0 6 OSCAR 64.5 330.5 110 337 +1952 1 5 12 4 CHRIS 64.9 315.9 149 677 +1950 8 20 0 20 RAFAEL 13.6 12.3 133 655 +1978 9 10 6 16 WILLIAM 15.7 161.6 114 265 +1987 7 24 12 2 SANDY 11.9 200.3 139 254 +1992 10 27 6 25 HELENE 47.7 156.4 27 285 +1989 4 12 6 23 WILLIAM 50.3 53.7 134 555 +1989 7 17 12 26 LESLIE 57.6 187.3 105 279 +1973 12 20 12 18 PATTY 55.7 89.4 46 234 +1973 4 18 0 25 KIRK 22.1 57.0 33 691 +1971 8 9 12 7 GORDON 21.8 161.5 145 354 +1975 6 28 0 17 ALBERTO 52.0 10.0 119 116 +1973 8 2 0 12 DEBBY 19.1 63.9 137 793 +1952 6 13 18 3 VALERIE 49.0 94.4 44 326 +1971 5 7 6 17 ALBERTO 68.3 21.9 136 101 +1986 4 20 12 11 BERYL 69.0 212.5 124 306 +1984 11 26 18 28 OSCAR 51.2 136.1 134 828 +1963 1 16 18 20 BERYL 45.4 197.1 133 360 +1983 5 17 12 17 TONY 16.4 274.4 37 121 +1998 11 23 6 22 ERNESTO 61.8 177.0 146 435 +1955 11 10 0 6 MICHAEL 29.9 50.7 107 534 +1978 11 17 0 5 ALBERTO 43.4 324.4 123 724 +1996 2 24 18 22 VALERIE 34.3 139.2 92 636 +1989 6 16 18 3 PATTY 68.2 273.5 11 423 +1974 6 14 0 6 TONY 46.8 282.5 107 755 +1966 3 13 18 27 LESLIE 42.6 244.6 65 169 +1976 12 18 12 26 TONY 58.1 230.0 21 755 +1988 5 25 12 20 TONY 9.9 102.1 23 360 +1999 8 16 6 14 WILLIAM 48.4 219.9 37 478 +1984 5 18 12 28 NADINE 53.2 98.2 141 32 +1952 11 9 0 17 OSCAR 64.4 136.8 10 260 +1969 6 25 18 17 HELENE 24.9 171.8 150 222 +2002 10 10 18 28 FLORENCE 67.2 134.1 14 604 +1957 7 12 6 4 ALBERTO 38.9 218.6 77 92 +1995 10 14 18 24 MICHAEL 56.2 255.8 128 168 +1976 4 26 6 7 KIRK 67.9 203.3 61 20 +1991 12 14 18 9 KIRK 68.4 320.1 49 897 +1982 3 3 6 21 RAFAEL 53.9 266.8 152 225 +1966 12 7 12 25 HELENE 59.7 87.4 107 751 +1963 2 17 12 23 ISAAC 50.9 211.7 85 163 +1961 6 1 18 1 ISAAC 19.7 334.5 74 442 +1950 10 22 6 17 DEBBY 44.0 14.4 24 682 +1996 6 22 6 23 BERYL 36.4 111.0 145 358 +1983 3 24 6 25 ISAAC 45.2 43.9 81 571 +1998 4 24 0 17 SANDY 45.2 256.8 19 217 +2004 9 9 18 18 JOYCE 66.5 18.7 75 348 +1957 10 3 18 7 ERNESTO 34.4 338.6 113 765 +2003 9 16 12 28 DEBBY 19.9 303.9 95 892 +2004 1 1 6 22 FLORENCE 51.6 224.9 105 178 +1964 11 20 0 21 FLORENCE 42.1 157.6 64 824 +1971 6 13 18 1 OSCAR 42.9 188.1 27 585 +2000 12 23 12 23 ISAAC 55.9 241.3 111 481 +1992 5 14 6 26 ERNESTO 45.7 174.5 102 212 +1967 12 22 12 3 ERNESTO 36.7 176.8 89 356 +1984 7 15 6 11 TONY 50.2 269.8 153 718 +1995 11 4 0 21 VALERIE 64.4 334.8 24 684 +1984 1 10 0 15 KIRK 13.7 272.6 148 484 +1960 8 23 6 8 ALBERTO 20.5 110.3 65 9 +1987 2 24 18 28 JOYCE 57.9 204.2 66 138 +1976 4 17 12 7 DEBBY 22.1 39.3 95 95 +1952 5 11 0 3 RAFAEL 59.0 135.9 160 835 +1986 12 25 0 9 ISAAC 30.2 261.1 88 176 +1994 11 20 18 4 MICHAEL 49.4 267.8 50 714 +1971 8 3 12 17 BERYL 32.3 223.1 10 269 +1972 12 11 12 11 ALBERTO 43.6 226.7 69 335 +1985 12 6 0 24 MICHAEL 14.9 331.6 49 251 +1996 7 5 12 13 OSCAR 60.3 178.3 90 723 +1955 10 6 0 3 NADINE 41.2 57.5 147 712 +1992 5 20 0 9 OSCAR 48.8 250.1 23 15 +1962 5 1 6 28 LESLIE 13.1 224.4 71 106 +1980 8 21 0 21 KIRK 56.6 61.1 34 596 +1968 11 15 12 27 KIRK 17.2 57.8 25 754 +1953 2 18 0 27 JOYCE 44.4 103.2 111 781 +2001 12 24 6 24 ALBERTO 41.6 331.8 47 889 +1981 8 5 6 22 VALERIE 37.4 107.3 129 808 +1956 1 23 18 12 OSCAR 27.9 112.5 114 491 +1994 5 24 18 13 TONY 8.1 2.5 35 761 +1962 3 12 12 11 ISAAC 54.4 165.1 127 697 +1991 9 7 6 24 VALERIE 20.5 232.5 28 70 +1953 1 21 6 21 LESLIE 28.6 287.5 84 27 +2000 3 21 0 4 OSCAR 43.9 79.5 93 763 +1964 2 12 18 26 HELENE 24.7 74.6 52 499 +1955 6 1 6 23 JOYCE 18.5 9.7 50 606 +1990 11 11 18 14 KIRK 40.7 164.6 31 861 +2002 3 16 12 20 ERNESTO 26.8 8.7 65 409 +1971 10 7 6 18 LESLIE 9.7 175.6 98 138 +1973 12 10 6 2 LESLIE 33.8 275.0 35 600 +1994 6 1 6 8 CHRIS 32.0 267.9 97 867 +1972 3 17 0 1 RAFAEL 47.0 55.6 142 650 +1998 9 8 18 2 TONY 47.9 229.7 16 618 +1984 5 9 12 10 ERNESTO 38.7 269.3 19 525 +1985 9 11 0 21 NADINE 45.4 185.4 19 865 +1973 11 26 18 15 CHRIS 14.9 318.9 135 805 +1973 10 18 12 8 GORDON 44.1 46.3 58 158 +2003 1 26 0 14 HELENE 56.8 61.4 67 459 +1954 2 22 12 7 KIRK 51.1 83.3 103 838 +1979 5 25 12 3 KIRK 22.7 268.0 137 415 +1977 6 9 6 21 MICHAEL 30.6 260.1 37 327 +1981 9 3 18 2 VALERIE 26.0 223.4 49 285 +1977 12 25 18 18 TONY 41.3 95.0 46 889 +1996 8 28 18 2 BERYL 15.7 335.3 43 706 +1957 12 16 12 18 NADINE 15.3 230.6 134 875 +1966 6 5 6 21 ALBERTO 65.6 161.9 135 128 +1972 12 7 18 8 PATTY 25.9 270.8 146 231 +1958 6 19 12 2 GORDON 9.9 302.0 13 533 +2000 7 28 0 17 HELENE 14.3 116.6 136 136 +1982 9 3 18 22 CHRIS 11.5 184.6 26 630 +1964 5 13 12 11 CHRIS 7.2 345.9 95 885 +1963 8 5 0 3 TONY 47.7 22.1 150 135 +2003 3 14 12 4 CHRIS 27.7 291.3 126 731 +1984 6 23 6 16 OSCAR 59.6 8.6 20 678 +1991 12 15 18 6 VALERIE 15.3 211.1 80 778 +1977 11 3 6 8 WILLIAM 25.9 25.9 112 40 +1973 4 24 18 23 WILLIAM 65.9 219.1 54 339 +2002 6 15 0 17 KIRK 27.7 233.4 24 618 +1983 6 10 6 20 CHRIS 67.2 281.9 37 691 +1952 1 8 6 5 OSCAR 59.1 104.8 163 283 +1959 4 28 12 20 FLORENCE 41.2 232.4 68 154 +1974 6 1 18 15 RAFAEL 30.6 222.1 27 718 +1963 4 28 12 22 TONY 42.5 177.6 125 226 +1975 11 18 0 20 BERYL 65.7 283.3 40 25 +1966 1 16 18 27 LESLIE 10.9 45.4 33 431 +1974 2 8 6 22 NADINE 54.6 336.6 23 96 +1990 10 15 12 28 PATTY 55.9 14.9 39 842 +1951 11 13 18 8 GORDON 56.3 337.4 81 83 +1999 12 15 0 1 TONY 41.1 104.3 135 833 +1991 2 7 6 5 ISAAC 40.7 210.9 156 691 +1994 7 6 12 1 WILLIAM 45.4 163.3 163 167 +1958 8 20 12 10 KIRK 40.9 187.6 149 257 +2001 9 12 0 10 ALBERTO 45.1 40.4 117 398 +1994 7 22 18 2 RAFAEL 30.7 10.0 21 2 +1968 5 22 0 7 RAFAEL 48.7 88.4 99 559 +1985 3 26 12 3 RAFAEL 19.4 37.1 139 485 +1977 10 24 18 12 WILLIAM 61.8 244.2 57 810 +1987 8 22 6 5 LESLIE 21.5 167.7 135 316 +1979 7 20 12 8 RAFAEL 28.9 123.2 74 330 +1954 11 25 12 1 BERYL 18.0 283.0 37 690 +1978 10 11 12 24 FLORENCE 68.5 16.9 33 157 +1958 5 24 12 27 SANDY 15.4 273.0 105 738 +1978 12 19 6 9 FLORENCE 7.3 312.2 93 819 +1996 3 6 18 9 SANDY 10.9 94.4 136 832 +1985 2 2 18 19 RAFAEL 46.0 58.8 155 175 +1955 4 13 18 21 GORDON 14.6 211.8 13 447 +1997 7 23 0 16 PATTY 26.8 162.4 11 367 +1968 6 6 0 6 TONY 38.3 167.4 64 600 +1956 8 7 18 16 OSCAR 22.2 210.3 138 697 +1980 12 18 18 14 KIRK 8.2 288.5 146 451 +1972 3 1 18 18 SANDY 46.2 111.7 82 514 +2003 3 25 18 23 KIRK 32.0 204.3 17 156 +1957 8 24 18 20 BERYL 28.1 52.3 10 517 +1990 3 24 12 4 ALBERTO 58.1 230.3 77 664 +1994 4 3 12 1 BERYL 50.6 324.5 37 621 +1972 5 17 18 4 OSCAR 31.5 131.2 119 892 +1982 6 10 6 3 ALBERTO 13.3 240.4 69 420 +1961 12 19 6 17 MICHAEL 30.8 340.7 161 736 +1990 1 19 18 13 ALBERTO 55.4 156.4 160 466 +1965 8 1 6 28 ISAAC 18.0 129.7 32 24 +1989 8 25 6 23 CHRIS 12.1 88.1 28 516 +1966 1 9 12 22 ISAAC 66.9 21.0 144 605 +1957 3 28 0 16 DEBBY 45.3 208.3 141 577 +1986 10 15 0 2 ERNESTO 67.5 245.6 149 896 +1993 3 8 0 4 OSCAR 28.9 324.1 21 385 +1999 10 2 18 2 DEBBY 15.0 115.1 80 668 +1977 3 12 18 8 RAFAEL 39.6 180.9 30 319 +1999 1 24 12 10 TONY 13.5 34.1 97 194 +1972 5 24 6 7 KIRK 7.2 61.1 78 74 +1967 3 17 12 19 DEBBY 26.0 264.3 160 175 +1988 8 22 0 24 DEBBY 45.8 174.1 125 896 +1981 12 24 6 13 ALBERTO 34.9 20.1 40 122 +1985 10 13 0 10 ALBERTO 38.8 161.0 112 454 +1961 11 20 0 17 ISAAC 8.1 21.7 78 633 +1985 8 24 18 24 PATTY 39.8 342.0 52 201 +1986 10 7 18 19 PATTY 20.1 347.8 136 209 +2002 11 12 0 28 CHRIS 35.5 187.7 72 790 +1989 7 4 6 24 FLORENCE 48.0 20.1 16 544 +1977 2 26 0 15 KIRK 49.2 255.1 79 364 +1958 9 11 18 6 ALBERTO 23.0 56.6 64 572 +1980 12 17 18 9 OSCAR 66.1 122.4 74 884 +1963 10 23 6 27 WILLIAM 40.7 30.0 115 529 +1989 1 9 18 17 RAFAEL 55.0 241.4 20 235 +1961 1 3 12 18 WILLIAM 23.0 248.2 159 190 +1964 1 10 0 25 WILLIAM 49.1 160.9 68 320 +1958 6 23 6 21 ISAAC 59.9 4.7 52 815 +1995 6 13 6 10 HELENE 48.7 153.5 20 556 +1991 1 8 6 11 NADINE 33.1 56.3 17 414 +1967 10 28 0 5 GORDON 19.2 304.7 78 44 +2002 10 15 18 7 ERNESTO 39.0 27.8 79 603 +1981 11 21 6 22 FLORENCE 22.1 213.4 137 611 +1953 1 5 12 17 ISAAC 30.3 283.7 144 874 +1993 3 18 12 25 ISAAC 64.2 275.0 84 545 +1977 12 18 12 23 ERNESTO 18.3 131.2 153 705 +1966 12 6 12 24 TONY 38.5 310.5 73 698 +1984 9 26 0 18 HELENE 36.8 151.4 139 739 +1954 8 14 0 1 MICHAEL 62.2 30.3 38 832 +2004 11 21 12 13 MICHAEL 18.7 347.3 118 252 +1990 3 18 6 13 CHRIS 26.3 240.0 155 879 +1994 7 4 18 11 FLORENCE 40.4 51.0 114 360 +1979 6 5 6 13 PATTY 18.0 349.1 148 711 +1988 6 4 6 7 FLORENCE 31.0 350.6 34 364 +1983 7 3 0 15 CHRIS 23.0 142.9 97 172 +1952 1 9 6 8 RAFAEL 28.2 191.0 77 888 +1962 5 18 12 9 JOYCE 56.0 225.4 56 473 +1985 11 6 6 20 SANDY 18.7 333.4 70 546 +1969 3 1 12 23 KIRK 44.9 305.9 31 311 +1995 1 17 18 14 BERYL 53.7 147.6 124 262 +1984 1 16 0 19 RAFAEL 11.0 26.1 53 834 +1964 7 14 6 11 HELENE 7.8 180.1 95 851 +1972 10 6 6 7 GORDON 42.9 67.1 135 507 +1991 12 10 0 11 ISAAC 60.7 120.5 146 630 +1969 2 17 0 14 ERNESTO 66.9 142.7 39 884 +1969 12 5 12 23 BERYL 52.5 167.3 22 629 +1976 7 22 6 27 GORDON 55.2 141.6 37 212 +1982 4 15 12 13 PATTY 35.4 345.9 108 575 +1989 7 22 6 15 CHRIS 46.9 240.6 47 180 +1995 4 9 0 23 SANDY 18.3 318.0 72 232 +1995 7 23 12 18 RAFAEL 38.9 69.5 139 127 +1957 4 19 6 28 ALBERTO 52.0 219.1 65 303 +1952 6 2 18 5 PATTY 30.8 310.2 130 797 +1964 5 1 12 16 NADINE 65.8 1.0 51 848 +1975 6 13 0 1 JOYCE 9.8 195.2 157 507 +1971 6 2 18 4 RAFAEL 13.7 21.3 154 860 +2001 2 23 18 6 JOYCE 30.5 221.4 50 515 +1978 10 19 0 15 ALBERTO 60.8 123.3 70 869 +1967 5 18 12 18 JOYCE 9.8 167.7 64 315 +1959 10 16 0 25 DEBBY 8.8 47.3 33 780 +1964 6 14 18 14 NADINE 27.9 286.7 152 327 +1987 11 27 18 11 ISAAC 44.4 173.6 140 206 +1977 5 20 0 14 NADINE 9.1 242.3 94 783 +1972 7 11 12 20 FLORENCE 62.3 233.2 131 527 +1965 8 8 12 2 ALBERTO 63.5 204.8 129 269 +1966 9 5 12 22 PATTY 49.4 274.7 37 544 +2000 9 22 18 13 CHRIS 33.3 44.8 22 15 +1968 4 12 12 23 MICHAEL 55.6 73.3 15 681 +1971 12 15 18 20 SANDY 17.3 109.1 82 338 +1975 1 8 6 20 ALBERTO 65.4 343.6 120 771 +1977 5 23 18 5 GORDON 57.6 37.9 156 211 +1996 3 18 6 28 PATTY 14.7 175.2 55 590 +1953 6 8 6 19 LESLIE 59.7 55.7 143 220 +1995 7 4 12 21 TONY 36.9 177.8 35 328 +1998 5 4 0 7 BERYL 26.0 121.3 31 255 +1970 4 10 0 15 PATTY 60.4 3.2 133 701 +1969 6 18 18 5 TONY 19.4 352.4 109 696 +2002 8 15 6 1 GORDON 54.1 39.8 93 163 +1964 7 2 12 27 ISAAC 33.1 66.1 43 658 +1966 9 8 12 25 ERNESTO 48.7 151.4 72 540 +1995 10 26 18 24 GORDON 21.7 208.8 41 636 +1984 6 22 18 3 TONY 65.6 316.3 92 810 +1965 11 15 6 4 ERNESTO 58.7 268.0 21 130 +1987 5 3 0 21 WILLIAM 42.5 84.6 68 748 +1980 4 11 18 17 HELENE 18.1 91.7 102 352 +1965 10 19 6 17 KIRK 69.4 146.6 117 605 +1954 5 27 6 4 FLORENCE 49.1 10.5 99 527 +1987 1 14 18 12 BERYL 55.6 125.0 22 7 +1953 8 3 18 22 ALBERTO 52.9 184.1 13 637 +1991 8 10 0 24 KIRK 48.3 257.9 110 803 +1958 10 25 6 20 OSCAR 7.8 0.5 57 540 +1985 10 5 0 8 JOYCE 27.8 253.0 19 736 +1975 2 22 6 11 FLORENCE 35.5 84.0 76 535 +1963 12 10 18 20 ALBERTO 20.8 335.1 49 746 +1963 11 25 12 25 VALERIE 20.5 17.1 132 94 +1987 1 16 12 26 KIRK 40.7 355.6 153 80 +1989 1 13 18 24 FLORENCE 19.6 191.0 84 115 +1975 3 7 18 7 BERYL 60.6 150.2 90 480 +1982 8 7 12 10 ISAAC 66.4 203.4 80 446 +1990 11 24 18 8 NADINE 20.8 95.8 17 223 +1986 12 28 6 16 GORDON 33.2 203.9 74 51 +1955 10 17 6 13 ALBERTO 15.9 9.2 72 138 +1968 11 26 18 3 VALERIE 31.8 214.7 66 429 +1965 4 11 6 7 SANDY 64.3 100.5 61 299 +2004 1 12 0 24 LESLIE 65.7 41.5 39 67 +1984 3 9 12 17 FLORENCE 48.8 211.8 21 27 +1973 9 12 6 18 VALERIE 66.6 223.4 40 864 +1978 7 7 0 7 RAFAEL 68.2 91.8 53 393 +1970 2 13 0 4 LESLIE 69.1 17.7 147 566 +1951 9 22 0 17 VALERIE 21.7 102.1 131 492 +1977 7 20 12 7 RAFAEL 35.4 217.8 51 268 +1999 1 7 12 24 FLORENCE 47.9 289.0 109 549 +1990 5 17 0 8 CHRIS 20.4 112.5 164 332 +1970 4 26 0 24 FLORENCE 63.7 207.5 143 666 +1991 4 22 6 6 ERNESTO 33.4 85.7 88 425 +1976 1 23 6 8 OSCAR 50.4 122.9 106 527 +1983 5 16 0 7 BERYL 25.4 139.9 79 771 +1953 8 7 18 19 DEBBY 13.4 72.2 20 221 +1973 9 13 0 20 FLORENCE 41.7 210.4 10 686 +1977 1 18 12 5 CHRIS 64.8 186.6 97 750 +1967 2 25 0 28 RAFAEL 52.5 54.2 139 661 +2001 11 15 12 2 DEBBY 59.0 347.7 88 39 +1985 7 26 12 23 LESLIE 69.9 95.6 123 734 +2000 2 18 12 16 HELENE 47.6 195.6 162 694 +1976 5 17 18 26 SANDY 31.8 335.6 47 233 +1992 8 18 12 1 DEBBY 19.3 162.2 30 598 +1965 2 20 18 8 TONY 19.3 253.9 33 156 +1951 11 9 12 7 SANDY 39.0 84.9 34 427 +1971 10 4 18 8 KIRK 36.6 88.2 102 721 +1980 2 10 18 24 JOYCE 68.7 296.6 10 356 +1996 8 7 6 4 OSCAR 44.0 33.0 16 366 +1991 6 23 18 11 GORDON 38.1 117.1 73 446 +1959 5 1 18 25 BERYL 28.8 107.9 33 194 +1961 2 3 18 10 FLORENCE 19.0 346.6 12 568 +1956 6 3 0 15 JOYCE 48.3 332.2 105 876 +1950 12 13 6 22 PATTY 67.1 192.7 39 727 +1987 10 14 6 14 RAFAEL 41.3 92.8 111 569 +1968 2 10 0 5 ALBERTO 29.8 106.9 79 449 +1999 4 4 0 2 CHRIS 14.6 229.9 144 434 +1997 12 21 0 17 NADINE 68.2 163.6 79 9 +1985 9 4 18 22 ALBERTO 66.6 311.8 120 360 +1953 3 25 0 7 NADINE 42.0 79.7 46 401 +1993 7 10 18 14 DEBBY 31.9 65.3 113 542 +1998 8 10 12 4 ISAAC 60.5 157.2 55 464 +1980 1 25 0 11 SANDY 18.5 120.6 64 609 +1951 12 26 6 8 BERYL 23.4 9.7 161 818 +1953 3 9 6 25 OSCAR 30.2 70.1 144 201 +1959 6 14 18 1 NADINE 31.3 328.4 42 239 +1971 5 10 6 1 PATTY 60.1 285.2 96 187 +1991 8 28 6 2 ERNESTO 31.1 266.5 14 448 +1984 2 25 6 3 HELENE 68.5 162.6 44 101 +1952 5 26 18 25 NADINE 34.3 215.3 60 852 +2003 11 2 6 2 LESLIE 66.7 165.6 158 461 +1993 8 2 12 6 SANDY 47.2 189.6 125 99 +1995 11 11 18 5 PATTY 60.9 10.1 157 570 +1974 8 9 12 5 CHRIS 19.8 176.5 115 576 +1951 1 22 18 18 ISAAC 11.2 3.5 36 155 +1978 10 5 0 24 VALERIE 45.7 56.4 113 201 +1989 6 16 18 19 DEBBY 36.0 67.2 14 490 +1984 1 5 6 22 TONY 59.5 41.5 47 842 +1998 11 6 12 17 CHRIS 43.4 267.0 87 389 +1966 9 13 0 22 BERYL 18.7 63.2 153 574 +1982 9 12 6 17 BERYL 18.1 122.3 32 694 +1954 1 1 6 24 NADINE 60.5 215.2 48 490 +1983 7 6 12 11 VALERIE 68.1 44.5 160 709 +2004 5 8 6 17 ALBERTO 20.8 111.9 67 324 +1969 4 24 12 19 ERNESTO 37.4 145.2 41 763 +2002 10 28 12 18 VALERIE 57.8 17.8 34 424 +1960 1 12 0 21 LESLIE 47.5 237.8 62 837 +2000 4 9 6 5 MICHAEL 42.0 51.2 101 640 +1989 1 1 12 28 CHRIS 42.1 71.4 155 601 +1983 5 11 0 14 GORDON 29.5 189.1 35 572 +1987 3 11 12 18 ISAAC 33.2 60.9 17 727 +1951 2 15 6 20 ISAAC 56.7 184.1 42 67 +1966 10 25 0 24 GORDON 15.3 351.4 56 237 +2004 1 10 12 11 JOYCE 34.5 304.2 118 897 +1954 2 2 6 19 ISAAC 62.5 213.0 38 38 +1995 5 18 6 20 TONY 10.5 260.4 108 193 +1996 7 16 12 3 SANDY 24.9 185.4 76 541 +1977 3 21 0 12 FLORENCE 41.0 58.7 67 775 +1955 2 9 0 14 HELENE 14.2 310.3 28 301 +2003 4 15 6 25 CHRIS 65.5 354.1 132 785 +1986 12 15 18 27 GORDON 15.9 280.3 43 695 +1999 6 9 12 10 OSCAR 20.8 106.2 88 152 +1984 12 26 18 12 ERNESTO 62.1 38.7 82 781 +1980 5 6 12 12 NADINE 65.3 306.6 135 43 +1999 1 15 12 6 OSCAR 36.6 354.2 100 373 +1974 11 28 6 7 ISAAC 10.6 132.9 110 701 +1968 3 17 12 5 ERNESTO 48.3 251.7 152 802 +2004 1 9 0 9 RAFAEL 60.9 236.0 20 506 +1993 4 2 0 11 NADINE 60.6 98.3 17 257 +1979 8 1 6 11 JOYCE 21.2 323.2 163 769 +1998 10 1 12 7 ISAAC 42.2 84.9 26 602 +1982 10 26 0 16 TONY 32.6 306.6 130 408 +2004 8 14 0 4 GORDON 12.6 137.8 70 241 +1970 2 14 18 28 VALERIE 26.2 30.2 47 402 +1960 6 6 0 3 KIRK 38.5 326.6 100 460 +1982 5 14 12 3 GORDON 14.0 98.6 148 841 +1975 4 25 0 24 BERYL 8.0 323.9 45 427 +1998 4 6 0 14 WILLIAM 56.7 209.6 159 5 +1953 3 16 12 20 HELENE 66.5 238.3 43 75 +1981 3 14 6 2 CHRIS 44.6 72.4 13 683 +1961 11 28 18 5 TONY 39.8 85.6 88 668 +1963 10 5 12 2 PATTY 67.1 223.3 146 861 +1967 12 7 18 17 MICHAEL 50.6 119.8 53 279 +1957 2 8 6 7 OSCAR 68.5 99.8 98 268 +1953 4 27 12 22 TONY 24.4 33.2 63 760 +1957 10 6 6 28 DEBBY 32.9 110.0 115 105 +2003 7 13 6 21 CHRIS 48.1 315.2 37 182 +1997 10 18 18 4 CHRIS 60.1 3.0 123 304 +1969 9 4 6 2 MICHAEL 69.5 169.8 47 483 +1998 10 28 6 6 ALBERTO 35.2 178.0 121 682 +1959 9 1 12 25 WILLIAM 60.7 102.5 30 433 +1977 2 17 6 25 ALBERTO 44.0 103.0 29 782 +2003 3 16 18 20 OSCAR 23.9 104.1 20 692 +1957 11 4 6 17 ERNESTO 15.3 54.7 62 256 +1952 2 17 18 6 NADINE 14.2 14.4 31 672 +2001 4 22 6 1 DEBBY 34.0 356.9 103 114 +1950 1 14 18 23 MICHAEL 43.3 246.6 130 15 +1957 11 10 18 28 NADINE 24.5 341.5 106 210 +1982 1 20 18 14 VALERIE 29.0 184.7 158 737 +1965 1 2 6 5 SANDY 51.0 156.0 45 679 +1961 5 25 6 9 VALERIE 66.4 319.0 97 216 +1977 6 26 18 5 DEBBY 14.1 164.5 15 482 +1993 6 9 18 20 NADINE 27.4 122.1 70 423 +1999 5 25 18 4 ALBERTO 27.1 71.3 133 237 +1995 1 18 6 7 DEBBY 8.8 63.1 69 170 +1965 3 2 0 5 BERYL 26.1 6.8 72 337 +1991 1 14 6 3 RAFAEL 12.2 24.2 117 874 +1968 7 5 6 11 RAFAEL 68.3 289.0 159 847 +2000 1 25 6 9 FLORENCE 50.8 131.1 107 543 +1968 12 6 0 7 WILLIAM 50.9 30.1 54 337 +1998 5 14 12 10 RAFAEL 27.1 209.7 21 483 +1960 8 7 0 23 KIRK 17.6 172.3 72 628 +1965 9 17 18 28 NADINE 49.4 192.4 80 479 +1996 10 17 18 20 ERNESTO 65.0 85.6 108 875 +1985 12 18 12 1 WILLIAM 47.6 290.1 154 767 +1956 10 14 12 28 WILLIAM 25.0 243.0 96 122 +1990 2 21 0 15 TONY 59.3 234.8 70 614 +1978 8 12 6 23 SANDY 63.2 245.4 15 552 +1962 4 4 0 10 BERYL 43.3 222.8 152 542 +1971 6 6 6 11 BERYL 69.1 54.9 21 734 +2001 1 6 0 2 BERYL 14.0 314.0 131 642 +1960 11 15 12 10 SANDY 18.8 62.6 40 431 +1996 1 7 18 20 TONY 11.4 331.9 56 661 +1976 11 13 12 7 OSCAR 48.2 101.1 27 868 +1967 3 9 12 13 SANDY 21.2 244.6 140 817 +1967 1 13 12 20 ALBERTO 32.3 100.0 112 675 +1988 7 24 18 10 RAFAEL 48.1 131.3 77 479 +1969 8 3 0 28 WILLIAM 24.1 171.1 50 597 +1959 5 22 6 21 NADINE 49.4 286.5 161 107 +1993 5 3 18 17 FLORENCE 53.2 249.6 157 574 +1977 1 5 0 6 LESLIE 42.9 117.0 32 828 +1977 3 6 6 2 DEBBY 61.2 73.2 39 781 +1962 5 9 12 26 KIRK 20.5 196.1 20 848 +1986 2 10 6 4 JOYCE 38.2 202.4 52 500 +1975 8 14 0 27 JOYCE 18.1 256.4 136 828 +1952 4 21 18 16 ERNESTO 18.4 347.9 20 360 +1991 11 3 18 18 FLORENCE 18.3 81.5 101 623 +1985 8 10 6 26 KIRK 14.9 356.0 145 863 +1959 12 28 12 13 ISAAC 40.0 211.7 83 80 +1984 11 5 0 8 TONY 69.8 126.5 101 741 +1965 6 8 6 15 ISAAC 64.8 231.8 21 103 +2003 10 20 18 20 RAFAEL 60.8 295.1 143 104 +1960 10 27 12 23 ISAAC 9.9 323.6 34 509 +2004 9 26 6 1 ERNESTO 10.8 311.2 70 344 +1952 5 23 0 17 GORDON 66.3 288.2 76 424 +1987 12 8 0 14 HELENE 54.5 283.3 103 428 +1979 9 14 0 17 SANDY 64.3 99.3 144 325 +1983 8 16 12 23 JOYCE 37.0 301.7 157 767 +1956 5 15 18 24 ALBERTO 62.2 95.9 92 511 +1972 5 7 18 12 DEBBY 47.5 199.6 100 169 +1979 4 13 0 25 GORDON 33.5 170.5 126 319 +1967 4 15 0 21 KIRK 59.6 307.2 41 828 +1959 3 17 6 11 DEBBY 61.1 267.4 65 749 +1969 1 11 18 26 GORDON 20.9 101.1 56 421 +1952 10 20 12 8 CHRIS 7.5 279.1 21 746 +1957 6 28 6 18 TONY 8.5 32.2 100 853 +1993 6 16 0 19 GORDON 63.3 157.4 143 78 +1971 2 16 12 25 ERNESTO 7.9 195.5 37 552 +1967 2 1 18 27 ALBERTO 39.0 130.7 115 198 +1987 4 23 0 14 LESLIE 47.8 173.2 130 797 +1970 2 22 12 13 TONY 67.5 104.5 23 446 +1969 4 12 6 20 MICHAEL 61.5 264.2 86 809 +1961 12 10 18 2 BERYL 25.0 278.4 145 524 +1984 5 17 6 7 HELENE 22.9 177.3 32 748 +1951 1 14 6 19 ERNESTO 35.7 291.5 156 730 +1959 12 3 12 14 OSCAR 38.2 192.3 123 746 +1974 12 10 12 12 ALBERTO 43.2 236.8 40 73 +1982 8 14 18 3 LESLIE 32.3 300.7 135 545 +1951 10 16 6 4 ISAAC 16.4 37.0 38 601 +1956 7 10 12 15 PATTY 9.1 126.5 144 215 +1979 2 16 12 19 OSCAR 18.7 63.1 133 98 +1979 1 20 0 23 WILLIAM 54.2 62.6 80 358 +1966 11 23 6 12 MICHAEL 61.6 231.8 119 205 +1973 3 13 0 10 SANDY 9.7 55.8 114 651 +1992 6 17 0 13 KIRK 9.4 8.6 118 325 +1951 7 7 0 26 WILLIAM 9.2 338.2 31 243 +1959 7 3 18 1 HELENE 59.8 113.7 19 668 +1956 11 22 6 23 CHRIS 39.1 49.3 48 374 +1953 11 26 18 28 MICHAEL 62.9 213.5 97 748 +1961 7 9 18 2 OSCAR 20.2 157.8 41 118 +1959 4 23 0 16 ERNESTO 45.8 310.7 116 472 +1997 8 14 0 1 SANDY 65.6 234.8 157 81 +1956 11 18 6 11 MICHAEL 68.0 320.4 70 428 +2000 12 25 0 28 KIRK 59.2 159.2 144 12 +1965 10 21 0 3 ERNESTO 56.6 219.8 44 163 +1953 6 17 6 16 ISAAC 62.2 204.5 91 96 +1984 5 13 6 7 SANDY 8.3 87.8 129 833 +1953 5 3 6 24 FLORENCE 68.9 119.3 88 872 +1951 11 16 12 8 DEBBY 17.0 288.0 11 645 +1972 5 28 18 11 HELENE 36.3 168.4 109 109 +2000 9 10 0 2 VALERIE 7.4 7.0 139 536 +1997 7 26 12 22 FLORENCE 44.5 231.9 21 471 +1994 5 10 6 24 LESLIE 23.3 94.2 160 515 +1961 11 10 18 7 ERNESTO 32.1 24.2 80 561 +1997 11 27 12 9 TONY 33.3 193.2 82 546 +1990 5 28 12 17 SANDY 62.7 55.4 59 393 +1987 11 7 6 28 PATTY 28.0 251.6 146 171 +1981 10 3 18 13 OSCAR 13.9 133.3 31 340 +1974 9 24 0 20 ERNESTO 66.5 257.1 42 684 +1997 10 26 18 19 OSCAR 23.6 108.7 36 186 +1988 7 11 0 28 HELENE 61.9 110.0 62 261 +2004 7 11 18 27 NADINE 67.2 317.7 23 819 +1970 5 7 0 18 LESLIE 62.2 6.4 147 649 +1959 11 10 0 22 DEBBY 10.6 95.0 141 15 +1997 11 18 0 20 BERYL 46.8 84.2 162 577 +1955 12 4 18 8 FLORENCE 10.0 197.1 68 437 +1957 8 13 6 23 PATTY 20.9 49.9 130 76 +2003 7 22 6 21 KIRK 47.0 21.6 79 200 +1963 3 11 18 25 SANDY 27.3 214.8 49 57 +1982 11 8 6 9 ISAAC 9.6 90.4 50 840 +1956 11 3 6 6 RAFAEL 61.8 260.7 135 370 +1999 10 15 6 11 BERYL 21.7 47.9 103 95 +1974 1 6 18 11 DEBBY 67.4 143.0 142 600 +2003 5 18 0 5 JOYCE 68.9 47.5 28 141 +1953 12 28 0 1 RAFAEL 13.5 36.3 42 312 +1972 11 27 6 5 ERNESTO 17.9 237.1 57 437 +1979 5 25 0 9 JOYCE 12.1 45.0 131 68 +1981 10 9 0 27 LESLIE 10.1 102.4 30 836 +1961 4 15 6 12 CHRIS 67.4 322.9 33 241 +1976 11 7 0 20 JOYCE 7.6 3.2 79 131 +1950 3 12 18 26 VALERIE 56.6 208.5 136 659 +1956 9 28 18 16 FLORENCE 10.2 147.5 65 466 +1961 12 28 0 9 JOYCE 52.6 58.6 81 685 +1956 3 22 12 6 FLORENCE 46.4 233.3 37 118 +2001 5 14 0 20 ALBERTO 26.6 279.7 89 39 +1957 2 11 18 16 PATTY 24.9 55.9 104 104 +1954 10 1 0 23 ISAAC 23.1 262.4 24 307 +1953 4 10 12 2 ERNESTO 51.0 191.0 143 883 +1976 1 25 6 3 MICHAEL 10.9 182.4 121 92 +1975 10 21 12 5 BERYL 35.1 194.8 116 37 +1980 1 10 6 25 LESLIE 26.9 8.3 157 128 +2000 9 21 18 27 TONY 47.1 137.7 148 497 +1994 12 22 6 10 ALBERTO 27.3 133.7 96 235 +1999 12 22 18 9 MICHAEL 61.8 343.7 127 467 +1967 4 1 0 12 ALBERTO 48.2 298.8 11 667 +1975 8 7 0 8 HELENE 62.4 261.6 13 397 +1959 7 23 18 23 NADINE 8.8 105.4 101 281 +1962 3 22 18 26 TONY 39.4 214.3 99 789 +1983 8 26 18 5 ERNESTO 57.0 189.9 50 853 +1966 9 23 6 3 BERYL 41.8 321.5 20 422 +1974 2 26 6 10 OSCAR 33.9 69.8 15 719 +1964 7 11 0 17 LESLIE 48.6 265.4 13 630 +1994 5 18 18 5 JOYCE 9.4 1.0 160 678 +1984 5 19 12 13 OSCAR 29.8 285.3 53 111 +1988 3 13 12 14 ALBERTO 34.4 128.4 96 563 +1970 2 23 18 17 WILLIAM 24.7 177.3 59 772 +1958 11 10 18 15 SANDY 24.1 54.0 36 179 +1974 1 27 18 19 ISAAC 24.8 308.6 62 490 +1964 5 18 18 13 ERNESTO 26.2 89.8 43 727 +1955 6 1 6 5 MICHAEL 25.8 163.5 76 857 +1997 2 14 18 3 LESLIE 60.8 135.9 111 773 +1982 2 26 12 19 MICHAEL 38.8 135.4 56 753 +1975 1 7 0 20 GORDON 10.8 111.7 48 665 +1985 1 4 6 20 JOYCE 37.2 89.2 103 707 +1959 9 26 0 27 NADINE 17.7 341.5 153 568 +1964 6 24 0 2 ERNESTO 53.0 122.6 66 881 +1997 5 22 12 4 VALERIE 49.8 353.9 10 239 +1954 9 23 6 21 KIRK 29.2 257.5 156 84 +1969 1 22 6 25 ERNESTO 36.2 271.3 10 758 +1954 7 13 6 26 WILLIAM 58.0 153.7 140 575 +1973 6 6 12 27 GORDON 27.4 333.0 140 184 +1965 1 11 6 28 MICHAEL 11.7 222.1 12 709 +1999 1 28 6 27 WILLIAM 22.3 220.4 76 90 +1995 12 20 12 19 ERNESTO 56.2 111.7 45 260 +1985 7 21 12 10 BERYL 19.7 13.3 12 367 +1966 10 6 12 20 WILLIAM 12.6 343.2 68 247 +1999 10 13 6 7 SANDY 56.1 125.5 35 334 +1963 4 3 18 7 JOYCE 37.4 147.7 26 830 +1972 5 20 18 20 HELENE 8.9 38.8 101 482 +1984 8 23 18 14 CHRIS 68.7 64.1 111 79 +1951 7 25 12 28 GORDON 34.3 71.8 106 235 +1955 1 20 12 16 HELENE 51.4 8.6 96 345 +2000 4 6 6 24 JOYCE 36.4 123.0 95 874 +1962 1 21 18 5 OSCAR 63.4 61.5 156 672 +1957 11 23 6 14 ISAAC 24.3 199.8 129 859 +1972 7 6 6 23 ALBERTO 62.8 313.7 75 806 +1950 4 14 6 9 OSCAR 52.5 266.2 61 253 +1978 11 20 0 3 KIRK 18.6 44.7 73 458 +2001 1 9 12 13 LESLIE 60.1 152.6 21 852 +1961 5 7 0 5 NADINE 29.5 107.8 102 205 +2004 9 26 12 9 ISAAC 65.4 165.7 85 111 +2000 9 4 18 10 CHRIS 52.8 356.0 22 274 +1956 9 12 12 22 NADINE 47.0 244.1 101 652 +1960 4 18 12 13 TONY 41.7 290.9 111 354 +1959 4 28 0 22 BERYL 65.5 211.9 19 274 +1964 6 7 6 11 WILLIAM 63.3 51.7 82 367 +2000 7 8 18 12 TONY 20.8 239.4 93 602 +1998 4 19 18 9 DEBBY 30.0 281.4 36 744 +1972 12 19 18 14 HELENE 40.0 34.3 151 407 +1965 5 14 0 5 BERYL 44.7 278.0 157 698 +2000 9 25 12 13 KIRK 41.6 24.3 109 359 +1991 6 12 18 20 ERNESTO 22.2 200.2 103 263 +1965 6 14 0 23 HELENE 23.3 217.8 119 671 +1988 11 16 18 3 CHRIS 39.6 204.5 46 591 +1991 7 18 18 11 LESLIE 8.6 74.5 98 172 +1980 7 19 12 10 ALBERTO 39.5 157.5 111 744 +1975 12 26 0 22 MICHAEL 48.2 57.1 125 737 +1950 12 10 18 3 FLORENCE 7.4 248.9 83 29 +1977 3 8 12 8 VALERIE 25.5 169.1 155 729 +2004 7 4 18 20 ISAAC 29.8 137.8 39 665 +1951 11 16 0 4 GORDON 58.5 281.6 118 100 +1989 9 21 18 12 ERNESTO 31.6 316.3 121 309 +1976 12 10 12 7 DEBBY 68.4 66.1 102 537 +2003 8 26 18 16 SANDY 43.8 5.8 20 882 +1998 4 26 6 23 ISAAC 32.7 299.8 109 661 +1992 9 4 6 16 ALBERTO 7.1 112.6 51 723 +2001 5 19 18 12 DEBBY 65.7 124.6 153 474 +1976 6 17 12 1 CHRIS 51.2 199.9 32 145 +1978 4 23 6 12 GORDON 60.5 145.0 162 582 +1954 12 2 0 23 OSCAR 24.4 24.1 100 686 +1987 12 27 12 4 MICHAEL 16.5 293.0 92 140 +1950 11 4 18 27 ISAAC 53.7 289.1 52 717 +1964 3 23 6 2 NADINE 66.5 217.7 140 428 +1976 12 5 12 5 ISAAC 24.9 52.9 109 618 +1995 11 18 6 14 OSCAR 57.3 72.6 81 723 +1952 11 26 6 21 FLORENCE 49.8 156.8 89 409 +1954 6 3 0 4 ISAAC 46.7 57.3 70 466 +1996 2 16 12 4 ISAAC 19.5 98.3 158 61 +1954 6 7 6 8 BERYL 54.3 18.9 26 477 +1978 7 15 18 11 CHRIS 64.9 284.2 140 69 +1993 11 9 0 2 JOYCE 47.5 134.6 135 163 +1956 9 7 12 17 ISAAC 62.4 16.1 123 515 +1984 1 6 12 15 JOYCE 19.5 138.1 21 813 +1986 3 11 18 2 BERYL 9.5 151.3 128 486 +1978 9 26 0 15 ERNESTO 32.9 126.4 55 122 +1958 4 16 12 16 VALERIE 68.6 73.3 12 569 +1996 1 23 0 27 OSCAR 15.2 260.6 129 805 +2001 1 24 18 10 HELENE 40.1 202.9 104 125 +1986 6 16 6 16 HELENE 23.7 47.0 40 763 +1984 2 6 6 18 ERNESTO 54.9 117.7 45 444 +1985 9 4 18 25 PATTY 61.8 67.3 77 54 +1981 10 6 12 18 DEBBY 61.5 170.0 72 546 +1988 1 27 0 15 ISAAC 26.5 239.1 102 798 +1951 3 9 0 11 GORDON 19.7 194.5 78 253 +1975 4 7 6 7 RAFAEL 55.4 112.6 92 10 +1950 10 18 6 14 VALERIE 43.5 124.7 47 802 +2001 3 2 12 15 LESLIE 48.9 86.6 18 225 +1990 12 6 12 19 VALERIE 15.0 334.7 23 763 +1978 4 15 6 23 GORDON 37.4 189.8 147 369 +1989 12 4 12 10 RAFAEL 64.6 155.0 149 364 +1950 12 15 0 26 BERYL 58.3 292.5 131 319 +1986 11 25 12 20 MICHAEL 55.4 349.2 78 432 +1973 2 17 12 22 MICHAEL 42.1 295.5 21 38 +1953 9 28 12 19 ALBERTO 28.0 112.7 52 391 +1987 11 11 0 1 WILLIAM 27.0 56.6 65 34 +1969 10 26 18 19 BERYL 14.5 311.5 142 159 +1992 1 5 18 10 DEBBY 52.6 135.9 63 529 +1969 4 27 0 20 MICHAEL 18.0 274.3 57 20 +1994 4 13 12 5 ISAAC 37.1 347.8 67 624 +1983 9 20 18 17 GORDON 52.3 0.3 155 580 +1965 6 27 12 10 KIRK 28.7 214.4 29 898 +1957 3 10 6 18 TONY 16.2 147.3 66 639 +1971 8 12 18 15 RAFAEL 17.7 305.0 60 549 +1974 1 28 18 20 OSCAR 14.3 240.2 135 687 +1957 6 3 12 3 ALBERTO 53.3 124.7 57 190 +1974 8 18 18 13 HELENE 64.3 352.9 64 149 +1969 6 28 0 13 TONY 30.1 6.7 151 127 +1951 7 17 12 15 MICHAEL 49.1 255.9 63 19 +1991 9 8 6 20 TONY 37.7 70.7 34 304 +1958 11 23 12 12 FLORENCE 14.1 196.0 37 107 +1980 1 2 0 22 MICHAEL 28.9 322.3 22 577 +1999 2 12 6 13 JOYCE 38.1 168.2 142 323 +1985 5 22 0 26 FLORENCE 66.1 162.0 160 378 +1969 2 4 0 9 LESLIE 61.4 65.6 101 727 +1961 1 25 18 21 PATTY 46.7 18.3 13 502 +1967 8 21 0 8 ALBERTO 18.3 286.6 106 444 +1962 8 11 12 1 WILLIAM 43.1 69.6 159 66 +1989 1 6 12 17 ALBERTO 62.7 252.1 50 151 +1981 4 2 0 1 SANDY 65.0 219.6 91 197 +1990 11 25 6 21 ALBERTO 49.4 185.4 47 575 +1995 12 4 6 21 HELENE 18.0 166.2 50 403 +1977 1 19 12 19 FLORENCE 37.4 42.4 82 326 +2001 3 5 6 27 ALBERTO 53.9 239.3 114 859 +2003 3 15 6 1 KIRK 63.4 10.6 158 212 +1963 7 19 6 3 BERYL 25.5 61.8 29 474 +1967 8 27 12 11 MICHAEL 64.0 298.6 93 446 +2002 8 28 0 15 JOYCE 35.6 179.4 140 127 +1969 4 21 0 16 FLORENCE 51.6 242.1 86 596 +1981 11 15 6 11 CHRIS 20.4 136.1 103 779 +1963 2 5 18 13 ERNESTO 13.6 198.9 130 217 +2002 3 5 0 3 PATTY 21.3 55.1 129 142 +1951 8 11 0 24 HELENE 22.6 338.9 84 344 +1952 7 1 6 10 ISAAC 69.5 337.4 98 86 +1986 1 25 18 19 JOYCE 43.9 69.7 52 774 +1962 2 24 18 13 ISAAC 19.1 267.1 103 307 +1989 1 3 0 16 ISAAC 63.3 302.7 70 744 +1961 5 27 0 1 WILLIAM 19.1 353.3 43 542 +1966 10 22 12 17 FLORENCE 7.4 164.4 84 173 +2004 8 1 18 26 KIRK 32.0 259.4 124 247 +1969 6 23 0 20 JOYCE 23.0 311.2 53 113 +1996 3 23 6 11 JOYCE 51.6 132.1 83 707 +2003 8 19 6 6 MICHAEL 26.2 304.4 123 169 +1995 8 14 18 4 ISAAC 46.7 219.9 76 743 +1975 10 3 6 17 PATTY 67.5 144.7 49 310 +1953 4 23 12 10 WILLIAM 45.2 229.0 19 638 +1993 12 17 0 19 BERYL 68.2 347.6 138 833 +1954 3 21 18 17 PATTY 42.8 127.7 67 812 +2000 6 17 12 11 ERNESTO 51.4 139.7 105 243 +1992 1 9 12 2 ISAAC 18.1 99.7 23 476 +1954 10 25 12 2 JOYCE 19.3 353.7 30 381 +1963 9 23 12 7 ERNESTO 33.7 91.8 94 421 +1978 5 2 18 13 ALBERTO 20.6 331.2 41 888 +1998 8 6 0 23 OSCAR 32.0 59.3 60 791 +1972 8 11 18 6 HELENE 45.7 70.7 114 776 +1969 4 28 12 27 ISAAC 20.9 191.2 143 453 +1968 2 17 18 7 SANDY 9.8 299.4 143 683 +1959 3 13 0 13 TONY 23.8 37.8 20 285 +1999 6 25 18 6 MICHAEL 12.8 299.6 17 191 +1982 1 22 0 18 HELENE 37.3 150.8 133 336 +1991 12 13 12 23 CHRIS 68.2 317.3 157 760 +1988 6 20 0 15 TONY 31.6 146.4 63 491 +1987 10 14 18 14 BERYL 43.0 1.6 44 333 +1993 3 8 0 27 ISAAC 17.1 272.7 148 824 +1990 5 19 0 1 ERNESTO 22.7 17.2 68 154 +1957 6 28 0 13 LESLIE 43.9 124.8 92 178 +1988 8 16 18 9 ERNESTO 69.0 90.7 108 712 +1998 2 16 18 3 HELENE 46.1 128.0 108 62 +1968 10 21 18 11 CHRIS 9.2 158.1 60 142 +1967 4 5 12 10 NADINE 44.9 141.1 35 874 +1962 1 6 0 18 FLORENCE 14.6 152.3 159 828 +1971 7 28 12 16 NADINE 68.9 321.9 58 342 +1976 8 6 12 10 LESLIE 14.5 84.2 17 378 +1965 10 3 0 16 HELENE 9.4 284.1 93 648 +1986 12 6 0 24 TONY 47.4 338.1 149 577 +1995 5 22 0 6 TONY 25.9 129.0 64 605 +1952 2 22 0 14 ERNESTO 44.3 261.6 127 866 +1955 11 9 18 3 KIRK 28.5 262.4 157 686 +1997 10 15 0 15 FLORENCE 60.3 357.4 83 660 +1968 6 17 12 28 DEBBY 24.2 223.0 164 567 +1992 11 26 12 5 JOYCE 60.8 185.3 124 231 +1993 6 13 0 10 JOYCE 16.3 31.4 67 322 +1952 9 9 12 18 DEBBY 54.8 176.2 57 69 +1971 11 1 0 6 OSCAR 19.2 5.2 137 386 +1957 12 7 6 21 LESLIE 61.9 279.0 138 555 +1977 9 3 12 8 FLORENCE 12.1 153.7 133 376 +1995 6 23 0 26 KIRK 7.7 32.1 42 832 +1956 4 18 18 28 GORDON 33.6 187.6 36 648 +1952 12 16 0 27 FLORENCE 48.5 137.8 133 232 +1986 12 23 18 1 ERNESTO 10.5 5.3 127 356 +1969 5 10 12 14 VALERIE 38.5 199.4 148 10 +1993 12 12 12 7 HELENE 47.8 178.4 83 656 +2001 12 11 0 1 ALBERTO 11.5 56.7 95 313 +1983 8 8 6 26 GORDON 41.8 312.9 57 256 +1975 3 2 0 12 VALERIE 20.0 283.0 101 265 +1996 3 8 18 20 GORDON 22.1 5.8 108 456 +1952 1 22 0 16 NADINE 13.2 179.9 142 102 +1963 4 5 12 19 SANDY 62.3 294.3 41 243 +1972 9 20 6 12 TONY 43.6 311.0 66 188 +1950 10 4 18 3 ISAAC 66.6 202.3 56 98 +1965 7 12 18 18 ISAAC 62.0 249.9 18 159 +1980 6 13 0 25 LESLIE 61.3 125.1 151 66 +1984 1 23 6 26 RAFAEL 14.0 198.0 139 701 +2001 2 1 12 12 JOYCE 40.2 75.4 77 526 +1980 3 15 18 14 GORDON 29.5 158.0 117 640 +1950 1 21 6 20 WILLIAM 46.7 3.0 17 252 +1968 11 15 0 17 KIRK 38.9 147.0 92 375 +1950 2 2 6 2 NADINE 56.6 225.5 83 197 +1998 4 28 18 2 KIRK 16.7 327.1 117 411 +1974 11 17 12 3 ISAAC 57.9 125.4 36 627 +1954 8 3 12 1 KIRK 33.5 228.0 23 247 +1956 9 16 12 7 NADINE 22.9 163.2 102 171 +1968 12 25 18 7 PATTY 69.3 285.6 65 338 +1970 8 1 0 7 ISAAC 12.2 65.8 81 471 +1969 1 7 12 27 KIRK 24.9 129.7 77 649 +1950 5 24 12 21 DEBBY 58.7 297.5 81 809 +1974 3 7 12 25 NADINE 26.9 88.8 93 472 +1984 9 24 18 27 TONY 54.1 128.2 83 651 +1981 2 15 18 22 PATTY 38.9 127.4 146 479 +1954 3 17 18 16 ERNESTO 40.6 58.0 91 166 +1998 4 10 18 12 HELENE 56.7 215.3 21 220 +1976 5 19 0 20 RAFAEL 16.5 325.8 63 120 +1992 11 24 6 2 ALBERTO 54.5 182.3 72 417 +1955 7 26 6 23 ISAAC 38.2 274.8 84 863 +1950 11 25 18 18 ALBERTO 50.6 180.1 145 867 +1981 9 13 18 26 HELENE 14.0 327.5 103 118 +1960 3 14 6 19 NADINE 57.8 67.6 116 577 +1972 3 5 12 9 ERNESTO 54.0 344.5 164 407 +1990 2 27 12 19 ERNESTO 54.8 216.6 110 184 +1976 7 9 12 8 VALERIE 9.5 79.9 67 701 +1969 9 24 18 23 KIRK 50.0 206.3 67 679 +1980 1 20 12 15 TONY 10.5 71.5 54 878 +1953 12 19 12 4 MICHAEL 25.8 221.4 141 655 +2003 3 17 18 16 FLORENCE 41.3 245.7 159 69 +2002 10 3 0 12 SANDY 13.4 129.7 21 312 +1963 12 13 18 23 ALBERTO 16.3 285.9 138 562 +1960 11 27 6 24 WILLIAM 58.3 88.2 53 625 +2003 9 23 0 22 LESLIE 34.2 288.0 124 152 +1980 11 2 0 17 RAFAEL 40.4 273.8 88 374 +1997 12 11 0 17 VALERIE 14.2 7.5 22 641 +1950 10 18 0 19 HELENE 11.4 118.1 60 511 +1951 12 26 6 21 CHRIS 47.5 78.1 113 841 +1994 10 22 0 7 KIRK 35.3 168.2 104 228 +1958 9 25 6 26 KIRK 45.9 117.4 94 39 +1953 8 27 0 2 FLORENCE 68.9 3.9 126 293 +1956 5 27 12 11 LESLIE 41.8 17.5 127 892 +1983 12 5 6 6 ALBERTO 52.2 124.1 28 297 +1968 7 20 6 9 TONY 55.7 339.4 53 452 +1995 9 14 12 15 OSCAR 38.0 124.1 86 609 +1991 12 11 18 12 FLORENCE 48.4 179.4 156 665 +1951 9 18 12 9 PATTY 33.3 192.3 86 781 +2000 9 19 0 27 MICHAEL 9.7 194.7 155 635 +1971 5 24 6 24 HELENE 62.4 45.7 103 5 +1981 1 6 0 12 SANDY 20.1 152.1 153 617 +1954 6 19 0 14 LESLIE 30.4 243.8 61 675 +1983 5 20 0 28 TONY 27.6 163.6 95 716 +1974 4 1 0 20 LESLIE 39.4 226.9 162 545 +1995 7 23 12 17 RAFAEL 30.1 61.6 35 803 +1994 3 25 12 10 RAFAEL 24.7 186.1 156 193 +2000 9 13 12 16 FLORENCE 43.5 65.1 60 577 +1972 10 14 6 22 KIRK 34.3 306.3 15 770 +1985 11 2 6 6 WILLIAM 23.4 318.4 133 303 +1992 10 6 12 24 ALBERTO 9.1 276.4 42 343 +1976 11 18 18 19 DEBBY 20.5 280.9 112 161 +1971 9 13 12 27 WILLIAM 25.5 187.1 139 894 +1981 2 8 18 23 KIRK 68.8 267.3 88 770 +1976 7 28 12 5 ALBERTO 48.5 352.6 159 605 +1963 12 25 18 16 HELENE 55.3 317.0 91 809 +1961 7 15 12 28 GORDON 31.3 144.7 88 177 +1999 7 25 0 14 NADINE 52.2 289.7 97 212 +1993 12 9 18 1 MICHAEL 33.8 0.2 141 161 +1970 11 15 6 7 FLORENCE 12.3 259.1 21 692 +1968 7 14 0 21 ISAAC 53.8 275.4 10 514 +1950 4 22 12 18 ALBERTO 57.4 248.9 16 132 +1956 2 22 6 20 DEBBY 45.4 354.1 121 489 +1954 12 14 12 5 CHRIS 54.1 310.1 141 50 +1997 10 9 18 27 CHRIS 29.0 268.4 13 263 +1964 3 18 18 13 WILLIAM 48.4 86.3 152 480 +1975 5 9 18 27 WILLIAM 52.5 101.4 32 121 +1953 12 2 0 1 DEBBY 61.3 336.8 110 576 +1966 12 27 6 14 KIRK 15.3 332.4 18 645 +1953 6 26 12 24 NADINE 17.4 188.1 10 108 +1981 8 13 12 5 PATTY 25.6 120.4 131 732 +1956 10 5 6 11 HELENE 57.5 52.8 65 381 +1966 1 21 0 5 OSCAR 68.0 214.4 53 604 +1974 5 15 12 26 HELENE 29.3 291.4 145 845 +1956 5 4 6 5 JOYCE 51.2 221.0 120 136 +1984 5 20 18 6 GORDON 41.1 23.6 77 43 +1981 9 12 18 12 DEBBY 48.5 144.3 14 570 +1953 6 19 0 8 CHRIS 63.8 262.4 29 315 +1979 10 1 12 8 LESLIE 64.7 211.5 19 354 +1979 11 21 0 27 ALBERTO 43.0 317.0 71 455 +1972 12 23 6 8 DEBBY 39.1 182.0 33 83 +1958 1 19 12 14 WILLIAM 41.5 171.9 55 621 +1996 7 17 18 8 CHRIS 55.5 275.0 144 572 +1961 4 9 0 24 OSCAR 61.4 21.0 101 284 +1951 1 4 12 21 SANDY 37.4 64.1 27 193 +1976 2 23 18 2 CHRIS 42.3 330.7 127 451 +1963 3 23 12 9 OSCAR 41.9 69.5 64 347 +2001 6 8 18 5 KIRK 40.0 340.9 143 620 diff --git a/benchmarks/new_opencl/nearn/cane4_3.db b/benchmarks/new_opencl/nearn/cane4_3.db new file mode 100755 index 000000000..0f9c701f8 --- /dev/null +++ b/benchmarks/new_opencl/nearn/cane4_3.db @@ -0,0 +1,10691 @@ +1992 5 9 0 14 ISAAC 11.4 327.9 31 382 +1993 4 10 6 12 FLORENCE 58.4 282.7 119 684 +1985 5 9 6 4 LESLIE 20.7 339.8 15 210 +1969 6 27 12 25 NADINE 48.7 59.2 101 506 +2004 11 17 12 9 LESLIE 61.9 274.2 137 895 +1966 3 23 6 25 SANDY 34.9 8.1 82 566 +1992 2 13 12 3 ALBERTO 59.8 22.3 31 870 +1964 9 10 0 4 VALERIE 32.8 352.2 81 47 +1960 9 7 0 23 MICHAEL 11.8 318.0 10 90 +1983 4 1 6 25 TONY 22.0 93.6 133 153 +2000 6 21 18 8 MICHAEL 11.5 141.8 121 163 +1975 5 4 18 4 GORDON 62.9 271.2 25 773 +1978 12 18 6 16 KIRK 24.8 65.1 57 210 +1985 7 9 0 4 LESLIE 46.8 162.2 36 866 +1958 8 25 12 2 TONY 58.6 115.8 87 402 +1968 5 16 12 15 FLORENCE 49.3 309.9 85 648 +1954 3 4 6 18 HELENE 50.8 26.0 55 282 +1953 4 24 18 8 WILLIAM 10.3 145.4 55 543 +1955 11 2 6 16 ISAAC 33.6 48.5 95 499 +1950 4 22 12 8 CHRIS 57.1 162.5 132 663 +1961 12 7 6 17 BERYL 41.3 271.9 73 192 +1962 3 7 0 7 ISAAC 58.0 130.6 25 643 +2004 3 11 6 6 ISAAC 32.3 208.7 66 456 +1971 7 16 12 21 MICHAEL 40.1 8.2 131 876 +2001 6 9 12 22 GORDON 18.5 7.0 94 473 +1993 1 19 6 23 OSCAR 54.4 37.4 123 511 +1972 2 11 12 2 NADINE 26.6 276.2 28 847 +1994 1 3 12 5 ERNESTO 24.0 225.6 118 56 +1997 8 9 12 5 ISAAC 43.2 245.3 109 261 +1985 11 21 12 22 ISAAC 13.7 18.5 127 591 +1952 11 1 12 17 RAFAEL 61.7 66.6 78 434 +1989 12 16 0 11 PATTY 40.0 116.2 34 249 +1993 3 22 18 22 DEBBY 45.3 271.9 98 625 +1967 8 26 0 27 FLORENCE 44.2 51.7 112 624 +1966 10 7 18 8 GORDON 37.9 199.5 161 402 +1953 9 2 12 20 FLORENCE 26.8 291.3 163 719 +1967 8 23 0 17 JOYCE 11.7 97.4 26 550 +1986 9 14 18 7 HELENE 63.9 298.6 107 295 +1974 10 17 12 2 OSCAR 20.6 119.0 127 876 +2000 2 25 6 5 MICHAEL 58.2 72.3 63 18 +1982 12 23 6 22 DEBBY 8.6 72.4 131 722 +2001 10 15 0 26 HELENE 39.1 263.9 19 681 +1955 4 5 0 9 JOYCE 38.9 355.0 22 826 +1956 11 13 0 23 LESLIE 10.5 111.4 90 443 +2002 5 23 6 22 PATTY 13.9 298.2 26 490 +1980 8 20 6 21 MICHAEL 38.7 102.2 75 133 +1996 8 9 0 13 VALERIE 62.6 123.9 140 490 +1951 6 8 18 5 HELENE 51.2 75.8 46 275 +1991 9 20 0 4 DEBBY 30.5 235.7 68 383 +1951 11 20 18 5 JOYCE 31.3 28.8 154 838 +1963 12 28 18 13 HELENE 40.5 123.4 101 40 +1969 11 8 6 1 GORDON 58.5 336.2 103 29 +1955 3 19 0 3 CHRIS 54.1 272.4 37 722 +1976 5 1 18 16 BERYL 41.6 110.7 84 500 +1993 4 11 6 8 PATTY 50.5 293.7 116 159 +1960 4 14 12 10 JOYCE 19.5 21.4 37 606 +1975 2 28 12 17 VALERIE 45.8 284.4 83 25 +1996 12 11 0 17 NADINE 22.7 225.2 14 800 +1970 4 12 12 21 HELENE 22.6 126.0 164 678 +1984 1 28 0 25 SANDY 52.5 54.7 160 668 +1963 9 21 12 13 NADINE 14.4 138.2 38 50 +2000 6 22 6 14 PATTY 33.8 124.0 127 819 +1991 9 4 18 13 OSCAR 53.1 254.7 30 148 +1957 6 13 18 23 RAFAEL 49.9 347.7 140 176 +1987 10 12 6 21 SANDY 41.6 164.8 15 895 +1987 6 28 0 25 LESLIE 63.9 104.3 146 517 +1953 4 15 6 23 VALERIE 65.5 190.0 64 461 +1972 4 21 6 13 ERNESTO 16.6 335.8 140 619 +1969 3 10 18 20 DEBBY 33.9 109.3 103 327 +1956 9 5 18 26 DEBBY 23.5 100.6 163 846 +1951 9 8 18 20 RAFAEL 19.6 176.5 128 557 +1964 4 19 18 14 BERYL 13.0 60.7 53 351 +1956 4 11 0 18 NADINE 33.1 81.6 94 883 +1962 8 24 0 16 CHRIS 33.2 141.6 123 682 +1982 4 10 18 27 FLORENCE 65.8 229.9 40 76 +1952 12 14 18 6 WILLIAM 22.8 289.2 138 508 +1951 5 27 0 1 WILLIAM 12.3 5.8 79 727 +1950 7 16 6 23 ERNESTO 69.7 241.7 25 465 +1950 4 5 6 25 WILLIAM 17.3 92.7 96 568 +1951 6 18 18 21 NADINE 26.6 57.7 149 750 +1955 2 21 12 17 ALBERTO 23.7 146.7 120 256 +1991 11 20 0 11 ISAAC 39.6 65.8 21 407 +1981 3 2 18 10 FLORENCE 36.7 303.9 23 251 +1970 5 8 12 13 JOYCE 67.0 78.6 61 848 +2003 5 21 12 19 TONY 51.1 238.4 131 415 +1990 2 16 18 27 BERYL 55.8 213.9 50 329 +1957 1 5 18 18 VALERIE 46.2 202.6 140 302 +1957 12 4 18 15 SANDY 42.7 297.4 119 888 +2003 12 25 18 25 PATTY 8.1 15.0 23 305 +1961 5 18 0 14 CHRIS 24.4 33.1 33 737 +1996 8 20 18 9 MICHAEL 16.5 211.1 51 435 +1952 10 25 12 7 WILLIAM 55.7 53.4 125 758 +1997 2 13 0 4 PATTY 9.7 161.8 87 540 +1962 9 23 6 5 DEBBY 29.9 281.7 62 559 +1953 10 7 0 7 PATTY 9.5 136.5 27 258 +1961 7 20 12 8 DEBBY 58.4 323.9 154 885 +1950 5 22 12 22 PATTY 28.8 102.6 20 455 +2001 7 19 18 2 TONY 47.5 340.4 46 820 +1969 2 10 12 27 TONY 49.9 302.7 150 98 +1955 8 7 0 24 TONY 42.5 340.9 88 521 +1968 6 14 6 19 OSCAR 52.9 143.9 23 891 +1974 11 3 18 28 RAFAEL 60.0 249.8 10 414 +1988 8 8 12 6 GORDON 16.1 228.6 160 731 +1958 9 8 12 23 NADINE 14.4 130.1 125 867 +2003 11 24 6 19 CHRIS 50.8 260.0 156 160 +1983 6 11 12 3 MICHAEL 59.1 331.3 10 199 +1963 3 14 12 14 WILLIAM 17.5 170.5 48 578 +1966 2 3 12 21 DEBBY 26.3 26.3 81 662 +1976 7 10 12 3 PATTY 64.8 24.4 137 601 +1957 5 26 6 21 ISAAC 45.6 210.0 88 783 +1957 9 22 6 17 LESLIE 22.6 87.7 86 86 +1975 9 3 0 20 TONY 32.6 249.7 65 21 +1962 5 22 0 18 OSCAR 23.4 45.3 140 607 +1983 9 13 6 10 KIRK 30.4 352.5 47 511 +1969 5 7 18 8 KIRK 63.4 207.7 27 692 +1985 8 16 6 24 SANDY 23.8 33.1 42 536 +1986 5 19 6 23 SANDY 68.5 212.3 65 759 +1994 6 24 0 28 LESLIE 67.9 290.2 73 381 +1996 9 6 12 10 LESLIE 22.6 335.7 38 853 +1976 4 3 0 15 BERYL 9.2 239.5 127 542 +1961 2 25 18 2 HELENE 44.8 323.2 17 848 +1986 5 20 0 10 GORDON 23.8 220.2 145 84 +1969 2 20 6 21 NADINE 37.4 120.5 87 249 +1981 6 14 6 12 BERYL 69.7 170.7 94 353 +1988 8 19 6 16 RAFAEL 47.4 242.7 103 438 +1973 10 16 6 26 LESLIE 42.1 200.9 86 801 +1999 12 7 18 13 KIRK 42.4 74.9 44 273 +1952 3 23 6 28 LESLIE 56.9 287.8 49 334 +1954 12 27 6 4 OSCAR 60.4 24.7 14 706 +1977 8 14 12 15 ALBERTO 31.9 103.6 80 132 +1966 7 25 12 26 DEBBY 22.0 255.4 142 528 +1982 10 28 0 23 FLORENCE 59.8 61.3 90 891 +1977 4 13 18 1 JOYCE 20.6 170.9 29 195 +2003 10 1 12 5 TONY 29.6 20.8 113 571 +1996 9 6 6 4 GORDON 10.5 349.7 154 862 +1964 5 20 12 1 GORDON 10.2 192.3 35 848 +1971 4 15 12 14 FLORENCE 43.5 306.5 153 284 +1994 9 6 12 26 MICHAEL 61.4 78.4 152 214 +1953 11 12 18 17 RAFAEL 65.1 293.0 76 536 +1965 6 27 18 8 HELENE 62.5 181.2 15 862 +1952 11 5 6 10 CHRIS 59.5 275.0 133 562 +1986 1 5 0 19 TONY 41.0 15.8 41 269 +1977 9 2 6 6 CHRIS 44.7 64.0 39 26 +2001 5 20 12 24 MICHAEL 17.9 209.2 56 13 +1983 3 28 18 25 ERNESTO 32.7 204.2 121 218 +1993 11 19 6 19 KIRK 31.3 188.3 94 671 +1979 1 17 0 2 ERNESTO 65.5 122.4 98 324 +1969 11 26 6 16 JOYCE 49.2 261.9 156 655 +1976 4 18 12 3 RAFAEL 54.2 351.6 26 647 +1986 3 11 0 20 NADINE 48.4 14.9 13 845 +1971 6 17 12 8 BERYL 59.3 287.7 103 845 +1974 10 14 0 5 ISAAC 27.6 125.7 42 518 +1956 3 3 18 21 KIRK 48.4 28.4 131 807 +1960 9 21 0 19 RAFAEL 13.9 111.5 152 829 +1975 6 15 12 11 NADINE 15.8 221.5 104 385 +1966 5 7 12 9 FLORENCE 68.0 69.4 87 639 +1968 11 9 0 2 ERNESTO 11.6 252.7 117 341 +1966 4 18 18 15 VALERIE 56.2 178.5 18 533 +1968 5 15 0 4 SANDY 63.5 211.4 159 422 +1996 8 4 18 19 HELENE 9.9 21.2 67 391 +1983 2 16 12 16 GORDON 44.5 166.9 159 701 +2000 12 16 12 11 VALERIE 34.1 183.5 35 158 +1980 12 8 18 18 VALERIE 37.5 262.3 94 248 +2001 10 12 12 11 JOYCE 68.5 275.1 107 60 +1958 10 16 18 18 ALBERTO 58.5 176.1 131 343 +1975 9 7 0 11 ERNESTO 57.3 114.7 122 139 +1983 5 27 18 4 ISAAC 32.9 26.5 89 377 +1997 8 5 18 20 RAFAEL 31.7 225.7 78 527 +1981 7 14 0 6 BERYL 64.4 201.0 46 62 +1990 2 2 0 20 TONY 39.7 98.0 110 605 +1966 10 12 6 22 PATTY 21.7 292.9 102 606 +2001 1 26 12 26 ALBERTO 69.6 74.2 36 7 +1979 8 21 12 10 ISAAC 17.0 111.1 141 74 +1976 11 4 6 21 RAFAEL 21.4 286.8 115 281 +1954 8 7 18 10 NADINE 43.1 269.8 51 285 +1988 12 11 18 27 ISAAC 21.9 32.9 140 883 +1971 5 20 12 18 TONY 9.3 24.8 94 303 +1982 8 13 12 15 ISAAC 24.4 22.2 163 798 +1954 7 23 18 8 ISAAC 41.2 171.8 23 312 +1971 9 14 12 19 OSCAR 51.9 322.3 112 850 +1977 7 7 12 4 KIRK 14.7 238.5 56 448 +1991 7 18 6 21 PATTY 33.5 189.8 144 260 +1971 1 18 0 27 ERNESTO 30.3 240.6 45 441 +1953 5 22 18 4 KIRK 51.4 116.2 157 754 +1965 2 16 0 5 OSCAR 21.6 202.6 79 601 +1986 7 3 18 15 BERYL 66.7 98.3 129 29 +1976 9 12 0 22 ISAAC 52.9 317.1 128 891 +1957 1 24 12 18 BERYL 7.5 239.5 37 7 +1995 6 22 0 3 KIRK 15.2 234.9 59 612 +1975 7 5 12 16 LESLIE 50.1 148.4 63 381 +1991 9 28 0 22 WILLIAM 16.3 121.5 84 179 +1982 3 19 6 24 RAFAEL 24.4 252.4 104 715 +2003 6 3 6 22 LESLIE 25.3 297.9 94 580 +1952 8 17 12 13 ALBERTO 54.4 282.7 162 513 +1982 1 16 6 2 ISAAC 63.6 218.1 85 429 +1975 6 18 12 27 VALERIE 31.4 182.2 145 688 +1954 11 20 6 27 RAFAEL 53.7 89.6 71 884 +1956 11 24 0 22 CHRIS 19.5 150.5 102 494 +1984 11 27 18 18 LESLIE 57.0 154.0 136 600 +2002 8 20 0 9 MICHAEL 48.4 36.8 25 344 +1955 6 13 12 24 OSCAR 24.9 218.0 41 214 +1991 10 15 0 9 GORDON 10.2 266.5 122 850 +1950 12 3 18 24 FLORENCE 35.2 26.0 109 586 +1963 2 25 0 25 DEBBY 14.3 332.0 56 868 +2003 1 23 18 13 VALERIE 24.9 208.2 124 891 +1956 7 2 12 23 SANDY 39.8 317.5 131 248 +1970 5 24 18 3 MICHAEL 19.1 110.6 110 350 +1959 5 16 6 14 FLORENCE 68.1 344.0 151 619 +1951 4 1 0 26 DEBBY 38.8 48.7 84 228 +1998 3 8 0 4 WILLIAM 20.9 355.0 47 479 +1997 8 8 6 15 KIRK 23.7 299.9 100 26 +1953 11 3 18 6 NADINE 66.8 280.7 129 64 +1987 6 22 12 16 LESLIE 44.7 43.2 78 629 +1964 9 4 12 13 DEBBY 39.4 344.0 119 858 +1983 5 6 6 24 PATTY 38.1 29.4 161 776 +1967 7 8 6 3 ISAAC 30.9 77.3 81 583 +1961 11 22 0 10 WILLIAM 22.4 4.4 17 5 +1955 6 5 0 9 WILLIAM 14.1 231.0 18 113 +2002 2 6 18 19 HELENE 59.5 129.0 162 267 +1968 9 22 0 16 ISAAC 45.4 203.9 105 751 +1952 12 22 18 1 LESLIE 60.7 269.6 142 279 +1986 11 26 12 7 ALBERTO 66.1 309.9 24 842 +1998 8 1 18 22 RAFAEL 44.7 50.4 12 758 +1980 3 23 0 25 JOYCE 37.0 220.1 141 391 +1974 10 8 0 1 LESLIE 45.4 103.6 40 677 +1968 7 7 6 3 KIRK 8.5 319.4 46 561 +1967 11 23 0 28 SANDY 53.9 255.2 125 487 +1995 5 24 18 8 WILLIAM 43.9 234.8 71 648 +1996 11 10 18 1 TONY 19.8 353.9 13 505 +1997 9 2 0 16 CHRIS 52.1 100.0 56 382 +1950 7 1 0 5 OSCAR 66.6 197.4 146 218 +1985 8 11 18 23 ERNESTO 49.6 72.2 129 407 +1955 9 15 12 20 FLORENCE 66.0 126.1 117 443 +1960 3 26 6 5 ISAAC 35.6 242.3 45 553 +1980 6 18 6 27 FLORENCE 21.7 13.1 70 464 +1969 6 3 12 19 ERNESTO 61.6 220.4 112 263 +1959 6 10 0 12 ISAAC 66.6 307.7 152 581 +1987 6 1 6 25 DEBBY 22.4 328.9 163 892 +1958 8 7 12 26 BERYL 8.4 122.4 110 761 +1956 2 6 18 5 JOYCE 69.7 129.9 137 372 +1968 4 1 0 20 CHRIS 69.3 233.4 106 30 +1958 8 7 6 11 TONY 64.1 173.4 94 487 +1986 8 16 6 5 MICHAEL 31.6 210.9 53 896 +2003 8 20 0 19 SANDY 13.2 105.6 48 407 +1968 3 10 12 2 KIRK 10.5 278.5 42 378 +1964 10 25 12 15 ISAAC 24.8 42.3 85 877 +1968 8 8 0 17 RAFAEL 22.0 194.3 70 446 +1953 11 6 18 20 WILLIAM 27.6 310.2 133 828 +1961 11 10 18 1 SANDY 30.4 219.6 86 53 +1962 12 1 12 13 FLORENCE 50.5 12.9 140 561 +2000 6 15 0 4 WILLIAM 36.9 34.4 149 464 +2004 9 4 6 5 OSCAR 31.4 5.7 122 399 +1958 2 17 6 19 ALBERTO 49.7 234.2 70 765 +1990 7 23 18 20 JOYCE 24.9 82.8 106 622 +1999 3 13 6 2 GORDON 45.5 236.6 80 408 +2001 1 18 0 7 BERYL 16.8 107.3 63 228 +1961 1 8 0 8 PATTY 17.7 268.9 62 387 +1988 5 24 12 23 RAFAEL 67.3 191.0 108 531 +1963 6 9 0 22 ALBERTO 23.0 296.4 157 317 +1958 10 4 6 13 KIRK 16.4 125.2 119 713 +1994 9 22 6 21 NADINE 43.4 133.8 140 776 +1999 9 3 0 1 RAFAEL 11.5 84.7 149 486 +1970 2 11 18 2 GORDON 26.6 80.6 44 189 +1997 11 21 18 19 FLORENCE 38.5 282.5 16 772 +1997 7 24 0 16 HELENE 63.1 96.3 122 815 +1996 4 17 6 11 OSCAR 28.6 32.8 127 26 +1977 5 16 12 18 FLORENCE 15.7 139.1 137 256 +1973 8 24 0 28 GORDON 44.2 141.7 119 743 +1986 4 2 6 6 HELENE 55.9 128.4 63 640 +1967 6 17 0 8 WILLIAM 20.3 41.7 111 347 +1951 2 24 18 18 PATTY 28.8 11.9 32 100 +1965 5 5 6 20 ISAAC 49.2 196.9 87 827 +1982 3 21 6 7 RAFAEL 67.7 58.5 21 153 +1986 11 8 12 20 BERYL 23.4 46.0 11 406 +2000 2 21 12 27 FLORENCE 50.4 187.3 150 293 +1954 3 26 0 3 DEBBY 16.9 165.3 68 66 +1952 12 1 0 11 HELENE 59.9 73.3 42 607 +1951 6 9 18 4 SANDY 8.8 338.4 24 474 +2000 6 9 18 7 SANDY 10.1 105.9 116 605 +1957 10 11 18 21 GORDON 25.8 111.7 136 78 +2002 5 18 6 8 GORDON 56.2 161.8 62 637 +1975 8 17 12 14 ERNESTO 34.4 205.9 99 821 +1969 1 2 18 4 BERYL 45.4 285.6 131 236 +1972 9 15 18 24 WILLIAM 22.9 119.7 42 844 +1990 10 12 12 1 GORDON 10.9 89.9 103 608 +1984 2 17 6 21 JOYCE 40.4 138.7 64 700 +1982 2 24 18 28 ALBERTO 46.6 46.4 44 898 +1974 2 15 0 26 OSCAR 66.4 106.6 60 584 +2002 9 18 12 1 TONY 41.3 255.1 33 2 +1971 11 23 18 13 HELENE 52.5 5.9 57 542 +1973 2 13 12 7 DEBBY 22.5 327.2 143 452 +1999 8 21 12 5 HELENE 11.5 228.2 79 815 +1999 8 3 6 16 JOYCE 53.4 219.0 15 701 +1955 4 18 12 24 RAFAEL 11.8 356.9 101 706 +1977 1 25 6 4 NADINE 54.9 253.6 108 716 +1997 8 22 0 8 PATTY 43.7 234.3 55 860 +1980 10 15 18 21 RAFAEL 26.4 20.4 96 38 +1958 4 26 6 23 BERYL 21.8 222.4 115 811 +1959 10 10 6 1 TONY 35.6 284.0 154 862 +1993 8 21 18 7 CHRIS 69.8 173.8 160 57 +2004 10 13 0 17 WILLIAM 15.8 273.3 68 518 +1985 8 21 18 7 FLORENCE 40.6 279.6 73 807 +1979 9 2 6 7 OSCAR 31.6 127.3 81 767 +1960 6 25 0 23 ERNESTO 51.7 133.7 45 251 +1959 8 23 6 17 ALBERTO 8.9 251.2 84 559 +2001 10 10 12 21 VALERIE 66.7 297.2 19 447 +1952 7 15 12 18 TONY 24.2 157.2 32 139 +1988 11 26 12 28 RAFAEL 53.1 144.5 46 870 +1989 7 27 18 25 DEBBY 41.9 182.8 146 709 +1962 5 23 18 21 ERNESTO 14.7 56.9 156 274 +1977 9 21 18 28 WILLIAM 34.7 3.7 82 651 +1970 1 4 12 17 DEBBY 34.0 330.9 142 142 +1992 8 16 6 13 KIRK 7.8 324.2 85 394 +2002 2 9 18 18 WILLIAM 8.1 141.3 17 238 +1982 1 12 12 23 NADINE 16.9 133.0 91 699 +2002 1 21 18 25 ALBERTO 30.9 35.8 65 210 +1958 3 14 0 13 PATTY 47.9 23.3 103 211 +1951 11 13 0 26 KIRK 61.3 118.7 154 458 +1980 4 3 0 14 SANDY 68.2 77.2 84 465 +1996 4 11 18 17 JOYCE 37.1 129.3 64 536 +1971 1 16 12 8 DEBBY 26.1 178.9 90 309 +1966 7 26 12 23 KIRK 62.5 13.5 123 329 +1989 10 28 6 28 ERNESTO 22.3 61.7 115 360 +1986 10 8 12 13 WILLIAM 27.9 72.0 82 486 +1978 11 18 0 17 CHRIS 30.2 155.1 59 56 +1997 9 1 12 6 NADINE 67.6 185.7 110 763 +1950 1 1 18 18 LESLIE 15.3 15.8 116 885 +1969 6 16 0 15 RAFAEL 41.2 165.9 21 836 +1980 4 19 6 6 GORDON 50.9 231.9 27 728 +1983 10 1 0 25 KIRK 17.8 141.2 22 475 +1992 9 11 18 13 BERYL 34.3 343.4 106 632 +1963 12 21 18 28 FLORENCE 11.8 356.8 61 586 +1964 6 15 6 23 VALERIE 43.7 353.7 35 554 +1962 1 13 6 23 MICHAEL 30.9 53.6 92 451 +2001 2 14 0 18 CHRIS 15.9 305.5 103 364 +1961 11 15 0 10 BERYL 42.7 322.7 134 786 +1950 11 26 12 17 VALERIE 53.8 250.6 145 357 +2004 3 19 0 28 SANDY 34.3 323.5 161 134 +1961 5 3 18 27 OSCAR 48.2 271.1 20 662 +1996 9 10 12 19 KIRK 40.7 263.6 119 514 +1987 7 21 18 22 NADINE 61.9 210.8 32 369 +1974 7 23 0 14 JOYCE 58.4 229.3 84 627 +1976 10 6 12 16 WILLIAM 21.1 7.7 34 351 +1978 10 28 12 18 MICHAEL 11.3 305.0 58 834 +1985 2 2 18 21 DEBBY 47.9 71.0 28 80 +1957 4 10 0 26 ISAAC 67.2 296.4 149 151 +1963 8 28 0 21 NADINE 45.2 140.1 160 160 +1983 6 17 18 2 TONY 12.7 40.2 149 847 +1965 1 9 0 4 HELENE 54.7 275.8 120 627 +1962 9 11 6 21 GORDON 50.0 76.2 85 744 +1966 11 13 18 8 ERNESTO 26.2 266.4 70 193 +1990 9 24 12 19 ALBERTO 25.5 164.1 151 554 +2001 11 27 0 25 BERYL 20.8 59.7 111 482 +1979 8 8 0 20 DEBBY 11.4 33.5 35 866 +1974 8 22 0 28 JOYCE 35.8 4.5 136 640 +1996 2 26 6 2 WILLIAM 65.5 309.3 11 192 +1955 6 11 12 7 ALBERTO 29.6 90.6 134 346 +1964 9 12 18 10 WILLIAM 11.2 355.6 138 474 +1963 5 7 18 4 JOYCE 35.4 0.4 25 112 +1951 9 2 18 6 RAFAEL 54.1 284.8 110 111 +2004 1 27 6 1 NADINE 54.6 321.0 141 278 +1963 1 1 12 11 VALERIE 69.6 276.7 18 398 +1995 9 12 18 25 RAFAEL 7.1 163.6 76 216 +2002 7 7 12 9 NADINE 29.9 342.6 130 354 +1957 9 10 12 9 HELENE 61.8 102.4 32 708 +1992 8 6 12 13 OSCAR 55.2 6.5 68 135 +1980 6 20 6 23 ISAAC 38.4 29.8 86 37 +1998 7 8 6 6 BERYL 39.2 137.9 64 227 +1998 4 19 6 9 CHRIS 34.5 161.3 78 379 +1957 10 28 12 19 ISAAC 16.0 245.4 164 104 +1982 6 25 12 5 SANDY 53.2 101.1 37 677 +1997 2 18 0 14 ALBERTO 20.1 344.5 115 298 +1996 8 6 0 10 ERNESTO 63.9 152.2 162 811 +1970 8 11 18 12 OSCAR 35.2 243.6 69 506 +1971 12 12 6 16 ERNESTO 44.2 92.5 90 399 +1975 10 28 18 27 ISAAC 38.1 145.9 161 740 +1958 2 12 6 20 FLORENCE 60.3 168.6 27 121 +2003 1 22 0 9 ALBERTO 28.2 27.6 116 250 +1990 8 24 6 25 WILLIAM 48.5 254.0 77 199 +1958 10 20 18 17 ISAAC 38.4 316.7 102 340 +1968 7 14 18 22 WILLIAM 66.5 35.3 13 625 +1979 2 10 0 19 MICHAEL 53.7 42.0 96 557 +1982 7 21 0 17 ALBERTO 45.3 114.9 112 581 +1997 10 16 6 11 VALERIE 35.9 23.9 127 101 +1985 7 1 0 27 ERNESTO 68.9 329.1 38 897 +1960 4 7 6 19 ERNESTO 25.5 37.5 36 25 +1955 7 4 12 27 ERNESTO 43.9 330.5 156 58 +1990 9 5 0 11 OSCAR 45.4 148.4 55 308 +1984 11 4 6 9 HELENE 18.0 225.1 141 388 +1992 3 19 0 27 MICHAEL 22.3 87.5 80 183 +1978 8 11 6 3 DEBBY 15.5 157.4 114 733 +2001 4 17 6 18 HELENE 60.5 252.7 59 231 +1998 2 13 0 13 NADINE 12.3 33.7 100 599 +1950 10 28 12 3 BERYL 23.8 238.8 118 845 +1957 8 4 12 27 WILLIAM 45.4 32.3 36 686 +1983 11 14 6 26 RAFAEL 52.0 355.7 110 173 +1989 8 3 0 19 DEBBY 63.1 250.8 33 763 +1968 1 6 0 19 ERNESTO 28.2 298.5 10 867 +1970 9 22 0 9 HELENE 12.3 188.3 109 46 +2004 8 28 6 13 MICHAEL 32.6 308.8 133 729 +1952 1 28 18 5 DEBBY 12.2 353.0 14 311 +1973 1 20 0 21 RAFAEL 15.9 10.0 69 819 +1960 8 6 12 5 JOYCE 14.7 6.7 147 196 +1956 11 3 0 26 WILLIAM 33.6 169.7 164 733 +1967 1 19 18 10 SANDY 7.5 323.8 48 640 +1953 11 6 18 13 JOYCE 18.2 163.0 162 238 +2003 3 24 0 18 MICHAEL 54.3 267.5 129 746 +1997 11 16 18 11 CHRIS 14.3 322.6 88 177 +2003 2 28 18 16 NADINE 44.5 277.4 40 176 +1952 2 6 18 5 DEBBY 12.9 58.7 79 868 +1964 8 7 0 21 NADINE 65.5 354.8 51 319 +2002 5 3 6 18 NADINE 46.0 47.1 109 867 +1959 1 17 6 22 WILLIAM 19.1 83.3 24 876 +1984 2 12 18 18 TONY 8.1 329.5 116 432 +1985 2 17 12 1 ALBERTO 51.2 88.2 108 535 +1984 11 9 6 15 KIRK 55.9 270.3 52 663 +1999 4 19 12 13 KIRK 45.3 6.4 129 184 +1960 7 20 18 17 VALERIE 33.6 258.2 131 668 +1954 8 19 6 1 MICHAEL 44.7 209.8 138 593 +1986 12 9 12 27 VALERIE 49.2 260.8 13 224 +1980 10 2 12 5 PATTY 55.5 305.7 58 147 +1968 11 11 6 15 NADINE 16.0 245.3 57 485 +1968 1 17 0 18 TONY 23.9 290.5 32 264 +1952 12 9 6 1 RAFAEL 22.4 290.7 158 546 +1957 8 23 12 3 KIRK 15.9 266.7 53 857 +2004 8 20 6 26 RAFAEL 51.8 353.0 77 178 +1979 7 18 6 6 FLORENCE 55.9 89.8 32 511 +1968 2 22 18 9 RAFAEL 8.3 74.6 17 133 +1959 10 14 0 13 WILLIAM 13.3 344.5 67 121 +2000 10 3 18 12 BERYL 13.2 308.9 51 370 +1967 10 21 0 1 BERYL 26.8 157.1 35 829 +1989 11 27 0 7 ISAAC 66.5 207.0 49 411 +1969 10 14 12 5 FLORENCE 9.5 300.6 109 771 +1962 3 15 18 9 DEBBY 8.2 333.8 99 265 +1984 8 2 12 28 RAFAEL 33.8 179.8 13 10 +1982 8 3 18 26 DEBBY 26.3 335.0 56 729 +1983 7 3 6 21 PATTY 7.8 139.8 128 519 +1958 8 24 12 27 LESLIE 20.4 205.5 159 562 +1977 8 21 12 24 HELENE 18.1 300.4 128 676 +1972 5 16 12 1 BERYL 43.7 153.7 113 437 +1958 12 20 12 22 MICHAEL 68.3 340.5 126 596 +1995 6 22 18 13 RAFAEL 66.7 91.7 75 48 +1955 6 26 6 14 ERNESTO 51.4 321.2 87 416 +1986 7 12 18 16 SANDY 34.8 354.8 17 302 +1979 9 18 18 24 FLORENCE 17.1 315.7 157 644 +1959 6 24 18 8 ALBERTO 65.9 39.7 107 138 +2004 10 21 12 19 JOYCE 46.8 114.0 89 596 +1972 4 15 12 20 WILLIAM 10.9 308.7 29 226 +1972 11 9 12 6 SANDY 41.4 122.8 97 718 +1999 9 16 18 11 WILLIAM 25.2 243.4 157 651 +1981 4 23 6 7 GORDON 57.1 129.2 117 516 +1982 9 2 12 14 CHRIS 14.5 61.3 137 543 +1953 10 6 18 15 ALBERTO 31.5 55.5 120 483 +1983 10 6 0 9 NADINE 16.4 97.5 142 230 +1951 1 14 18 25 TONY 24.4 105.3 141 422 +1988 5 28 18 6 ISAAC 30.2 180.3 34 186 +1954 8 10 12 26 MICHAEL 33.5 98.7 68 274 +1978 5 14 0 1 LESLIE 28.8 262.5 40 445 +1974 6 17 0 2 OSCAR 59.9 154.6 68 683 +1960 2 11 18 28 MICHAEL 14.3 189.5 45 505 +1973 7 1 18 28 KIRK 62.8 263.7 15 588 +1980 12 23 12 8 VALERIE 28.4 324.5 93 747 +1972 1 12 6 19 JOYCE 9.8 51.2 56 320 +1958 9 2 0 18 DEBBY 66.8 346.8 16 337 +1977 1 22 0 17 HELENE 23.7 98.1 72 342 +1987 6 12 0 1 SANDY 51.1 306.8 55 565 +1960 2 2 18 24 DEBBY 33.5 318.4 18 580 +1951 1 1 6 4 MICHAEL 62.1 25.9 37 659 +1999 5 1 12 27 ERNESTO 18.0 234.2 120 345 +1960 7 6 6 24 OSCAR 21.0 125.8 22 520 +1954 10 24 18 17 LESLIE 18.7 118.6 16 420 +1955 1 25 18 18 LESLIE 52.4 101.2 79 616 +1987 5 26 0 7 ERNESTO 50.9 199.3 100 47 +1964 9 27 18 21 ALBERTO 65.5 97.5 19 201 +1995 10 24 18 12 VALERIE 52.8 331.2 148 241 +1982 8 20 18 15 BERYL 11.8 295.6 76 617 +1982 12 23 18 24 GORDON 15.9 50.3 52 524 +1962 10 23 12 27 WILLIAM 68.2 238.1 64 574 +1961 12 27 6 17 KIRK 59.1 45.8 54 273 +1951 11 12 6 11 BERYL 46.6 334.7 108 184 +1957 7 19 0 18 BERYL 7.3 139.8 67 291 +1995 6 27 6 28 WILLIAM 40.3 51.7 34 573 +1996 2 3 18 2 RAFAEL 63.2 118.1 153 803 +1998 6 21 6 27 HELENE 67.1 82.8 156 739 +1983 10 12 18 27 LESLIE 22.2 319.5 16 810 +1980 12 12 6 18 NADINE 69.3 284.5 103 357 +1966 5 20 6 28 SANDY 29.6 97.7 57 77 +1992 7 25 0 5 ERNESTO 18.2 20.7 81 228 +1957 7 20 6 8 OSCAR 33.9 214.2 125 151 +1992 10 7 12 6 CHRIS 21.4 61.8 51 342 +1975 3 22 12 4 SANDY 54.7 46.3 120 440 +1999 11 21 12 9 LESLIE 33.4 300.3 37 19 +1983 6 20 0 22 MICHAEL 21.1 153.0 78 173 +1990 6 12 18 19 VALERIE 57.1 134.8 83 842 +1995 4 6 12 4 DEBBY 45.9 243.4 40 302 +1993 6 8 12 18 VALERIE 37.4 291.5 23 238 +1980 10 23 0 5 VALERIE 11.2 325.5 124 102 +1961 6 25 0 23 ALBERTO 45.4 280.7 54 481 +1954 6 21 0 25 ALBERTO 20.3 88.3 124 589 +1971 7 26 0 3 PATTY 20.7 117.2 72 296 +1962 6 8 12 24 DEBBY 69.7 69.1 111 127 +1985 12 7 18 13 DEBBY 45.6 234.7 98 470 +1992 8 16 18 15 WILLIAM 68.5 316.4 136 770 +1991 10 11 18 1 BERYL 53.1 61.7 85 31 +1950 11 4 18 24 KIRK 19.2 301.4 120 565 +1986 1 14 6 4 ERNESTO 50.0 94.9 67 124 +1959 3 16 0 21 LESLIE 59.6 355.9 141 603 +1974 5 13 6 26 PATTY 42.8 104.4 83 233 +1972 1 14 12 18 OSCAR 19.7 291.9 57 223 +1959 2 4 18 17 JOYCE 29.8 36.8 87 344 +1977 3 21 12 19 PATTY 59.9 113.6 78 438 +1972 3 10 12 21 PATTY 60.7 251.4 84 221 +2000 11 16 6 18 CHRIS 44.3 274.3 95 724 +1994 9 17 18 11 WILLIAM 22.3 209.0 100 558 +1988 5 23 18 13 VALERIE 36.2 273.5 76 714 +1975 6 25 6 27 FLORENCE 23.7 167.2 15 875 +1983 1 25 12 28 ALBERTO 44.0 328.5 32 527 +1953 1 23 12 16 SANDY 16.1 348.0 69 637 +1956 9 14 0 7 CHRIS 50.0 30.4 133 359 +1962 11 9 0 10 PATTY 68.1 42.7 90 352 +1975 7 3 12 28 TONY 11.0 354.8 28 252 +1965 6 16 6 6 SANDY 35.2 217.5 116 452 +1962 8 11 12 21 LESLIE 18.7 241.0 147 389 +1957 3 12 6 27 DEBBY 39.3 214.1 64 691 +1975 1 27 6 26 TONY 67.6 59.6 28 225 +1952 8 18 0 20 PATTY 29.5 236.9 60 600 +1992 9 28 0 2 VALERIE 49.9 32.7 131 581 +1993 10 1 6 10 LESLIE 33.6 16.5 149 160 +1957 11 3 12 3 ALBERTO 31.4 297.4 160 331 +1968 8 23 6 18 WILLIAM 53.2 298.6 38 569 +2002 10 6 6 26 DEBBY 47.0 238.0 151 306 +1965 6 7 12 12 OSCAR 31.2 72.4 47 635 +1966 10 2 18 14 WILLIAM 15.0 308.4 82 189 +1956 2 11 0 5 PATTY 60.4 205.3 116 842 +1980 5 12 6 8 RAFAEL 20.7 183.2 143 200 +1978 10 3 12 8 MICHAEL 41.1 135.6 142 787 +1998 7 17 12 20 TONY 62.5 49.8 142 847 +2004 2 2 12 4 LESLIE 8.8 113.3 126 339 +1957 7 7 12 17 FLORENCE 29.7 58.8 10 311 +1966 12 22 6 4 NADINE 52.3 119.0 91 777 +1992 3 7 12 28 MICHAEL 41.0 136.9 33 82 +1977 7 15 12 25 PATTY 9.5 105.9 87 86 +1988 7 1 12 8 PATTY 17.2 21.2 149 762 +1958 11 14 6 15 MICHAEL 57.0 205.5 24 591 +1966 4 2 0 15 DEBBY 60.4 38.8 127 629 +1992 1 17 18 27 ISAAC 56.8 11.4 37 282 +1967 6 11 18 20 PATTY 29.4 190.0 123 870 +1957 5 13 6 8 ISAAC 64.2 97.1 50 596 +1973 1 18 18 11 OSCAR 26.6 24.1 133 415 +1972 6 1 6 14 ISAAC 38.7 219.9 156 492 +1979 6 5 18 9 MICHAEL 41.7 352.5 121 361 +1950 10 4 18 2 KIRK 16.4 228.8 102 591 +1980 8 13 6 1 ERNESTO 31.0 339.4 21 191 +1988 8 12 12 20 ERNESTO 44.9 81.5 84 876 +1993 6 19 18 1 RAFAEL 24.2 209.4 59 873 +1973 7 28 18 17 OSCAR 66.1 357.3 120 730 +1998 7 2 18 2 SANDY 11.9 348.5 89 576 +1993 4 17 12 18 TONY 19.3 221.8 17 627 +1970 9 12 6 10 LESLIE 11.4 230.9 95 457 +2002 10 7 18 12 MICHAEL 45.3 34.4 44 867 +1987 6 14 0 9 OSCAR 69.5 323.5 58 40 +1978 11 7 6 20 HELENE 15.2 46.2 146 859 +1983 6 8 6 2 ALBERTO 48.6 187.0 13 351 +1953 6 10 0 5 NADINE 13.8 32.9 30 89 +1957 9 21 12 9 OSCAR 16.8 283.9 84 792 +1954 7 11 6 18 OSCAR 26.5 214.3 50 112 +2003 9 18 0 28 SANDY 18.5 17.2 61 232 +1997 9 1 18 18 OSCAR 23.0 0.5 14 781 +1959 11 4 6 4 JOYCE 63.1 48.1 30 369 +1961 11 15 12 21 ALBERTO 29.2 266.4 134 727 +1966 3 20 0 4 OSCAR 27.8 125.2 44 738 +1950 9 8 6 12 HELENE 13.9 275.5 137 687 +2000 4 27 6 7 KIRK 37.0 101.5 149 586 +1980 4 16 0 8 LESLIE 69.2 355.2 23 464 +1976 8 4 6 25 WILLIAM 57.2 351.1 108 133 +1968 2 16 18 22 VALERIE 9.0 222.1 37 76 +2004 9 11 12 27 KIRK 53.3 267.5 136 826 +1998 4 6 6 7 ERNESTO 20.0 356.0 106 304 +1993 3 3 0 8 KIRK 19.4 302.9 45 619 +1965 11 17 18 13 SANDY 13.2 113.3 77 39 +1976 11 2 18 2 ERNESTO 12.3 317.9 40 824 +2000 11 17 12 22 ALBERTO 45.1 325.7 95 653 +1956 7 20 6 18 RAFAEL 17.6 325.3 160 362 +1964 9 4 6 23 MICHAEL 66.6 11.8 95 308 +1972 7 19 18 12 BERYL 50.7 90.3 75 759 +1984 9 6 0 11 JOYCE 27.7 352.1 157 652 +1995 11 5 12 1 RAFAEL 44.7 296.2 45 519 +2001 6 11 18 6 HELENE 22.2 47.9 73 77 +1962 8 17 0 27 HELENE 17.6 161.0 106 211 +1961 7 13 12 28 WILLIAM 42.4 40.0 102 523 +2004 12 20 12 20 ISAAC 27.1 182.0 94 297 +1954 3 23 0 24 HELENE 13.5 23.2 118 164 +1974 7 18 12 4 SANDY 19.4 308.1 97 443 +1972 4 17 18 28 SANDY 7.0 182.5 130 767 +1954 12 22 12 24 RAFAEL 31.8 230.6 12 303 +1962 8 6 0 25 OSCAR 25.0 349.9 41 504 +1993 12 26 6 6 NADINE 41.5 165.3 34 20 +1950 12 20 0 23 BERYL 51.4 51.7 44 849 +1995 8 2 0 7 DEBBY 64.8 127.8 27 748 +1998 6 8 0 16 HELENE 60.7 336.6 76 21 +1966 12 5 12 26 BERYL 47.3 90.9 58 697 +1970 1 21 18 23 VALERIE 64.0 92.7 16 41 +1980 2 21 0 21 OSCAR 9.3 333.6 128 872 +1990 11 17 18 7 VALERIE 50.7 238.8 72 554 +1996 6 16 0 20 KIRK 24.9 89.8 29 362 +1983 12 16 18 14 ERNESTO 54.5 241.9 20 160 +1956 11 11 0 28 GORDON 15.2 27.9 34 328 +2004 7 27 0 8 JOYCE 26.9 105.4 90 892 +1977 7 11 12 19 CHRIS 38.3 324.5 98 101 +1961 1 11 6 23 OSCAR 60.9 133.4 78 744 +1997 7 8 18 1 MICHAEL 49.5 197.7 76 583 +1977 5 5 0 14 KIRK 38.7 344.3 37 80 +1996 6 13 18 6 ALBERTO 9.1 124.0 89 655 +2004 1 17 12 16 RAFAEL 61.2 61.1 116 824 +1964 12 14 12 20 RAFAEL 12.7 31.4 11 698 +1970 1 4 18 4 SANDY 39.5 80.4 42 259 +1951 6 10 0 24 TONY 38.9 334.9 111 537 +1960 4 20 18 2 MICHAEL 23.6 88.2 150 328 +1980 7 6 12 22 HELENE 18.3 257.8 73 556 +1996 11 8 0 20 OSCAR 18.1 37.4 97 641 +1977 4 23 6 25 NADINE 46.5 210.9 164 785 +1988 2 28 0 25 NADINE 41.1 165.3 128 339 +1982 4 13 0 12 PATTY 16.6 290.9 65 509 +1986 3 12 6 9 FLORENCE 39.8 114.6 139 568 +1959 4 3 18 20 DEBBY 50.0 228.3 44 770 +1975 9 19 6 28 FLORENCE 34.1 157.5 19 689 +1986 6 28 0 20 HELENE 38.3 43.2 47 600 +1989 2 28 6 13 KIRK 59.4 111.2 108 599 +1974 1 4 6 6 FLORENCE 65.5 266.2 147 726 +1952 11 18 0 10 CHRIS 26.0 212.7 118 62 +1991 1 8 12 26 JOYCE 38.1 261.0 140 522 +1978 1 12 6 14 SANDY 31.7 281.6 138 117 +1989 11 6 18 11 DEBBY 40.3 7.0 72 771 +1965 10 17 0 15 CHRIS 43.4 90.1 140 699 +1969 5 13 12 14 JOYCE 49.9 292.8 28 210 +1996 11 4 0 28 BERYL 47.7 285.0 146 61 +1963 9 23 18 3 NADINE 47.3 318.9 103 25 +1970 4 8 6 1 LESLIE 60.4 302.7 38 838 +1994 3 5 0 23 DEBBY 10.1 312.0 71 5 +2003 11 7 18 14 JOYCE 53.7 344.8 59 410 +1972 5 25 12 19 RAFAEL 25.5 286.9 74 140 +1954 7 4 0 3 PATTY 35.6 248.6 138 866 +1985 2 18 0 6 TONY 15.1 197.1 155 424 +1979 3 16 0 27 FLORENCE 68.1 297.3 20 815 +1964 11 6 18 27 KIRK 36.1 51.4 74 360 +1960 5 10 6 12 NADINE 66.5 190.4 121 768 +1979 11 6 6 11 ALBERTO 24.0 263.0 20 811 +1991 10 25 18 4 RAFAEL 59.3 113.5 97 396 +1957 5 7 12 14 LESLIE 25.3 68.6 62 277 +1989 4 15 12 10 ALBERTO 48.5 226.0 56 622 +1950 2 13 0 27 PATTY 31.8 234.2 143 849 +2003 1 19 6 1 ALBERTO 20.1 316.3 80 850 +1965 3 12 6 4 ISAAC 8.9 95.6 82 363 +1997 5 11 0 8 DEBBY 36.2 5.2 14 15 +1979 7 2 12 23 TONY 40.5 243.8 10 835 +1960 7 26 6 27 BERYL 27.5 330.4 153 563 +1997 9 14 6 18 LESLIE 9.4 107.2 21 61 +1955 9 19 12 25 ISAAC 44.2 115.4 135 661 +1986 7 16 18 6 TONY 38.2 136.5 161 431 +1986 5 10 0 14 FLORENCE 23.3 272.2 160 406 +1973 4 3 0 14 VALERIE 48.1 102.1 37 744 +1978 8 12 0 16 ISAAC 20.1 114.4 150 850 +1998 8 27 6 13 DEBBY 54.1 91.6 162 380 +2002 12 26 0 17 TONY 34.2 172.1 147 99 +1962 10 25 0 22 CHRIS 58.3 339.2 111 465 +1955 5 24 18 19 WILLIAM 29.2 248.5 24 464 +1985 6 27 12 26 JOYCE 9.7 294.0 52 199 +1983 9 20 6 18 OSCAR 7.6 326.3 92 795 +1996 6 24 18 27 SANDY 63.6 304.7 16 163 +1957 8 6 0 18 OSCAR 16.7 257.8 39 740 +1990 11 7 18 17 HELENE 42.2 44.7 155 126 +2001 11 11 0 2 HELENE 47.5 121.7 99 697 +1983 9 23 18 19 KIRK 47.0 85.5 54 887 +1952 7 15 0 16 HELENE 25.3 211.8 161 888 +1998 10 25 0 6 ALBERTO 63.6 21.3 97 275 +1989 8 1 0 26 KIRK 22.9 18.5 34 254 +1984 1 12 18 18 VALERIE 9.5 256.4 23 875 +1973 3 25 12 23 PATTY 54.5 298.4 44 625 +1986 8 19 6 11 NADINE 20.1 289.2 36 70 +1964 5 8 6 21 TONY 42.0 109.7 124 69 +1989 1 5 12 15 JOYCE 48.7 149.2 137 733 +1954 10 24 18 12 GORDON 32.2 91.5 72 411 +1954 10 17 6 4 CHRIS 68.4 180.1 24 6 +2002 7 8 12 4 ERNESTO 68.7 20.2 118 394 +1975 2 6 12 11 NADINE 36.6 295.1 51 142 +2004 4 28 6 27 ERNESTO 31.6 140.7 138 463 +1987 8 2 18 15 CHRIS 40.7 297.7 63 669 +1972 5 21 18 3 SANDY 63.5 310.3 57 222 +2000 10 24 12 26 PATTY 33.8 156.2 107 536 +1969 4 18 18 18 ERNESTO 40.1 295.0 123 11 +2004 10 20 6 14 HELENE 35.1 170.8 122 139 +1985 8 6 18 14 HELENE 32.5 318.6 100 527 +1964 5 26 12 2 TONY 59.9 329.5 73 744 +1994 12 13 6 11 SANDY 50.3 10.4 116 698 +1973 10 12 18 7 DEBBY 27.2 142.1 41 117 +1987 1 9 0 7 KIRK 8.9 233.7 61 685 +1962 11 17 12 17 FLORENCE 25.8 132.8 47 810 +1984 7 3 0 7 WILLIAM 53.8 239.6 32 687 +1957 8 9 6 21 BERYL 37.3 225.4 20 659 +1970 6 13 12 22 KIRK 57.8 157.7 31 49 +1963 4 25 6 14 DEBBY 68.4 104.3 18 430 +2000 5 21 12 4 WILLIAM 33.9 166.3 105 595 +1961 5 13 6 28 RAFAEL 69.6 59.7 12 542 +1958 6 3 0 15 BERYL 52.4 3.7 126 30 +1992 5 9 12 7 BERYL 52.1 125.1 62 342 +1975 10 6 18 24 LESLIE 58.4 275.7 135 422 +1950 4 16 12 23 VALERIE 36.3 36.7 104 5 +1970 2 11 18 27 OSCAR 28.0 85.1 42 193 +2003 3 27 6 27 JOYCE 51.6 298.9 14 733 +1984 9 12 12 5 PATTY 9.6 261.8 133 852 +2002 3 10 18 26 VALERIE 16.6 28.3 110 270 +1979 8 25 0 18 FLORENCE 65.1 139.2 97 676 +1980 8 1 12 25 SANDY 22.6 165.0 19 616 +1981 7 8 0 26 MICHAEL 52.6 106.6 117 71 +2004 4 9 12 21 VALERIE 37.6 250.4 141 155 +1964 1 6 6 20 DEBBY 14.2 334.5 12 695 +1956 12 14 6 15 TONY 37.6 241.5 135 899 +1993 6 1 12 28 KIRK 20.0 259.4 50 62 +2000 2 15 0 27 PATTY 43.2 286.0 150 121 +1952 8 7 0 9 BERYL 7.4 182.9 99 617 +1973 5 21 6 28 ALBERTO 49.8 168.0 18 555 +1995 2 10 0 7 PATTY 49.8 61.2 19 783 +2000 9 8 12 25 NADINE 38.9 164.6 95 149 +1970 1 15 6 23 WILLIAM 26.7 154.0 84 0 +1983 10 1 6 13 VALERIE 8.2 324.1 124 548 +2001 6 7 0 14 RAFAEL 31.7 344.3 88 637 +1992 7 26 12 28 HELENE 28.8 38.6 135 662 +1971 11 27 12 11 MICHAEL 8.1 145.1 90 678 +1988 2 20 12 26 ALBERTO 31.5 248.3 18 50 +1953 6 20 18 1 CHRIS 53.1 223.0 85 278 +1951 12 15 0 9 MICHAEL 12.1 148.5 84 245 +1958 2 3 6 6 KIRK 17.0 39.7 158 287 +1954 7 14 12 6 HELENE 51.0 170.4 160 98 +1992 4 23 12 14 HELENE 57.1 349.9 128 742 +1964 9 17 18 19 ISAAC 58.1 247.0 114 480 +1961 5 25 0 8 TONY 57.8 292.7 106 670 +1954 8 23 18 7 DEBBY 64.2 141.1 65 297 +1957 8 7 18 3 RAFAEL 38.2 185.6 137 220 +1964 4 6 6 17 CHRIS 14.7 104.3 103 218 +1964 3 13 18 13 GORDON 48.7 140.5 46 707 +1970 7 16 6 19 BERYL 61.9 257.3 147 691 +1979 9 13 6 26 MICHAEL 27.1 307.4 64 143 +1993 3 27 12 21 GORDON 23.8 209.4 75 857 +1955 1 5 18 24 ISAAC 27.8 120.6 52 834 +1970 1 17 18 17 HELENE 55.6 303.1 79 684 +1980 6 21 0 22 CHRIS 32.2 340.0 12 130 +2000 1 11 12 16 VALERIE 58.6 257.2 83 108 +2003 9 23 6 21 DEBBY 35.6 51.1 93 132 +1968 5 23 18 22 OSCAR 34.6 258.3 164 835 +1971 11 3 6 20 HELENE 31.3 298.3 148 628 +1994 9 3 0 19 GORDON 21.0 289.8 156 358 +1990 11 15 18 18 ALBERTO 39.7 160.4 152 289 +1953 3 3 0 3 WILLIAM 22.5 199.7 111 763 +1964 2 26 6 17 RAFAEL 60.6 203.1 46 663 +1963 11 7 0 27 CHRIS 60.5 292.5 156 580 +1953 1 24 12 13 OSCAR 27.3 94.5 86 665 +1976 7 26 18 25 LESLIE 23.4 4.3 105 165 +1968 5 13 12 6 ALBERTO 57.2 264.7 156 772 +1971 11 13 12 22 CHRIS 45.3 118.9 52 788 +1993 10 15 18 11 RAFAEL 17.7 303.7 75 326 +1979 9 6 18 11 GORDON 36.1 106.0 35 826 +2004 9 6 18 5 NADINE 62.0 198.7 73 672 +1989 1 4 0 21 FLORENCE 10.0 249.4 130 287 +1973 7 23 12 19 HELENE 28.5 336.6 19 669 +1993 8 15 0 6 TONY 25.9 318.2 135 814 +1970 1 10 12 25 HELENE 58.2 11.7 56 645 +1967 10 11 0 20 LESLIE 13.3 24.9 100 27 +2002 4 13 18 16 SANDY 21.1 249.4 61 309 +1952 10 24 12 22 LESLIE 45.5 274.9 102 383 +1999 12 2 18 23 MICHAEL 52.3 196.6 100 433 +1998 2 11 0 1 LESLIE 12.2 301.7 134 344 +1973 7 18 0 5 OSCAR 52.9 337.3 149 188 +1968 4 25 12 6 SANDY 56.3 78.0 88 708 +1978 12 17 18 12 ERNESTO 56.9 64.2 131 808 +1964 9 19 0 2 PATTY 18.9 236.0 118 599 +1981 11 25 0 25 SANDY 15.9 329.0 146 404 +1975 3 4 12 11 BERYL 35.4 199.6 107 128 +1970 10 22 6 6 ISAAC 34.5 189.4 100 823 +1979 2 1 12 26 ERNESTO 49.3 167.0 32 652 +1981 6 26 0 11 OSCAR 59.1 329.3 164 40 +1984 4 2 12 12 ERNESTO 21.4 315.0 80 244 +1978 1 21 18 7 CHRIS 35.4 72.1 150 182 +1973 1 9 18 16 ERNESTO 52.9 224.1 44 401 +1985 10 3 0 24 ERNESTO 14.0 131.5 158 743 +1986 11 19 18 20 FLORENCE 25.0 224.1 10 857 +1974 2 28 0 19 ERNESTO 47.0 150.3 36 643 +1960 6 4 6 18 VALERIE 68.6 189.9 151 512 +1953 2 28 6 4 GORDON 69.1 109.6 15 753 +1953 11 22 6 18 FLORENCE 13.4 345.0 118 728 +1976 3 1 18 25 JOYCE 35.4 57.4 19 776 +1984 5 21 0 10 WILLIAM 66.1 299.3 137 88 +1965 12 11 12 20 GORDON 57.3 237.9 77 783 +2001 10 24 18 6 ALBERTO 48.7 251.3 24 618 +1981 8 13 0 10 MICHAEL 17.7 147.7 36 210 +1985 5 5 12 28 ISAAC 40.5 111.9 14 56 +1962 5 3 18 5 HELENE 33.7 315.7 27 28 +1997 3 14 0 1 TONY 55.9 52.5 114 435 +1964 4 9 6 9 JOYCE 42.9 168.8 141 130 +1998 3 17 0 21 DEBBY 58.7 264.0 59 732 +1996 10 20 6 6 ALBERTO 37.4 170.7 20 508 +1954 6 23 0 6 HELENE 17.1 275.7 98 826 +1967 7 17 18 24 NADINE 42.6 92.2 33 549 +1981 6 24 0 3 GORDON 33.2 266.0 118 793 +1977 7 15 12 14 BERYL 27.3 346.4 41 277 +1964 1 3 18 12 HELENE 11.4 151.6 94 199 +1975 8 8 12 22 LESLIE 63.3 13.1 163 726 +1963 10 20 0 18 VALERIE 11.4 276.6 71 543 +1984 12 23 0 8 NADINE 61.2 186.1 96 677 +1961 12 24 12 10 BERYL 53.4 116.7 137 210 +1962 2 15 0 17 TONY 43.3 339.5 52 644 +1970 11 23 12 13 CHRIS 36.6 115.4 47 547 +1989 10 7 12 14 VALERIE 11.6 307.2 87 383 +1960 11 12 12 12 PATTY 35.1 227.9 102 285 +1985 5 8 0 19 ERNESTO 66.5 244.2 94 217 +1954 12 20 18 23 GORDON 59.9 8.5 117 459 +1953 6 10 6 11 WILLIAM 61.2 212.6 67 28 +1975 6 6 0 28 NADINE 29.6 297.7 72 814 +1983 9 18 18 15 OSCAR 10.7 124.0 41 42 +1990 10 24 0 24 LESLIE 27.1 178.5 59 253 +1967 11 21 6 24 CHRIS 8.0 252.7 145 484 +1963 2 7 6 7 LESLIE 54.7 275.9 70 782 +1974 3 6 12 13 ERNESTO 20.8 105.2 68 95 +1980 12 19 18 9 KIRK 46.5 138.1 39 256 +1965 2 17 18 21 BERYL 23.0 89.9 65 439 +1963 2 22 18 1 WILLIAM 67.3 210.7 52 340 +1967 5 13 18 12 HELENE 62.5 195.5 153 795 +1969 8 24 0 2 ALBERTO 14.2 162.7 52 278 +1983 8 20 12 9 SANDY 30.1 226.3 105 635 +1995 12 7 0 11 ALBERTO 62.5 101.5 137 220 +1958 5 23 6 26 HELENE 52.8 304.4 51 54 +2002 10 8 6 5 MICHAEL 11.2 112.5 128 253 +1997 9 9 6 11 FLORENCE 43.8 88.9 123 486 +1984 2 15 6 28 OSCAR 58.4 87.2 139 201 +1992 11 23 6 18 GORDON 64.6 147.4 138 733 +1958 4 3 0 16 PATTY 12.6 243.0 85 384 +1974 4 18 12 16 JOYCE 22.3 223.0 45 246 +1967 11 15 6 18 RAFAEL 36.3 352.2 31 37 +2002 3 16 18 23 PATTY 18.7 258.1 103 885 +1963 9 28 6 7 GORDON 29.2 140.0 50 281 +1954 4 27 12 25 SANDY 14.1 197.9 99 215 +1976 1 3 18 22 WILLIAM 37.7 208.3 159 710 +2001 10 3 12 23 WILLIAM 58.3 141.1 127 279 +1974 2 10 6 28 NADINE 48.7 245.8 75 668 +1953 8 21 12 27 JOYCE 47.8 89.1 36 41 +1991 6 5 12 4 ERNESTO 22.2 349.8 148 606 +1960 5 24 0 12 BERYL 34.4 98.2 98 24 +1990 2 21 12 18 BERYL 11.8 286.5 149 223 +1955 8 11 6 19 WILLIAM 28.6 42.3 94 522 +1953 10 21 18 23 BERYL 52.6 48.2 116 759 +1981 3 12 12 23 RAFAEL 50.2 164.6 97 883 +1965 6 12 0 28 WILLIAM 40.3 18.0 54 630 +1994 12 20 0 20 WILLIAM 32.0 285.1 67 139 +1959 10 21 0 2 NADINE 59.0 116.5 81 134 +1951 1 3 12 11 ISAAC 18.3 305.5 162 268 +1972 2 14 18 4 BERYL 9.4 83.9 34 740 +1965 7 3 18 21 WILLIAM 19.7 149.2 61 12 +1970 9 6 12 21 WILLIAM 53.1 155.2 27 631 +1964 2 23 0 13 TONY 44.0 303.9 64 439 +2000 9 25 6 13 RAFAEL 25.4 160.1 10 304 +1990 11 23 0 27 VALERIE 45.9 264.0 138 361 +1975 6 3 6 9 MICHAEL 37.0 342.8 25 254 +1961 4 16 6 10 CHRIS 55.8 141.0 21 564 +1973 3 5 6 17 CHRIS 12.4 88.2 42 22 +1964 9 15 0 2 LESLIE 21.0 160.6 156 784 +1972 5 15 18 17 VALERIE 69.1 178.9 120 96 +1974 1 7 18 14 BERYL 32.0 285.7 79 756 +1996 12 16 6 18 DEBBY 46.2 355.4 163 259 +1967 7 28 6 15 OSCAR 44.8 279.6 100 366 +1982 6 3 6 21 FLORENCE 11.7 300.8 88 619 +1967 7 22 12 19 MICHAEL 18.4 269.1 45 208 +1997 12 25 12 24 RAFAEL 67.5 266.1 164 565 +1975 10 9 0 23 SANDY 42.4 312.9 41 158 +1994 10 7 6 15 BERYL 15.0 135.2 24 822 +1953 2 28 6 17 CHRIS 36.7 247.3 135 469 +1960 10 8 0 15 ISAAC 15.2 116.3 66 802 +1965 10 26 0 11 ERNESTO 63.7 48.6 122 220 +1956 12 12 0 27 MICHAEL 7.2 301.4 60 5 +2000 7 24 0 21 DEBBY 20.5 297.0 81 782 +2000 1 18 12 15 GORDON 16.6 270.6 52 656 +1957 5 16 12 10 ISAAC 24.7 325.8 112 601 +2001 12 8 0 20 ERNESTO 10.2 271.1 105 744 +1959 12 12 0 2 OSCAR 28.8 178.8 139 715 +1965 3 25 6 6 RAFAEL 54.3 217.1 122 518 +1982 2 5 0 18 BERYL 24.2 113.9 146 304 +1960 2 13 18 26 DEBBY 40.6 343.0 95 223 +1972 5 26 18 27 MICHAEL 16.1 90.7 70 713 +1969 9 2 0 24 WILLIAM 52.5 20.8 38 543 +1963 12 17 12 19 MICHAEL 45.6 187.7 153 169 +1954 3 14 12 12 BERYL 29.7 220.6 63 206 +1964 3 7 0 12 NADINE 26.3 285.0 82 499 +1995 12 6 6 27 LESLIE 42.8 117.6 100 747 +1950 7 9 0 28 ISAAC 59.5 1.1 83 562 +1951 6 19 6 10 VALERIE 16.7 35.9 115 1 +1991 12 22 12 8 GORDON 61.4 144.3 36 362 +1992 5 28 12 14 TONY 41.3 340.5 100 111 +2002 3 19 12 13 WILLIAM 35.4 207.6 162 714 +1962 12 13 0 13 HELENE 42.0 146.4 103 424 +1974 8 2 0 23 OSCAR 8.1 107.7 64 153 +1961 4 21 0 2 ALBERTO 58.2 63.5 156 349 +1988 1 18 18 27 WILLIAM 13.1 168.8 148 764 +1959 5 6 6 7 CHRIS 66.9 332.1 104 97 +1991 8 20 12 19 ERNESTO 59.8 68.4 39 688 +1977 11 6 6 24 GORDON 60.5 344.0 32 193 +1959 3 6 18 22 CHRIS 52.1 27.7 20 599 +1957 12 8 0 25 GORDON 7.7 220.2 133 419 +2001 3 19 12 22 BERYL 23.6 119.6 61 568 +1984 12 7 12 15 NADINE 45.9 316.7 144 458 +1960 1 22 18 19 LESLIE 24.0 271.7 37 18 +1969 7 27 12 19 FLORENCE 48.0 174.6 45 26 +1969 5 7 6 22 PATTY 18.1 221.9 135 569 +1992 4 11 0 1 NADINE 53.7 346.9 148 767 +1960 8 24 6 17 KIRK 44.8 190.8 37 207 +1994 5 13 0 2 ERNESTO 40.3 75.1 119 239 +1957 5 18 12 13 GORDON 48.5 39.8 124 41 +1975 4 5 18 11 KIRK 26.4 150.9 149 63 +1959 9 9 12 20 RAFAEL 22.8 224.4 52 30 +1954 9 1 6 1 ALBERTO 34.3 283.2 110 281 +1965 5 5 12 4 FLORENCE 49.1 63.7 98 291 +1974 6 13 12 28 ALBERTO 17.5 221.5 128 607 +1991 9 9 6 20 FLORENCE 54.1 329.9 52 180 +1955 5 19 12 23 OSCAR 40.1 117.5 73 831 +1980 3 16 18 1 ERNESTO 27.5 208.2 131 271 +1985 6 4 6 5 KIRK 41.2 9.5 158 623 +1973 10 11 12 19 LESLIE 64.3 240.4 37 674 +1989 8 13 6 11 JOYCE 36.2 3.9 20 615 +1981 2 27 12 11 BERYL 43.2 148.5 105 410 +1970 6 14 12 26 RAFAEL 44.7 226.2 135 644 +1977 6 7 18 18 NADINE 52.4 75.5 13 297 +1975 6 27 18 22 JOYCE 39.2 248.3 22 4 +2002 6 3 18 27 OSCAR 31.9 185.8 139 456 +1958 8 7 6 12 CHRIS 38.7 30.1 160 193 +1960 4 24 0 2 HELENE 50.7 136.1 135 646 +1988 5 12 6 14 WILLIAM 35.1 199.8 18 622 +1962 5 22 12 5 JOYCE 53.9 211.0 58 319 +1975 4 10 12 15 ISAAC 33.0 317.5 127 237 +1990 7 22 12 23 DEBBY 64.8 146.8 161 527 +1958 4 18 12 23 OSCAR 64.2 122.9 71 142 +1993 7 11 6 7 WILLIAM 53.8 348.8 148 392 +1995 10 22 0 8 PATTY 65.6 354.7 137 191 +1984 3 12 6 2 SANDY 36.5 184.2 29 190 +1957 2 17 18 9 HELENE 9.5 339.7 25 216 +1996 10 20 18 2 RAFAEL 68.0 275.4 129 548 +2001 4 8 0 10 ALBERTO 28.5 158.8 103 568 +1996 3 15 18 16 SANDY 55.1 47.2 92 147 +1961 12 26 18 1 ALBERTO 67.0 250.2 59 457 +1965 7 28 6 26 FLORENCE 28.1 201.2 140 143 +1986 3 22 12 6 CHRIS 63.3 221.9 105 13 +1982 6 10 0 21 JOYCE 49.9 246.3 164 729 +1991 2 9 6 18 TONY 62.4 134.7 28 719 +1970 7 6 0 18 OSCAR 12.5 101.1 83 855 +1961 7 17 6 8 VALERIE 27.7 156.9 87 590 +1988 12 21 0 25 GORDON 18.3 2.8 21 249 +1953 7 12 6 7 JOYCE 7.1 332.0 139 170 +1974 11 24 6 13 DEBBY 14.6 148.4 74 269 +1992 3 10 6 11 LESLIE 27.5 54.1 132 362 +1976 8 10 6 13 DEBBY 41.8 335.1 87 723 +1991 1 23 0 21 ISAAC 48.6 38.0 20 869 +1963 9 11 18 19 NADINE 33.2 315.2 142 587 +2003 5 5 12 15 KIRK 53.4 10.2 63 698 +2004 11 4 18 13 NADINE 10.2 355.9 33 235 +1959 2 8 12 20 TONY 11.9 312.4 65 314 +1953 4 21 6 20 NADINE 69.5 92.6 82 332 +1964 8 5 0 2 BERYL 61.1 349.8 131 620 +1953 12 24 0 13 KIRK 36.1 288.3 69 260 +2000 8 1 0 26 DEBBY 39.1 354.3 150 43 +1986 10 7 12 9 KIRK 55.5 80.0 44 827 +1993 9 8 0 8 KIRK 63.9 217.4 119 620 +2003 2 18 6 3 BERYL 40.7 217.6 56 447 +1959 2 17 6 21 VALERIE 41.1 5.4 52 60 +1962 8 24 12 20 WILLIAM 9.3 12.1 68 219 +1991 12 24 6 19 LESLIE 21.7 143.7 158 303 +1989 12 3 12 24 MICHAEL 19.4 41.7 164 353 +1986 9 28 12 9 DEBBY 31.9 2.2 105 732 +1996 12 6 0 9 WILLIAM 57.8 258.7 123 705 +2002 11 28 6 1 OSCAR 28.5 182.8 62 840 +1981 10 11 18 22 DEBBY 41.9 17.7 114 73 +1952 7 27 12 1 ISAAC 53.5 297.6 109 173 +1967 1 8 18 3 BERYL 64.2 52.2 27 281 +1979 12 1 6 5 LESLIE 21.9 311.2 156 654 +1996 6 7 0 16 SANDY 21.3 81.5 53 423 +1967 3 16 6 1 ERNESTO 17.6 265.1 135 593 +1958 4 10 18 1 SANDY 45.8 266.9 65 163 +1991 9 19 6 14 NADINE 23.0 28.9 117 766 +1986 10 8 12 25 KIRK 60.8 122.2 28 156 +1952 10 4 18 6 VALERIE 63.5 16.2 89 712 +1952 3 19 18 11 RAFAEL 59.7 10.8 109 414 +1956 2 17 6 3 BERYL 59.7 100.3 77 707 +1976 9 1 0 10 WILLIAM 60.9 202.4 110 742 +1979 3 27 12 24 DEBBY 8.0 115.6 41 895 +1989 7 14 18 19 LESLIE 60.8 100.9 121 259 +1954 10 22 0 12 LESLIE 40.6 282.4 34 731 +1953 10 24 12 23 NADINE 24.7 144.3 105 360 +1966 9 25 18 19 JOYCE 69.7 136.9 101 101 +1983 6 5 6 11 NADINE 47.1 197.9 105 849 +1991 1 13 18 24 BERYL 44.4 276.1 23 620 +1956 10 23 18 5 VALERIE 49.5 288.3 161 488 +1995 8 9 12 25 ALBERTO 60.8 320.2 24 585 +1968 5 14 18 18 MICHAEL 66.3 139.2 81 161 +1993 12 27 18 25 GORDON 28.2 162.4 82 304 +1964 9 26 0 8 BERYL 50.9 200.7 40 103 +1955 11 2 18 12 ALBERTO 55.5 236.9 15 27 +1958 1 23 12 5 MICHAEL 54.1 304.6 162 568 +1983 4 13 18 2 MICHAEL 26.7 318.6 76 198 +1986 7 28 6 5 LESLIE 47.5 158.3 155 504 +1989 9 24 18 4 RAFAEL 63.5 147.6 95 894 +2002 2 7 12 22 HELENE 12.4 141.2 39 567 +1996 11 19 18 22 JOYCE 64.4 310.4 94 747 +1997 4 9 6 8 ALBERTO 19.8 239.6 33 302 +1986 11 7 6 18 RAFAEL 25.2 173.2 164 642 +2004 8 23 18 6 CHRIS 38.1 233.0 30 744 +1983 11 16 18 28 DEBBY 51.9 136.4 90 768 +1960 4 13 0 15 ISAAC 30.5 85.2 160 476 +1953 11 15 0 6 OSCAR 39.7 62.5 95 874 +1977 8 28 12 19 ERNESTO 34.1 351.3 120 35 +1966 8 17 6 9 PATTY 59.7 282.7 100 87 +1978 4 27 18 11 KIRK 40.6 91.9 71 441 +1979 6 19 18 21 OSCAR 45.7 227.7 98 701 +2001 3 13 6 11 WILLIAM 59.3 224.7 76 304 +1965 3 7 18 28 SANDY 68.7 149.6 149 279 +1992 4 14 12 7 KIRK 41.5 343.0 31 697 +1970 11 5 12 22 HELENE 64.9 190.4 51 343 +1989 1 1 6 15 DEBBY 20.5 73.2 60 207 +1964 3 28 18 7 KIRK 50.6 205.2 125 272 +1972 9 21 12 2 BERYL 31.4 224.2 30 6 +1966 10 7 0 16 LESLIE 9.7 118.2 125 752 +1960 3 4 12 24 DEBBY 62.6 200.6 86 777 +1993 1 1 18 2 MICHAEL 10.1 331.6 126 110 +1999 12 14 6 23 ISAAC 68.2 212.7 94 181 +2003 7 3 6 14 PATTY 16.5 291.8 117 262 +1963 10 8 18 25 GORDON 13.0 59.8 134 341 +2000 8 7 0 17 LESLIE 45.6 127.1 60 474 +1993 9 8 6 24 ERNESTO 20.6 5.3 112 44 +1985 4 9 6 7 BERYL 7.5 271.9 143 837 +1995 4 28 0 7 VALERIE 28.8 112.5 68 449 +1986 5 5 0 16 OSCAR 22.6 221.4 87 420 +1975 11 18 12 8 ERNESTO 8.6 117.9 24 388 +1956 3 8 12 21 HELENE 30.4 336.9 150 659 +1989 5 6 18 5 HELENE 50.9 219.6 40 226 +1954 5 17 0 4 DEBBY 50.8 333.3 156 393 +1990 7 23 12 10 NADINE 19.7 35.4 105 823 +1983 10 2 18 26 WILLIAM 64.4 0.6 69 54 +2003 8 15 18 7 CHRIS 53.6 122.9 77 164 +1987 6 24 0 26 PATTY 53.0 80.2 36 427 +1977 7 3 6 21 ALBERTO 63.6 107.1 111 168 +1975 6 10 0 25 BERYL 43.7 100.6 51 295 +1989 5 17 12 2 ALBERTO 28.9 276.2 53 620 +1970 2 11 18 4 OSCAR 39.1 109.8 56 93 +1991 3 27 18 6 ALBERTO 28.8 179.0 146 597 +1984 7 2 0 15 OSCAR 62.7 197.5 71 876 +1966 12 18 18 12 HELENE 12.0 224.6 75 266 +1964 2 13 6 13 ISAAC 40.7 238.6 150 428 +1956 9 21 0 8 JOYCE 67.4 341.7 66 74 +1990 3 12 0 5 NADINE 42.1 320.4 84 768 +2001 10 9 6 1 ERNESTO 29.3 6.3 145 464 +1962 12 15 6 21 ERNESTO 24.7 151.5 10 598 +1994 3 17 6 13 HELENE 42.9 80.0 81 616 +1960 10 13 6 16 WILLIAM 61.0 127.3 114 535 +1988 6 16 0 13 BERYL 41.9 339.6 66 709 +1950 12 17 6 5 HELENE 45.9 196.4 76 299 +1990 1 25 0 8 WILLIAM 19.5 24.6 23 36 +1991 2 6 0 5 PATTY 24.9 312.6 91 682 +1972 6 28 12 8 GORDON 31.1 329.1 100 753 +1970 10 16 0 9 ISAAC 50.8 172.2 45 740 +1991 3 10 12 21 FLORENCE 43.7 223.9 159 148 +1950 6 14 12 24 HELENE 19.8 319.4 70 751 +1982 9 24 6 23 JOYCE 52.4 173.8 45 361 +1972 6 3 6 22 SANDY 37.9 337.2 128 498 +1991 5 22 18 24 JOYCE 33.6 236.9 79 696 +1997 8 15 12 3 MICHAEL 49.5 307.4 147 746 +1981 1 9 12 4 ERNESTO 65.7 34.3 88 131 +1958 7 13 6 1 OSCAR 8.9 101.5 124 748 +1978 11 3 0 16 FLORENCE 44.0 193.8 82 536 +1980 3 13 18 1 CHRIS 53.9 51.7 78 541 +1965 11 27 12 11 ISAAC 63.2 143.1 93 894 +1983 6 10 18 20 PATTY 14.0 351.7 111 329 +1968 6 9 18 9 ISAAC 67.1 118.4 156 798 +1989 11 21 12 27 VALERIE 60.0 308.1 160 559 +1960 7 7 12 23 ISAAC 44.3 253.5 110 441 +1978 5 27 6 16 OSCAR 9.9 19.4 85 146 +1998 7 3 18 9 ISAAC 28.2 331.4 139 629 +1960 1 15 12 17 ISAAC 60.9 272.5 40 177 +1976 4 5 12 24 NADINE 14.7 21.1 81 627 +1953 6 22 12 19 OSCAR 56.9 54.2 22 758 +1969 9 16 12 14 MICHAEL 33.8 19.9 109 637 +1972 7 13 0 13 DEBBY 20.3 346.8 70 458 +1989 2 3 6 4 JOYCE 15.4 142.6 41 349 +1966 7 26 12 27 ERNESTO 57.6 349.7 74 89 +1951 3 1 12 24 PATTY 24.0 229.8 62 595 +1952 11 23 12 2 PATTY 11.6 44.1 95 336 +1978 5 12 12 8 RAFAEL 41.8 133.9 85 536 +1988 1 28 6 15 OSCAR 68.0 231.6 164 222 +1973 3 25 12 28 RAFAEL 38.1 302.1 144 640 +2003 2 2 6 10 LESLIE 49.7 126.0 30 39 +1964 10 9 0 13 ERNESTO 62.2 96.0 154 115 +1955 9 12 18 11 GORDON 67.7 201.5 37 170 +2001 3 6 18 28 GORDON 15.5 45.5 122 627 +2001 2 7 6 9 RAFAEL 40.9 183.0 28 198 +1958 7 17 12 28 TONY 35.1 208.1 64 601 +1983 7 13 18 10 WILLIAM 13.5 136.4 147 532 +1997 5 24 0 1 HELENE 23.2 350.5 78 55 +1981 12 17 12 14 ERNESTO 45.1 154.2 138 83 +1954 3 18 18 28 GORDON 57.8 137.6 112 514 +1962 3 16 6 6 FLORENCE 64.5 21.8 131 735 +1957 3 13 12 15 OSCAR 9.0 277.5 60 570 +2002 8 15 12 27 VALERIE 64.8 196.7 17 250 +1999 5 28 12 5 FLORENCE 8.6 290.4 71 394 +2002 9 8 12 17 NADINE 27.7 77.4 147 635 +1975 11 23 6 18 DEBBY 51.1 352.1 18 526 +1986 3 11 6 13 VALERIE 25.9 162.0 85 161 +1989 8 7 12 23 BERYL 44.8 265.0 160 32 +1992 5 28 6 11 OSCAR 65.2 46.7 148 347 +1983 12 15 6 27 FLORENCE 13.2 279.3 42 497 +1971 4 5 0 17 ISAAC 49.8 337.8 35 755 +1954 9 27 12 12 DEBBY 63.4 126.6 63 492 +1989 3 20 18 7 KIRK 58.7 21.0 36 228 +1975 9 20 18 28 ISAAC 55.2 54.2 10 751 +1976 3 22 12 2 RAFAEL 57.4 193.4 33 757 +1990 3 18 6 20 WILLIAM 21.8 196.7 67 24 +1963 9 28 0 23 ALBERTO 42.3 320.3 51 163 +1996 11 26 0 28 BERYL 49.3 60.9 58 867 +1951 8 6 0 17 VALERIE 27.3 357.5 57 441 +1994 8 8 6 4 TONY 46.0 79.6 76 844 +1958 12 26 18 7 CHRIS 37.6 180.5 59 6 +1994 11 15 18 10 SANDY 17.1 219.9 118 137 +1958 2 15 6 12 FLORENCE 38.9 4.0 54 117 +1989 10 4 0 26 PATTY 34.5 223.1 12 696 +1976 1 13 6 1 FLORENCE 32.1 19.4 147 834 +1955 11 13 12 22 TONY 8.6 73.5 54 317 +1994 2 27 0 3 FLORENCE 29.0 128.6 111 535 +1993 1 20 12 25 MICHAEL 51.6 22.2 44 755 +1950 3 15 12 11 JOYCE 31.6 113.3 44 859 +1995 9 2 12 15 KIRK 50.3 300.6 41 500 +1987 8 21 12 4 NADINE 50.4 105.6 67 411 +1969 5 27 12 15 CHRIS 54.5 244.2 12 480 +1985 5 18 12 9 BERYL 65.9 349.0 92 123 +2001 2 18 18 18 SANDY 20.9 31.5 123 417 +1967 10 3 12 12 WILLIAM 16.8 156.8 125 183 +1996 7 16 0 26 ERNESTO 63.7 321.7 153 816 +2004 6 3 12 28 PATTY 33.1 156.7 27 562 +1967 4 20 12 28 OSCAR 9.6 45.0 60 806 +1999 7 10 12 20 WILLIAM 61.4 306.0 94 800 +1986 12 7 6 5 ERNESTO 31.4 262.2 61 321 +1985 12 9 12 12 FLORENCE 42.5 164.4 40 641 +1983 5 20 12 22 GORDON 20.5 76.7 63 655 +1968 8 16 6 7 JOYCE 55.0 345.0 21 515 +1999 3 19 6 5 GORDON 59.3 311.7 78 228 +1995 6 20 0 6 LESLIE 13.5 137.6 124 145 +1979 2 26 18 13 CHRIS 34.8 301.4 58 201 +1974 8 3 18 27 SANDY 26.5 350.8 115 668 +1961 1 2 12 11 RAFAEL 42.4 246.1 91 744 +2002 5 8 6 6 VALERIE 34.9 91.3 156 401 +1950 4 26 6 3 PATTY 35.9 166.3 135 20 +1966 7 19 12 7 SANDY 20.7 202.8 39 678 +1983 2 24 6 27 VALERIE 29.0 53.8 21 821 +1959 8 7 0 26 RAFAEL 8.8 96.2 91 741 +1975 8 12 0 14 KIRK 69.7 157.8 19 302 +1993 8 8 6 13 OSCAR 8.7 234.2 138 781 +1959 9 16 18 12 PATTY 63.3 257.8 49 528 +1965 2 24 6 3 RAFAEL 10.0 27.1 142 387 +1970 12 22 18 21 OSCAR 15.8 60.5 31 516 +1973 2 4 12 21 HELENE 64.3 327.9 161 349 +1957 3 14 0 13 VALERIE 41.3 340.8 56 850 +1972 7 4 6 2 TONY 14.2 282.9 17 297 +1956 11 12 6 16 JOYCE 7.5 282.1 54 484 +1956 12 16 6 17 KIRK 40.7 91.6 100 372 +1987 5 14 12 21 VALERIE 51.9 157.1 160 262 +1969 12 4 0 5 GORDON 9.5 113.8 84 671 +1961 12 1 18 6 NADINE 53.8 250.2 83 523 +1993 4 23 6 14 OSCAR 21.6 76.7 16 290 +1979 2 6 6 9 PATTY 29.7 304.8 40 773 +1992 12 28 6 13 ALBERTO 45.8 123.8 78 825 +1952 7 15 12 14 BERYL 12.2 223.1 92 654 +1991 9 19 12 17 MICHAEL 49.0 269.2 99 609 +1961 4 24 12 10 ISAAC 23.4 210.6 43 285 +1977 10 13 18 11 VALERIE 48.9 32.3 11 344 +1952 4 8 18 27 WILLIAM 7.1 222.3 125 223 +1952 8 6 6 22 CHRIS 29.0 27.5 142 589 +1970 1 3 0 1 CHRIS 10.1 138.0 105 615 +1976 2 5 0 25 VALERIE 46.2 312.6 96 899 +1951 6 27 12 6 RAFAEL 31.6 129.2 131 381 +1953 11 4 6 23 NADINE 13.4 165.2 29 157 +1986 10 27 12 16 NADINE 46.3 220.3 144 323 +1988 2 1 12 20 ERNESTO 19.8 20.6 80 326 +1982 11 12 18 19 GORDON 18.9 90.4 65 45 +1981 4 17 6 20 DEBBY 68.7 312.7 84 433 +1983 4 2 6 2 SANDY 29.9 219.3 29 815 +1969 9 3 18 23 ISAAC 19.2 208.0 113 681 +1951 11 11 18 11 MICHAEL 39.4 157.4 140 314 +1999 10 19 12 6 NADINE 17.4 310.2 90 256 +1966 6 19 6 26 NADINE 53.6 215.1 43 349 +1993 8 18 0 3 TONY 34.1 112.1 108 893 +1998 5 20 6 1 KIRK 18.1 118.3 151 122 +2004 7 6 18 24 ISAAC 33.6 351.2 131 97 +1962 10 21 6 23 HELENE 7.6 252.7 40 306 +2004 8 14 12 28 MICHAEL 32.1 142.9 67 495 +1962 11 3 18 13 ISAAC 25.9 147.9 80 519 +1977 11 5 12 5 TONY 11.4 348.9 163 405 +1963 4 21 18 21 BERYL 49.1 310.9 87 185 +1987 2 27 18 11 LESLIE 41.2 103.9 109 363 +1962 5 22 18 27 LESLIE 17.0 70.6 114 580 +2001 5 6 18 26 TONY 45.3 351.2 22 793 +1963 9 19 18 8 KIRK 34.8 346.6 31 712 +1970 1 18 0 18 RAFAEL 43.6 36.1 109 167 +1950 7 15 18 10 FLORENCE 47.5 176.0 64 866 +1954 8 12 18 8 KIRK 41.7 256.0 88 772 +1952 6 17 6 5 SANDY 49.9 23.8 91 582 +1952 5 8 12 8 TONY 49.2 299.8 55 894 +1971 3 7 12 16 DEBBY 31.6 41.0 94 436 +1977 1 26 12 20 VALERIE 68.2 65.2 126 157 +1998 9 6 6 21 FLORENCE 68.0 357.2 128 542 +1967 4 5 12 6 VALERIE 27.4 56.1 105 520 +1960 5 15 12 16 BERYL 24.8 212.0 126 850 +2003 4 10 6 7 HELENE 19.6 0.9 52 870 +2000 1 1 0 28 PATTY 56.7 335.8 139 797 +1977 2 12 18 2 NADINE 50.6 190.1 102 44 +1993 8 8 0 12 BERYL 30.0 137.8 55 272 +1984 1 17 6 16 PATTY 44.6 83.7 155 141 +1975 1 1 12 15 ALBERTO 19.1 317.5 32 819 +1955 10 15 18 9 JOYCE 21.2 69.5 33 674 +1951 9 10 12 7 DEBBY 37.2 170.7 73 470 +1962 7 14 18 16 WILLIAM 69.9 269.4 74 178 +2000 2 27 12 19 CHRIS 61.5 63.6 129 391 +1950 12 22 6 7 ALBERTO 22.7 223.9 105 26 +1978 4 21 12 20 FLORENCE 31.9 229.0 110 305 +1968 9 3 0 23 WILLIAM 31.1 204.0 112 899 +1993 10 22 0 15 ALBERTO 17.9 197.4 145 117 +1964 11 12 6 14 LESLIE 66.2 48.9 92 810 +1957 9 19 0 22 FLORENCE 69.8 82.7 21 618 +1990 7 10 6 14 JOYCE 39.9 44.7 105 269 +1950 3 27 12 4 HELENE 48.6 111.5 83 28 +1992 3 28 6 28 GORDON 51.2 158.8 153 57 +1963 2 1 18 24 ISAAC 66.2 11.6 16 34 +2004 4 6 6 18 LESLIE 46.3 157.9 102 228 +1962 5 4 18 25 WILLIAM 42.7 42.2 134 99 +1989 9 4 0 4 ISAAC 7.0 247.4 59 498 +1954 1 6 6 6 TONY 58.1 322.9 36 402 +1974 5 19 18 26 SANDY 24.9 211.3 164 44 +1970 3 14 0 11 GORDON 67.6 78.7 147 701 +1971 1 19 0 1 KIRK 29.2 53.7 90 786 +1969 5 14 18 27 WILLIAM 69.7 296.1 28 140 +1993 2 2 18 18 DEBBY 33.1 152.4 123 44 +1967 8 17 12 9 PATTY 31.0 205.5 79 513 +1988 5 19 18 17 DEBBY 39.3 61.4 109 51 +1956 9 8 6 16 CHRIS 59.7 301.1 44 863 +1965 7 19 18 21 LESLIE 43.4 196.3 131 708 +1965 1 13 0 2 LESLIE 57.5 134.4 56 496 +1984 10 25 6 15 SANDY 46.2 142.8 130 764 +1986 2 3 12 17 TONY 8.3 123.1 81 501 +1962 8 8 18 2 ISAAC 30.7 174.2 141 294 +1953 2 22 12 24 NADINE 40.0 202.8 63 700 +1999 7 8 18 6 HELENE 7.5 52.0 111 735 +1950 4 23 12 11 ALBERTO 50.3 86.9 31 799 +1988 1 2 0 2 CHRIS 66.8 70.4 107 563 +1981 8 20 18 8 DEBBY 58.8 52.3 126 320 +2003 10 25 0 8 RAFAEL 20.5 301.7 114 664 +1951 5 1 18 13 OSCAR 31.8 125.7 87 682 +1966 1 5 18 6 LESLIE 18.5 317.4 118 280 +1982 1 16 12 14 PATTY 29.8 202.9 23 788 +1996 1 19 12 20 RAFAEL 40.9 233.8 151 84 +1950 5 26 6 1 OSCAR 68.1 33.5 55 700 +2000 4 23 0 27 PATTY 33.1 34.7 12 231 +1990 12 16 6 8 BERYL 44.5 253.3 97 500 +1991 12 20 6 5 WILLIAM 26.1 129.0 13 850 +1958 2 16 12 1 CHRIS 57.9 8.1 164 624 +1981 6 5 0 18 JOYCE 47.8 260.7 130 264 +1972 6 22 6 9 BERYL 43.3 173.2 87 203 +1955 3 26 0 4 DEBBY 9.5 220.1 56 639 +1955 9 7 12 24 MICHAEL 38.5 335.6 20 791 +1960 5 23 6 24 ERNESTO 56.1 85.3 114 657 +1970 1 22 12 5 FLORENCE 44.0 31.0 72 184 +1985 1 13 12 5 OSCAR 51.3 20.0 93 549 +1993 5 13 0 16 RAFAEL 27.9 189.9 110 80 +1955 3 15 0 15 HELENE 24.8 175.3 106 207 +1986 5 22 0 28 ERNESTO 46.9 201.4 58 860 +2000 4 4 0 10 HELENE 55.1 297.4 113 419 +2002 1 17 12 14 NADINE 33.7 332.9 63 10 +1998 3 13 6 4 ERNESTO 26.4 195.4 21 754 +1975 6 19 12 9 KIRK 24.7 131.0 102 656 +1974 3 25 12 10 SANDY 12.6 170.5 18 562 +1964 10 11 18 15 RAFAEL 54.0 197.6 29 189 +1971 11 1 0 8 FLORENCE 61.2 30.6 66 261 +1951 11 28 18 4 RAFAEL 41.4 203.4 127 609 +1958 11 8 0 27 KIRK 9.0 98.1 127 353 +1959 4 22 18 20 DEBBY 58.8 117.9 39 764 +1965 5 15 6 8 BERYL 21.5 141.7 156 787 +2001 1 20 18 3 TONY 45.4 78.8 23 513 +1987 11 14 12 15 KIRK 28.6 107.0 119 284 +1986 8 11 6 25 OSCAR 50.3 74.0 77 95 +1997 7 1 0 17 LESLIE 21.7 189.3 53 613 +2002 12 26 0 17 HELENE 35.0 213.7 60 810 +1979 7 9 6 23 BERYL 67.7 244.3 133 684 +1957 8 7 6 8 SANDY 36.0 167.1 77 785 +1953 9 26 0 12 OSCAR 60.4 83.2 38 475 +1957 1 1 0 21 SANDY 16.0 343.9 84 84 +1961 8 24 6 4 RAFAEL 66.0 121.5 140 498 +1969 2 18 18 25 VALERIE 57.7 315.4 101 441 +1959 9 5 12 20 VALERIE 61.1 79.4 97 82 +1996 12 6 0 16 OSCAR 57.7 117.2 102 665 +1950 3 10 6 6 MICHAEL 7.2 20.3 78 629 +1998 1 13 12 7 RAFAEL 49.8 33.3 89 364 +2004 4 22 18 11 RAFAEL 37.4 205.2 156 353 +1981 8 4 6 19 GORDON 54.5 48.9 63 443 +1991 9 20 6 14 RAFAEL 26.6 242.6 161 337 +1964 7 13 18 13 CHRIS 69.6 186.5 82 510 +1952 1 25 0 28 FLORENCE 57.5 301.2 140 645 +1964 11 1 0 26 FLORENCE 56.6 95.0 57 189 +1988 8 10 12 28 CHRIS 47.0 18.7 63 709 +1971 4 22 18 14 OSCAR 46.4 290.1 68 53 +1956 6 11 18 12 HELENE 32.9 171.7 16 308 +1982 8 20 12 21 FLORENCE 14.9 1.7 46 851 +2000 5 3 18 9 CHRIS 46.8 349.0 27 759 +1970 3 9 6 16 ERNESTO 28.7 234.1 122 802 +2001 5 7 0 9 WILLIAM 33.0 342.7 16 842 +1955 11 10 0 22 JOYCE 37.4 319.4 17 784 +1985 4 28 0 28 PATTY 21.0 286.0 56 872 +1986 9 12 6 3 PATTY 25.0 72.0 154 596 +1963 6 10 12 9 VALERIE 31.2 308.3 103 5 +2001 10 12 6 8 JOYCE 69.4 153.7 47 347 +1967 5 10 0 26 JOYCE 8.1 118.5 143 280 +1997 9 15 0 23 HELENE 24.4 116.7 10 885 +1981 6 12 12 22 OSCAR 52.4 147.3 161 657 +1965 9 4 6 11 DEBBY 48.6 18.3 108 398 +1970 8 7 12 1 WILLIAM 21.9 96.3 151 877 +1963 6 1 6 9 SANDY 58.5 137.1 29 469 +1986 1 23 18 13 VALERIE 10.5 332.2 100 226 +1989 6 4 12 24 LESLIE 8.9 55.3 91 661 +1970 2 27 6 16 WILLIAM 47.8 18.3 142 298 +1970 11 3 12 19 OSCAR 10.9 261.4 161 892 +1996 10 23 0 18 BERYL 54.9 172.4 87 94 +2002 9 1 18 10 ALBERTO 37.7 35.2 146 125 +1989 8 10 0 15 WILLIAM 65.2 135.4 163 330 +2004 11 26 12 19 WILLIAM 19.3 55.0 148 263 +1952 12 9 0 23 ISAAC 54.2 330.5 142 145 +1984 6 11 0 25 WILLIAM 65.6 36.7 152 620 +1967 6 28 0 14 SANDY 53.1 18.6 16 634 +1958 10 8 12 25 BERYL 26.1 178.7 138 342 +1951 10 22 0 26 LESLIE 22.0 102.5 24 262 +1998 4 13 12 21 DEBBY 52.8 36.1 68 625 +1981 7 17 18 1 ALBERTO 11.0 267.5 111 158 +1967 4 22 12 1 NADINE 10.0 94.6 114 336 +1983 10 17 0 15 JOYCE 21.1 29.3 69 365 +1977 4 24 18 22 TONY 59.2 29.8 110 849 +2001 10 28 6 26 BERYL 24.3 85.8 82 111 +1982 8 7 12 2 ERNESTO 11.5 41.7 13 292 +1976 2 20 0 9 ERNESTO 23.6 77.6 90 3 +1956 12 13 6 18 OSCAR 18.0 116.6 67 291 +1967 4 16 6 10 DEBBY 49.7 287.3 90 371 +1998 4 13 18 4 SANDY 55.0 24.3 111 535 +1968 9 15 18 11 BERYL 9.7 104.7 12 391 +1973 12 17 12 25 ERNESTO 60.5 9.5 155 620 +2001 11 1 18 6 DEBBY 65.3 345.9 151 311 +1987 8 1 6 6 OSCAR 54.7 316.6 141 628 +1996 2 23 0 4 LESLIE 60.5 311.2 112 242 +1999 11 5 12 7 DEBBY 31.1 200.6 62 853 +1957 4 11 18 5 OSCAR 45.8 36.9 142 233 +1993 12 27 6 13 PATTY 25.7 87.5 49 90 +1980 5 24 6 27 RAFAEL 47.5 187.8 66 357 +1968 5 13 18 15 VALERIE 7.3 314.5 152 314 +1950 9 8 0 16 MICHAEL 25.3 79.8 108 577 +1987 8 7 0 13 PATTY 30.3 123.8 131 245 +1992 3 13 18 14 VALERIE 35.5 249.4 60 564 +1994 4 9 12 10 BERYL 40.3 77.2 34 37 +1984 3 27 18 9 KIRK 44.4 13.3 34 186 +1995 6 1 18 23 VALERIE 55.9 177.7 117 182 +1978 12 3 12 14 RAFAEL 39.0 168.5 159 46 +1992 9 23 18 14 ISAAC 37.9 325.3 91 645 +1992 11 15 0 15 SANDY 24.3 240.3 25 67 +1969 6 13 12 8 FLORENCE 11.9 88.8 66 226 +1977 10 10 18 20 CHRIS 47.6 14.5 130 496 +1971 1 12 18 9 ERNESTO 61.6 35.5 159 322 +1982 7 8 18 14 LESLIE 53.6 245.2 44 884 +2000 2 3 0 5 TONY 32.9 210.5 94 32 +1992 5 6 0 23 SANDY 30.8 174.8 141 343 +2003 2 5 18 16 TONY 10.9 79.0 129 3 +1988 4 2 6 8 KIRK 64.6 169.1 50 705 +1951 1 7 0 3 BERYL 62.4 317.2 121 867 +1970 11 14 0 8 DEBBY 42.6 160.3 90 449 +1952 8 3 18 10 JOYCE 16.7 117.9 52 589 +1966 3 7 6 3 ALBERTO 19.3 224.1 162 411 +1973 3 5 18 20 LESLIE 46.9 138.3 154 734 +1984 12 14 0 19 ERNESTO 21.2 173.1 107 641 +1997 5 9 6 14 ERNESTO 57.1 88.7 35 893 +1998 6 26 18 9 FLORENCE 18.5 298.3 135 76 +1958 9 9 18 13 OSCAR 20.6 279.4 96 782 +1962 9 1 12 22 PATTY 14.1 261.5 127 531 +1954 8 17 18 11 VALERIE 40.1 198.2 106 218 +1968 10 25 6 19 NADINE 21.0 23.4 77 816 +1994 6 28 0 15 LESLIE 65.3 70.0 28 787 +1987 2 14 6 5 TONY 67.2 346.9 100 812 +1976 2 20 6 18 ISAAC 39.0 313.7 135 799 +1970 11 26 0 27 ERNESTO 32.2 232.1 37 632 +1994 6 22 6 6 PATTY 41.1 286.0 38 432 +1988 11 4 6 20 VALERIE 30.6 334.2 148 151 +1963 4 17 6 25 JOYCE 31.2 347.8 139 488 +1967 10 13 0 6 JOYCE 53.2 125.7 147 607 +1985 1 26 12 7 TONY 59.5 27.7 139 491 +1980 10 15 18 21 ISAAC 30.5 288.1 75 384 +1962 11 7 0 19 TONY 18.5 202.0 85 471 +1979 1 27 0 15 BERYL 44.8 90.2 98 868 +1985 5 6 12 12 ALBERTO 52.5 273.5 113 259 +1983 4 25 18 4 DEBBY 42.6 82.0 118 613 +1993 2 20 18 20 ISAAC 44.6 99.4 154 703 +1982 3 16 0 7 PATTY 48.2 292.4 26 493 +1983 2 11 12 7 ISAAC 24.3 226.1 52 359 +1995 6 2 6 14 SANDY 25.8 272.5 116 815 +2002 5 24 0 16 JOYCE 40.1 89.7 68 574 +1967 4 25 18 27 PATTY 20.9 272.7 59 80 +1960 3 9 12 14 VALERIE 54.8 127.2 90 296 +1970 7 27 6 23 VALERIE 56.0 89.0 99 372 +1980 3 21 18 23 JOYCE 45.2 319.0 148 665 +1978 7 18 12 27 MICHAEL 58.4 254.9 43 30 +1998 10 13 6 14 ISAAC 34.2 107.8 136 141 +2002 2 1 12 18 BERYL 20.1 93.0 68 219 +1955 3 16 12 28 KIRK 36.1 193.1 31 892 +2002 3 11 0 12 GORDON 43.9 42.8 128 673 +1952 5 8 0 10 CHRIS 40.1 32.5 41 669 +1978 2 21 18 11 WILLIAM 64.7 235.6 86 742 +1987 1 26 6 18 GORDON 53.4 62.3 107 851 +1987 1 7 18 15 WILLIAM 19.0 97.1 157 507 +1970 5 23 6 10 ISAAC 34.0 205.5 102 718 +2001 2 16 6 28 PATTY 11.7 300.4 158 11 +1989 2 26 0 16 JOYCE 24.9 189.1 126 489 +2003 8 4 18 1 CHRIS 47.2 23.2 26 271 +1956 6 5 12 22 WILLIAM 43.0 62.5 107 321 +1956 12 19 6 21 RAFAEL 55.5 304.4 52 592 +1999 11 8 12 8 TONY 50.4 140.0 24 466 +1968 9 7 0 19 HELENE 26.9 7.0 19 513 +1996 4 16 6 17 FLORENCE 59.3 211.9 13 82 +1968 4 24 18 25 RAFAEL 53.7 194.0 78 149 +1964 8 6 0 4 PATTY 64.5 225.5 69 493 +1980 8 13 0 22 SANDY 36.8 88.4 20 561 +1996 8 12 18 20 CHRIS 47.3 167.5 78 252 +1974 4 1 0 21 DEBBY 60.6 135.3 148 250 +1982 2 14 18 20 TONY 38.7 315.3 139 168 +1955 1 19 18 7 CHRIS 40.2 112.3 15 266 +1955 12 25 0 14 ISAAC 53.3 187.7 75 842 +1997 5 8 18 1 BERYL 59.7 255.3 130 885 +1988 10 12 0 27 FLORENCE 37.4 200.3 136 293 +1974 4 2 18 11 ISAAC 7.3 35.8 129 145 +1959 1 12 18 11 OSCAR 23.8 196.7 142 364 +1996 11 17 6 13 OSCAR 48.1 80.5 13 498 +1952 7 12 12 24 NADINE 51.8 147.9 51 141 +2001 1 21 6 13 GORDON 51.8 86.3 162 574 +1981 2 4 12 11 NADINE 9.4 38.5 48 206 +1977 6 18 12 13 HELENE 55.1 172.3 164 620 +1980 10 22 6 15 TONY 60.2 253.7 155 867 +1950 9 28 12 13 TONY 13.2 104.4 43 154 +1955 5 18 12 25 NADINE 27.8 90.3 85 9 +2001 2 3 12 25 WILLIAM 12.0 26.3 20 622 +1970 7 15 0 20 JOYCE 69.4 274.4 43 600 +1994 8 24 0 24 FLORENCE 69.4 286.0 117 606 +1991 4 3 12 25 PATTY 38.6 76.9 31 893 +1956 5 24 12 21 PATTY 58.7 344.5 68 393 +1995 11 2 0 10 HELENE 69.9 33.2 21 471 +1976 10 1 12 25 GORDON 64.1 64.8 157 490 +1986 5 8 18 15 FLORENCE 8.2 347.2 10 593 +1951 7 16 6 15 JOYCE 28.2 157.5 79 301 +1985 1 5 0 15 JOYCE 59.6 248.9 104 320 +1984 5 9 12 24 CHRIS 50.2 317.9 112 138 +2001 7 1 18 27 DEBBY 50.5 282.0 14 663 +1955 1 16 6 7 ERNESTO 24.4 125.9 151 146 +1954 4 16 12 18 PATTY 59.5 175.2 142 370 +1965 12 16 12 1 WILLIAM 40.7 8.0 73 402 +1995 10 24 0 27 TONY 42.2 224.2 25 687 +1983 8 12 18 28 DEBBY 60.1 34.9 50 12 +1982 6 16 6 8 PATTY 14.7 202.4 14 871 +1992 11 5 0 13 WILLIAM 28.2 178.9 33 561 +1990 4 11 0 28 ISAAC 57.1 51.1 151 674 +1979 1 11 0 12 CHRIS 31.3 65.8 53 115 +1952 6 4 0 12 LESLIE 8.1 323.2 89 408 +1999 10 25 12 26 ISAAC 15.9 122.7 83 285 +2000 6 4 18 26 RAFAEL 51.9 327.6 98 757 +1989 3 9 0 3 TONY 19.8 19.7 72 63 +1983 4 25 6 23 DEBBY 38.7 186.3 156 337 +2001 1 25 18 27 CHRIS 7.0 332.0 49 741 +1952 8 15 18 14 TONY 12.7 26.0 14 18 +1951 8 13 6 6 GORDON 49.6 172.4 164 693 +1973 12 8 6 21 BERYL 54.2 303.3 117 130 +1978 11 23 12 6 ALBERTO 19.4 212.2 126 59 +1957 10 23 6 4 OSCAR 7.5 176.2 19 828 +1978 3 2 0 16 CHRIS 38.8 98.8 23 189 +1988 8 12 6 17 GORDON 60.8 357.8 155 567 +1993 8 2 0 1 CHRIS 24.7 234.6 163 875 +1973 10 4 0 6 OSCAR 12.3 149.9 85 845 +1951 8 9 18 3 TONY 62.1 255.8 42 648 +1979 5 16 6 27 HELENE 66.7 56.3 73 582 +1992 1 11 18 23 HELENE 24.8 21.7 109 110 +1997 9 26 0 21 LESLIE 63.7 270.3 45 742 +1977 8 25 18 16 LESLIE 27.7 117.8 23 873 +1991 9 8 18 13 PATTY 37.5 351.7 16 313 +1999 10 27 18 5 SANDY 16.7 121.2 153 836 +2000 12 3 6 15 JOYCE 21.9 349.6 54 363 +1953 4 1 0 19 HELENE 62.9 280.8 24 355 +1982 11 27 12 10 BERYL 32.3 311.7 160 737 +1993 7 25 12 9 FLORENCE 50.5 229.7 145 315 +1988 9 25 12 4 OSCAR 30.4 317.9 164 448 +1994 6 28 12 10 MICHAEL 46.1 352.6 104 336 +2001 5 20 18 11 VALERIE 50.1 222.1 114 22 +1988 1 7 18 20 ALBERTO 33.9 32.2 115 235 +1975 1 9 12 16 OSCAR 57.9 198.6 24 112 +1992 11 19 18 10 CHRIS 52.6 168.1 79 53 +1964 6 5 12 21 CHRIS 28.8 292.1 154 392 +1998 7 2 18 21 WILLIAM 45.7 215.4 134 85 +1968 9 27 6 9 GORDON 18.0 198.2 140 252 +1984 2 15 18 20 ISAAC 13.1 223.6 108 871 +1987 3 1 6 16 LESLIE 48.7 226.3 116 424 +1957 11 21 0 5 VALERIE 36.7 38.3 15 519 +1973 3 16 0 28 JOYCE 25.1 253.6 14 647 +1984 8 22 18 1 VALERIE 8.5 75.9 121 591 +1952 6 4 18 1 GORDON 50.6 260.0 160 163 +1996 5 13 18 21 NADINE 20.7 171.6 51 689 +1968 6 10 18 5 OSCAR 25.1 221.9 137 871 +2004 3 25 0 25 RAFAEL 65.7 260.9 109 418 +1962 1 22 12 9 VALERIE 34.1 124.7 70 416 +1960 12 21 6 14 DEBBY 47.3 103.8 147 577 +1956 10 13 0 14 BERYL 14.4 85.3 31 563 +1983 6 13 12 6 JOYCE 26.5 337.7 70 160 +2004 2 18 18 15 JOYCE 44.1 237.0 69 149 +2002 4 25 18 24 ALBERTO 52.2 45.2 71 156 +1958 12 21 6 15 MICHAEL 37.7 48.0 34 195 +2004 8 5 6 20 CHRIS 42.7 248.4 90 747 +1957 5 10 0 16 LESLIE 29.3 292.8 54 222 +1966 9 11 12 8 BERYL 69.9 140.2 120 379 +2003 8 15 18 15 MICHAEL 68.8 283.6 36 796 +1975 9 14 6 9 HELENE 28.9 137.8 159 264 +1984 7 9 12 5 TONY 47.5 32.5 21 811 +1995 2 23 6 22 BERYL 15.3 18.4 104 34 +1975 3 13 0 16 TONY 42.8 224.4 128 102 +1961 2 6 12 22 OSCAR 66.5 26.0 161 474 +1952 9 22 18 8 MICHAEL 7.4 145.2 20 854 +2001 1 1 0 9 RAFAEL 10.3 310.5 70 833 +2001 6 24 18 25 OSCAR 52.1 14.9 21 422 +1951 8 18 12 26 LESLIE 9.9 50.5 19 585 +1954 10 12 6 25 ALBERTO 21.6 194.1 154 588 +1991 1 27 12 16 JOYCE 20.3 137.3 114 513 +1982 11 5 12 16 ISAAC 59.5 273.0 18 550 +1954 4 2 6 10 DEBBY 11.9 24.6 47 615 +1950 7 19 18 8 JOYCE 48.4 172.3 85 530 +1967 3 27 12 21 GORDON 36.4 314.9 11 767 +1987 8 5 6 28 FLORENCE 30.1 173.3 148 792 +1969 8 6 6 21 KIRK 63.5 132.6 157 126 +1970 5 20 18 3 NADINE 9.1 218.0 112 36 +1968 1 9 12 12 LESLIE 44.9 170.3 71 347 +1955 12 5 18 26 NADINE 43.1 230.0 138 411 +1976 4 27 18 14 LESLIE 18.0 228.8 49 166 +1986 12 25 6 8 KIRK 55.6 24.1 89 141 +1996 1 23 12 18 ISAAC 19.6 54.2 10 735 +1959 12 23 0 24 BERYL 20.6 213.9 30 448 +1987 1 21 18 2 CHRIS 54.7 336.4 114 455 +1980 3 4 18 15 FLORENCE 58.5 321.5 152 180 +1961 2 7 6 5 KIRK 27.6 269.1 122 578 +1995 11 26 12 1 TONY 42.2 14.7 17 182 +1951 5 3 0 18 KIRK 28.5 7.8 66 7 +1992 4 23 6 18 ERNESTO 61.6 6.5 135 610 +1970 10 17 18 23 SANDY 50.4 338.1 133 756 +1976 10 14 0 20 LESLIE 51.8 162.2 88 211 +1985 8 5 18 1 DEBBY 36.6 351.2 142 88 +1986 4 18 12 23 FLORENCE 69.9 168.6 67 64 +1965 3 8 6 12 SANDY 62.2 140.8 139 318 +1982 6 18 12 24 WILLIAM 28.7 329.2 89 504 +1994 5 20 18 20 SANDY 66.8 257.2 82 105 +1979 12 10 0 9 PATTY 7.3 222.5 40 85 +1993 11 5 18 19 GORDON 11.1 197.3 142 353 +2002 1 8 18 28 CHRIS 20.5 3.7 95 26 +1957 12 8 6 23 CHRIS 37.4 159.0 71 376 +1989 4 7 6 19 OSCAR 27.8 330.0 153 406 +1958 1 4 0 11 JOYCE 43.9 0.3 145 73 +1962 5 22 18 19 ISAAC 67.6 65.3 10 715 +1986 2 17 0 7 PATTY 51.7 80.1 108 195 +1954 9 9 0 11 MICHAEL 37.0 83.1 20 86 +1999 4 13 6 26 KIRK 47.7 276.5 70 246 +1968 2 8 6 16 GORDON 50.1 260.6 49 158 +1979 7 3 18 1 NADINE 27.9 194.7 24 777 +1957 11 24 0 15 MICHAEL 49.7 73.6 116 426 +1952 5 4 12 5 SANDY 32.1 74.5 134 159 +1998 9 24 18 18 ISAAC 29.2 105.3 75 81 +1962 8 21 0 25 CHRIS 56.6 150.9 101 833 +2002 1 2 6 7 VALERIE 56.8 210.7 101 417 +1962 11 23 18 2 BERYL 34.2 20.3 11 70 +2003 10 20 12 12 VALERIE 40.1 143.1 95 665 +1986 3 4 0 23 LESLIE 17.3 1.7 51 152 +1985 8 16 0 25 CHRIS 11.5 194.5 59 821 +1986 5 8 0 22 FLORENCE 17.4 248.9 25 738 +1977 12 25 6 4 WILLIAM 19.6 159.0 86 130 +1964 9 25 0 15 CHRIS 39.6 276.8 52 19 +2001 6 1 12 27 CHRIS 21.9 200.3 12 9 +2004 11 23 6 28 PATTY 7.2 241.5 91 719 +1983 8 12 18 1 HELENE 61.3 17.9 106 533 +1983 7 26 18 28 RAFAEL 21.5 357.1 86 412 +1951 7 10 0 7 DEBBY 59.0 48.9 106 146 +1962 1 14 0 19 MICHAEL 50.9 165.4 147 216 +1980 11 19 18 14 OSCAR 30.9 286.7 90 378 +1978 4 6 0 11 ISAAC 59.0 1.0 56 734 +1971 10 14 0 18 WILLIAM 66.8 308.5 124 371 +1991 6 8 6 14 KIRK 56.7 195.6 135 897 +1977 12 5 0 4 JOYCE 8.3 7.5 27 486 +1968 1 18 12 12 HELENE 16.3 58.2 141 161 +1963 10 25 0 8 HELENE 67.4 79.6 19 603 +1985 12 11 6 20 TONY 55.7 15.1 71 360 +1950 4 25 18 18 DEBBY 47.3 275.2 74 194 +1957 12 27 6 21 DEBBY 7.3 233.0 40 213 +1970 2 21 6 19 LESLIE 10.7 191.4 81 562 +2002 10 25 18 27 ERNESTO 27.7 167.1 56 761 +1968 6 14 6 16 KIRK 12.8 101.7 72 843 +1955 10 1 6 20 FLORENCE 13.1 324.0 61 469 +1959 5 10 12 10 RAFAEL 68.6 173.8 12 866 +1980 9 14 6 24 JOYCE 59.0 281.4 70 860 +1982 8 24 6 19 SANDY 58.9 110.9 135 851 +1998 5 25 12 13 JOYCE 59.5 42.4 94 681 +1998 2 21 18 15 TONY 28.1 115.7 110 405 +1998 4 17 0 4 MICHAEL 55.9 315.8 93 62 +1996 9 11 0 23 PATTY 25.0 33.8 62 443 +1998 4 12 12 12 JOYCE 55.0 136.1 146 831 +1980 9 26 18 12 PATTY 40.2 170.1 131 340 +1997 11 9 6 5 RAFAEL 51.9 281.5 83 558 +2001 1 19 0 25 OSCAR 39.9 277.7 46 214 +1998 7 24 6 18 FLORENCE 51.8 47.3 132 819 +1982 3 15 18 19 GORDON 16.6 310.6 60 456 +1990 12 19 12 26 MICHAEL 67.2 133.5 64 699 +1980 3 9 18 24 ALBERTO 29.7 52.8 162 371 +1995 5 27 6 1 VALERIE 58.1 107.7 61 478 +1956 3 11 6 19 JOYCE 66.8 351.2 83 120 +1968 10 12 12 7 LESLIE 52.4 219.2 100 667 +1979 11 12 12 7 RAFAEL 32.4 239.0 94 384 +1951 3 12 12 3 VALERIE 40.0 216.9 105 736 +1975 5 5 18 25 JOYCE 42.8 134.3 93 324 +1983 8 11 0 22 CHRIS 53.0 333.4 83 813 +1965 11 23 18 24 PATTY 27.0 315.1 161 305 +1954 2 7 12 19 LESLIE 40.8 254.4 13 805 +1989 11 24 0 11 PATTY 46.4 295.1 86 645 +1981 10 1 12 26 ALBERTO 44.7 116.3 163 498 +1952 11 4 0 12 KIRK 39.8 160.1 18 132 +1973 8 12 12 24 TONY 9.3 280.7 53 795 +1997 12 17 18 22 FLORENCE 8.6 205.0 158 258 +1953 9 4 12 18 MICHAEL 56.8 336.0 41 127 +1970 1 13 6 9 ERNESTO 35.6 256.8 154 403 +1989 9 26 18 25 WILLIAM 20.9 279.4 42 276 +1983 7 4 6 4 PATTY 47.2 162.7 96 661 +1977 12 9 6 1 DEBBY 19.1 207.7 75 91 +1976 11 13 0 4 ISAAC 39.5 82.3 159 577 +1979 6 12 0 15 BERYL 42.4 290.9 153 362 +1989 5 5 18 15 OSCAR 64.2 61.1 135 722 +1988 8 27 6 24 SANDY 16.2 49.6 23 711 +1968 12 25 18 22 DEBBY 63.2 187.1 85 713 +1961 1 25 12 4 HELENE 38.1 87.4 76 646 +1958 8 25 18 27 CHRIS 55.6 270.8 139 659 +1966 12 2 12 14 ERNESTO 31.5 148.2 38 629 +1980 7 21 12 2 HELENE 33.8 280.8 42 766 +1951 6 6 12 17 BERYL 66.6 261.6 72 840 +1990 1 4 18 25 PATTY 58.0 217.9 54 104 +1980 8 16 12 1 ISAAC 30.5 158.9 51 608 +1973 1 4 6 8 MICHAEL 52.7 113.6 123 181 +1951 5 22 0 22 TONY 37.9 7.0 135 239 +1995 3 24 12 22 CHRIS 52.1 308.2 83 877 +1987 6 19 0 22 WILLIAM 14.6 131.4 162 362 +1980 9 26 12 13 BERYL 60.0 69.3 115 330 +1989 9 6 18 19 ALBERTO 64.7 330.1 100 628 +1957 4 28 12 15 TONY 8.5 169.0 131 646 +2002 5 2 12 17 HELENE 15.1 252.2 15 588 +1964 3 23 12 22 GORDON 8.4 84.0 33 508 +1971 5 14 12 23 HELENE 8.2 332.4 136 224 +1970 3 27 12 2 ISAAC 33.8 85.7 67 858 +2001 11 20 12 16 ISAAC 25.1 133.2 101 201 +1998 4 9 6 6 SANDY 60.7 156.6 47 113 +1984 10 7 0 19 ALBERTO 16.3 255.3 43 859 +1980 8 27 18 1 HELENE 13.9 55.1 62 268 +2000 1 26 12 5 FLORENCE 37.9 266.0 96 4 +1980 10 7 12 16 ALBERTO 65.3 280.4 148 178 +2000 4 28 18 5 ERNESTO 63.2 228.5 35 566 +1995 8 7 0 9 WILLIAM 21.9 101.8 32 38 +1979 2 14 12 6 MICHAEL 9.5 330.4 17 72 +1956 9 11 18 23 FLORENCE 51.1 169.6 152 253 +1953 5 12 0 3 ERNESTO 19.4 7.2 102 866 +1960 2 16 0 18 OSCAR 23.7 223.0 44 354 +1977 3 3 6 11 ALBERTO 52.0 52.1 94 500 +1996 2 4 18 5 NADINE 8.3 287.4 129 86 +1980 9 21 6 3 CHRIS 16.2 240.6 99 446 +1970 2 26 18 20 PATTY 33.3 267.9 103 637 +2004 10 3 0 23 WILLIAM 64.0 135.8 47 149 +1984 9 9 6 24 WILLIAM 19.2 343.6 103 620 +1986 8 17 6 23 BERYL 68.4 121.9 32 223 +1987 2 3 12 13 GORDON 66.9 176.0 22 210 +1958 2 19 0 10 NADINE 31.9 5.5 22 651 +2000 6 26 6 6 MICHAEL 47.2 119.0 127 496 +1950 3 11 0 10 RAFAEL 55.3 86.2 53 147 +1996 9 18 12 14 TONY 49.1 96.5 10 206 +1971 4 15 12 3 CHRIS 57.3 47.8 118 720 +1952 10 18 18 6 LESLIE 51.1 260.4 33 459 +1954 8 1 18 23 MICHAEL 35.6 291.9 39 381 +1955 5 13 0 26 KIRK 43.7 128.9 120 737 +1992 12 28 6 20 PATTY 62.6 23.9 11 97 +1959 8 18 18 4 ISAAC 38.4 206.5 63 253 +1968 9 16 18 27 HELENE 66.2 337.6 16 586 +1955 11 9 18 7 ALBERTO 52.2 219.8 54 20 +1953 6 1 12 6 ISAAC 10.4 340.5 79 770 +1987 8 8 6 24 ISAAC 44.2 39.5 119 42 +1953 4 21 18 7 SANDY 57.9 123.9 75 325 +1970 6 26 0 4 KIRK 18.4 144.8 41 223 +1987 11 23 18 28 ALBERTO 45.9 102.4 23 710 +1950 9 27 18 9 KIRK 41.5 31.5 46 404 +1974 3 18 18 25 PATTY 36.4 290.2 116 597 +1980 8 28 12 14 TONY 52.5 12.0 55 432 +1961 12 17 6 18 NADINE 53.4 189.5 60 153 +2000 6 14 12 23 ISAAC 31.8 55.0 149 476 +1996 8 5 18 4 OSCAR 15.5 321.9 76 340 +1965 3 9 0 15 NADINE 8.9 117.4 53 285 +2003 12 6 6 26 BERYL 55.2 129.1 85 790 +1959 7 26 6 19 CHRIS 20.4 110.3 79 686 +1956 4 5 0 15 TONY 56.2 216.8 77 848 +1968 1 17 12 19 BERYL 43.9 347.5 76 555 +2000 12 21 6 10 ISAAC 46.1 203.7 50 792 +1961 8 10 6 3 TONY 59.2 209.0 135 277 +1996 2 18 12 8 TONY 28.1 92.1 49 280 +1993 5 15 6 21 FLORENCE 54.8 78.0 125 602 +1982 3 28 6 24 OSCAR 61.8 190.7 104 444 +1955 8 13 12 22 HELENE 33.2 23.1 84 437 +1985 5 5 18 8 HELENE 31.7 21.0 42 90 +1975 6 7 6 3 ISAAC 38.6 320.7 23 777 +1976 2 24 18 22 KIRK 26.8 120.7 30 61 +1951 11 23 6 16 NADINE 69.2 82.8 141 628 +1983 11 27 18 20 NADINE 27.5 46.0 91 200 +1980 6 3 0 12 TONY 47.1 109.5 163 397 +1953 12 6 18 14 PATTY 52.7 226.9 35 659 +1973 5 1 12 4 KIRK 41.6 130.3 113 405 +1958 11 23 6 11 FLORENCE 37.4 220.5 122 106 +1963 4 7 6 22 LESLIE 17.5 330.2 22 833 +1967 3 23 18 20 SANDY 23.8 280.2 17 104 +1994 4 5 0 11 JOYCE 55.5 162.8 104 3 +1996 7 24 6 7 CHRIS 11.8 226.8 79 127 +1976 3 27 12 10 DEBBY 41.5 222.1 90 672 +1987 5 25 0 14 FLORENCE 29.7 274.0 129 574 +2002 4 11 6 9 KIRK 52.6 241.8 19 872 +1986 7 26 0 10 WILLIAM 18.5 112.8 23 315 +1955 3 16 18 14 PATTY 47.6 96.4 130 576 +1961 1 22 18 13 SANDY 24.0 257.2 40 309 +1953 5 21 6 6 SANDY 48.8 296.4 87 799 +2001 3 22 6 11 OSCAR 32.3 165.6 143 117 +1968 3 1 18 3 DEBBY 60.7 210.0 74 196 +1986 9 26 18 17 CHRIS 38.5 250.4 25 891 +1982 5 15 18 24 DEBBY 36.9 306.3 66 833 +1955 4 19 12 13 HELENE 11.3 113.9 41 398 +1958 8 4 18 21 DEBBY 28.7 332.6 159 49 +1952 2 21 18 26 NADINE 28.5 49.9 18 257 +1965 11 23 6 28 ISAAC 52.0 240.9 81 854 +2004 3 9 18 3 NADINE 68.4 259.7 83 343 +1958 11 13 0 1 RAFAEL 42.5 306.4 56 505 +1968 6 23 6 17 PATTY 14.0 163.4 13 716 +1961 1 16 12 13 WILLIAM 52.8 101.2 36 623 +1954 1 1 12 17 DEBBY 37.4 9.6 28 800 +1951 6 22 12 10 NADINE 19.4 86.2 163 355 +1966 5 19 6 6 ERNESTO 23.9 131.7 142 70 +1999 1 14 12 7 RAFAEL 44.4 73.9 156 268 +1959 1 19 0 18 VALERIE 27.6 174.2 76 623 +1979 3 2 0 16 SANDY 8.2 64.9 49 212 +1959 12 24 6 28 RAFAEL 46.8 160.3 93 874 +2003 10 23 18 16 FLORENCE 47.6 46.8 63 593 +1974 4 1 0 24 SANDY 30.3 115.0 146 192 +1997 9 18 0 5 ISAAC 45.0 265.2 76 452 +1985 5 2 12 14 TONY 9.8 104.4 116 701 +1978 1 23 6 25 DEBBY 16.7 327.4 29 538 +1964 8 1 18 8 ISAAC 55.7 302.1 157 635 +1988 2 19 12 9 RAFAEL 15.5 5.4 99 291 +1975 12 4 0 2 NADINE 9.0 2.9 66 742 +1973 2 26 12 11 ISAAC 23.3 75.0 113 548 +1957 4 10 6 6 KIRK 64.9 10.7 138 180 +2001 4 8 6 6 TONY 27.1 207.3 106 161 +1967 1 12 18 9 ISAAC 47.4 75.0 30 574 +1963 8 7 18 23 ERNESTO 56.2 308.1 162 487 +1982 1 2 0 2 RAFAEL 10.2 93.4 87 169 +1976 5 24 6 18 FLORENCE 16.9 245.6 117 107 +1978 6 20 18 5 ISAAC 27.1 27.1 127 778 +1970 1 16 6 26 PATTY 14.0 237.5 48 484 +1969 10 12 0 24 HELENE 38.0 124.5 162 719 +1991 6 5 6 22 FLORENCE 23.1 292.5 32 879 +1990 9 21 0 18 KIRK 45.8 296.1 121 885 +1985 8 6 18 10 JOYCE 49.3 126.9 146 811 +1961 10 25 0 25 SANDY 62.1 290.6 91 867 +1988 4 16 18 8 FLORENCE 27.3 288.3 140 631 +1989 7 13 6 14 NADINE 54.0 0.7 79 388 +1961 7 2 0 2 ALBERTO 44.3 122.9 117 493 +1999 8 2 18 6 ERNESTO 55.6 287.6 143 624 +1966 10 3 6 2 BERYL 8.5 23.3 134 636 +1973 3 4 6 1 MICHAEL 38.3 154.9 20 845 +2003 8 7 0 7 ALBERTO 63.2 343.9 121 574 +1952 7 7 12 14 DEBBY 25.4 310.9 55 796 +1969 12 19 18 4 VALERIE 58.7 99.8 74 139 +1962 5 4 18 4 CHRIS 46.5 286.5 141 220 +1966 5 21 6 23 DEBBY 49.6 100.2 138 780 +2004 1 9 18 28 GORDON 67.5 315.3 111 340 +1970 6 8 0 10 GORDON 58.8 146.0 124 506 +1970 1 20 12 17 OSCAR 9.0 323.6 162 438 +1997 9 24 6 11 FLORENCE 43.4 97.1 121 66 +1989 10 21 0 23 VALERIE 41.7 175.9 140 467 +2003 12 6 0 19 FLORENCE 31.5 313.3 120 746 +1980 1 11 12 1 ERNESTO 65.0 245.5 91 135 +2001 1 23 18 26 ALBERTO 26.5 181.5 133 533 +1990 5 20 12 27 LESLIE 8.6 306.0 90 58 +1970 8 4 18 4 KIRK 65.5 14.9 73 162 +2004 5 9 0 5 NADINE 66.8 235.0 118 153 +1983 3 18 0 2 ERNESTO 13.6 266.5 101 262 +1987 7 22 12 8 NADINE 50.6 303.1 153 760 +1951 7 16 0 24 MICHAEL 10.6 52.8 152 597 +1977 1 2 0 18 LESLIE 42.9 115.4 26 890 +1952 9 3 12 13 RAFAEL 45.3 321.0 135 576 +1974 1 11 18 16 RAFAEL 11.8 178.4 96 321 +1968 5 21 12 20 SANDY 69.5 321.9 107 137 +2003 11 21 6 16 WILLIAM 10.7 313.1 118 498 +1968 11 24 6 25 TONY 12.3 265.8 59 712 +1992 2 23 0 28 TONY 16.9 218.5 95 36 +1959 4 27 0 24 JOYCE 68.0 2.1 54 14 +1995 6 26 0 5 MICHAEL 56.8 233.6 21 174 +1952 7 22 12 13 JOYCE 66.9 51.3 145 213 +1978 5 18 18 16 HELENE 50.5 12.8 150 832 +1999 5 13 18 12 LESLIE 46.1 68.4 62 430 +1983 3 4 12 4 MICHAEL 34.8 139.8 79 576 +2001 1 27 6 19 BERYL 58.9 74.0 82 449 +2004 11 11 18 6 MICHAEL 54.6 219.3 44 892 +2001 9 6 12 2 VALERIE 25.3 215.3 69 455 +1995 1 23 18 26 WILLIAM 57.7 6.4 43 135 +2004 9 26 12 1 NADINE 33.7 75.0 88 758 +1960 10 5 6 11 OSCAR 37.6 278.6 67 120 +1964 5 20 0 24 CHRIS 50.8 327.2 161 6 +1959 3 8 18 3 NADINE 34.1 66.5 90 194 +1965 2 3 18 13 VALERIE 20.8 84.2 149 89 +1995 7 6 6 20 PATTY 55.7 236.1 157 356 +1977 7 8 12 21 LESLIE 16.7 134.0 157 184 +1962 8 27 18 14 MICHAEL 38.7 60.4 101 731 +1991 1 1 0 8 LESLIE 54.3 37.9 33 807 +2003 8 21 18 15 LESLIE 52.3 139.7 86 784 +1954 7 6 18 8 ISAAC 41.7 45.8 36 370 +1998 12 15 6 20 RAFAEL 15.4 24.9 105 642 +1968 1 2 6 26 NADINE 13.8 79.7 36 744 +1979 2 27 0 16 ALBERTO 67.7 67.9 104 166 +1961 1 21 12 11 BERYL 23.7 189.7 153 574 +1977 4 8 6 15 KIRK 66.1 248.9 146 759 +1977 4 2 12 13 MICHAEL 25.4 223.2 43 267 +1992 1 24 12 22 RAFAEL 28.7 278.1 16 879 +1987 4 4 12 12 OSCAR 48.4 288.1 99 350 +1981 10 5 12 15 SANDY 56.9 6.6 111 341 +2000 4 27 12 28 GORDON 48.0 14.9 122 563 +1987 3 22 12 2 KIRK 44.9 92.4 155 70 +1953 2 6 12 11 WILLIAM 27.2 24.7 148 505 +1999 9 4 18 26 ALBERTO 15.6 23.4 116 146 +2002 9 1 12 15 OSCAR 66.8 256.5 100 444 +1959 11 6 18 2 RAFAEL 61.8 344.3 65 113 +1964 10 23 0 13 ALBERTO 44.2 263.0 56 452 +1982 5 9 6 27 NADINE 27.9 231.4 95 778 +2004 12 19 18 12 RAFAEL 31.1 204.5 11 551 +1969 10 14 0 13 ERNESTO 55.6 10.9 163 220 +1957 12 20 6 19 PATTY 20.6 17.0 158 861 +2002 10 4 12 2 FLORENCE 57.5 49.2 10 279 +1984 8 15 18 21 ISAAC 35.0 138.9 77 856 +1958 2 2 18 13 NADINE 53.2 143.7 58 552 +1955 5 20 0 24 MICHAEL 20.5 286.5 14 788 +1971 4 21 0 16 TONY 20.4 71.7 133 147 +1958 4 4 18 28 FLORENCE 16.4 310.6 112 773 +1986 9 10 6 7 WILLIAM 56.2 112.1 95 359 +1960 1 12 18 7 ISAAC 60.3 50.4 14 617 +2002 11 23 12 10 MICHAEL 64.6 65.0 26 626 +1967 5 11 6 11 GORDON 30.1 168.5 25 473 +1970 1 12 6 28 PATTY 48.0 45.9 63 536 +1991 2 19 18 19 ISAAC 69.4 234.3 148 626 +1955 5 1 18 4 NADINE 61.8 282.6 23 729 +1955 2 2 6 27 RAFAEL 62.2 250.7 15 394 +1978 2 22 0 5 JOYCE 33.8 151.2 53 765 +1976 10 8 0 6 OSCAR 56.3 133.4 65 841 +1963 10 8 12 10 VALERIE 53.9 43.5 77 277 +1985 5 8 6 13 ISAAC 20.4 73.9 37 831 +1999 12 11 12 6 NADINE 67.2 83.2 50 724 +1991 6 14 18 16 DEBBY 60.4 197.4 15 819 +1992 11 26 6 12 RAFAEL 45.4 267.1 92 327 +1996 1 23 0 12 JOYCE 41.1 86.5 28 844 +1956 11 8 0 15 NADINE 8.9 331.2 122 780 +1978 9 3 6 8 SANDY 52.2 259.8 86 78 +1989 1 5 6 7 NADINE 11.7 326.8 97 163 +1964 4 10 0 21 BERYL 20.2 260.2 51 737 +1969 2 9 0 24 GORDON 11.4 162.6 152 382 +1966 8 22 6 24 ERNESTO 44.3 242.1 82 292 +1980 1 21 6 3 HELENE 53.3 68.5 76 445 +1967 3 26 18 14 LESLIE 14.7 111.0 97 773 +1976 1 9 12 7 HELENE 52.2 102.6 39 406 +1951 6 3 18 17 FLORENCE 44.0 39.9 121 716 +1980 8 3 18 18 VALERIE 10.5 68.5 157 840 +1972 2 14 6 22 DEBBY 47.2 226.8 122 298 +1956 3 19 18 6 CHRIS 49.6 172.1 33 377 +1981 4 26 12 5 NADINE 22.5 239.8 65 758 +1968 12 1 12 6 NADINE 42.7 92.3 53 765 +1994 12 13 18 22 TONY 33.0 87.2 26 829 +1954 2 24 18 18 HELENE 25.8 122.7 120 588 +1977 4 26 6 3 DEBBY 42.0 230.5 58 558 +1977 5 16 6 3 HELENE 35.4 194.1 50 733 +1971 3 7 0 9 OSCAR 64.2 345.0 33 395 +1970 9 1 12 28 ALBERTO 12.6 16.5 151 283 +1977 9 28 18 3 BERYL 22.0 27.4 119 658 +1952 9 4 12 6 MICHAEL 15.5 165.4 110 296 +1952 7 1 6 15 RAFAEL 15.5 39.3 45 627 +1989 10 16 6 22 LESLIE 60.9 259.6 54 36 +1966 10 28 0 15 BERYL 9.8 293.4 54 125 +1977 6 3 18 2 CHRIS 65.5 318.6 155 305 +1987 5 28 6 15 WILLIAM 32.4 262.9 62 630 +1975 12 26 6 1 LESLIE 34.2 236.9 71 355 +1984 4 13 12 14 GORDON 20.8 90.2 95 68 +1999 2 15 6 15 OSCAR 10.1 60.0 127 299 +1992 8 6 0 28 HELENE 27.1 337.9 155 127 +1997 9 7 18 11 FLORENCE 42.3 301.4 100 678 +1968 10 11 6 14 FLORENCE 8.6 77.9 149 95 +1959 9 26 0 5 CHRIS 53.1 91.2 117 308 +1985 6 9 18 4 VALERIE 59.8 54.4 31 180 +1964 11 28 18 4 JOYCE 41.0 53.2 70 107 +1977 7 6 12 16 VALERIE 67.4 29.3 39 518 +1994 4 16 12 15 ISAAC 28.6 113.1 73 414 +1953 4 21 0 19 PATTY 7.0 212.6 44 360 +1999 11 4 12 1 KIRK 62.5 322.2 164 514 +2003 1 1 18 22 NADINE 52.1 196.5 37 150 +1953 12 6 0 5 KIRK 36.1 146.3 76 848 +1992 2 25 0 1 PATTY 32.7 204.2 135 132 +2003 5 23 6 6 ALBERTO 47.0 180.5 75 208 +1998 1 12 12 12 JOYCE 30.7 67.4 149 329 +1959 7 26 0 6 ISAAC 51.1 204.9 64 433 +1991 7 15 0 23 ERNESTO 52.2 213.9 134 850 +1978 2 23 12 5 SANDY 25.3 49.7 86 516 +1986 10 3 12 21 VALERIE 10.5 300.5 87 473 +1995 10 10 18 3 RAFAEL 37.4 165.6 149 409 +1954 2 15 6 28 KIRK 44.4 63.7 142 621 +1968 4 6 12 22 GORDON 62.7 35.0 134 557 +1976 12 9 12 16 LESLIE 58.8 229.2 153 330 +2001 12 8 18 4 ERNESTO 27.5 26.5 13 876 +1974 6 11 18 27 PATTY 59.6 75.3 138 622 +1956 5 24 18 6 CHRIS 20.3 13.8 66 72 +1975 11 28 12 5 TONY 16.1 309.4 80 767 +1987 6 23 18 27 LESLIE 36.6 325.6 70 753 +2002 11 21 18 24 NADINE 32.4 134.1 67 169 +1975 9 26 0 22 TONY 61.8 138.0 46 557 +1968 2 8 6 11 ALBERTO 22.8 244.6 98 118 +2004 9 22 6 27 PATTY 17.2 272.4 21 93 +2002 11 23 18 17 NADINE 47.4 157.9 156 258 +1991 7 28 12 10 FLORENCE 20.4 41.7 115 662 +1957 5 28 6 5 BERYL 8.2 75.3 137 568 +1998 2 19 6 9 TONY 47.8 189.6 114 442 +1967 3 4 6 8 BERYL 52.4 118.7 116 822 +1969 12 28 0 4 GORDON 68.9 311.1 155 329 +1986 7 14 12 10 BERYL 62.0 337.7 12 713 +1959 9 6 0 8 ISAAC 63.4 24.8 27 810 +1996 8 12 18 19 DEBBY 49.7 327.2 132 486 +1986 2 10 18 21 TONY 38.2 327.5 18 784 +1978 5 13 18 11 DEBBY 25.9 329.5 119 553 +1963 1 1 0 23 ALBERTO 47.1 22.2 145 342 +1973 3 13 12 25 SANDY 8.8 171.8 135 157 +2001 1 19 6 22 NADINE 8.5 175.4 50 323 +1967 11 20 6 6 VALERIE 21.0 94.4 126 760 +1994 11 21 6 21 ISAAC 34.9 96.8 149 749 +1993 6 22 0 28 FLORENCE 45.6 63.3 108 532 +1980 8 11 0 12 DEBBY 36.3 263.6 90 852 +1963 11 23 6 4 GORDON 24.2 221.7 97 419 +1987 6 22 6 16 FLORENCE 60.5 146.5 121 119 +1999 8 17 0 5 HELENE 29.5 223.6 128 656 +1974 1 11 0 5 WILLIAM 67.5 258.4 88 232 +1997 5 20 12 20 BERYL 14.6 162.9 149 242 +1979 2 4 12 21 SANDY 21.9 264.4 139 132 +1979 6 11 6 11 VALERIE 27.8 224.2 157 192 +1952 8 13 12 18 JOYCE 55.0 123.3 125 191 +1991 4 2 0 21 GORDON 44.9 250.8 22 855 +1971 4 16 0 16 CHRIS 34.2 357.9 49 182 +1963 2 1 6 22 NADINE 67.9 34.0 150 841 +2004 7 3 6 18 RAFAEL 39.3 155.9 160 10 +1953 10 2 18 6 SANDY 34.5 90.9 130 673 +1984 10 7 18 7 LESLIE 67.9 162.5 158 535 +1977 5 1 6 8 LESLIE 21.8 264.5 66 224 +1964 6 20 0 12 GORDON 59.8 339.4 162 599 +1955 11 11 0 10 PATTY 12.2 150.5 22 66 +1951 2 28 0 15 TONY 59.1 16.8 141 228 +1950 8 11 6 3 DEBBY 58.1 148.0 116 888 +1951 10 20 0 19 TONY 35.1 119.0 22 216 +1984 2 11 0 14 PATTY 27.8 228.7 97 387 +1972 11 5 12 6 RAFAEL 46.5 199.2 56 725 +1989 6 14 0 19 GORDON 58.9 280.6 158 671 +2002 4 13 0 19 RAFAEL 43.0 351.6 43 282 +1973 11 22 18 5 HELENE 28.4 265.4 16 271 +1978 8 6 6 10 RAFAEL 50.5 139.9 57 473 +1993 1 19 6 24 SANDY 31.0 277.0 95 9 +1992 4 9 18 14 RAFAEL 41.9 334.4 115 804 +1955 11 22 18 25 OSCAR 57.3 126.5 10 661 +1972 1 21 12 10 JOYCE 26.7 329.3 29 332 +1975 1 17 12 17 SANDY 23.8 156.8 104 228 +1980 4 17 18 15 NADINE 56.5 357.1 68 51 +1980 1 7 18 21 NADINE 45.9 330.5 156 333 +1992 9 10 0 24 HELENE 18.8 184.9 164 382 +1956 12 26 18 4 FLORENCE 46.5 277.4 95 283 +1997 2 8 0 17 VALERIE 18.2 207.5 36 400 +1962 7 1 0 23 GORDON 41.1 130.2 139 466 +1958 6 14 12 10 ISAAC 68.4 125.3 76 386 +1955 12 12 0 7 MICHAEL 62.5 270.0 126 661 +1973 6 18 18 27 FLORENCE 60.4 51.9 17 870 +1959 5 12 0 26 ERNESTO 52.7 117.2 150 377 +2002 1 12 0 18 LESLIE 18.5 323.9 54 761 +1975 7 19 18 25 BERYL 65.2 22.0 123 287 +1969 6 12 0 19 KIRK 9.2 72.9 50 149 +1962 5 8 12 19 VALERIE 56.8 304.2 162 795 +1973 1 19 12 17 FLORENCE 52.7 26.6 55 248 +1985 11 28 0 20 LESLIE 67.4 115.4 88 850 +1976 10 19 0 12 BERYL 67.1 250.8 91 219 +1980 12 13 0 21 KIRK 49.2 243.7 105 619 +1997 12 18 12 13 OSCAR 26.9 139.2 142 235 +1982 11 19 18 9 PATTY 28.7 161.2 132 147 +1955 4 28 18 4 KIRK 66.4 87.7 148 412 +1998 10 27 6 17 OSCAR 45.3 118.4 77 740 +1994 8 19 12 19 CHRIS 20.4 61.9 133 548 +1952 7 24 0 15 OSCAR 24.4 211.1 51 758 +1993 11 12 0 14 CHRIS 27.9 96.9 94 262 +1994 12 4 6 24 SANDY 42.3 356.7 30 40 +1996 6 9 12 5 VALERIE 36.8 52.9 142 669 +1997 7 2 0 6 GORDON 19.3 250.7 72 145 +1969 2 19 0 15 OSCAR 38.1 326.7 89 493 +1951 7 11 18 11 WILLIAM 31.9 21.4 112 58 +1979 10 21 12 12 GORDON 16.0 258.7 74 314 +1991 4 22 6 21 LESLIE 10.6 164.8 136 710 +1960 1 18 6 6 ERNESTO 66.2 227.8 116 521 +1997 5 15 18 7 PATTY 29.2 242.0 64 564 +1987 4 25 6 13 JOYCE 68.4 257.1 49 797 +1963 2 8 12 6 ALBERTO 12.4 248.9 108 494 +2003 5 15 6 19 GORDON 46.3 344.0 34 62 +1975 12 19 0 13 JOYCE 55.3 3.5 70 223 +1993 10 12 0 24 CHRIS 8.5 245.6 20 46 +1980 1 10 12 10 JOYCE 68.5 176.6 33 328 +1966 5 18 0 22 KIRK 47.4 315.5 114 212 +1989 4 10 6 21 ISAAC 16.9 109.7 132 93 +1984 11 24 12 18 DEBBY 67.1 283.9 124 672 +1995 1 6 0 28 DEBBY 58.1 47.7 158 389 +1958 7 10 0 3 ALBERTO 42.0 324.4 13 805 +1960 5 18 12 25 MICHAEL 17.9 282.3 98 53 +1994 12 3 12 9 ISAAC 44.5 227.0 154 101 +1955 10 22 0 19 RAFAEL 58.0 348.0 75 519 +1997 2 27 18 25 LESLIE 56.2 242.1 149 240 +1960 12 22 6 5 FLORENCE 35.6 237.7 95 153 +1994 10 23 6 25 VALERIE 9.6 172.9 57 794 +1954 9 16 6 27 NADINE 25.2 272.6 130 362 +1957 9 15 18 28 TONY 60.3 254.5 54 536 +1954 4 19 6 24 FLORENCE 37.3 89.4 76 141 +1983 8 11 18 23 NADINE 59.9 328.5 106 567 +1997 8 25 12 18 FLORENCE 44.7 254.1 22 873 +1974 7 2 12 21 TONY 13.9 250.6 94 665 +1982 11 9 18 27 FLORENCE 44.7 71.8 118 471 +1997 11 1 6 8 ERNESTO 41.8 2.4 50 509 +1967 2 27 6 24 MICHAEL 63.2 313.6 157 891 +2000 6 4 12 25 TONY 42.9 296.6 95 623 +1973 7 23 0 3 JOYCE 31.1 124.5 84 187 +1959 10 15 0 9 SANDY 8.8 259.8 46 413 +1969 2 13 6 27 TONY 18.3 85.6 115 137 +1972 5 20 12 21 WILLIAM 9.7 303.7 88 235 +1958 7 1 0 6 MICHAEL 12.2 159.2 135 403 +1988 1 19 12 28 HELENE 69.8 69.3 117 306 +1981 6 26 0 21 TONY 57.6 49.0 81 856 +1974 7 27 6 14 VALERIE 31.1 148.9 63 286 +1999 6 28 6 13 FLORENCE 15.3 328.2 80 60 +1951 1 17 6 25 LESLIE 40.8 99.4 76 36 +1989 6 9 0 25 RAFAEL 10.2 286.8 129 396 +1970 8 25 6 25 SANDY 46.4 120.0 90 525 +1976 5 26 12 13 VALERIE 68.9 203.6 69 495 +1968 10 4 12 20 PATTY 66.1 19.8 136 479 +1990 11 9 0 13 NADINE 51.9 299.3 66 291 +1966 6 26 0 17 ERNESTO 46.9 148.4 102 827 +1988 7 12 6 22 HELENE 25.1 139.7 59 511 +1983 3 20 12 6 SANDY 29.9 80.4 102 274 +1970 6 10 12 20 ISAAC 22.5 192.6 10 853 +1957 3 26 6 12 RAFAEL 49.2 167.9 75 614 +2002 7 8 12 2 FLORENCE 52.9 308.1 113 769 +1961 8 20 18 2 SANDY 31.7 280.6 54 467 +1988 9 18 6 5 CHRIS 66.0 153.2 73 470 +1966 1 14 12 15 ERNESTO 19.9 210.0 17 363 +1969 6 3 0 24 NADINE 29.3 242.2 42 186 +2004 6 4 0 1 LESLIE 14.1 98.5 37 704 +1997 6 2 18 5 KIRK 28.3 320.8 134 159 +1974 8 8 6 20 NADINE 63.5 52.5 155 594 +1959 5 15 12 28 GORDON 29.7 341.1 137 525 +1950 12 6 12 12 JOYCE 7.1 80.3 121 208 +1982 3 27 0 14 DEBBY 17.2 109.4 140 865 +1967 7 3 12 3 MICHAEL 54.7 267.0 144 865 +1973 6 8 18 8 WILLIAM 8.7 166.7 21 845 +1998 5 20 0 6 KIRK 38.0 117.5 129 188 +1965 4 18 18 5 CHRIS 48.6 101.0 90 85 +1963 5 3 6 19 ALBERTO 14.8 107.1 62 2 +1956 2 16 12 13 VALERIE 14.3 286.9 34 58 +1973 2 21 12 25 DEBBY 68.0 266.5 20 728 +1999 2 22 18 12 ISAAC 57.4 214.0 111 23 +1962 2 6 6 14 FLORENCE 15.2 118.0 93 787 +1972 3 17 0 16 ERNESTO 14.2 292.4 81 44 +1973 10 17 12 7 BERYL 42.1 317.8 132 406 +1955 11 28 6 19 NADINE 10.7 218.8 78 399 +1952 7 10 6 1 WILLIAM 42.8 97.4 49 41 +1999 9 19 12 4 BERYL 67.3 260.8 106 214 +1992 1 8 6 6 HELENE 12.1 236.0 77 658 +1960 9 26 12 6 JOYCE 17.1 343.6 80 823 +1961 5 13 18 12 ERNESTO 42.4 117.2 162 165 +1981 3 12 12 8 OSCAR 31.7 248.3 124 39 +1994 12 3 6 14 BERYL 23.1 161.8 56 254 +1950 9 20 18 2 DEBBY 47.8 126.0 69 646 +1986 1 1 18 11 FLORENCE 55.0 6.5 98 557 +1998 6 18 12 18 MICHAEL 47.1 131.1 111 170 +1989 10 28 18 2 RAFAEL 17.1 286.4 49 406 +1959 6 19 18 7 ALBERTO 30.9 26.3 31 158 +1955 10 16 18 10 PATTY 17.7 298.8 128 495 +1961 6 22 0 16 ISAAC 24.0 326.2 83 622 +1981 6 13 6 20 BERYL 60.3 289.4 17 822 +1973 7 12 6 28 GORDON 53.7 71.2 94 384 +2003 8 27 12 22 PATTY 53.8 285.4 12 786 +1982 12 5 6 23 LESLIE 59.5 313.9 158 699 +2002 12 12 0 2 CHRIS 19.0 1.4 26 596 +1957 10 23 6 15 ALBERTO 35.7 18.7 40 534 +1961 4 21 0 15 OSCAR 34.9 282.3 55 144 +1972 4 24 0 15 ERNESTO 65.6 307.7 157 547 +1986 9 27 0 24 ISAAC 57.5 159.4 104 68 +1992 4 26 0 14 HELENE 8.4 336.1 12 804 +1968 4 1 6 15 SANDY 43.0 6.8 25 788 +1950 6 21 12 13 HELENE 40.2 33.5 23 868 +1989 4 19 6 16 MICHAEL 29.0 7.3 130 203 +1953 1 22 18 7 ERNESTO 18.6 245.8 147 729 +1983 8 25 12 23 SANDY 64.8 162.0 12 413 +1954 2 21 18 8 BERYL 44.3 281.5 123 826 +1998 8 26 18 19 ERNESTO 14.9 299.4 154 179 +1997 2 22 12 27 JOYCE 69.2 211.5 114 796 +1999 2 2 18 5 BERYL 34.1 347.8 99 504 +1950 4 18 6 13 ERNESTO 9.9 86.1 40 836 +1957 7 5 12 23 LESLIE 44.3 296.8 89 200 +1979 3 20 18 1 HELENE 54.8 92.9 135 356 +1977 6 25 0 8 OSCAR 56.4 247.9 132 443 +2003 2 10 6 6 JOYCE 38.6 212.9 114 612 +1995 11 5 12 4 ISAAC 56.8 43.6 14 362 +1972 4 7 6 9 HELENE 11.7 241.3 136 624 +1995 2 1 6 23 FLORENCE 36.9 303.4 61 489 +1952 9 23 18 11 OSCAR 17.8 69.4 88 412 +1951 6 6 0 24 LESLIE 29.4 65.8 17 347 +1994 9 20 6 19 OSCAR 30.9 204.0 71 247 +1975 3 23 0 7 GORDON 24.5 67.6 129 18 +2000 8 8 0 13 JOYCE 37.2 225.7 105 743 +1987 11 23 12 4 MICHAEL 31.9 331.0 88 804 +1985 10 28 0 16 KIRK 8.8 107.2 143 517 +2004 10 22 0 5 GORDON 11.2 312.6 136 431 +1969 1 20 18 20 OSCAR 47.5 238.7 87 407 +1980 9 10 6 23 RAFAEL 37.7 297.9 34 775 +1988 6 1 6 3 PATTY 56.6 256.1 154 394 +1964 2 12 18 16 DEBBY 33.5 93.2 142 639 +1972 5 2 12 21 JOYCE 9.9 111.0 30 58 +1997 8 26 12 28 WILLIAM 43.7 263.7 93 97 +1985 5 17 6 9 LESLIE 40.2 9.5 36 841 +1954 7 12 18 25 WILLIAM 60.2 217.2 24 620 +1966 12 3 0 7 KIRK 15.7 60.7 31 489 +1973 5 21 6 18 PATTY 64.7 173.1 162 699 +1966 3 8 6 14 GORDON 59.8 286.0 115 523 +2004 11 19 0 13 NADINE 38.4 19.3 134 295 +1950 12 25 12 26 VALERIE 23.6 34.0 149 561 +1972 12 19 0 4 LESLIE 64.3 135.2 62 58 +2000 2 3 0 19 NADINE 24.6 30.8 108 343 +1952 1 5 6 10 GORDON 33.7 116.0 80 763 +2002 5 15 6 22 ERNESTO 11.7 290.0 113 129 +1968 9 27 18 13 OSCAR 44.6 294.4 33 183 +2000 11 9 0 8 ISAAC 19.9 168.5 109 386 +1952 1 11 18 15 ERNESTO 44.6 318.1 153 40 +1969 9 23 18 15 TONY 49.9 328.2 84 780 +1958 12 20 18 10 KIRK 55.8 271.8 117 55 +1977 7 22 18 3 KIRK 47.0 241.5 61 561 +1975 5 17 6 16 FLORENCE 24.0 268.3 138 87 +2001 12 18 12 20 VALERIE 40.2 29.9 52 475 +1998 11 15 0 13 PATTY 22.0 339.5 98 244 +1978 12 3 18 16 GORDON 31.6 186.7 134 393 +2001 4 21 0 15 CHRIS 35.7 116.8 84 112 +1985 7 14 0 9 FLORENCE 32.0 110.5 146 270 +1953 3 27 0 11 PATTY 34.9 80.0 83 210 +1990 7 3 18 9 GORDON 25.1 98.4 65 180 +1983 8 18 18 10 ALBERTO 67.5 133.3 25 10 +1997 9 12 6 19 ALBERTO 62.7 201.5 132 760 +1955 6 8 0 11 PATTY 21.0 208.6 159 656 +1985 1 28 6 1 OSCAR 17.1 272.9 41 268 +1959 8 27 0 26 MICHAEL 63.6 74.5 135 550 +1950 10 23 6 27 BERYL 16.0 265.9 19 598 +1974 6 28 0 9 ISAAC 43.1 27.5 149 98 +1969 9 16 12 25 DEBBY 27.4 67.7 20 751 +1970 1 12 6 9 KIRK 24.0 200.9 126 214 +1968 1 3 12 16 HELENE 41.7 205.3 18 627 +1971 8 23 12 19 PATTY 41.4 312.3 49 874 +1967 2 22 0 17 ISAAC 39.9 336.2 63 815 +1971 1 1 18 2 WILLIAM 18.4 2.8 41 436 +1974 7 7 0 1 LESLIE 44.0 232.1 68 106 +1987 2 20 0 11 BERYL 16.4 153.5 106 764 +1953 10 3 0 27 ISAAC 45.8 175.4 140 149 +1962 5 24 6 21 FLORENCE 7.7 4.9 80 826 +1964 4 18 0 3 PATTY 48.6 222.1 53 390 +1976 10 7 6 9 MICHAEL 56.8 325.8 44 19 +1966 2 16 0 8 PATTY 33.2 96.3 95 680 +1991 1 1 18 7 PATTY 7.9 192.5 162 107 +1982 4 12 12 22 FLORENCE 62.8 121.5 143 849 +1983 2 26 18 18 GORDON 45.8 8.4 99 265 +1979 5 17 0 4 HELENE 38.8 55.7 136 360 +1964 4 3 0 25 ISAAC 49.8 45.8 148 154 +2001 6 3 18 10 BERYL 43.8 41.2 150 604 +1978 7 16 0 17 JOYCE 64.5 27.1 79 315 +1951 12 22 18 27 PATTY 37.5 123.8 98 0 +1990 10 4 6 6 JOYCE 36.6 186.6 147 56 +1973 7 4 0 18 VALERIE 40.6 23.6 138 687 +1998 5 18 6 25 ALBERTO 59.9 34.2 163 174 +1985 1 21 0 18 WILLIAM 11.4 56.4 19 77 +1977 6 18 12 11 ISAAC 31.2 302.4 152 264 +1980 1 10 12 18 WILLIAM 61.8 331.7 101 96 +1960 12 7 18 8 ALBERTO 32.1 255.7 157 419 +1954 9 9 12 12 HELENE 59.1 156.5 13 51 +1986 1 26 6 26 OSCAR 38.9 282.3 55 625 +1967 12 2 6 17 RAFAEL 28.3 131.1 44 340 +1986 11 27 0 7 KIRK 16.4 292.4 149 651 +1962 8 16 12 24 ALBERTO 31.8 18.8 131 189 +1999 5 20 12 1 GORDON 52.8 319.1 111 667 +1978 4 1 6 13 OSCAR 51.6 121.3 132 588 +1970 10 12 6 7 RAFAEL 61.2 302.6 73 775 +1990 12 15 12 21 PATTY 39.1 23.1 121 353 +1984 9 15 6 12 VALERIE 40.2 324.4 136 248 +1961 1 28 6 15 LESLIE 20.4 115.0 89 555 +1980 3 15 6 28 RAFAEL 34.6 317.5 130 205 +1984 6 22 18 11 ALBERTO 21.6 322.5 19 126 +1950 12 1 6 18 KIRK 17.5 143.5 49 650 +1997 10 10 12 26 GORDON 43.0 225.5 58 605 +1965 1 27 0 3 LESLIE 36.4 293.7 151 389 +1977 8 11 12 23 CHRIS 36.3 44.7 32 139 +1966 4 27 18 1 BERYL 60.0 344.4 55 50 +1953 11 20 12 13 CHRIS 14.4 225.0 63 662 +1957 8 9 0 3 ISAAC 50.3 58.8 83 780 +1985 6 5 6 28 HELENE 7.5 222.5 17 467 +2004 7 24 0 1 ISAAC 56.3 59.6 67 355 +1951 4 6 0 2 JOYCE 29.3 121.8 118 490 +1988 1 28 0 12 SANDY 29.5 115.3 74 365 +1984 2 21 0 24 JOYCE 20.7 164.5 87 647 +1974 8 26 6 1 FLORENCE 8.5 177.4 11 412 +1997 2 4 18 20 WILLIAM 32.0 281.5 39 323 +1952 11 23 12 8 MICHAEL 68.1 224.8 155 417 +2001 11 20 6 2 NADINE 61.8 122.4 92 161 +1989 9 12 18 10 CHRIS 56.5 233.6 129 88 +2001 1 10 12 7 DEBBY 13.8 143.1 158 776 +1988 3 13 6 24 ERNESTO 60.3 66.0 60 636 +1962 10 2 6 15 ALBERTO 10.1 214.5 74 782 +1996 5 22 12 15 OSCAR 65.3 91.3 74 554 +1988 5 27 0 23 ALBERTO 65.0 190.3 53 532 +1987 9 13 6 15 ERNESTO 15.4 94.1 87 788 +1957 11 7 6 25 DEBBY 49.4 163.4 108 207 +1950 12 22 6 17 NADINE 67.2 92.9 58 826 +2000 12 1 6 25 TONY 59.0 309.7 66 346 +1982 12 9 6 5 PATTY 20.7 287.2 81 74 +1989 2 25 6 28 BERYL 36.1 175.7 159 835 +1975 5 23 0 25 ISAAC 22.5 332.4 102 13 +2001 6 17 12 11 SANDY 61.1 263.7 159 772 +1982 4 15 18 8 WILLIAM 10.1 104.4 16 494 +1954 2 23 12 4 PATTY 49.6 142.4 32 310 +1984 8 22 6 1 ERNESTO 27.5 184.5 128 339 +1979 10 10 18 7 CHRIS 19.3 289.6 49 120 +1986 11 18 12 10 KIRK 39.5 99.0 124 487 +1980 8 20 18 24 MICHAEL 58.5 153.0 55 438 +2002 3 3 6 18 SANDY 61.9 138.6 109 96 +1981 12 6 12 9 BERYL 10.1 120.5 145 134 +1955 5 12 18 5 NADINE 62.8 45.4 53 175 +1997 8 20 12 14 WILLIAM 44.1 204.4 122 197 +2000 12 17 6 1 PATTY 63.3 72.2 117 895 +1963 10 20 18 21 ALBERTO 31.4 160.7 83 853 +1955 6 14 18 18 SANDY 10.6 191.2 152 347 +1965 12 28 0 2 CHRIS 16.8 106.4 98 652 +1963 1 26 0 14 NADINE 25.9 285.9 60 226 +1950 11 11 6 7 ERNESTO 47.7 344.7 55 699 +1967 1 15 18 20 LESLIE 64.7 326.3 13 745 +1954 10 26 12 17 NADINE 55.5 92.2 80 188 +1993 10 26 18 2 BERYL 69.2 342.2 136 246 +1997 1 14 12 22 RAFAEL 37.0 44.6 38 382 +1976 3 17 12 6 DEBBY 47.8 329.3 87 667 +1950 2 9 0 23 NADINE 40.7 339.7 113 382 +1967 12 18 18 25 OSCAR 35.0 352.5 151 381 +2000 11 28 18 27 LESLIE 53.3 12.9 150 509 +1996 8 22 0 24 CHRIS 12.0 101.3 21 395 +2004 1 13 6 2 HELENE 18.7 137.3 161 899 +1951 10 24 12 13 OSCAR 33.2 188.8 83 445 +1952 6 10 0 10 FLORENCE 41.4 320.9 136 554 +1960 11 10 0 21 WILLIAM 52.4 318.4 76 882 +1980 10 18 0 10 VALERIE 66.7 123.4 111 214 +1967 8 22 0 28 JOYCE 29.3 125.9 37 656 +1991 6 21 12 16 OSCAR 23.9 197.1 10 816 +1950 11 28 12 26 LESLIE 26.6 201.1 68 240 +1968 11 15 12 3 WILLIAM 44.5 325.4 116 704 +1987 9 1 18 14 RAFAEL 50.2 241.9 79 880 +1986 10 21 12 16 NADINE 7.4 97.3 79 93 +1951 4 12 6 15 SANDY 25.4 81.4 14 768 +1951 3 23 6 22 ERNESTO 16.8 238.2 62 232 +1959 7 7 12 7 RAFAEL 68.2 131.9 118 733 +1976 5 20 12 26 GORDON 14.3 253.9 153 848 +1976 4 11 6 2 ALBERTO 53.0 126.2 127 254 +1997 7 17 12 3 RAFAEL 30.6 357.6 67 729 +1965 11 10 18 1 HELENE 59.2 265.9 75 850 +1958 11 27 6 3 RAFAEL 30.1 169.5 72 561 +2002 11 15 18 1 NADINE 41.0 312.5 20 444 +1986 5 14 6 11 RAFAEL 56.9 241.7 122 399 +1979 6 1 18 26 HELENE 27.6 301.6 73 97 +1992 6 19 6 24 ERNESTO 46.4 82.8 64 712 +1973 1 17 12 4 ALBERTO 14.5 50.4 160 295 +1984 3 18 6 6 PATTY 53.8 30.0 37 82 +1992 10 2 6 21 PATTY 29.6 356.8 16 229 +1971 7 5 0 28 PATTY 65.6 329.4 29 600 +1967 1 7 12 10 PATTY 23.0 249.4 147 700 +1965 8 15 18 25 MICHAEL 61.0 272.7 75 616 +1995 12 1 6 17 NADINE 64.1 172.2 44 603 +1955 8 17 12 18 JOYCE 41.6 18.5 50 465 +1968 2 13 18 19 RAFAEL 12.6 257.7 14 283 +1964 4 8 12 25 FLORENCE 25.8 344.9 111 225 +1987 11 20 0 23 SANDY 56.4 96.5 63 400 +1982 8 17 18 7 VALERIE 18.8 19.5 105 637 +1996 4 24 18 2 SANDY 20.9 354.8 40 505 +1968 10 3 12 23 OSCAR 35.1 135.5 13 27 +2003 6 18 6 8 DEBBY 42.7 199.8 104 510 +1993 11 8 0 11 KIRK 32.4 285.9 133 247 +1963 4 19 12 15 TONY 19.7 200.7 41 310 +1957 10 25 12 3 RAFAEL 59.1 317.0 48 366 +1981 2 9 0 1 RAFAEL 21.1 309.9 12 19 +1973 5 26 12 19 ERNESTO 52.2 121.2 25 843 +1991 4 4 12 28 HELENE 9.8 52.0 164 336 +1956 4 18 18 14 BERYL 46.2 168.9 79 803 +1983 12 19 0 28 WILLIAM 33.2 57.7 88 646 +1991 2 6 18 7 HELENE 8.7 327.7 159 704 +1985 11 10 12 27 BERYL 31.3 211.9 38 159 +2003 3 18 0 22 NADINE 58.4 80.3 70 56 +1960 1 10 12 14 DEBBY 67.8 37.4 107 5 +1997 8 24 0 4 HELENE 51.9 58.0 105 284 +1969 3 6 0 15 ISAAC 17.5 59.1 156 642 +1999 4 19 12 20 DEBBY 18.7 271.1 154 635 +1954 1 12 18 6 VALERIE 35.9 291.7 53 23 +2004 1 18 12 27 JOYCE 35.0 274.5 133 599 +1993 1 17 12 4 PATTY 35.3 223.5 103 706 +1969 2 22 6 27 OSCAR 51.5 137.0 103 24 +2003 8 26 12 27 WILLIAM 57.4 139.1 74 412 +1974 2 12 0 6 SANDY 44.2 255.7 121 449 +1993 11 9 18 17 WILLIAM 20.7 125.8 57 795 +2002 12 5 18 22 RAFAEL 60.6 125.5 123 482 +1970 12 4 0 5 WILLIAM 12.9 24.7 44 336 +1994 12 27 6 13 FLORENCE 36.5 334.4 147 291 +2001 6 8 12 22 ERNESTO 27.1 188.0 102 407 +1961 4 22 12 21 JOYCE 24.7 193.5 90 141 +1990 4 16 12 5 ERNESTO 50.3 250.1 124 811 +1976 6 14 6 15 NADINE 52.9 20.4 120 838 +1991 5 20 0 13 ALBERTO 26.6 240.3 150 436 +1986 2 7 12 15 FLORENCE 33.3 20.3 157 446 +1953 10 15 18 12 SANDY 23.5 160.0 161 837 +1987 4 22 12 28 RAFAEL 25.9 355.5 124 800 +1973 8 21 0 3 OSCAR 19.5 178.6 43 333 +1950 10 8 12 3 RAFAEL 48.9 278.9 81 647 +1974 1 4 18 14 GORDON 62.7 284.6 136 790 +1993 10 3 12 23 ERNESTO 56.3 132.3 70 144 +1971 3 9 6 12 SANDY 49.0 148.4 149 184 +2001 12 26 6 3 TONY 33.6 116.0 110 503 +2002 9 4 6 5 VALERIE 30.2 201.0 41 608 +1959 12 2 6 8 BERYL 16.8 240.9 158 658 +2003 3 9 18 25 MICHAEL 7.5 301.7 66 613 +1962 6 23 6 3 BERYL 41.4 210.3 51 640 +2000 5 17 6 20 ERNESTO 42.3 260.6 14 128 +1959 7 16 12 13 VALERIE 16.0 330.0 145 386 +1997 11 16 0 26 PATTY 9.8 18.3 27 865 +1957 7 19 18 27 ISAAC 16.1 55.2 157 1 +1975 11 18 18 25 OSCAR 30.4 61.4 36 354 +1969 5 17 6 5 CHRIS 7.9 44.9 58 488 +1995 8 5 6 3 GORDON 56.4 2.1 45 76 +1967 12 5 0 9 ERNESTO 52.7 314.9 139 301 +1991 1 17 0 22 ISAAC 38.4 278.9 126 221 +1992 10 7 18 11 JOYCE 18.0 238.1 99 175 +1971 12 12 6 15 ALBERTO 22.4 132.6 110 45 +1956 7 23 0 12 SANDY 35.2 340.1 116 368 +1985 10 2 12 26 BERYL 15.3 82.7 149 796 +1996 1 14 6 20 PATTY 33.3 169.8 157 209 +1974 4 8 0 8 JOYCE 28.8 146.3 132 767 +1996 10 1 18 18 MICHAEL 33.8 215.5 143 58 +1961 2 16 6 3 KIRK 17.9 124.9 33 527 +1973 11 2 6 17 ISAAC 62.2 69.9 134 373 +2000 1 20 18 22 GORDON 48.5 141.9 135 342 +1958 10 27 12 28 GORDON 36.2 307.3 21 886 +1977 12 12 0 16 DEBBY 41.7 108.9 99 61 +1952 12 15 6 17 CHRIS 34.1 84.0 70 268 +1998 6 7 18 21 ERNESTO 28.1 304.6 97 672 +1965 2 20 0 26 RAFAEL 57.2 247.0 147 225 +1962 1 20 6 23 BERYL 57.1 43.6 132 333 +1965 5 3 12 9 FLORENCE 12.6 306.3 63 529 +1962 12 6 18 15 ERNESTO 8.3 150.6 81 489 +1990 11 26 6 19 FLORENCE 7.8 120.5 67 620 +1960 6 2 18 4 ISAAC 32.8 58.5 31 780 +2004 5 16 12 9 NADINE 44.8 311.9 56 367 +1988 8 9 0 12 WILLIAM 30.7 342.4 84 43 +1986 7 2 18 12 VALERIE 15.1 180.0 55 834 +1966 5 6 12 21 ALBERTO 43.8 277.1 136 192 +1960 1 16 6 15 HELENE 29.6 350.1 33 258 +2002 3 10 6 5 CHRIS 45.9 112.5 44 318 +1968 7 15 0 21 KIRK 29.7 198.1 146 569 +1980 1 9 12 7 RAFAEL 48.1 353.8 68 557 +1997 11 16 6 4 HELENE 16.8 128.4 118 359 +1959 12 17 12 15 VALERIE 68.7 47.3 148 437 +1994 6 24 18 8 CHRIS 66.4 112.2 146 812 +1987 8 11 18 10 CHRIS 55.9 34.5 62 210 +1974 2 6 6 22 LESLIE 36.3 303.7 101 438 +1994 1 22 0 21 GORDON 67.6 10.5 84 489 +1967 11 7 0 1 FLORENCE 52.5 68.0 50 123 +1964 12 15 6 26 KIRK 39.7 337.1 140 874 +2004 7 8 12 2 JOYCE 32.1 141.7 146 729 +2001 4 13 0 28 OSCAR 67.7 351.7 128 652 +1974 6 6 0 14 OSCAR 51.1 18.8 129 801 +1958 9 23 6 15 WILLIAM 31.4 223.0 158 194 +1958 12 11 12 1 DEBBY 12.8 39.5 32 598 +1991 11 2 12 13 CHRIS 20.8 119.6 53 745 +1956 2 26 0 17 MICHAEL 54.3 154.5 45 756 +1977 12 9 12 11 DEBBY 24.7 122.3 37 618 +1967 10 24 18 28 JOYCE 24.5 96.7 87 246 +1990 4 10 12 13 BERYL 29.6 21.5 50 167 +1986 9 19 6 3 FLORENCE 36.7 9.2 60 828 +1983 7 5 12 17 RAFAEL 34.8 184.0 14 29 +1959 1 12 0 24 LESLIE 66.0 4.9 157 524 +1968 8 28 12 3 TONY 34.4 157.2 159 515 +1963 9 3 6 26 VALERIE 13.3 189.7 164 818 +1968 4 9 18 18 NADINE 47.9 289.2 115 493 +1959 12 17 18 23 ISAAC 36.3 263.9 163 74 +1984 4 20 12 10 WILLIAM 18.5 323.1 131 344 +1964 9 3 18 24 LESLIE 66.4 276.0 112 85 +1961 5 5 12 13 MICHAEL 54.6 46.1 131 558 +1955 8 21 12 28 HELENE 27.1 27.4 27 180 +1972 8 12 18 24 LESLIE 8.9 274.6 85 617 +1967 12 14 12 23 TONY 48.1 122.4 14 30 +1985 2 18 18 3 WILLIAM 60.0 357.2 116 182 +1979 2 12 18 15 VALERIE 55.0 316.1 25 611 +1961 8 13 18 25 HELENE 55.2 191.3 32 339 +1998 1 2 12 11 ISAAC 29.6 198.8 21 314 +1982 2 16 6 16 DEBBY 29.4 3.6 37 185 +1956 10 4 12 13 TONY 57.6 7.2 121 286 +1954 10 4 12 10 KIRK 36.8 353.0 160 269 +1965 1 22 18 18 HELENE 21.7 102.0 132 633 +1966 7 9 12 23 RAFAEL 33.7 195.2 156 768 +2000 3 11 12 11 GORDON 51.5 157.1 78 626 +1962 4 9 12 23 PATTY 7.5 229.0 49 513 +1956 5 18 6 25 RAFAEL 10.0 33.0 17 420 +1975 4 16 12 12 ISAAC 50.3 265.1 18 451 +1966 4 2 6 24 PATTY 16.2 27.4 123 322 +2002 12 12 12 23 FLORENCE 32.5 197.5 164 321 +1990 6 14 0 15 CHRIS 41.5 173.3 54 175 +1983 10 25 18 6 FLORENCE 16.8 302.0 120 541 +1962 10 25 0 4 ISAAC 32.4 202.9 108 121 +1965 4 10 18 25 TONY 60.2 234.7 30 502 +1955 4 16 0 4 ERNESTO 18.5 142.6 37 355 +1988 9 11 0 4 OSCAR 21.0 118.7 155 364 +1998 5 7 18 19 JOYCE 58.0 192.5 82 2 +1978 6 13 0 19 ERNESTO 20.5 230.6 108 267 +1993 7 23 12 2 VALERIE 40.8 137.0 19 791 +1962 4 12 12 1 LESLIE 49.2 130.8 157 562 +1972 8 3 0 17 DEBBY 62.3 76.7 32 157 +1950 1 10 18 21 MICHAEL 15.1 53.8 152 483 +1962 8 11 0 21 BERYL 24.0 293.2 74 821 +2002 10 9 18 1 HELENE 21.0 102.6 89 354 +2004 6 16 0 11 KIRK 58.1 179.2 133 422 +2001 7 24 6 19 NADINE 64.5 354.6 90 858 +1969 7 18 18 1 ISAAC 55.8 148.4 157 531 +2002 6 11 0 12 TONY 17.3 232.0 122 530 +1988 4 20 18 3 KIRK 26.4 356.3 51 526 +1977 4 25 12 5 BERYL 29.7 93.5 33 59 +1962 9 3 0 22 RAFAEL 20.8 168.2 155 204 +1993 9 28 6 15 BERYL 64.2 62.9 112 60 +1989 1 4 6 17 WILLIAM 8.2 162.8 83 879 +1979 1 27 18 27 WILLIAM 18.9 339.3 20 619 +1971 6 1 0 25 ALBERTO 9.7 319.4 116 539 +1964 12 9 18 2 TONY 9.8 102.9 100 272 +1979 7 17 18 9 HELENE 22.8 301.2 47 271 +1985 5 18 6 9 OSCAR 49.7 205.6 153 93 +1953 7 9 6 4 DEBBY 43.9 124.2 55 681 +1989 12 22 12 2 ALBERTO 18.5 251.4 73 37 +1976 5 27 18 22 MICHAEL 7.8 33.5 45 72 +1965 3 19 18 21 NADINE 62.2 225.9 60 835 +1966 1 3 0 28 OSCAR 8.4 158.1 142 500 +1990 8 9 18 25 FLORENCE 65.9 260.3 144 472 +1962 8 13 12 17 ALBERTO 39.2 282.1 13 577 +1996 1 10 18 5 SANDY 27.5 85.8 152 15 +1994 3 7 12 17 MICHAEL 23.6 180.5 138 44 +1974 5 20 18 12 DEBBY 40.5 237.2 147 371 +1971 4 7 6 20 VALERIE 67.4 317.4 67 708 +1986 11 2 0 18 LESLIE 57.4 156.4 82 447 +1959 3 8 6 4 ISAAC 59.4 227.1 79 872 +1986 7 2 0 26 HELENE 38.0 325.2 119 885 +1993 7 12 6 11 GORDON 41.6 132.0 139 322 +2001 4 28 18 7 TONY 35.6 10.6 11 285 +1951 8 8 6 5 DEBBY 20.6 282.3 158 288 +1994 7 18 12 2 GORDON 55.1 164.4 121 816 +1966 2 20 6 15 TONY 39.6 215.2 36 13 +1991 1 15 6 7 VALERIE 40.1 184.6 106 267 +1994 8 7 18 24 HELENE 34.3 82.7 134 546 +1996 2 7 18 8 ALBERTO 46.0 290.5 34 770 +1958 9 12 12 8 KIRK 24.1 173.7 16 397 +1995 9 19 0 16 VALERIE 28.8 214.7 112 353 +1982 7 28 6 10 WILLIAM 20.5 303.3 155 530 +1974 7 11 6 23 VALERIE 66.8 24.2 24 222 +1957 9 16 18 26 RAFAEL 27.1 188.3 83 792 +1950 11 26 6 1 RAFAEL 20.2 273.1 93 641 +1961 5 24 18 13 ALBERTO 32.2 238.2 75 217 +1987 2 16 18 14 KIRK 33.8 272.4 93 864 +1957 9 17 12 3 NADINE 35.9 212.1 43 190 +1985 12 13 18 20 CHRIS 52.2 47.6 76 134 +1956 8 3 18 9 LESLIE 28.8 261.9 153 818 +1950 1 26 0 8 JOYCE 45.2 86.4 14 205 +1958 7 11 6 28 KIRK 10.2 207.5 158 182 +1979 6 26 0 27 FLORENCE 52.6 160.6 30 193 +1981 1 16 0 16 ERNESTO 42.6 175.3 33 6 +1954 12 17 18 17 ALBERTO 57.5 65.7 121 377 +1956 8 11 12 7 ERNESTO 36.9 111.1 112 842 +1956 10 13 0 10 PATTY 19.2 329.3 69 255 +1973 7 21 0 25 GORDON 7.8 234.9 45 198 +1954 12 7 12 15 BERYL 67.9 265.0 122 253 +1990 6 16 18 5 ISAAC 57.3 239.3 12 44 +1987 5 13 6 1 ERNESTO 8.4 10.2 161 393 +1987 10 17 6 26 ERNESTO 27.5 266.8 34 385 +1985 1 23 12 5 HELENE 49.7 142.4 32 212 +1995 7 24 0 14 JOYCE 38.0 271.6 78 275 +2002 6 26 6 11 SANDY 65.3 3.9 75 347 +2004 6 17 6 28 KIRK 51.2 77.8 125 642 +1955 8 13 18 6 JOYCE 32.5 228.6 29 770 +1957 9 8 6 14 VALERIE 34.0 315.6 120 599 +1990 2 21 18 28 ERNESTO 51.2 339.0 112 363 +1990 8 13 0 11 DEBBY 21.5 47.1 76 420 +1966 2 11 0 6 MICHAEL 40.0 277.5 15 730 +1997 10 24 0 15 RAFAEL 19.1 259.1 112 857 +1991 11 27 12 18 VALERIE 26.7 95.5 119 302 +1950 3 15 18 23 HELENE 56.3 243.9 151 45 +1956 1 25 0 21 KIRK 54.6 42.9 58 547 +1997 10 2 0 11 DEBBY 34.4 252.7 161 532 +1997 7 21 12 8 OSCAR 46.8 266.2 18 250 +1968 11 15 12 14 BERYL 9.2 15.9 162 779 +1973 8 22 6 9 WILLIAM 56.8 357.2 100 736 +1988 5 2 6 17 HELENE 62.4 275.2 133 53 +1981 2 10 18 21 GORDON 67.9 275.6 112 217 +1973 8 17 18 10 KIRK 18.4 289.0 157 466 +1986 11 15 12 13 PATTY 47.3 306.6 50 743 +1977 8 28 18 8 VALERIE 37.6 252.0 17 600 +2004 10 2 18 27 DEBBY 34.9 323.3 91 253 +1993 7 16 18 27 KIRK 38.6 62.0 107 183 +1996 10 22 6 6 DEBBY 63.3 254.4 92 386 +1967 8 24 18 19 TONY 23.2 260.8 161 99 +2001 10 1 12 8 HELENE 29.3 127.2 146 107 +1973 5 3 6 8 KIRK 30.9 265.3 153 17 +1959 1 22 12 8 KIRK 12.8 245.8 104 540 +2002 7 14 18 14 DEBBY 33.8 324.5 140 56 +1997 11 6 18 22 SANDY 49.2 4.9 161 450 +1977 7 25 18 4 ISAAC 44.7 280.8 88 521 +1997 6 20 6 18 HELENE 26.1 188.8 49 170 +1969 6 10 18 11 TONY 60.4 233.0 123 375 +1961 11 24 18 8 VALERIE 67.0 88.9 61 674 +1960 12 24 12 3 OSCAR 58.1 303.6 45 519 +1969 9 8 18 27 KIRK 20.8 283.4 145 688 +1982 11 10 6 2 ERNESTO 10.6 8.3 81 13 +2004 6 9 18 11 KIRK 23.4 324.1 144 346 +1961 11 13 12 16 RAFAEL 9.6 292.8 160 65 +1961 9 1 6 11 TONY 66.4 156.3 62 332 +1986 4 2 12 15 CHRIS 41.9 67.0 155 563 +1958 4 20 18 12 HELENE 11.1 18.5 32 190 +2002 5 28 6 20 TONY 52.4 315.8 59 49 +1973 8 13 0 17 RAFAEL 40.8 325.7 97 100 +1996 12 22 12 9 JOYCE 50.1 140.9 49 795 +1970 2 1 18 21 OSCAR 20.5 293.0 121 31 +2003 12 16 0 18 DEBBY 62.3 334.7 67 756 +1950 11 24 12 27 SANDY 26.1 245.8 140 315 +1950 11 21 0 13 OSCAR 35.9 114.9 72 197 +1997 12 10 6 5 WILLIAM 53.6 302.1 75 242 +1998 6 13 12 28 JOYCE 11.9 92.8 57 224 +1992 10 16 12 25 RAFAEL 25.5 163.6 72 370 +1984 8 17 0 25 TONY 69.9 305.6 146 161 +1990 4 7 6 6 SANDY 13.4 175.7 160 5 +1974 3 23 6 20 CHRIS 69.8 284.2 59 460 +1981 2 28 6 17 WILLIAM 59.8 282.7 152 669 +1990 2 22 0 27 VALERIE 40.1 46.1 128 690 +1966 4 2 6 4 PATTY 12.6 117.1 12 258 +1963 10 12 0 14 VALERIE 39.9 301.5 145 542 +1955 12 5 12 21 PATTY 60.7 176.0 97 323 +1988 8 6 12 24 TONY 41.2 322.5 27 232 +1955 11 22 12 26 PATTY 28.8 40.0 12 186 +1980 12 12 6 5 NADINE 17.7 347.0 51 83 +1996 5 27 6 25 SANDY 34.5 36.2 35 602 +2004 9 12 12 26 BERYL 12.5 123.8 20 145 +1958 8 15 0 9 GORDON 61.5 53.6 68 709 +1975 6 21 6 12 OSCAR 13.7 187.1 127 218 +1968 7 13 6 15 GORDON 59.7 70.2 107 890 +1956 3 6 18 9 PATTY 69.2 140.3 94 565 +1991 6 10 12 20 SANDY 13.0 351.1 128 791 +1988 7 15 6 7 NADINE 14.9 239.1 147 820 +1977 4 2 6 8 NADINE 67.9 50.6 16 183 +1966 7 3 0 11 ERNESTO 38.2 326.6 67 750 +1990 7 14 12 20 TONY 12.9 79.7 129 238 +1971 6 8 12 21 RAFAEL 28.0 246.6 21 638 +1963 7 9 6 17 PATTY 63.7 168.8 142 3 +1974 3 6 18 10 MICHAEL 63.7 236.1 72 673 +1996 3 9 12 6 ALBERTO 15.6 251.7 88 657 +1954 11 9 0 8 PATTY 12.8 303.1 134 644 +1963 6 5 0 27 LESLIE 36.6 198.0 161 745 +1962 1 1 12 22 CHRIS 8.3 292.9 161 77 +1992 9 1 18 25 CHRIS 69.0 296.6 108 798 +1975 3 12 6 1 DEBBY 61.6 116.0 44 891 +1961 3 24 0 2 NADINE 43.9 148.0 75 428 +1994 1 10 6 8 CHRIS 15.0 140.5 134 492 +1987 9 6 18 11 ERNESTO 66.0 161.2 147 855 +1979 10 21 6 28 PATTY 57.0 285.2 113 460 +1977 2 2 6 13 CHRIS 27.2 152.9 158 61 +1995 11 26 0 5 FLORENCE 29.0 137.5 111 762 +1988 6 3 6 1 JOYCE 48.9 137.7 144 738 +1983 5 20 0 20 SANDY 68.0 132.3 45 614 +1979 6 21 0 1 BERYL 11.2 0.4 98 636 +1998 1 10 12 20 GORDON 15.3 336.6 117 23 +1978 7 10 12 1 PATTY 34.5 242.4 21 873 +1967 4 11 0 17 ERNESTO 14.6 179.6 121 232 +1965 5 22 0 1 MICHAEL 28.3 160.1 68 317 +1993 5 23 6 14 ISAAC 25.2 24.2 103 843 +1965 10 5 12 7 FLORENCE 56.7 5.2 94 9 +1962 4 23 18 21 DEBBY 31.8 223.4 152 266 +1958 6 28 18 3 KIRK 57.1 247.6 42 667 +1999 12 22 0 4 NADINE 41.1 3.8 150 820 +1996 10 6 12 4 RAFAEL 69.9 174.3 74 720 +1950 11 12 0 22 ISAAC 22.3 177.9 148 707 +1983 12 26 0 8 TONY 15.3 331.7 100 210 +1997 11 7 0 10 VALERIE 45.3 311.5 153 412 +1953 4 6 18 4 VALERIE 64.4 185.1 16 736 +1980 6 21 0 8 NADINE 49.6 242.1 85 897 +2003 8 26 6 15 FLORENCE 55.1 2.4 127 791 +1973 4 17 6 3 SANDY 19.3 94.7 21 410 +1992 2 25 18 22 GORDON 25.1 185.6 136 536 +1960 10 15 12 8 SANDY 69.5 182.5 19 40 +1975 4 24 6 13 FLORENCE 19.5 273.9 100 768 +1993 1 7 18 14 OSCAR 26.0 174.0 17 138 +1952 1 3 12 19 MICHAEL 53.7 279.9 90 827 +1968 3 24 12 23 HELENE 28.6 91.8 73 800 +1971 8 9 12 13 WILLIAM 62.1 113.9 83 510 +1964 11 12 12 24 ALBERTO 35.0 13.4 36 831 +2003 9 28 18 6 CHRIS 69.1 231.5 27 454 +1996 3 12 12 2 RAFAEL 40.5 296.4 79 804 +1955 1 12 18 18 ISAAC 40.8 229.0 10 301 +1986 4 18 6 28 FLORENCE 22.9 332.1 130 791 +1957 10 21 6 6 OSCAR 45.8 298.0 119 327 +1987 11 1 6 16 VALERIE 19.4 42.7 99 427 +1984 5 23 12 4 CHRIS 23.3 310.7 123 582 +1991 12 23 12 16 PATTY 67.2 54.0 114 799 +1992 10 23 18 2 WILLIAM 31.8 326.8 36 375 +1960 10 24 0 15 BERYL 36.0 131.1 115 659 +1981 4 4 6 22 FLORENCE 64.3 164.8 76 696 +1976 3 26 12 11 VALERIE 62.1 210.5 116 68 +1997 1 16 0 1 ERNESTO 49.5 340.0 82 784 +1968 7 6 12 18 RAFAEL 33.1 4.4 122 781 +1985 11 24 18 27 KIRK 7.7 3.7 103 537 +1984 2 3 6 25 JOYCE 37.2 15.5 82 636 +1979 2 12 12 26 CHRIS 31.9 26.9 31 376 +1987 9 16 0 24 OSCAR 19.1 255.1 102 775 +1991 2 24 0 1 ISAAC 64.8 316.3 57 315 +1951 5 18 18 7 MICHAEL 22.8 33.9 85 422 +1967 3 24 18 27 OSCAR 44.9 185.4 137 291 +1967 8 12 6 8 LESLIE 43.2 55.8 145 883 +1981 7 22 18 25 NADINE 11.0 279.1 10 703 +1989 10 6 18 19 JOYCE 30.9 83.9 93 530 +1994 3 6 18 17 OSCAR 30.3 152.6 41 894 +1961 3 12 18 16 CHRIS 44.8 336.6 70 406 +1996 1 12 12 2 RAFAEL 67.3 56.3 125 672 +1988 6 10 0 25 BERYL 43.9 214.4 137 327 +1962 4 10 0 28 LESLIE 42.1 153.6 79 188 +1965 6 1 18 24 FLORENCE 39.6 87.2 37 864 +1968 9 3 18 6 BERYL 52.7 185.9 149 718 +1955 9 2 12 23 ALBERTO 66.4 351.5 77 707 +1993 10 1 0 3 TONY 16.7 346.9 64 131 +2002 5 18 12 17 ALBERTO 38.6 106.2 105 672 +2001 12 19 18 19 FLORENCE 61.0 214.6 31 802 +1969 9 21 18 24 WILLIAM 36.2 266.6 152 790 +1964 10 2 0 16 MICHAEL 67.4 205.9 155 15 +1995 2 7 12 25 SANDY 68.8 306.8 152 161 +1973 4 28 6 15 RAFAEL 60.5 211.2 113 792 +1964 7 9 18 14 TONY 16.8 274.2 127 153 +1997 6 27 6 19 ALBERTO 54.0 216.8 106 344 +1984 6 22 12 26 BERYL 36.4 40.5 129 57 +1990 11 21 12 12 HELENE 65.9 8.8 29 329 +1952 4 23 12 17 PATTY 68.6 256.8 13 224 +1964 3 4 12 21 GORDON 15.3 318.5 79 148 +1954 8 8 12 25 MICHAEL 62.3 106.8 33 435 +1978 11 21 6 7 ERNESTO 54.1 176.0 32 842 +1966 6 5 0 9 ALBERTO 11.6 225.0 13 820 +1950 7 22 6 13 KIRK 69.1 181.0 160 681 +1981 3 19 12 8 PATTY 65.2 72.6 141 314 +1984 8 8 6 23 OSCAR 20.2 58.8 111 601 +1954 6 5 12 19 ISAAC 36.9 58.0 121 91 +2004 7 3 0 4 ERNESTO 10.6 326.7 155 802 +1994 9 12 0 20 OSCAR 28.7 262.7 55 892 +1981 4 27 18 2 RAFAEL 69.3 277.7 66 233 +1981 10 3 18 16 SANDY 62.4 34.3 35 113 +1968 8 24 18 10 FLORENCE 46.9 316.4 66 347 +1967 6 27 12 27 BERYL 36.7 349.9 163 686 +1981 6 17 6 7 JOYCE 38.5 160.1 71 495 +1950 10 20 18 19 NADINE 66.3 66.6 35 250 +1995 2 24 6 20 VALERIE 61.2 239.6 88 519 +1971 8 7 6 13 GORDON 66.8 266.0 19 235 +1953 11 8 18 16 JOYCE 27.0 94.1 162 82 +1960 8 26 6 20 CHRIS 43.8 240.6 140 621 +2002 1 23 18 18 PATTY 53.5 174.7 11 464 +1994 9 23 6 26 FLORENCE 16.9 16.2 59 687 +1958 9 26 12 27 KIRK 24.3 137.3 92 310 +1960 12 27 0 22 OSCAR 18.4 188.5 111 731 +1952 6 2 0 27 VALERIE 48.5 265.6 23 883 +1978 7 5 18 24 WILLIAM 55.8 108.6 53 402 +1974 8 27 0 14 KIRK 13.1 12.2 52 100 +1977 1 9 18 10 ERNESTO 41.7 182.3 41 892 +1952 5 24 0 22 NADINE 28.2 175.5 125 18 +1971 7 3 18 12 MICHAEL 19.5 249.9 140 372 +1993 8 16 12 7 LESLIE 65.3 170.3 105 383 +1995 10 23 0 3 FLORENCE 65.0 129.5 163 391 +1975 3 13 18 7 BERYL 21.0 88.3 34 34 +1973 10 25 6 23 MICHAEL 49.8 345.4 140 205 +2001 2 10 18 27 VALERIE 19.5 68.6 117 544 +1970 5 1 18 19 ERNESTO 68.6 236.6 17 450 +1965 4 9 18 27 VALERIE 43.5 242.4 131 546 +1955 3 17 0 14 LESLIE 64.8 321.1 130 615 +1951 2 5 0 16 LESLIE 16.7 213.7 129 353 +1979 12 11 12 18 KIRK 15.1 258.0 13 614 +1997 2 24 12 15 VALERIE 13.5 282.2 26 763 +1990 7 15 0 3 TONY 54.5 126.2 49 882 +2001 4 14 0 13 TONY 42.0 240.8 39 189 +1995 6 9 6 28 LESLIE 15.3 90.8 141 36 +1979 8 5 6 8 GORDON 7.0 147.2 156 263 +1951 10 1 0 8 BERYL 60.0 52.9 74 781 +1962 4 27 18 3 JOYCE 29.3 127.1 85 638 +1997 8 9 12 8 SANDY 8.4 88.9 18 131 +1953 4 21 0 2 GORDON 60.6 51.9 144 207 +2002 5 19 18 28 OSCAR 34.1 241.1 141 509 +2002 2 3 18 20 LESLIE 46.9 52.3 58 53 +1995 3 16 12 27 KIRK 68.6 52.8 22 671 +2004 7 19 0 12 GORDON 14.1 264.3 117 731 +1970 2 13 0 1 VALERIE 15.3 211.8 14 386 +2000 10 25 0 18 RAFAEL 61.7 352.5 114 776 +1972 5 12 0 25 NADINE 44.5 175.1 97 603 +1966 2 18 18 2 GORDON 12.5 72.0 18 558 +1954 1 27 0 23 DEBBY 22.1 144.7 127 882 +1983 2 7 0 1 LESLIE 64.9 263.1 128 0 +1986 10 14 12 16 SANDY 14.7 0.4 79 68 +1960 1 11 12 8 DEBBY 20.3 75.8 103 269 +2003 1 14 18 1 JOYCE 14.1 148.4 111 85 +1976 2 13 6 9 FLORENCE 22.6 46.0 127 11 +2002 8 12 12 27 PATTY 29.5 102.1 101 480 +1972 10 15 0 3 VALERIE 53.4 175.4 50 87 +1970 4 12 0 12 LESLIE 47.7 164.1 163 524 +1971 10 27 12 27 OSCAR 69.0 272.7 43 744 +1978 2 25 12 23 KIRK 62.5 81.9 86 16 +1961 10 8 6 1 HELENE 45.1 58.2 150 676 +1962 9 21 12 26 FLORENCE 42.3 333.7 148 867 +1993 12 10 6 20 RAFAEL 54.8 198.0 42 197 +1972 9 21 0 21 ERNESTO 66.2 163.5 24 378 +1958 9 27 6 19 ISAAC 7.7 43.5 97 330 +1994 7 14 18 24 RAFAEL 27.6 295.3 121 853 +1990 2 18 12 2 GORDON 40.1 203.9 42 585 +1978 7 21 6 12 GORDON 14.9 199.3 54 622 +1994 9 12 12 2 HELENE 14.5 157.7 43 370 +1976 2 8 12 21 NADINE 19.8 255.0 58 466 +1989 5 8 0 6 MICHAEL 48.8 84.6 103 13 +2000 1 16 12 26 RAFAEL 8.3 222.7 77 614 +1992 12 25 18 20 TONY 53.5 334.8 145 133 +1952 1 21 6 6 KIRK 37.4 123.6 134 722 +1976 7 20 0 14 ISAAC 8.9 151.9 33 668 +1982 4 6 18 10 BERYL 58.9 159.8 32 516 +1983 2 16 18 19 OSCAR 18.6 249.4 49 396 +1980 11 27 0 10 KIRK 45.9 19.9 94 348 +1983 5 11 0 14 CHRIS 28.2 10.0 57 353 +1998 2 22 0 27 TONY 64.7 296.0 82 897 +1965 6 2 12 20 OSCAR 69.1 305.7 159 247 +1963 2 19 6 10 MICHAEL 57.7 40.2 114 708 +1962 3 22 12 6 OSCAR 61.7 326.1 20 619 +1970 3 13 0 4 WILLIAM 62.8 223.5 39 882 +1997 3 21 6 7 MICHAEL 61.3 289.4 77 830 +1988 12 24 12 13 BERYL 18.1 284.9 32 487 +1965 7 11 18 24 BERYL 62.6 202.8 53 627 +1981 11 28 12 2 JOYCE 34.3 41.5 42 702 +1988 8 2 6 13 VALERIE 63.6 198.7 29 5 +1963 1 25 6 23 FLORENCE 21.8 2.6 121 819 +1995 3 16 0 26 ISAAC 48.2 274.0 161 592 +1961 2 23 6 25 LESLIE 59.0 82.9 32 431 +1985 4 11 0 28 OSCAR 16.6 208.7 144 283 +1960 1 27 18 16 ISAAC 47.6 46.3 126 61 +1998 2 11 12 5 TONY 57.9 307.5 159 360 +1957 4 27 12 7 JOYCE 49.0 318.4 78 247 +1977 4 26 18 26 CHRIS 57.1 142.7 33 66 +1994 8 9 6 16 JOYCE 52.8 6.4 137 788 +1998 3 3 6 27 PATTY 59.6 336.0 37 143 +1961 2 3 6 9 FLORENCE 63.1 112.5 50 449 +1973 9 9 6 6 ERNESTO 55.1 6.7 46 6 +1995 1 5 0 4 GORDON 39.6 240.6 119 675 +1962 7 17 18 2 GORDON 30.2 79.7 31 292 +1999 5 7 18 13 RAFAEL 53.8 275.0 28 697 +1972 3 4 6 1 HELENE 66.3 114.1 12 129 +1974 6 27 6 28 SANDY 20.4 128.7 102 440 +1987 11 7 18 21 LESLIE 10.1 42.3 77 716 +1980 8 14 6 24 WILLIAM 24.0 305.7 162 814 +1973 10 5 0 5 ISAAC 11.1 179.9 86 83 +1993 1 19 0 4 HELENE 68.5 49.7 97 180 +1983 9 27 12 25 VALERIE 47.9 309.5 108 31 +1979 7 18 0 14 GORDON 8.2 142.6 33 633 +1954 3 19 0 1 GORDON 32.9 201.6 45 152 +1968 2 14 0 14 FLORENCE 60.6 18.4 37 657 +1995 7 16 0 9 ALBERTO 35.2 81.2 45 867 +1983 6 19 18 22 FLORENCE 12.6 302.3 21 657 +1997 8 12 18 5 ERNESTO 43.2 356.7 55 689 +1969 2 22 0 2 JOYCE 68.4 121.8 65 868 +2004 7 23 12 3 FLORENCE 18.7 86.7 77 340 +1982 1 28 6 1 FLORENCE 59.8 315.5 121 352 +2000 1 9 0 7 GORDON 32.7 66.9 152 117 +1956 2 7 0 9 ERNESTO 13.3 266.6 162 390 +1977 6 8 6 1 PATTY 62.4 29.3 17 265 +1990 6 10 0 13 DEBBY 16.6 315.7 41 767 +1999 2 9 18 14 WILLIAM 53.0 166.0 115 776 +1975 10 19 0 20 KIRK 58.8 27.2 119 701 +1964 6 14 0 13 KIRK 54.0 273.7 15 860 +1984 8 13 18 9 PATTY 8.4 30.1 85 93 +1996 7 10 12 5 VALERIE 66.5 87.4 145 420 +1958 3 22 6 15 CHRIS 69.1 159.8 132 157 +2003 6 11 0 8 CHRIS 10.6 58.6 103 361 +2000 4 27 0 28 ISAAC 26.9 164.7 160 481 +1979 5 4 6 10 ERNESTO 62.5 80.3 138 781 +1967 9 11 0 24 TONY 30.5 21.6 164 724 +2001 8 19 12 26 LESLIE 64.2 200.3 123 526 +1967 2 22 6 11 BERYL 64.6 349.2 122 148 +1966 4 9 0 3 BERYL 36.8 145.1 153 144 +1994 1 18 18 20 OSCAR 30.6 57.4 117 55 +1991 2 14 6 27 DEBBY 41.0 312.5 153 609 +1996 11 28 0 1 VALERIE 67.6 244.0 129 16 +1974 9 27 12 24 NADINE 8.6 176.8 37 97 +1989 4 11 6 2 WILLIAM 49.0 266.2 13 582 +1955 12 7 18 6 MICHAEL 49.3 119.0 102 701 +1972 10 5 0 25 KIRK 22.1 354.4 83 893 +1993 3 17 12 8 BERYL 58.5 198.1 129 771 +1971 4 22 6 1 DEBBY 21.6 207.1 131 479 +1979 1 7 0 9 JOYCE 63.8 328.9 49 68 +1956 4 6 18 10 DEBBY 35.3 95.9 146 534 +1950 5 9 6 27 MICHAEL 43.4 172.8 123 464 +2002 10 2 12 12 DEBBY 14.9 49.0 150 216 +1976 7 18 18 16 PATTY 44.9 283.7 26 129 +1992 11 9 6 6 VALERIE 13.1 334.6 157 631 +1982 4 24 0 27 LESLIE 68.0 189.6 11 760 +1976 1 10 18 6 CHRIS 14.7 349.9 78 295 +1983 2 11 0 12 OSCAR 52.3 72.8 10 392 +2000 7 9 6 13 MICHAEL 69.5 9.7 64 605 +2001 10 20 18 11 KIRK 51.0 198.9 93 123 +1963 2 6 6 4 HELENE 46.9 319.9 55 65 +1990 7 15 6 2 ERNESTO 63.4 113.3 72 1 +1963 12 8 0 16 JOYCE 19.2 224.5 99 581 +1981 6 17 6 8 TONY 47.4 246.8 59 469 +1991 12 8 12 18 TONY 34.9 186.2 90 396 +2004 3 3 6 12 ISAAC 27.0 39.2 80 102 +1992 4 15 0 21 JOYCE 31.6 321.3 81 280 +1998 9 27 12 7 KIRK 34.3 32.3 40 369 +1992 9 5 6 24 JOYCE 47.8 234.4 151 12 +1950 5 25 6 25 GORDON 43.5 104.9 49 872 +1992 7 11 12 23 ISAAC 41.1 327.8 161 836 +1964 11 12 18 21 VALERIE 46.1 224.6 37 434 +1997 6 21 18 10 CHRIS 21.2 274.8 76 269 +1977 7 3 18 22 FLORENCE 15.4 154.0 118 322 +1957 5 25 12 5 SANDY 55.5 305.0 136 707 +1996 10 17 0 16 DEBBY 12.3 244.0 127 368 +1963 2 6 12 16 HELENE 27.0 126.9 13 718 +1957 4 13 18 2 TONY 65.9 137.7 156 347 +1964 6 26 6 25 RAFAEL 45.8 167.5 84 431 +1977 5 4 6 18 ISAAC 52.2 37.5 92 474 +1999 5 2 12 7 HELENE 13.6 41.8 26 462 +1970 12 15 0 18 ISAAC 44.7 27.4 89 347 +1995 3 3 0 27 WILLIAM 7.4 342.5 148 886 +1992 9 28 6 23 JOYCE 61.5 246.8 32 665 +1991 2 7 6 20 RAFAEL 47.5 348.1 160 396 +1993 11 26 12 2 DEBBY 21.8 94.1 66 30 +1979 7 2 12 13 DEBBY 53.0 263.1 99 432 +1998 2 20 18 8 JOYCE 26.0 81.6 66 138 +1994 8 1 12 8 DEBBY 57.0 141.4 21 346 +1972 11 11 12 25 MICHAEL 58.7 234.0 124 159 +1982 2 17 12 7 LESLIE 40.2 304.4 47 274 +1988 1 28 6 3 BERYL 58.5 90.7 17 112 +1997 10 7 0 16 LESLIE 37.7 104.5 153 878 +1989 5 20 6 18 TONY 68.0 211.0 13 624 +1987 7 8 0 21 PATTY 46.1 80.1 108 710 +1952 5 28 12 25 KIRK 67.3 227.4 63 628 +2001 7 23 18 17 DEBBY 17.9 197.6 106 339 +1953 11 25 18 10 ISAAC 40.6 125.2 138 193 +1955 6 25 6 2 ALBERTO 43.8 118.5 78 510 +1955 6 20 0 13 JOYCE 21.3 7.0 21 379 +1983 6 7 12 2 OSCAR 70.0 168.0 68 101 +1951 12 13 6 16 VALERIE 43.3 113.9 30 580 +1981 1 6 6 1 PATTY 39.6 236.7 78 459 +1974 9 11 6 25 ISAAC 32.6 304.7 130 533 +1973 6 2 0 9 BERYL 7.2 338.8 84 463 +1979 1 9 0 3 DEBBY 43.1 98.7 64 132 +1980 12 1 0 15 MICHAEL 63.0 78.3 106 258 +1953 5 14 18 11 FLORENCE 25.7 275.8 116 399 +1951 5 11 0 27 WILLIAM 64.3 282.2 97 252 +1968 2 1 18 5 GORDON 30.2 156.8 148 582 +1953 12 8 0 27 ISAAC 47.5 356.2 127 791 +1980 3 16 12 28 RAFAEL 45.9 56.9 39 313 +2001 2 27 18 25 ERNESTO 15.0 161.1 107 897 +1973 8 16 6 2 GORDON 41.1 228.0 28 495 +1962 2 28 12 25 VALERIE 64.1 49.3 23 445 +1957 8 25 0 28 BERYL 30.0 208.3 109 449 +1958 6 3 18 1 HELENE 21.1 134.7 54 810 +1976 1 14 12 27 PATTY 13.8 11.4 43 793 +1999 11 26 0 20 HELENE 40.7 297.2 104 223 +1976 12 6 12 2 PATTY 49.4 243.7 41 211 +1953 12 20 12 3 JOYCE 62.8 115.8 116 52 +1996 12 20 6 19 PATTY 57.2 175.0 69 93 +1983 11 28 0 1 JOYCE 26.6 106.5 76 316 +1991 10 8 12 10 BERYL 48.9 257.1 147 727 +1967 5 19 12 26 HELENE 26.6 339.7 106 553 +1999 8 14 18 3 CHRIS 41.3 11.2 92 719 +1979 6 3 6 8 OSCAR 64.6 301.8 22 593 +1990 4 10 18 19 ERNESTO 20.0 114.1 80 782 +1969 12 19 18 7 SANDY 10.3 97.5 19 120 +1973 10 22 18 17 DEBBY 43.1 333.9 39 411 +1982 6 23 12 3 LESLIE 48.4 120.5 164 86 +1962 2 14 0 14 TONY 54.3 262.1 126 526 +1972 1 25 0 14 MICHAEL 61.5 314.3 86 392 +1962 11 9 18 2 KIRK 61.3 60.9 117 326 +1981 1 8 12 7 GORDON 19.4 177.8 12 208 +1964 1 16 18 14 LESLIE 66.8 91.7 65 336 +1951 3 26 0 18 TONY 54.3 24.5 32 640 +1976 4 14 18 16 ISAAC 51.6 232.8 69 407 +1975 11 17 6 15 MICHAEL 23.8 28.1 154 455 +1984 1 5 12 22 GORDON 23.0 168.1 110 449 +1951 4 14 18 26 MICHAEL 28.9 90.6 135 609 +1955 8 28 6 7 KIRK 13.1 97.9 101 686 +1992 8 8 6 4 PATTY 61.9 158.4 24 751 +1953 12 23 6 11 PATTY 41.3 284.3 57 468 +1974 3 28 18 23 ALBERTO 35.3 171.4 61 826 +1961 9 28 6 24 HELENE 16.6 226.8 159 800 +1979 11 18 6 16 BERYL 10.7 19.2 163 515 +2004 8 21 0 12 SANDY 46.5 194.1 153 53 +1995 6 20 12 13 FLORENCE 11.1 48.3 64 512 +1955 6 19 18 14 OSCAR 16.5 138.6 114 346 +1988 1 22 12 3 ALBERTO 67.4 356.4 112 346 +1972 8 14 6 24 BERYL 10.4 173.9 57 359 +1995 4 2 6 3 WILLIAM 53.5 320.0 72 305 +1962 5 25 0 20 HELENE 7.2 76.0 54 359 +1986 12 18 12 15 MICHAEL 35.4 83.0 68 183 +1975 3 7 0 24 NADINE 9.4 203.8 157 174 +1991 5 19 18 17 DEBBY 48.4 15.9 31 285 +1999 5 16 18 27 KIRK 44.0 173.0 143 47 +1978 6 25 18 16 JOYCE 60.9 176.1 118 215 +1958 6 1 18 14 WILLIAM 32.6 39.1 26 9 +1993 10 5 12 3 MICHAEL 42.3 119.5 107 332 +1982 9 12 18 13 LESLIE 10.8 2.6 112 55 +1964 6 27 0 2 BERYL 11.9 150.5 31 652 +1993 1 28 6 7 WILLIAM 55.5 7.9 143 625 +1997 9 15 0 27 RAFAEL 16.0 190.1 99 146 +1994 9 22 18 22 DEBBY 36.7 179.3 134 18 +1981 2 22 6 27 FLORENCE 32.4 91.1 78 713 +1959 4 25 0 25 CHRIS 40.3 103.8 61 824 +1993 2 2 18 17 GORDON 46.3 9.1 127 347 +1965 8 4 0 17 ISAAC 49.6 80.5 103 898 +1988 8 9 18 24 CHRIS 38.5 146.1 14 182 +1992 12 11 12 18 ALBERTO 67.9 194.5 56 391 +1986 12 8 18 17 TONY 40.2 224.5 44 11 +1971 11 19 6 11 SANDY 26.2 149.8 103 568 +1967 1 3 12 22 BERYL 40.9 197.4 73 263 +1968 5 4 6 11 PATTY 43.3 188.2 74 715 +1963 2 15 18 11 KIRK 11.8 218.5 130 258 +1996 3 1 12 16 DEBBY 45.4 156.0 57 52 +1989 4 6 0 7 TONY 68.1 274.0 164 98 +1964 3 17 18 5 BERYL 20.5 319.5 161 458 +1985 5 19 12 26 TONY 67.2 339.3 164 10 +1973 10 13 0 16 DEBBY 58.3 244.5 145 381 +1989 11 1 18 24 CHRIS 15.3 234.2 78 48 +1989 2 14 0 2 HELENE 41.5 155.6 99 700 +1959 6 7 6 25 SANDY 32.9 297.9 147 33 +1984 7 25 0 27 SANDY 25.7 181.8 164 825 +1958 5 7 12 24 DEBBY 63.0 175.7 95 230 +1956 5 24 12 4 GORDON 43.2 343.5 47 607 +1971 5 7 6 23 PATTY 13.6 235.6 36 696 +1977 4 13 18 17 ALBERTO 42.3 285.5 44 200 +2001 11 18 6 26 SANDY 23.0 106.8 142 556 +1985 12 28 0 5 DEBBY 27.2 211.1 33 872 +1976 3 6 18 23 PATTY 14.1 334.1 47 455 +1970 4 24 0 6 ISAAC 23.9 133.4 156 20 +1975 1 3 12 25 PATTY 29.0 285.2 107 863 +1962 5 9 6 6 MICHAEL 56.5 118.4 46 91 +1961 8 13 18 7 RAFAEL 30.6 285.3 80 645 +1961 11 12 6 6 FLORENCE 53.4 9.5 164 526 +2001 12 14 12 28 RAFAEL 67.1 265.3 42 25 +1984 11 11 18 17 JOYCE 47.9 273.7 37 749 +1965 3 3 6 16 KIRK 46.2 70.7 82 827 +1995 8 28 18 1 DEBBY 66.7 326.0 160 188 +1976 11 2 18 19 GORDON 45.9 91.2 164 873 +1950 12 21 18 13 ALBERTO 27.3 19.8 12 745 +1952 1 1 0 4 NADINE 46.7 323.3 75 881 +1975 12 25 12 26 LESLIE 35.0 49.3 56 679 +1963 3 21 12 24 KIRK 20.3 180.1 138 875 +1997 4 11 6 18 NADINE 43.0 6.3 97 385 +1953 11 11 12 15 JOYCE 12.1 237.1 81 43 +1972 11 19 12 11 GORDON 25.0 74.6 102 841 +1976 12 4 6 18 SANDY 51.2 249.4 21 847 +1982 8 7 6 2 NADINE 45.3 46.9 154 584 +1996 11 5 0 27 HELENE 50.0 173.1 80 801 +1960 7 14 0 28 WILLIAM 35.7 242.6 132 15 +1980 4 11 0 14 ISAAC 19.7 128.1 108 778 +1955 11 14 6 20 WILLIAM 33.4 330.0 65 707 +1961 10 17 0 27 WILLIAM 65.9 74.0 20 523 +1961 7 1 6 28 KIRK 52.8 319.7 103 518 +1997 4 9 12 11 ALBERTO 13.6 83.0 109 134 +1997 2 27 6 28 WILLIAM 63.8 325.1 61 160 +2001 9 18 6 2 GORDON 29.8 299.0 22 728 +1954 8 6 12 26 GORDON 20.7 79.2 139 122 +1994 12 10 18 8 BERYL 30.1 234.8 135 699 +1991 10 19 6 14 RAFAEL 51.2 326.4 34 13 +1952 11 23 0 9 JOYCE 45.2 327.7 104 331 +1953 3 9 18 16 HELENE 66.8 247.6 48 705 +1972 7 26 6 14 NADINE 42.0 307.4 140 261 +1986 1 9 0 12 TONY 35.7 74.8 14 799 +1952 8 10 6 9 FLORENCE 13.7 227.3 106 736 +1984 2 7 18 11 CHRIS 21.4 256.9 39 568 +2003 8 2 12 23 LESLIE 11.9 4.9 29 432 +2003 4 7 0 15 GORDON 62.9 68.3 163 20 +1952 8 28 0 21 ISAAC 35.8 106.4 25 292 +1983 7 25 18 26 SANDY 51.8 344.9 66 786 +1997 7 11 6 2 ALBERTO 53.4 125.8 33 193 +1951 10 28 12 7 PATTY 34.4 117.1 127 528 +1992 12 19 6 9 ERNESTO 38.4 135.7 138 568 +1986 2 26 0 3 ERNESTO 63.5 61.1 131 561 +1991 8 3 0 11 ISAAC 18.5 350.5 44 513 +1967 12 21 18 2 RAFAEL 30.6 327.9 115 196 +1983 11 23 18 12 RAFAEL 8.9 66.4 40 96 +1968 7 23 0 7 BERYL 45.1 179.0 163 590 +1994 5 15 18 10 WILLIAM 60.4 84.9 131 821 +1983 9 11 12 16 TONY 31.7 338.7 77 232 +1985 6 11 0 11 VALERIE 67.6 112.2 135 401 +1957 11 23 12 20 TONY 19.8 35.4 136 154 +2001 2 6 0 27 CHRIS 27.9 149.5 43 252 +1957 4 17 18 20 HELENE 40.7 339.1 129 72 +1954 10 1 0 28 SANDY 40.3 224.0 14 470 +1971 11 4 6 7 TONY 21.3 9.6 93 726 +1959 5 27 6 16 ALBERTO 21.0 257.0 35 495 +1969 9 17 6 12 JOYCE 64.3 188.0 113 202 +1961 11 8 6 25 VALERIE 58.2 168.2 85 351 +2000 5 25 12 27 BERYL 48.7 280.2 148 413 +1974 2 2 0 12 SANDY 22.4 332.4 119 820 +1989 4 18 12 26 ALBERTO 18.3 259.5 133 237 +1980 3 18 12 25 NADINE 61.5 100.9 96 207 +1987 1 18 18 27 GORDON 61.3 276.9 78 270 +1960 5 26 12 11 PATTY 54.2 284.1 31 277 +1976 4 10 0 9 CHRIS 62.2 60.1 92 509 +1986 6 14 18 18 TONY 37.3 81.0 130 218 +1961 4 25 0 10 SANDY 28.0 313.7 17 587 +1985 3 15 0 20 MICHAEL 47.4 130.9 103 378 +1962 11 4 12 1 CHRIS 36.2 246.5 138 227 +1962 3 13 0 6 LESLIE 57.2 84.6 32 800 +1996 12 7 6 23 MICHAEL 28.5 333.2 134 641 +1954 8 22 18 21 SANDY 68.1 69.9 96 100 +2000 5 16 6 14 NADINE 35.4 19.2 134 891 +1972 12 8 18 11 ALBERTO 43.7 212.8 99 694 +1974 9 23 12 27 FLORENCE 22.4 115.3 126 304 +1986 7 8 0 27 BERYL 44.2 212.5 159 820 +1981 1 28 18 7 JOYCE 59.2 233.2 39 868 +1970 3 20 12 21 HELENE 13.7 7.5 38 397 +1967 1 10 18 12 VALERIE 42.8 218.6 18 860 +1974 10 10 12 22 OSCAR 38.2 17.8 92 887 +1957 6 28 6 27 RAFAEL 66.8 295.0 130 211 +1990 10 4 18 5 LESLIE 58.2 75.4 73 790 +1994 2 20 18 11 PATTY 19.2 240.8 37 589 +1992 4 21 12 20 KIRK 32.1 87.2 123 180 +1973 3 14 6 15 ALBERTO 49.8 355.6 129 383 +1989 2 13 18 16 MICHAEL 37.9 137.6 148 564 +1969 3 25 6 16 KIRK 15.9 91.6 110 863 +1981 6 2 18 17 RAFAEL 46.4 26.5 150 292 +1978 8 11 6 28 RAFAEL 36.6 168.1 142 631 +1998 9 16 6 8 VALERIE 52.2 225.9 61 525 +1962 5 26 12 16 VALERIE 56.8 10.6 125 136 +1962 7 10 18 13 OSCAR 19.0 229.4 55 60 +2001 7 14 12 9 BERYL 13.8 302.7 64 13 +1989 7 26 12 19 OSCAR 50.0 44.9 44 752 +1994 3 18 6 4 VALERIE 57.3 77.7 78 482 +2001 8 25 0 5 ERNESTO 49.8 344.6 112 652 +1997 4 13 6 12 SANDY 46.3 308.1 163 841 +1986 7 3 18 18 KIRK 24.2 351.6 20 53 +2002 5 24 18 16 CHRIS 67.2 4.7 49 689 +1974 7 10 6 13 MICHAEL 13.2 208.6 47 678 +1990 7 2 0 8 LESLIE 19.9 268.7 129 739 +1996 7 16 12 10 DEBBY 43.7 175.9 116 602 +1952 2 13 18 12 KIRK 36.2 53.8 111 378 +1960 5 23 18 26 WILLIAM 46.0 313.3 43 40 +1999 8 6 12 21 RAFAEL 55.9 353.3 59 672 +2003 1 28 0 20 TONY 46.6 242.1 122 843 +1962 8 1 0 9 MICHAEL 51.0 135.3 158 232 +1966 5 12 0 22 HELENE 43.8 357.0 111 390 +2001 6 16 0 7 HELENE 42.8 237.6 129 651 +1965 10 14 18 12 JOYCE 26.0 129.4 130 194 +1962 3 18 0 3 LESLIE 56.4 101.9 109 155 +1956 8 16 6 4 HELENE 26.1 292.5 106 35 +1983 11 2 0 2 SANDY 65.9 240.5 98 424 +1980 6 12 6 4 VALERIE 28.1 162.3 49 637 +1966 12 25 12 9 LESLIE 25.5 330.0 82 825 +1996 10 17 6 14 DEBBY 21.5 216.4 135 776 +1976 3 10 6 18 FLORENCE 40.6 97.4 93 347 +1988 1 15 6 9 JOYCE 13.5 327.6 88 868 +1976 2 5 12 14 KIRK 35.2 164.5 51 429 +1967 10 26 18 12 FLORENCE 65.1 218.5 34 640 +1965 2 12 6 25 PATTY 15.1 146.0 57 874 +1988 4 28 0 12 OSCAR 22.6 182.2 126 422 +1983 9 3 18 18 MICHAEL 62.7 157.0 30 533 +1968 8 16 18 2 GORDON 63.7 308.0 108 822 +1978 7 22 0 10 FLORENCE 25.3 215.5 136 877 +1956 5 22 18 20 TONY 40.7 27.5 22 434 +1950 4 16 18 5 HELENE 66.7 267.8 142 748 +1966 2 22 0 3 FLORENCE 65.2 19.9 160 248 +1997 4 20 12 8 ERNESTO 63.8 334.4 26 188 +1973 8 15 0 14 ERNESTO 13.4 6.5 109 332 +1969 5 12 18 19 SANDY 20.1 5.1 101 612 +1957 4 20 0 28 ALBERTO 59.1 112.8 81 441 +1973 10 4 12 23 ERNESTO 33.6 7.4 156 392 +1965 1 24 6 28 HELENE 33.4 178.7 72 280 +1972 10 1 6 25 HELENE 34.3 354.1 114 216 +1953 3 22 0 5 WILLIAM 60.3 104.0 154 434 +1981 3 2 6 27 ALBERTO 20.1 351.0 58 599 +2000 7 17 6 8 WILLIAM 35.7 313.5 88 81 +1992 6 18 18 26 TONY 16.0 72.9 54 450 +1997 10 6 18 28 ERNESTO 33.7 185.9 46 26 +1981 1 25 18 16 MICHAEL 61.8 299.9 148 188 +1953 10 27 6 25 ISAAC 49.1 264.4 101 289 +1988 11 16 12 9 VALERIE 67.4 147.8 67 846 +1954 10 8 6 4 GORDON 54.4 143.0 158 273 +2001 9 19 18 26 RAFAEL 12.5 128.8 17 824 +1954 11 6 6 23 DEBBY 40.6 95.9 54 842 +1996 3 22 12 22 ALBERTO 19.0 328.1 68 679 +1987 10 11 18 6 CHRIS 49.7 156.3 153 120 +1960 6 18 12 13 LESLIE 69.7 138.0 126 834 +1956 5 13 6 7 OSCAR 39.7 323.4 68 88 +1954 5 5 6 22 JOYCE 15.3 15.5 82 396 +2001 7 3 12 5 LESLIE 27.3 168.5 66 678 +1988 6 16 6 13 LESLIE 35.7 329.6 52 158 +1982 11 20 0 9 VALERIE 53.1 138.5 115 328 +1959 5 4 12 14 VALERIE 61.5 322.7 103 594 +1951 4 19 6 22 SANDY 51.2 222.4 96 269 +1997 7 23 0 5 SANDY 39.8 302.6 102 715 +1983 5 27 12 13 BERYL 36.9 12.8 53 840 +1990 9 19 6 24 MICHAEL 9.6 106.6 15 10 +1992 12 6 6 7 FLORENCE 47.7 260.2 52 102 +1994 2 3 0 12 MICHAEL 67.6 121.6 56 178 +1958 9 10 0 10 NADINE 41.0 217.3 150 674 +1973 6 21 18 17 WILLIAM 13.4 262.5 158 481 +1977 4 27 12 18 PATTY 18.3 200.8 25 198 +1951 12 3 12 8 OSCAR 50.8 101.7 123 777 +1959 7 4 18 10 PATTY 62.9 149.8 52 366 +1951 11 15 18 21 OSCAR 55.3 344.9 146 542 +1977 2 20 6 22 PATTY 25.7 311.0 74 555 +1991 8 20 6 3 NADINE 9.9 189.8 134 224 +1954 3 24 0 27 FLORENCE 38.1 315.3 22 518 +1963 12 18 12 10 KIRK 55.6 213.2 45 350 +1974 8 20 0 11 ERNESTO 68.0 245.3 19 361 +1974 10 25 0 11 DEBBY 8.7 109.9 16 604 +1964 7 3 0 15 FLORENCE 68.2 110.0 105 187 +1993 5 16 6 20 LESLIE 55.3 88.6 104 310 +1981 11 18 6 4 ERNESTO 53.9 114.4 107 678 +1964 2 2 0 12 ALBERTO 46.8 3.3 146 636 +1968 1 4 6 4 DEBBY 11.6 327.3 143 180 +2003 6 5 0 26 PATTY 45.2 97.5 26 276 +1955 12 22 12 7 BERYL 34.4 209.2 100 238 +1987 8 1 12 21 ISAAC 55.9 134.6 17 153 +1953 11 18 18 11 TONY 36.4 289.3 115 11 +1955 9 3 18 15 SANDY 14.6 167.2 155 870 +1992 5 3 0 20 GORDON 63.1 210.8 48 647 +1955 10 15 12 10 HELENE 32.8 328.5 24 610 +1961 2 14 12 4 RAFAEL 24.3 121.4 11 626 +1970 11 13 6 18 RAFAEL 22.8 353.9 31 472 +1973 6 26 12 21 PATTY 28.8 193.8 58 746 +1954 5 6 18 24 JOYCE 44.3 147.6 134 193 +1990 5 12 18 20 MICHAEL 21.7 111.8 111 190 +1978 1 8 6 7 RAFAEL 39.1 346.6 87 411 +1985 7 11 12 21 ISAAC 7.6 339.7 78 666 +1963 4 13 0 17 TONY 18.5 42.5 52 438 +1957 8 21 6 1 LESLIE 22.1 158.4 21 472 +1990 9 18 6 15 FLORENCE 63.0 317.1 163 503 +1967 4 19 0 21 GORDON 61.1 153.5 98 742 +2000 7 2 0 14 NADINE 10.1 112.1 129 20 +1997 8 14 12 19 TONY 32.7 343.8 84 490 +1953 5 28 18 16 RAFAEL 19.0 212.1 128 25 +1993 2 9 12 20 VALERIE 42.9 0.7 163 165 +1958 10 20 0 18 KIRK 44.2 37.5 129 193 +1951 3 18 0 21 DEBBY 56.0 307.3 40 874 +2003 10 17 18 2 WILLIAM 39.1 72.1 51 719 +1970 2 2 12 12 PATTY 18.5 87.1 101 346 +1969 5 19 0 2 JOYCE 34.3 282.7 113 135 +1989 7 11 12 7 PATTY 44.1 11.2 145 714 +1957 12 10 18 4 DEBBY 21.0 311.7 157 357 +1980 5 12 12 21 FLORENCE 43.3 59.0 93 566 +1951 8 21 6 2 DEBBY 15.6 102.1 84 336 +1952 8 14 0 7 WILLIAM 39.3 237.3 111 559 +1969 8 16 12 24 ERNESTO 18.8 302.8 147 761 +2004 11 17 12 12 NADINE 35.9 57.5 55 768 +1997 10 4 0 10 RAFAEL 67.0 37.5 123 894 +1968 2 20 18 15 SANDY 49.3 254.5 46 516 +1961 8 5 6 11 DEBBY 17.4 45.7 49 350 +1998 9 11 0 23 JOYCE 29.6 79.7 90 567 +1962 3 7 6 9 NADINE 60.1 263.3 118 186 +1975 8 27 12 24 MICHAEL 35.5 348.4 146 69 +1967 9 16 18 27 ISAAC 35.1 271.8 26 99 +2003 2 20 0 19 GORDON 67.0 247.8 159 151 +1981 6 8 6 1 OSCAR 11.0 326.9 23 895 +1954 8 19 0 24 DEBBY 48.5 233.9 123 433 +1973 7 1 12 19 OSCAR 16.6 218.5 19 238 +1957 12 1 12 1 DEBBY 57.7 186.3 143 308 +1978 11 5 0 17 ERNESTO 53.1 334.6 18 596 +1970 8 21 0 13 CHRIS 32.8 35.0 109 298 +1989 6 9 6 25 PATTY 59.4 160.9 123 105 +1999 11 17 18 24 HELENE 65.9 332.3 89 574 +1960 5 5 18 17 VALERIE 51.9 149.7 15 281 +1970 9 19 0 14 VALERIE 45.0 283.8 160 880 +1957 3 6 12 23 BERYL 49.7 141.3 76 631 +1990 10 21 18 19 ERNESTO 35.7 353.9 121 552 +1970 1 8 0 3 PATTY 64.9 238.9 119 886 +1955 11 3 6 9 ERNESTO 53.6 46.6 126 65 +1991 3 4 18 11 FLORENCE 7.6 143.3 82 501 +1965 11 21 6 6 ALBERTO 66.2 52.1 120 712 +1956 9 3 6 6 DEBBY 50.8 60.2 101 228 +1950 4 2 18 11 BERYL 43.0 339.8 39 634 +1992 8 26 18 5 CHRIS 60.2 212.3 102 601 +1958 11 11 6 20 MICHAEL 8.2 328.6 74 376 +1990 4 9 18 21 WILLIAM 58.3 10.0 46 884 +1986 7 12 0 6 BERYL 21.9 277.3 37 845 +1994 11 13 0 22 TONY 18.8 226.7 39 646 +1973 9 10 6 20 KIRK 34.2 298.1 99 135 +1999 1 27 12 5 PATTY 18.3 243.3 63 270 +1957 9 6 6 6 LESLIE 57.9 44.7 152 791 +1951 3 27 6 17 CHRIS 13.8 186.7 30 58 +1963 8 18 18 4 ERNESTO 38.6 181.7 88 395 +1962 11 3 6 15 DEBBY 36.6 207.3 21 817 +1979 4 27 18 3 JOYCE 38.8 168.9 79 147 +1993 9 10 6 15 FLORENCE 18.6 22.2 145 240 +1952 7 27 12 7 ALBERTO 12.2 313.0 85 871 +1976 8 28 18 7 HELENE 51.8 205.3 125 310 +1998 1 19 18 12 CHRIS 39.9 134.7 152 88 +2000 2 8 18 27 ALBERTO 60.3 54.7 81 743 +2000 7 22 0 3 RAFAEL 38.1 240.5 162 97 +2003 10 10 6 11 GORDON 50.4 154.4 16 866 +1963 3 11 12 8 HELENE 65.6 236.1 19 498 +2001 7 1 12 27 ERNESTO 30.2 313.9 61 341 +1955 9 11 18 18 HELENE 8.3 318.8 26 292 +1970 6 1 6 5 NADINE 54.9 202.7 92 32 +1962 10 22 6 22 CHRIS 42.6 149.9 87 374 +1951 5 1 0 28 HELENE 37.8 42.3 164 258 +1990 3 11 12 19 TONY 68.0 267.0 20 274 +2004 12 7 6 21 RAFAEL 66.6 315.3 18 201 +1961 5 3 18 5 DEBBY 48.4 280.6 93 236 +1975 5 25 12 4 OSCAR 50.9 336.0 82 637 +1987 8 10 12 16 WILLIAM 62.4 235.5 35 865 +1997 5 14 0 5 ISAAC 33.0 141.5 22 759 +1953 12 11 18 3 OSCAR 62.9 56.2 161 253 +1977 12 26 6 9 FLORENCE 40.0 357.6 115 403 +1965 7 21 18 8 JOYCE 59.1 341.6 123 751 +1997 7 15 12 2 VALERIE 48.4 214.4 20 322 +1981 6 1 0 3 RAFAEL 32.3 237.8 16 300 +1957 1 15 18 10 VALERIE 11.5 44.5 125 19 +1969 8 13 6 17 ALBERTO 63.7 254.3 35 466 +1967 9 1 0 17 WILLIAM 65.0 108.9 78 119 +1969 5 9 6 18 SANDY 12.4 15.3 78 663 +1977 3 1 6 12 SANDY 58.7 296.5 72 222 +1991 3 9 0 26 DEBBY 14.3 0.6 142 43 +1992 8 25 6 5 OSCAR 7.9 18.0 62 136 +1963 2 23 6 22 NADINE 13.1 195.5 54 280 +1956 11 10 12 2 HELENE 58.2 290.5 22 818 +1952 7 12 18 5 BERYL 43.3 127.1 34 388 +1968 8 9 6 23 SANDY 63.4 56.5 21 475 +1998 12 20 0 14 CHRIS 48.0 299.4 66 267 +1951 6 7 12 24 KIRK 19.5 53.5 158 519 +1975 10 7 0 21 CHRIS 62.5 302.5 163 627 +1971 2 23 0 20 BERYL 52.9 50.1 64 201 +1991 9 12 12 24 LESLIE 65.3 148.7 44 305 +1959 3 20 0 10 CHRIS 42.5 41.3 127 534 +1994 2 13 6 4 SANDY 21.6 233.5 74 752 +1950 4 27 6 20 PATTY 60.8 224.9 140 263 +1972 3 26 18 21 KIRK 35.5 105.0 80 412 +1953 8 9 0 26 CHRIS 65.4 348.2 128 185 +2003 7 11 6 17 FLORENCE 51.8 254.5 69 527 +1990 5 11 12 6 NADINE 67.9 298.6 148 587 +2000 11 10 0 14 MICHAEL 37.9 126.2 67 14 +1975 2 3 12 24 ERNESTO 22.9 181.3 63 435 +1986 7 16 6 3 ALBERTO 68.4 248.5 14 227 +1970 2 28 0 1 KIRK 7.5 36.2 110 597 +1989 9 23 0 21 VALERIE 57.7 320.6 80 498 +1965 9 3 6 22 TONY 36.6 129.1 46 660 +1979 6 1 18 19 ERNESTO 38.0 306.9 86 492 +1991 9 25 6 15 FLORENCE 26.3 53.8 27 510 +1971 1 2 0 9 LESLIE 11.3 79.0 74 92 +1964 6 26 0 13 ISAAC 66.8 304.5 36 569 +1994 3 23 12 18 DEBBY 13.9 139.8 14 782 +1981 4 8 6 1 BERYL 19.9 309.9 80 129 +1982 7 27 0 20 RAFAEL 23.3 107.1 113 104 +1971 1 11 12 7 JOYCE 57.6 41.3 75 399 +1988 9 13 6 24 BERYL 45.1 3.4 78 94 +1983 2 11 18 18 FLORENCE 22.9 194.0 77 752 +1950 10 14 6 2 JOYCE 39.8 282.1 68 727 +2001 5 24 6 25 JOYCE 13.2 111.9 112 278 +1965 4 25 0 10 MICHAEL 63.5 270.3 153 731 +1979 10 1 12 7 KIRK 34.7 252.6 35 290 +1993 5 27 12 7 DEBBY 61.9 168.4 58 843 +2000 7 11 0 13 MICHAEL 7.7 66.0 161 314 +1997 10 16 18 6 ALBERTO 44.8 357.4 150 467 +1978 9 21 0 22 DEBBY 25.6 227.6 33 15 +1994 7 22 18 2 CHRIS 68.5 162.4 152 660 +1955 7 11 12 27 HELENE 21.0 80.1 150 584 +1978 12 8 12 5 TONY 31.0 211.4 70 323 +1994 6 6 18 23 SANDY 18.7 275.5 130 627 +1968 12 16 12 14 VALERIE 22.2 304.9 73 374 +1995 9 11 12 1 LESLIE 42.1 36.5 119 684 +1964 5 16 18 2 CHRIS 35.7 265.3 90 298 +1992 2 23 0 27 MICHAEL 21.9 163.0 37 597 +1973 11 19 18 1 TONY 35.6 214.0 59 416 +2000 8 6 6 22 DEBBY 61.8 259.1 60 343 +1988 10 11 18 11 MICHAEL 47.3 33.1 125 326 +1984 3 5 18 10 ERNESTO 45.4 70.6 161 214 +1979 4 6 6 13 BERYL 13.0 315.6 67 131 +1962 2 4 0 16 KIRK 38.3 208.6 64 714 +1981 7 1 18 3 HELENE 65.4 220.7 20 419 +1998 12 22 0 3 LESLIE 10.9 248.4 47 160 +1993 4 1 6 2 OSCAR 50.2 185.6 79 479 +1973 2 6 6 4 TONY 43.1 81.0 89 62 +1997 6 16 6 1 ISAAC 45.3 213.7 107 532 +2001 5 5 6 8 GORDON 31.7 236.3 136 216 +1978 4 27 0 1 LESLIE 54.2 239.5 115 869 +1999 12 11 0 5 SANDY 41.8 1.0 124 219 +1962 2 18 0 15 DEBBY 66.4 243.2 39 640 +1994 3 6 0 28 MICHAEL 68.1 304.0 146 370 +1964 11 7 18 3 JOYCE 44.7 220.2 160 38 +1979 8 26 0 3 MICHAEL 32.7 122.5 94 866 +1972 11 28 12 10 VALERIE 37.0 118.9 75 517 +1993 11 28 6 15 MICHAEL 51.4 166.5 25 192 +1952 11 21 18 16 TONY 40.2 325.2 88 132 +1982 2 14 18 16 NADINE 45.3 156.5 35 660 +1994 1 28 0 5 MICHAEL 15.4 302.5 148 471 +1998 10 8 0 22 CHRIS 54.8 86.1 125 453 +1953 5 3 6 13 CHRIS 32.6 68.4 150 164 +1984 7 6 12 7 FLORENCE 9.1 24.1 75 799 +2001 10 10 18 12 MICHAEL 67.8 64.5 36 698 +2000 9 21 12 22 LESLIE 52.2 166.8 98 226 +1958 11 19 0 4 JOYCE 26.7 66.4 118 676 +1994 12 19 0 11 MICHAEL 7.5 163.9 23 870 +1994 1 2 0 13 ERNESTO 18.5 351.9 16 872 +1978 2 1 0 7 BERYL 51.4 338.4 58 896 +1967 3 9 6 21 SANDY 57.1 273.4 60 611 +1968 11 22 0 16 NADINE 7.1 237.1 15 203 +1990 5 20 12 24 CHRIS 27.7 5.5 142 309 +1994 12 2 0 25 VALERIE 22.2 269.0 91 631 +1963 5 15 12 22 TONY 50.9 287.5 25 86 +2004 10 5 0 5 FLORENCE 44.7 185.0 19 575 +1961 7 15 12 8 PATTY 37.8 17.4 35 72 +1993 4 25 6 12 OSCAR 35.7 52.7 162 476 +1982 12 10 18 6 RAFAEL 51.6 37.2 31 201 +1997 9 26 12 13 CHRIS 58.4 59.9 20 391 +1973 5 2 6 5 LESLIE 16.6 259.7 28 265 +1952 6 18 0 2 ALBERTO 44.9 157.2 101 573 +1950 5 25 12 20 CHRIS 68.1 217.8 97 869 +1986 12 2 12 3 VALERIE 35.2 233.9 112 758 +1967 7 24 12 1 ISAAC 13.0 106.9 68 733 +1954 3 18 12 17 ALBERTO 38.5 33.7 50 549 +2002 5 23 6 1 JOYCE 55.0 335.8 37 210 +2004 8 22 12 24 FLORENCE 66.9 130.4 154 500 +1967 10 4 18 26 CHRIS 69.9 132.9 75 241 +2004 2 15 0 21 ERNESTO 33.4 263.5 131 496 +1971 6 25 6 2 TONY 32.0 93.6 12 476 +1950 6 2 12 17 SANDY 68.2 194.2 149 372 +1979 9 1 0 20 ISAAC 56.7 33.7 118 861 +1985 8 15 6 13 ERNESTO 15.6 183.0 45 104 +1994 7 7 12 25 LESLIE 55.5 59.3 97 441 +1989 5 26 6 22 NADINE 44.0 58.6 106 326 +1950 7 8 18 10 CHRIS 62.1 327.8 133 457 +1959 12 2 0 15 PATTY 36.6 303.8 64 122 +1987 7 23 0 4 SANDY 37.7 234.0 145 778 +1996 6 2 12 8 MICHAEL 64.2 280.9 25 554 +1996 9 27 18 20 HELENE 7.4 249.2 131 888 +1984 8 3 0 26 BERYL 11.8 92.4 138 361 +1983 4 13 6 4 MICHAEL 45.2 55.5 156 551 +1976 2 5 6 2 WILLIAM 69.1 321.8 53 30 +1980 11 17 12 26 SANDY 16.0 202.1 112 542 +1978 9 28 12 7 MICHAEL 60.6 224.5 10 689 +1979 2 10 6 26 DEBBY 51.8 182.6 20 408 +1984 1 7 12 9 PATTY 58.8 46.8 135 800 +1991 8 24 12 26 ALBERTO 34.0 162.4 154 174 +1968 8 5 0 16 MICHAEL 65.5 29.6 98 681 +1999 5 14 18 12 LESLIE 37.4 256.9 72 36 +1967 1 18 12 10 RAFAEL 49.8 249.8 144 622 +1997 11 22 12 18 DEBBY 16.6 252.3 25 201 +1971 6 28 12 13 HELENE 43.7 257.5 131 840 +1994 2 3 18 3 WILLIAM 56.0 229.1 104 484 +1976 1 27 12 18 RAFAEL 35.7 343.2 15 714 +1955 1 17 6 17 NADINE 63.5 23.7 124 781 +1964 2 1 0 17 BERYL 36.9 7.8 130 418 +1963 8 16 0 27 TONY 30.4 44.9 16 222 +1957 7 15 18 27 GORDON 19.2 275.6 139 823 +1975 8 21 18 23 ISAAC 66.5 284.0 21 806 +1999 5 7 0 16 TONY 68.5 266.7 63 892 +1967 12 8 0 25 SANDY 46.1 36.7 96 206 +1980 3 28 0 15 HELENE 11.4 37.9 118 596 +1950 7 8 18 19 ISAAC 46.1 354.0 83 398 +1969 5 20 0 26 ALBERTO 34.9 256.5 79 276 +1996 4 22 0 21 ISAAC 24.2 300.1 74 10 +1983 8 15 0 22 GORDON 60.9 8.0 162 228 +1960 2 11 0 4 HELENE 67.0 105.7 148 859 +1982 1 28 18 25 ALBERTO 53.8 132.2 155 167 +1956 2 2 12 8 ERNESTO 47.8 218.9 14 31 +1998 3 27 18 24 SANDY 8.4 111.0 104 466 +1998 8 17 18 28 JOYCE 40.2 181.5 67 492 +1992 4 27 12 17 RAFAEL 62.0 39.3 22 665 +1954 4 8 0 6 PATTY 57.4 222.7 127 7 +1989 8 22 18 8 VALERIE 45.2 109.5 134 305 +1962 3 15 18 7 MICHAEL 23.2 319.0 57 895 +1968 5 22 0 20 RAFAEL 23.4 35.6 140 760 +1985 6 3 18 8 CHRIS 32.2 230.6 58 86 +1990 12 16 0 20 PATTY 43.6 119.7 73 568 +1963 8 10 18 13 HELENE 51.5 235.6 112 766 +1982 6 16 0 5 SANDY 50.4 132.1 57 409 +1974 2 21 0 26 SANDY 46.5 298.7 63 552 +1968 4 23 18 22 KIRK 44.0 60.9 117 809 +1976 8 20 6 10 FLORENCE 35.8 103.6 129 725 +1984 1 17 18 11 VALERIE 20.5 42.9 98 107 +1984 4 1 6 5 ALBERTO 46.2 139.6 71 194 +1995 5 20 18 12 LESLIE 15.3 150.2 129 26 +1998 2 25 12 20 PATTY 66.6 329.8 143 533 +2000 11 22 6 17 PATTY 56.1 19.0 45 410 +1975 3 17 12 12 LESLIE 44.1 14.3 84 895 +1990 4 19 12 23 RAFAEL 33.7 341.7 10 873 +2001 3 22 18 22 PATTY 17.7 42.3 109 529 +1993 6 9 0 10 LESLIE 43.7 340.0 71 103 +1972 10 14 12 15 ALBERTO 46.0 36.5 32 463 +1980 5 11 12 11 HELENE 67.3 264.7 77 545 +1991 7 17 12 17 PATTY 8.3 172.5 12 280 +1994 5 16 0 14 WILLIAM 67.2 82.9 84 500 +1950 8 23 12 8 MICHAEL 38.8 242.8 45 872 +1966 12 23 18 14 DEBBY 52.1 224.0 98 151 +1994 4 5 18 15 BERYL 39.1 330.8 45 335 +1984 11 24 18 23 ERNESTO 47.6 138.1 114 109 +1988 3 21 6 2 VALERIE 49.9 140.0 31 521 +1972 1 26 6 14 PATTY 24.9 82.5 53 128 +1961 12 13 0 9 NADINE 16.8 274.0 54 81 +1963 7 28 0 8 VALERIE 29.1 260.9 148 482 +1953 11 11 18 23 OSCAR 56.5 355.6 154 441 +1964 1 2 18 11 DEBBY 66.8 179.3 19 304 +1974 9 13 6 19 ISAAC 57.0 315.7 90 523 +1987 4 10 0 16 FLORENCE 55.0 193.5 74 775 +1994 10 19 18 14 WILLIAM 32.6 129.2 125 247 +1967 2 23 18 24 PATTY 23.9 140.9 138 91 +2004 6 10 6 21 PATTY 62.5 312.9 24 35 +1971 3 22 0 19 ALBERTO 68.4 101.2 39 860 +1962 7 7 0 15 LESLIE 62.3 154.6 163 791 +1961 10 3 0 24 ALBERTO 49.5 134.3 133 368 +1960 12 18 6 18 VALERIE 63.2 143.9 30 214 +1952 9 14 0 17 ALBERTO 28.9 26.7 133 838 +1955 4 2 0 25 SANDY 21.9 303.7 99 820 +1975 8 18 12 4 LESLIE 60.2 289.9 97 618 +2004 1 1 6 2 ALBERTO 13.3 106.7 131 582 +1995 4 16 0 25 LESLIE 27.1 154.7 86 764 +1992 2 6 0 19 PATTY 58.0 44.9 25 363 +2004 1 10 6 17 JOYCE 39.9 217.5 122 135 +1964 10 7 0 16 KIRK 63.5 4.6 66 350 +1979 2 8 6 5 ALBERTO 65.3 275.7 132 673 +1987 12 19 0 22 FLORENCE 31.1 295.1 100 688 +1982 6 3 12 27 RAFAEL 68.4 135.6 29 577 +1987 11 17 6 20 DEBBY 27.1 180.9 125 176 +1962 10 6 12 21 VALERIE 35.5 233.2 95 321 +1953 6 11 12 22 TONY 32.3 258.1 106 857 +1952 7 23 6 9 JOYCE 45.3 291.9 108 337 +1982 10 8 18 14 FLORENCE 52.9 141.7 154 611 +1960 8 18 0 27 KIRK 19.6 11.9 117 351 +1977 9 21 12 20 OSCAR 9.0 26.5 29 645 +1988 4 3 0 19 BERYL 17.9 139.2 104 219 +1968 8 2 0 4 BERYL 61.1 209.0 63 571 +1998 2 4 12 25 ERNESTO 21.2 121.1 119 735 +1985 8 1 0 5 SANDY 45.8 228.9 20 567 +2003 1 24 6 16 NADINE 15.7 91.4 116 375 +1989 3 12 18 8 JOYCE 28.7 230.4 38 202 +1987 8 24 0 21 SANDY 8.7 291.0 31 843 +2001 9 13 18 17 SANDY 12.0 229.6 128 9 +1951 9 1 18 12 BERYL 64.6 339.6 63 95 +1989 4 26 12 11 MICHAEL 45.3 170.2 132 40 +1997 10 7 18 8 ISAAC 44.6 348.1 20 459 +1974 2 20 18 12 GORDON 12.1 13.6 28 583 +1995 6 19 18 9 WILLIAM 36.1 229.8 160 520 +1966 7 21 6 3 VALERIE 54.5 287.8 21 416 +1956 5 2 18 20 ERNESTO 48.9 128.5 161 42 +1969 11 1 12 20 NADINE 69.7 333.8 91 382 +1974 7 17 12 10 JOYCE 16.3 54.0 33 649 +1961 3 25 12 12 ALBERTO 23.6 49.7 155 828 +1987 1 22 6 3 JOYCE 29.2 138.6 137 714 +1981 9 18 18 1 ALBERTO 57.6 326.1 161 577 +1989 7 10 6 27 ERNESTO 65.5 313.7 69 265 +1952 8 18 12 28 TONY 39.2 61.5 108 155 +1977 10 24 0 23 MICHAEL 45.3 219.5 74 873 +1956 7 10 12 14 KIRK 16.0 65.9 99 148 +1960 6 25 18 3 KIRK 69.3 83.7 137 748 +1984 4 28 18 7 DEBBY 16.2 280.4 73 847 +1966 9 15 0 10 HELENE 44.8 30.9 99 255 +1959 12 12 18 7 TONY 31.8 61.7 115 314 +1982 11 16 18 13 DEBBY 57.9 293.1 141 588 +1967 10 26 6 23 DEBBY 56.9 327.1 38 195 +1951 10 18 0 4 KIRK 46.0 23.8 156 2 +2003 8 15 0 2 PATTY 33.8 131.6 148 725 +1969 5 26 12 13 ERNESTO 52.7 185.3 62 44 +1975 7 22 18 13 ISAAC 53.5 253.6 90 850 +1968 3 1 6 10 CHRIS 38.1 4.9 116 372 +1958 6 13 0 1 ISAAC 51.4 197.2 90 27 +1961 4 9 12 27 OSCAR 15.7 122.3 52 1 +1954 10 8 6 6 VALERIE 32.0 141.8 151 287 +2004 11 26 12 1 MICHAEL 12.7 158.2 53 708 +1986 8 6 0 5 JOYCE 22.6 106.5 155 49 +1951 12 18 18 2 ISAAC 29.7 352.6 42 329 +1980 4 15 6 14 VALERIE 51.8 10.1 99 513 +2002 4 19 6 17 BERYL 20.0 102.6 26 421 +1973 6 2 18 14 PATTY 38.1 301.2 120 714 +1999 8 28 18 18 TONY 32.4 342.2 23 709 +1993 8 2 0 4 CHRIS 55.1 293.6 13 447 +1959 5 4 18 19 ISAAC 25.8 299.0 138 749 +1974 6 5 12 13 CHRIS 14.4 112.7 132 463 +1993 4 25 12 15 RAFAEL 25.1 291.9 82 156 +1988 9 22 6 26 NADINE 25.5 304.7 118 188 +1950 2 14 0 6 TONY 50.7 177.8 82 411 +1965 2 23 12 26 NADINE 56.4 281.5 18 758 +1991 4 22 12 8 VALERIE 53.6 71.3 45 461 +1954 8 28 18 12 ISAAC 20.3 90.2 53 233 +1963 10 17 12 26 GORDON 35.0 29.0 82 783 +1951 10 28 18 14 PATTY 61.2 318.3 144 774 +2001 1 15 12 6 HELENE 9.3 101.2 122 60 +1970 2 1 12 28 ERNESTO 66.5 332.8 152 402 +1984 4 26 12 23 ALBERTO 34.7 58.3 72 37 +2003 12 25 18 1 DEBBY 54.8 30.2 19 177 +1997 2 21 18 10 SANDY 31.3 112.9 112 724 +1977 8 26 18 14 ALBERTO 18.9 96.9 129 72 +1957 5 7 0 17 CHRIS 20.0 34.9 151 780 +1964 5 10 18 16 KIRK 13.0 77.5 145 92 +1989 11 15 18 12 PATTY 31.4 132.7 80 138 +1989 1 10 6 23 FLORENCE 66.2 54.9 88 155 +1977 12 26 6 14 GORDON 61.4 103.2 47 228 +1955 11 3 6 12 WILLIAM 19.4 76.6 137 254 +1963 6 7 6 17 FLORENCE 17.3 29.9 124 622 +1983 7 27 0 15 HELENE 47.0 270.1 145 518 +1991 6 13 18 23 HELENE 39.0 253.0 56 394 +1959 5 1 18 14 RAFAEL 49.3 130.6 65 684 +1995 7 10 18 2 SANDY 39.4 37.6 81 801 +1966 1 13 0 25 KIRK 21.6 40.2 60 573 +1980 9 15 6 13 VALERIE 15.5 19.7 131 554 +1950 1 27 6 3 CHRIS 20.7 181.3 100 546 +1973 11 25 0 1 VALERIE 23.2 136.6 76 38 +1998 12 28 18 11 FLORENCE 11.8 135.4 15 265 +1971 4 18 12 27 SANDY 29.6 95.9 26 251 +1986 8 4 6 19 NADINE 34.8 287.0 157 589 +1980 11 1 0 2 ISAAC 10.4 294.2 12 190 +1972 1 4 12 21 ALBERTO 28.3 168.9 120 502 +1973 6 20 0 9 CHRIS 69.8 57.0 55 575 +1984 4 2 12 11 NADINE 31.1 239.9 33 606 +1963 7 26 6 24 DEBBY 13.1 165.5 87 355 +1992 2 2 6 27 SANDY 64.3 39.0 69 340 +1955 1 19 6 9 ALBERTO 52.1 216.5 48 195 +1998 10 4 12 21 SANDY 68.0 306.5 59 26 +1972 10 8 0 28 OSCAR 9.0 69.8 138 75 +1978 11 5 6 28 ISAAC 57.7 97.3 10 832 +1968 7 28 12 22 DEBBY 66.7 199.3 164 604 +1970 2 19 12 17 CHRIS 33.6 326.0 135 459 +1979 11 6 12 18 RAFAEL 61.5 60.2 37 498 +1976 2 10 18 26 OSCAR 28.7 4.6 19 166 +1972 8 24 0 13 JOYCE 48.1 39.9 87 696 +1970 5 16 18 19 SANDY 59.1 228.6 122 191 +1996 7 5 18 5 LESLIE 11.9 234.8 17 254 +1992 8 19 12 1 MICHAEL 51.6 100.6 13 519 +1993 9 16 0 4 FLORENCE 58.0 37.3 54 157 +1994 5 6 0 22 CHRIS 9.4 243.5 51 475 +1972 1 3 6 27 FLORENCE 61.7 241.5 47 504 +1964 2 16 0 2 NADINE 8.7 160.4 128 291 +1996 12 15 18 1 GORDON 13.6 136.2 77 294 +1989 4 19 18 12 ISAAC 14.9 72.6 49 29 +1997 9 22 12 8 DEBBY 25.4 170.3 16 896 +1972 9 26 0 14 ERNESTO 45.3 180.7 31 677 +1982 2 20 0 17 SANDY 10.6 286.0 69 230 +2003 5 18 0 25 ISAAC 56.2 229.1 97 173 +1984 3 13 18 24 PATTY 41.8 180.7 141 684 +1956 2 26 0 17 MICHAEL 29.4 128.1 135 517 +1964 5 18 12 10 CHRIS 42.1 22.9 64 451 +1996 2 27 18 6 LESLIE 18.5 93.3 18 416 +1961 11 21 6 11 FLORENCE 48.6 199.8 146 95 +1982 10 14 12 18 RAFAEL 46.3 337.9 28 713 +1994 7 1 6 27 NADINE 22.9 189.1 50 193 +1964 3 6 0 18 ALBERTO 62.3 211.8 127 778 +1956 11 23 12 11 OSCAR 55.3 28.5 164 213 +1978 4 21 18 2 SANDY 8.2 202.7 61 295 +1961 9 19 18 10 CHRIS 21.3 58.9 77 884 +1994 1 14 12 13 DEBBY 33.7 124.2 92 224 +1990 8 21 6 25 VALERIE 62.8 32.5 25 203 +1955 11 3 6 23 NADINE 62.9 346.1 67 272 +1957 5 1 0 24 FLORENCE 28.8 284.4 42 111 +1960 10 22 0 22 WILLIAM 42.7 16.2 73 538 +1993 7 1 12 22 RAFAEL 64.4 311.0 127 880 +1972 3 12 6 4 CHRIS 9.7 199.4 103 174 +1998 5 27 18 26 JOYCE 53.9 176.1 38 719 +1981 8 14 0 3 DEBBY 66.5 206.8 118 777 +1988 11 19 12 24 NADINE 63.6 293.5 42 177 +1956 12 25 0 11 WILLIAM 61.1 74.6 44 451 +1986 4 9 18 8 KIRK 42.2 240.4 73 699 +1983 3 2 12 26 LESLIE 56.7 24.1 128 332 +1963 5 14 6 6 HELENE 14.3 92.9 29 254 +1952 11 3 12 15 ALBERTO 30.0 332.6 88 300 +1977 4 13 12 23 DEBBY 51.1 140.5 12 321 +1952 9 28 12 5 NADINE 30.9 73.1 10 505 +2003 10 11 0 20 VALERIE 22.3 75.3 148 803 +1981 5 19 6 1 JOYCE 23.2 206.9 143 722 +1983 11 5 18 17 ISAAC 40.9 333.9 91 592 +1957 4 13 12 28 GORDON 33.9 244.2 155 635 +1990 2 24 6 8 OSCAR 58.3 7.2 98 539 +1966 7 10 12 10 LESLIE 31.7 330.3 76 882 +1980 3 15 18 23 ERNESTO 25.9 271.1 84 409 +1954 2 21 6 10 KIRK 58.4 8.7 100 58 +1973 7 21 18 5 NADINE 55.9 275.5 85 93 +1961 3 22 6 4 RAFAEL 12.7 64.2 134 601 +1969 3 15 6 12 TONY 29.8 48.9 139 692 +1974 4 6 18 8 OSCAR 30.7 339.4 123 370 +1975 8 8 6 10 HELENE 30.3 131.3 71 590 +1980 7 23 12 22 LESLIE 28.1 316.1 140 307 +2003 3 11 6 21 LESLIE 58.3 343.6 117 235 +1996 5 26 0 5 KIRK 34.0 114.1 34 515 +1979 1 26 6 2 ALBERTO 66.6 115.9 63 441 +1992 5 19 12 1 ERNESTO 58.6 328.3 77 772 +1995 5 16 0 14 ERNESTO 19.4 263.8 16 86 +1982 10 2 6 28 TONY 47.5 272.6 120 266 +1982 9 8 0 12 PATTY 57.8 119.1 114 115 +2003 3 15 18 24 KIRK 30.6 77.8 104 135 +1962 1 12 18 13 SANDY 45.0 89.6 108 258 +1970 3 24 0 12 GORDON 59.2 23.1 92 319 +1962 2 20 18 5 RAFAEL 13.2 282.4 145 69 +1961 11 11 18 17 VALERIE 27.6 168.8 55 557 +1977 6 2 6 19 KIRK 51.5 102.6 153 546 +1997 12 28 0 13 PATTY 62.7 2.1 87 10 +1950 5 5 6 2 SANDY 20.2 108.6 41 51 +1997 2 26 12 5 OSCAR 57.6 153.0 21 793 +1977 11 15 6 19 CHRIS 40.9 134.2 10 227 +1958 9 11 18 12 ISAAC 12.8 197.1 17 268 +1956 12 21 12 11 MICHAEL 12.2 18.2 156 82 +1965 6 8 6 6 VALERIE 11.9 257.3 160 244 +1999 5 9 0 20 MICHAEL 8.0 296.7 100 148 +1999 7 19 12 4 KIRK 22.2 236.8 62 503 +1962 12 11 6 14 LESLIE 62.7 33.6 153 561 +1982 11 10 18 11 NADINE 10.1 240.4 22 677 +1997 7 9 0 10 OSCAR 57.9 203.7 94 24 +1997 5 15 0 11 JOYCE 15.4 236.5 141 573 +1990 10 6 0 28 NADINE 47.7 292.6 99 444 +2000 1 10 0 2 RAFAEL 43.8 276.4 162 262 +1968 6 22 12 4 NADINE 45.3 44.2 97 27 +1987 9 17 18 27 FLORENCE 46.7 153.1 115 119 +1984 2 16 6 2 JOYCE 66.9 56.9 21 739 +1956 4 22 18 21 JOYCE 22.9 38.2 31 727 +2001 1 16 12 6 BERYL 19.5 43.8 121 682 +1955 3 16 18 7 SANDY 59.7 280.0 51 381 +1964 8 3 6 12 HELENE 66.5 177.1 85 536 +1950 9 23 18 25 DEBBY 55.2 23.2 38 765 +1970 11 19 0 4 MICHAEL 65.6 156.9 35 469 +1991 9 6 12 8 KIRK 52.5 351.5 70 356 +1993 4 13 0 24 SANDY 53.3 316.3 34 61 +2002 10 13 0 10 BERYL 49.6 57.0 11 725 +1968 7 4 6 27 JOYCE 60.5 353.4 18 591 +1950 3 22 12 2 KIRK 68.4 333.4 37 667 +1972 1 13 12 3 WILLIAM 55.5 219.5 155 44 +1965 3 21 12 12 MICHAEL 31.7 70.3 90 884 +1995 10 24 6 2 JOYCE 37.6 121.6 83 502 +1968 9 7 6 28 GORDON 11.9 249.0 33 884 +1977 7 16 0 26 CHRIS 8.2 17.8 16 92 +1984 10 28 12 22 DEBBY 37.7 220.6 24 567 +2003 12 6 12 21 LESLIE 14.6 172.5 164 417 +1954 2 2 12 10 HELENE 54.9 351.0 59 290 +1952 10 12 12 8 FLORENCE 36.9 262.2 115 776 +1968 1 2 6 11 GORDON 19.2 50.3 18 613 +1958 6 2 18 16 JOYCE 30.2 320.8 138 799 +1972 1 21 6 8 JOYCE 29.3 41.1 46 225 +1976 4 27 12 7 SANDY 27.6 62.4 131 405 +1997 6 11 18 26 SANDY 44.2 252.4 128 255 +1985 5 4 0 7 VALERIE 22.9 320.0 114 90 +1972 6 8 12 7 DEBBY 45.1 248.3 103 89 +1951 11 8 18 6 FLORENCE 61.8 132.6 70 456 +1979 8 13 12 19 NADINE 58.2 356.2 76 862 +1956 1 24 12 19 HELENE 38.3 347.6 14 366 +1974 3 9 12 9 VALERIE 21.9 333.3 152 303 +1959 2 10 6 5 JOYCE 29.4 104.1 142 193 +1964 8 23 18 22 WILLIAM 41.7 18.6 86 401 +1954 10 1 12 1 RAFAEL 31.7 108.7 77 830 +1981 8 21 18 26 TONY 67.3 51.4 156 552 +1989 12 6 6 22 WILLIAM 12.9 269.5 54 678 +1995 6 25 6 9 TONY 8.6 52.9 65 244 +1975 2 20 12 9 HELENE 18.2 26.5 82 630 +1951 4 13 0 6 NADINE 9.0 77.9 157 766 +1974 1 4 0 20 ISAAC 27.8 90.3 55 542 +1995 7 23 6 18 PATTY 16.7 163.6 140 17 +2000 4 14 0 15 WILLIAM 31.1 97.1 61 815 +1993 10 18 0 13 MICHAEL 36.1 202.8 83 578 +1971 6 11 0 25 LESLIE 50.1 253.7 100 653 +1991 7 6 6 1 GORDON 45.9 224.3 149 71 +1968 2 1 0 11 BERYL 59.1 127.3 11 263 +1956 10 3 18 25 HELENE 42.9 351.8 154 643 +1993 9 27 6 19 WILLIAM 12.4 18.4 49 229 +2004 10 5 6 27 ERNESTO 24.2 12.8 91 282 +1975 8 18 18 9 WILLIAM 50.3 91.5 133 296 +1990 6 23 0 3 VALERIE 27.4 251.2 29 849 +1967 3 25 0 10 ISAAC 26.6 114.5 144 598 +1981 4 7 12 1 NADINE 11.2 82.3 129 554 +1951 1 8 6 23 NADINE 32.7 241.3 156 244 +1993 9 18 0 17 GORDON 67.4 243.1 39 366 +1991 7 17 0 28 VALERIE 12.8 132.9 136 570 +1968 11 4 12 3 CHRIS 55.8 149.4 29 874 +2003 1 12 0 2 TONY 10.8 276.2 142 877 +1972 1 28 12 3 WILLIAM 54.6 61.9 98 631 +1954 8 5 12 12 OSCAR 13.9 285.5 71 454 +1995 5 8 6 23 ISAAC 20.4 57.2 162 319 +1969 4 10 18 20 ALBERTO 21.4 170.4 138 291 +1995 8 18 0 24 VALERIE 17.6 129.9 94 594 +1955 4 23 18 14 ISAAC 55.5 345.0 37 463 +1952 1 8 0 28 OSCAR 31.5 356.9 152 475 +1963 6 27 0 8 ERNESTO 18.2 37.5 139 310 +1950 7 2 12 15 TONY 16.2 132.4 36 443 +1980 4 2 12 25 DEBBY 58.7 164.6 146 497 +1986 5 7 12 22 ALBERTO 30.6 106.1 139 34 +1999 7 6 12 15 BERYL 37.3 337.0 29 435 +1995 12 14 6 28 DEBBY 36.5 271.6 101 585 +1988 9 25 12 15 ALBERTO 25.9 192.7 83 11 +1996 8 8 6 23 MICHAEL 33.1 218.5 116 51 +1980 1 1 0 9 ERNESTO 41.9 49.5 81 877 +1964 2 7 0 12 DEBBY 12.4 254.6 60 98 +1996 4 28 18 9 NADINE 8.4 97.2 106 301 +1958 7 4 6 4 SANDY 59.3 219.0 18 892 +1950 11 3 6 17 TONY 10.3 195.1 135 276 +1989 6 2 0 13 OSCAR 22.7 125.0 76 471 +1985 7 7 0 3 VALERIE 45.7 345.7 85 791 +2000 8 11 18 18 VALERIE 30.9 48.1 21 423 +1968 6 6 12 18 JOYCE 13.5 153.9 36 845 +1975 3 22 6 6 VALERIE 54.5 343.8 129 898 +1989 12 8 12 12 HELENE 11.5 329.8 36 782 +2001 9 20 18 21 VALERIE 16.5 221.5 144 61 +2000 12 23 0 11 ERNESTO 54.3 207.8 119 620 +1987 11 18 12 6 WILLIAM 53.4 268.8 162 849 +1995 2 28 0 15 ERNESTO 41.7 265.3 60 80 +1983 1 11 12 2 KIRK 40.4 14.0 37 347 +1951 6 14 0 2 MICHAEL 23.4 200.5 145 361 +1957 5 7 18 24 NADINE 68.5 354.6 12 97 +1997 11 1 18 2 LESLIE 7.3 71.8 131 550 +1968 7 25 0 3 WILLIAM 29.1 23.2 16 462 +1996 12 28 18 22 KIRK 36.6 304.0 94 542 +1974 6 24 18 18 PATTY 58.9 300.2 155 352 +1963 12 17 0 8 LESLIE 26.5 33.5 158 844 +1992 8 23 12 13 OSCAR 34.1 131.2 17 774 +1959 3 26 18 25 TONY 15.1 227.2 73 318 +1995 8 21 18 10 LESLIE 48.6 191.1 26 173 +1981 10 28 18 2 CHRIS 23.3 307.6 48 433 +1991 1 7 6 13 MICHAEL 11.5 291.1 68 732 +1955 3 20 0 20 WILLIAM 28.3 100.8 91 849 +1985 12 12 6 11 DEBBY 60.7 323.1 153 279 +2002 2 24 0 26 VALERIE 55.6 344.4 157 436 +1958 10 10 18 23 GORDON 10.0 265.7 162 387 +1978 3 21 18 21 ERNESTO 22.5 317.0 155 589 +1987 6 14 6 22 JOYCE 46.7 328.8 12 372 +1984 5 15 12 9 PATTY 42.9 254.8 59 20 +1989 10 2 18 12 PATTY 56.5 134.7 146 821 +1960 3 2 6 5 PATTY 23.4 137.3 107 405 +1996 11 22 12 21 PATTY 66.6 70.4 79 213 +1951 9 22 18 18 SANDY 53.7 2.3 157 385 +1958 7 15 12 15 RAFAEL 35.7 274.2 119 848 +2004 11 9 0 7 FLORENCE 32.9 324.7 39 193 +1951 5 14 12 14 SANDY 37.7 125.3 86 43 +1991 6 5 0 24 VALERIE 21.4 46.0 120 867 +1984 11 18 6 22 CHRIS 50.2 196.5 144 651 +1965 9 15 0 22 ERNESTO 39.9 37.4 28 152 +2002 12 22 18 9 ALBERTO 63.6 347.2 101 610 +1973 11 2 18 28 LESLIE 62.9 82.0 70 680 +1963 6 22 18 14 HELENE 18.0 106.1 160 775 +1984 7 26 0 27 SANDY 29.2 175.4 73 494 +2001 7 13 6 8 FLORENCE 67.0 137.0 78 552 +1975 8 9 6 9 VALERIE 13.3 107.2 111 439 +1954 12 3 0 21 JOYCE 47.3 61.6 150 627 +1975 11 17 0 2 ISAAC 11.6 285.8 107 744 +1994 1 17 0 14 LESLIE 44.2 240.3 21 440 +1971 11 12 18 9 TONY 44.4 193.8 105 410 +1988 2 7 6 3 MICHAEL 9.3 295.1 48 68 +2004 2 4 12 16 KIRK 36.3 125.7 78 73 +1988 5 11 12 19 LESLIE 11.6 315.5 35 307 +1966 8 17 0 9 DEBBY 36.7 290.7 45 811 +1963 11 19 0 12 LESLIE 26.0 356.0 123 430 +2003 7 23 0 6 VALERIE 61.4 201.9 89 367 +1972 7 27 12 3 FLORENCE 26.7 151.2 37 60 +2003 12 1 6 8 PATTY 11.9 109.5 42 638 +2004 3 5 6 27 HELENE 54.1 84.2 130 787 +1977 10 5 12 23 WILLIAM 17.6 295.6 118 672 +1999 9 23 18 20 RAFAEL 7.1 38.7 75 58 +1979 9 24 18 20 ALBERTO 19.7 152.6 88 670 +1956 11 22 12 22 HELENE 60.4 287.0 112 801 +1962 4 12 12 1 DEBBY 63.1 44.8 141 653 +1960 2 18 18 25 WILLIAM 38.9 238.2 75 300 +1978 2 8 0 23 PATTY 21.2 71.3 154 874 +1984 11 6 0 11 LESLIE 68.0 60.5 75 366 +1964 8 25 12 23 KIRK 55.8 7.5 164 803 +1980 6 20 6 23 ALBERTO 63.2 187.4 92 842 +1989 11 24 18 26 WILLIAM 65.9 349.8 128 802 +1977 6 27 12 23 FLORENCE 13.3 255.7 79 105 +1957 7 3 0 7 BERYL 14.0 308.0 36 779 +1995 5 9 12 18 ERNESTO 32.5 332.1 164 50 +1996 2 13 18 18 SANDY 55.5 99.7 106 444 +1950 2 12 18 16 RAFAEL 63.3 189.0 42 659 +1950 1 15 6 7 ALBERTO 62.6 90.5 132 837 +1955 11 13 6 22 FLORENCE 21.2 212.5 138 16 +1969 10 3 6 9 ALBERTO 34.5 210.2 131 612 +1976 12 3 6 8 HELENE 11.5 180.7 88 23 +1954 7 12 12 8 FLORENCE 35.5 292.0 146 595 +2004 5 26 0 23 FLORENCE 42.3 9.4 12 614 +1993 8 7 18 18 MICHAEL 55.8 309.3 54 557 +1971 12 28 18 8 ERNESTO 66.1 291.7 35 133 +1961 2 6 18 24 KIRK 44.7 316.4 152 774 +1996 8 14 0 14 GORDON 47.1 357.3 135 516 +2003 12 14 12 16 SANDY 27.5 173.6 145 86 +1956 5 15 18 20 WILLIAM 32.8 1.5 141 850 +1973 12 24 6 4 SANDY 61.3 211.4 47 355 +1962 10 23 18 11 VALERIE 28.0 131.5 159 812 +1966 2 11 18 4 NADINE 29.7 239.8 20 863 +1965 10 11 6 8 JOYCE 13.2 145.5 81 899 +1997 4 1 0 23 KIRK 30.1 167.6 158 620 +1971 11 4 18 20 VALERIE 34.0 86.8 31 547 +1981 3 15 6 25 HELENE 44.7 229.8 33 838 +1985 10 15 18 2 KIRK 13.2 108.6 112 180 +1999 4 6 18 16 GORDON 26.5 118.0 42 305 +1968 2 18 6 3 PATTY 46.2 287.4 68 36 +1976 11 2 0 10 DEBBY 40.0 267.7 28 624 +1975 2 5 6 23 OSCAR 26.0 47.7 49 243 +1956 12 28 12 2 ALBERTO 52.1 349.1 79 128 +1977 3 21 18 28 ERNESTO 53.8 53.1 122 337 +1952 1 14 6 2 ALBERTO 43.9 311.2 151 666 +1979 5 28 6 13 ERNESTO 63.9 292.5 93 846 +1977 1 27 18 9 NADINE 25.2 326.1 122 753 +1975 7 5 0 3 SANDY 50.2 166.6 22 174 +1989 11 25 0 1 VALERIE 7.5 205.9 145 745 +1995 5 10 0 23 BERYL 33.7 151.5 32 260 +1982 2 1 6 7 ALBERTO 57.0 65.6 29 723 +1954 4 20 12 17 RAFAEL 28.5 47.7 85 638 +1984 2 1 6 11 TONY 69.2 229.3 108 474 +2000 8 11 12 7 ISAAC 60.5 141.2 35 895 +1985 1 20 18 22 KIRK 49.7 108.1 145 182 +1956 9 1 18 11 HELENE 42.4 305.0 28 173 +1962 5 5 12 18 DEBBY 59.3 98.1 38 55 +1972 7 10 18 28 SANDY 40.8 276.9 155 542 +1960 9 16 6 17 FLORENCE 33.2 255.9 147 838 +1980 1 4 18 21 TONY 61.0 82.7 164 769 +1967 6 6 0 21 MICHAEL 44.1 230.7 63 745 +1962 8 2 0 11 LESLIE 49.0 135.8 82 112 +2003 2 5 0 13 ISAAC 20.4 325.0 21 221 +1956 3 4 18 9 NADINE 45.7 268.7 161 17 +1965 3 17 0 14 ERNESTO 8.3 0.3 160 680 +1953 7 25 6 22 MICHAEL 57.2 145.0 113 535 +1975 9 11 18 6 HELENE 48.2 44.3 42 501 +2001 4 21 0 21 VALERIE 27.9 278.8 48 195 +1959 12 16 0 13 GORDON 39.6 3.2 152 820 +1965 10 24 18 19 FLORENCE 25.3 333.3 36 469 +1989 4 2 18 5 SANDY 55.0 257.4 64 618 +1953 2 16 0 20 LESLIE 54.8 234.9 108 376 +1998 6 24 18 22 SANDY 57.1 234.8 121 616 +2002 10 23 12 8 FLORENCE 51.1 123.6 25 432 +1963 11 19 12 19 GORDON 68.7 120.3 114 134 +1986 10 5 0 4 LESLIE 24.3 323.1 54 871 +1964 8 25 0 12 ERNESTO 10.2 216.5 20 442 +1969 10 8 18 20 OSCAR 44.0 302.3 164 539 +1992 12 9 18 7 WILLIAM 33.2 119.0 107 526 +1956 2 19 0 21 ISAAC 28.8 274.5 12 885 +1996 11 13 0 17 ALBERTO 44.6 281.7 163 505 +1984 3 6 6 25 LESLIE 15.9 17.1 131 603 +1965 4 6 0 9 VALERIE 54.0 235.8 45 553 +1967 10 26 0 22 PATTY 68.8 39.0 160 679 +1994 2 13 0 4 TONY 20.3 71.8 108 860 +1973 11 6 6 5 OSCAR 13.0 338.7 144 797 +1957 5 3 0 16 OSCAR 32.6 341.7 84 24 +1965 12 26 18 24 PATTY 52.9 49.2 127 657 +1962 11 28 18 15 ALBERTO 64.7 198.9 116 673 +1956 12 6 0 23 NADINE 27.2 178.8 44 371 +1994 10 15 6 3 DEBBY 21.1 173.6 39 319 +1979 1 8 12 28 SANDY 11.6 307.7 38 820 +1985 3 7 6 21 VALERIE 15.1 4.4 147 344 +2001 6 2 18 4 TONY 47.3 263.1 121 684 +1994 11 7 6 27 SANDY 30.2 67.5 88 641 +1951 11 15 12 15 DEBBY 35.3 33.2 93 648 +2004 10 5 12 8 SANDY 8.8 239.8 53 511 +1958 3 4 6 11 SANDY 35.3 103.0 106 53 +1995 12 10 12 28 CHRIS 41.8 308.4 79 389 +1988 5 5 18 13 CHRIS 35.9 184.9 11 140 +1999 10 28 12 19 VALERIE 32.7 265.2 103 602 +1957 8 19 0 23 OSCAR 33.3 107.5 121 588 +1970 7 17 0 13 BERYL 31.0 119.1 60 753 +1997 6 25 18 24 VALERIE 67.3 116.0 100 359 +1997 11 5 12 16 NADINE 14.7 39.9 46 697 +1994 6 21 6 5 VALERIE 18.7 123.1 158 694 +1997 5 8 6 9 ISAAC 43.0 46.8 29 361 +1988 5 23 12 24 PATTY 19.2 37.8 20 664 +1999 9 17 6 16 GORDON 52.6 355.5 69 31 +1958 3 27 12 24 BERYL 27.1 140.8 32 883 +1966 2 24 0 2 OSCAR 9.5 337.5 77 60 +1963 9 24 12 5 TONY 34.9 176.6 104 441 +1989 7 14 0 13 KIRK 19.4 321.1 52 616 +1985 6 20 6 18 SANDY 23.7 186.8 129 228 +1992 5 9 18 2 BERYL 11.4 15.2 114 478 +1964 6 28 0 13 DEBBY 30.2 261.5 160 174 +1986 3 27 0 4 NADINE 61.9 303.1 123 198 +1985 1 16 12 11 MICHAEL 29.9 289.3 58 195 +1997 8 5 0 24 HELENE 37.3 320.9 108 339 +1957 2 16 6 15 NADINE 64.3 345.1 48 533 +1972 6 5 18 9 VALERIE 54.6 155.2 10 626 +1971 6 23 0 14 PATTY 67.1 139.8 50 377 +1966 7 25 0 14 MICHAEL 31.1 80.7 86 322 +1960 9 22 0 8 ERNESTO 68.7 353.6 128 78 +1996 5 17 12 19 GORDON 28.0 129.8 128 611 +1972 10 26 0 28 ISAAC 27.9 267.1 82 522 +1951 9 23 12 26 VALERIE 46.8 105.2 88 693 +1954 6 26 6 14 CHRIS 25.0 289.1 88 232 +1994 6 14 12 22 ISAAC 36.5 282.8 52 719 +1958 1 8 6 22 LESLIE 69.4 310.6 67 376 +1968 10 24 12 7 FLORENCE 66.7 299.3 130 705 +1980 2 12 18 6 CHRIS 47.6 233.4 129 208 +1974 5 26 6 9 JOYCE 33.0 34.4 159 450 +1970 10 9 6 9 FLORENCE 61.7 168.1 43 51 +1965 9 8 0 2 NADINE 23.0 20.4 19 640 +1969 11 13 0 3 VALERIE 54.0 176.2 150 112 +1969 1 11 12 22 FLORENCE 64.2 134.7 159 49 +1981 3 10 6 9 BERYL 47.8 332.5 133 782 +1993 3 11 0 2 SANDY 22.8 68.6 47 277 +1983 3 8 18 16 GORDON 65.8 214.3 126 584 +1983 11 9 6 20 WILLIAM 29.7 108.2 24 328 +1973 10 14 12 22 FLORENCE 41.1 339.1 163 134 +1962 2 11 6 24 CHRIS 54.1 193.3 45 137 +1969 3 2 18 5 WILLIAM 61.7 169.5 10 736 +1984 2 13 12 10 BERYL 67.5 73.5 10 781 +2003 5 14 0 10 HELENE 19.9 175.3 59 190 +2003 10 2 12 27 GORDON 40.2 70.6 80 401 +2004 4 20 6 19 ALBERTO 41.6 196.3 120 115 +2004 6 18 0 20 BERYL 37.8 59.5 66 133 +1954 9 19 12 12 WILLIAM 67.5 43.7 46 149 +1981 2 11 12 10 ISAAC 48.5 172.1 132 19 +1998 12 19 0 23 GORDON 7.1 288.6 85 95 +1980 6 18 18 20 DEBBY 46.0 42.4 149 387 +2000 3 20 6 9 SANDY 61.7 333.5 47 1 +1981 3 27 18 23 BERYL 41.5 300.9 75 481 +1959 11 22 18 6 ALBERTO 54.3 305.9 87 705 +1968 2 15 6 10 OSCAR 50.9 133.0 134 112 +1987 11 23 18 11 ERNESTO 23.5 286.8 122 139 +1968 11 7 6 5 SANDY 56.9 64.7 44 745 +1950 4 3 18 9 SANDY 29.0 312.9 20 127 +1969 7 23 12 9 PATTY 34.8 21.9 115 416 +1959 10 8 0 12 SANDY 32.6 241.9 75 311 +1960 7 6 12 8 ALBERTO 7.2 297.6 66 726 +1963 1 28 0 9 TONY 35.7 295.4 53 442 +1997 10 19 12 6 MICHAEL 11.4 161.8 46 254 +1993 2 6 18 6 TONY 36.3 347.7 103 660 +1958 12 9 6 23 CHRIS 17.4 283.2 125 742 +2004 10 22 6 5 DEBBY 20.6 255.7 20 364 +1974 12 3 18 20 GORDON 61.3 253.4 148 62 +1977 2 20 6 19 ERNESTO 57.4 270.8 137 128 +1953 9 15 6 22 MICHAEL 39.2 156.3 102 178 +1984 5 12 18 8 NADINE 21.6 345.0 17 118 +1989 12 19 18 1 VALERIE 44.8 210.5 159 689 +1997 9 11 18 4 KIRK 47.1 343.3 50 314 +1982 11 22 12 24 BERYL 10.4 239.0 15 433 +1967 6 16 18 28 BERYL 26.3 352.7 159 225 +1953 2 4 6 11 TONY 30.2 73.3 135 602 +1997 9 6 0 20 GORDON 55.8 230.3 78 471 +1962 3 8 0 3 ERNESTO 39.5 130.8 44 514 +1975 3 4 6 28 VALERIE 26.6 160.5 138 669 +1989 8 24 0 24 OSCAR 63.5 325.8 71 557 +1953 11 15 12 8 CHRIS 12.9 330.5 38 557 +1976 2 1 0 6 HELENE 35.4 24.8 64 557 +1970 1 20 6 28 CHRIS 11.4 313.1 34 434 +1971 4 3 18 15 WILLIAM 62.8 51.4 65 546 +1967 6 20 6 3 SANDY 38.8 189.9 109 176 +1953 6 15 6 3 DEBBY 13.2 267.4 57 635 +1974 3 28 12 12 VALERIE 34.6 85.2 145 739 +1975 10 17 12 28 FLORENCE 54.6 233.7 16 277 +1968 10 17 18 11 HELENE 64.7 134.4 112 59 +1967 12 14 12 13 RAFAEL 50.2 218.7 128 381 +1963 2 27 18 27 DEBBY 61.7 221.0 163 243 +1999 6 4 12 16 HELENE 45.5 131.6 79 501 +1997 2 27 12 28 WILLIAM 44.7 251.5 128 281 +1977 3 22 12 23 BERYL 26.1 189.5 67 285 +1982 2 27 0 21 RAFAEL 40.0 77.6 72 74 +1986 10 3 6 15 ALBERTO 23.0 63.2 144 501 +1984 4 23 0 5 ERNESTO 28.3 162.0 107 182 +1989 6 20 0 3 DEBBY 34.3 154.0 124 405 +1951 12 10 0 7 VALERIE 54.3 35.5 49 165 +1951 12 9 12 15 NADINE 40.9 191.2 97 899 +1961 3 8 0 19 NADINE 28.3 175.1 13 581 +1978 2 3 18 1 TONY 17.4 309.5 108 269 +2001 1 22 12 25 FLORENCE 46.7 100.4 152 761 +1982 9 21 6 4 JOYCE 40.3 153.4 89 837 +1971 5 11 0 6 ISAAC 39.7 102.7 65 231 +1968 8 10 12 9 MICHAEL 47.0 258.8 30 91 +1999 4 9 0 5 VALERIE 36.7 91.7 29 518 +2001 1 6 6 16 JOYCE 59.5 177.9 103 265 +1962 1 5 0 8 VALERIE 48.6 2.0 111 655 +2001 6 10 12 27 VALERIE 21.3 258.1 148 88 +1999 10 28 0 27 PATTY 12.5 84.9 19 643 +1970 4 15 6 17 RAFAEL 58.1 8.0 74 353 +1966 4 3 12 26 PATTY 42.6 275.4 39 591 +2000 4 23 6 2 TONY 24.7 159.6 119 341 +1963 9 19 6 3 CHRIS 27.4 283.4 89 562 +1969 10 11 6 28 GORDON 25.9 252.1 23 103 +1994 12 27 12 7 OSCAR 28.6 25.9 36 165 +1963 8 3 6 5 VALERIE 23.4 234.4 159 477 +1985 6 19 18 9 NADINE 64.8 77.7 105 577 +1995 9 10 18 15 RAFAEL 54.0 70.3 11 422 +1974 7 15 12 17 OSCAR 58.4 296.3 49 589 +1979 4 19 0 18 ERNESTO 38.5 160.3 101 253 +1985 9 24 0 16 BERYL 27.1 25.2 60 277 +1983 1 3 18 2 VALERIE 22.9 212.2 161 179 +1979 10 25 0 14 OSCAR 52.8 73.0 149 488 +1957 6 15 6 2 HELENE 36.8 309.4 43 828 +2004 1 12 12 12 TONY 65.2 278.8 52 369 +1970 2 5 0 11 RAFAEL 15.7 252.0 113 721 +1964 6 26 0 3 MICHAEL 57.0 143.1 20 368 +1974 11 25 0 2 OSCAR 57.9 146.9 110 440 +1979 1 23 12 3 PATTY 68.2 41.2 21 43 +1999 10 18 18 3 SANDY 19.9 330.1 12 785 +1958 8 10 18 26 ERNESTO 20.4 185.9 11 892 +1995 5 9 18 2 VALERIE 20.0 195.0 34 820 +1986 6 26 18 1 SANDY 23.1 282.6 77 715 +1971 12 26 6 22 ISAAC 42.9 232.0 91 271 +1976 2 23 18 3 LESLIE 66.9 345.5 14 473 +2001 1 23 12 19 PATTY 15.7 40.6 38 223 +1954 4 7 12 17 MICHAEL 48.3 52.2 58 124 +1981 2 13 0 15 CHRIS 23.9 282.9 64 782 +1968 6 20 6 12 CHRIS 59.1 131.4 122 98 +1968 9 17 0 16 ERNESTO 29.0 54.8 114 436 +1980 2 15 6 19 MICHAEL 62.7 179.0 69 131 +1955 7 8 12 13 BERYL 22.9 323.9 69 733 +1976 8 9 0 9 DEBBY 7.1 123.4 76 499 +1984 6 21 6 21 TONY 63.9 342.0 53 200 +1952 11 19 12 3 KIRK 46.4 69.5 17 137 +1970 9 28 18 8 SANDY 27.1 321.4 35 163 +1994 7 8 0 14 BERYL 43.5 270.1 152 467 +1980 3 12 6 22 HELENE 30.5 327.8 134 791 +1953 7 25 12 21 WILLIAM 64.4 338.6 123 129 +1958 3 3 18 22 BERYL 32.7 268.0 67 46 +1979 7 3 0 9 FLORENCE 21.0 131.7 139 784 +1951 8 10 6 1 VALERIE 52.2 266.3 106 845 +1952 6 20 18 8 MICHAEL 64.5 118.2 105 856 +1982 3 12 0 22 DEBBY 24.5 305.3 143 797 +1950 2 26 0 23 OSCAR 49.5 267.4 103 460 +1976 9 9 12 24 RAFAEL 44.1 256.2 69 683 +1994 1 23 0 6 RAFAEL 69.3 31.4 154 797 +1980 11 22 0 7 ISAAC 15.6 121.1 122 877 +1974 11 6 12 9 CHRIS 17.4 261.5 35 458 +1962 11 19 0 22 MICHAEL 18.6 15.3 140 35 +1969 10 17 6 3 KIRK 11.5 350.3 139 486 +1963 4 6 6 8 HELENE 63.7 209.3 33 404 +1962 4 9 12 19 SANDY 29.6 198.4 62 517 +1951 7 17 0 6 TONY 23.9 51.7 45 432 +1954 8 26 0 22 NADINE 29.4 313.0 108 656 +2002 6 11 6 12 FLORENCE 34.9 215.9 150 605 +1960 8 16 0 24 CHRIS 15.1 3.1 37 94 +1998 6 9 18 24 HELENE 42.4 232.7 128 653 +1954 9 16 12 11 OSCAR 59.3 330.5 102 79 +1980 8 16 12 3 KIRK 52.9 227.2 56 540 +1993 3 18 0 25 RAFAEL 37.3 298.8 11 83 +1974 10 2 6 20 OSCAR 55.7 247.3 134 465 +1967 9 9 18 6 CHRIS 39.3 68.9 150 294 +1971 6 2 6 10 VALERIE 22.9 285.0 134 547 +1993 4 28 0 27 PATTY 9.5 184.7 31 64 +1955 5 12 18 2 ISAAC 27.8 102.6 21 605 +1997 1 13 0 22 JOYCE 8.7 263.8 66 861 +1980 4 19 18 14 RAFAEL 42.7 155.4 32 492 +2004 7 11 12 21 PATTY 32.1 235.6 73 343 +2001 12 26 12 15 VALERIE 41.0 275.1 19 128 +1959 7 15 0 26 JOYCE 14.2 205.9 92 29 +1998 9 23 6 5 WILLIAM 37.8 58.3 67 236 +1950 5 24 6 23 LESLIE 51.2 339.3 38 316 +1989 4 28 6 2 HELENE 15.7 287.0 32 817 +1982 11 21 6 16 GORDON 24.4 57.3 36 188 +1988 12 16 6 16 RAFAEL 54.0 38.7 14 312 +1998 3 26 12 12 PATTY 14.4 206.0 132 60 +1979 5 18 12 17 ISAAC 35.8 169.6 76 818 +1950 5 10 18 2 ERNESTO 11.5 292.2 154 891 +1960 9 22 0 21 ISAAC 25.0 60.0 61 212 +1994 9 26 0 3 LESLIE 37.5 98.5 21 709 +1985 1 20 12 21 WILLIAM 37.8 231.5 134 297 +1959 5 18 12 7 ERNESTO 27.0 16.7 105 182 +1974 8 2 18 8 WILLIAM 65.0 231.2 156 871 +1955 5 12 6 3 WILLIAM 46.9 224.2 56 892 +1972 9 21 0 5 TONY 42.2 180.0 97 642 +1996 4 9 6 12 SANDY 8.7 268.7 11 246 +2000 1 20 6 25 DEBBY 18.1 10.8 47 506 +1987 4 18 0 16 SANDY 64.7 44.6 123 455 +1980 5 16 12 1 BERYL 20.3 185.7 50 225 +1970 10 2 18 20 FLORENCE 30.2 207.5 106 364 +1963 1 15 18 4 JOYCE 66.6 118.7 23 407 +1965 2 19 0 6 SANDY 68.6 305.0 37 190 +1965 8 24 6 15 CHRIS 44.6 158.8 65 859 +1957 9 9 0 28 KIRK 52.8 353.6 46 542 +1965 1 26 18 4 MICHAEL 11.3 106.7 18 449 +1984 2 28 0 10 WILLIAM 7.7 161.9 99 144 +1969 12 27 12 14 FLORENCE 43.6 120.5 54 405 +1961 10 1 18 10 WILLIAM 67.9 336.0 64 223 +1980 11 19 0 19 BERYL 44.9 76.0 156 547 +1974 10 28 12 3 JOYCE 44.3 112.0 146 57 +1952 3 8 6 10 RAFAEL 55.8 234.4 130 394 +1997 6 21 18 5 ERNESTO 9.4 252.8 25 424 +1992 8 4 0 7 FLORENCE 65.3 349.7 119 720 +1956 8 18 18 14 PATTY 26.3 195.2 114 80 +1986 12 26 6 22 VALERIE 18.6 205.7 73 882 +1958 9 5 12 14 PATTY 46.2 102.4 144 575 +1959 11 19 6 21 CHRIS 50.4 299.7 63 600 +2003 10 10 12 7 BERYL 68.9 257.5 91 141 +1968 6 15 6 18 ERNESTO 39.0 264.5 156 812 +1965 9 28 6 27 LESLIE 65.7 55.7 97 731 +1997 4 17 6 28 ALBERTO 41.3 203.9 121 394 +1961 8 25 18 23 SANDY 17.6 102.5 16 203 +1955 7 25 0 21 WILLIAM 50.5 324.4 19 773 +1977 11 24 0 20 ISAAC 69.8 237.6 146 271 +1971 6 24 18 26 JOYCE 45.0 102.8 142 547 +2003 12 6 18 24 WILLIAM 26.9 6.5 106 59 +1971 7 2 18 22 NADINE 13.7 108.8 138 293 +1985 7 18 6 21 JOYCE 58.8 310.1 100 412 +1980 3 16 18 26 JOYCE 18.5 4.7 51 469 +1996 5 2 6 7 MICHAEL 56.7 311.7 124 655 +1971 7 6 6 20 PATTY 60.9 137.7 31 446 +1976 4 26 18 15 TONY 13.8 250.4 27 90 +1952 9 16 12 8 PATTY 46.9 333.6 130 743 +1968 7 14 18 27 ERNESTO 11.9 248.2 118 145 +1978 5 2 12 27 GORDON 43.1 126.6 123 690 +1989 1 23 6 8 GORDON 13.6 127.5 17 39 +1976 7 4 18 10 MICHAEL 65.0 351.3 148 33 +2004 4 15 12 24 ALBERTO 62.3 117.4 26 21 +1983 12 27 12 22 ISAAC 32.0 163.9 57 546 +2003 7 10 18 5 PATTY 45.9 166.1 42 166 +1957 12 24 0 18 NADINE 29.9 303.0 33 11 +1970 12 7 0 17 GORDON 13.9 18.0 143 718 +1960 8 19 6 18 BERYL 12.5 262.5 40 301 +1954 7 5 18 15 VALERIE 11.3 265.4 54 289 +1953 12 22 18 15 WILLIAM 8.4 105.0 23 428 +1988 11 9 6 10 NADINE 38.6 270.1 117 452 +1978 6 2 12 7 SANDY 33.1 41.8 99 792 +1952 6 10 18 14 MICHAEL 33.9 223.1 155 56 +1986 4 4 12 16 ALBERTO 50.6 243.7 61 698 +1990 11 22 0 4 RAFAEL 23.9 127.8 155 143 +1956 3 15 6 11 NADINE 65.0 148.3 110 517 +1958 12 22 18 25 WILLIAM 57.9 123.6 105 718 +1962 1 18 0 23 BERYL 69.0 145.3 122 757 +1975 9 28 12 18 VALERIE 43.0 235.6 55 319 +1957 2 15 0 4 TONY 62.6 59.6 54 7 +1984 11 1 18 21 FLORENCE 27.4 170.6 142 107 +2004 2 1 6 2 PATTY 56.5 43.4 82 609 +1953 9 16 6 17 OSCAR 38.6 65.5 26 405 +1997 4 6 6 27 ISAAC 32.2 166.2 51 225 +1986 7 9 18 22 MICHAEL 32.5 243.8 120 631 +1982 4 24 18 5 RAFAEL 57.2 171.2 53 383 +1960 10 12 0 11 ALBERTO 64.6 334.5 144 837 +1963 7 23 18 27 KIRK 64.9 322.0 147 132 +1999 8 1 0 9 ERNESTO 19.6 127.8 154 487 +1994 10 16 0 20 VALERIE 10.7 192.1 25 222 +1952 5 11 18 18 WILLIAM 55.7 0.1 99 300 +2004 4 21 12 6 ALBERTO 55.9 205.2 104 856 +1975 11 8 12 22 BERYL 31.3 245.4 49 162 +1993 5 7 18 25 NADINE 43.4 15.4 58 739 +1954 9 25 0 22 LESLIE 49.1 14.7 111 702 +1962 10 20 18 12 FLORENCE 62.3 288.2 67 432 +1959 1 14 0 4 BERYL 35.5 332.0 37 694 +1974 12 6 12 9 HELENE 29.8 327.5 33 674 +1975 12 13 18 25 TONY 63.8 9.6 144 684 +2001 12 3 12 28 RAFAEL 62.0 356.6 105 220 +1999 9 11 12 12 DEBBY 7.5 111.2 118 591 +1981 6 7 12 27 CHRIS 21.8 198.9 111 811 +1976 7 21 6 25 ALBERTO 50.7 104.9 100 195 +1994 11 7 6 24 DEBBY 37.0 260.9 58 146 +1963 6 15 0 7 FLORENCE 14.6 86.5 80 458 +2000 10 11 0 5 GORDON 8.5 40.9 51 721 +1989 5 27 12 16 SANDY 8.9 214.9 30 424 +1984 4 20 6 21 LESLIE 44.9 214.0 69 664 +1992 2 8 0 4 VALERIE 33.7 301.2 137 350 +1992 4 14 18 10 ISAAC 49.5 312.6 42 120 +1982 5 2 18 19 SANDY 43.0 180.0 155 510 +1989 2 3 6 13 CHRIS 68.5 100.2 94 305 +1962 12 2 12 3 WILLIAM 14.6 27.8 114 185 +1966 8 21 6 3 OSCAR 43.6 173.5 114 557 +1974 1 7 12 6 OSCAR 62.1 212.0 35 500 +1966 5 2 18 9 RAFAEL 54.4 141.1 120 707 +1991 11 15 6 25 ERNESTO 15.2 149.9 129 360 +1987 6 12 12 7 LESLIE 68.8 269.3 110 545 +1993 10 25 12 3 FLORENCE 55.5 294.0 156 490 +2002 4 17 12 1 JOYCE 17.5 272.4 27 749 +1973 6 28 12 22 BERYL 44.0 4.0 45 868 +1962 11 4 6 27 GORDON 69.2 310.3 45 410 +1960 10 12 0 15 ALBERTO 41.3 336.9 21 739 +1984 11 7 0 19 RAFAEL 12.5 261.8 112 396 +1977 12 14 0 8 NADINE 9.8 214.9 152 405 +1977 2 2 12 11 HELENE 35.8 258.1 57 556 +1988 9 11 0 12 TONY 25.7 91.4 29 296 +1992 2 1 6 24 WILLIAM 9.2 228.5 96 500 +1956 7 13 0 7 NADINE 38.2 337.4 18 823 +1957 1 18 12 3 ERNESTO 57.3 225.1 26 492 +1978 4 28 12 3 RAFAEL 56.4 309.7 158 729 +1987 11 26 12 7 WILLIAM 28.9 192.8 154 668 +1970 9 22 12 28 RAFAEL 57.1 292.3 86 204 +1985 6 14 12 13 VALERIE 46.4 349.3 94 271 +1978 10 8 6 12 VALERIE 7.4 311.6 30 773 +1951 3 13 18 6 RAFAEL 45.2 5.1 68 431 +1996 10 23 6 18 CHRIS 16.9 70.2 73 731 +1974 3 5 12 10 MICHAEL 37.4 18.5 58 851 +1987 5 28 12 26 LESLIE 48.2 126.0 160 760 +1958 9 13 12 17 SANDY 50.5 79.3 116 368 +1968 12 27 0 4 OSCAR 30.4 8.2 25 833 +1981 10 20 18 5 VALERIE 65.7 31.0 105 618 +1975 7 4 0 5 GORDON 45.3 205.7 106 432 +1953 7 15 12 15 LESLIE 46.3 238.2 60 47 +1953 11 10 18 26 NADINE 13.8 240.1 150 388 +1957 3 27 0 26 KIRK 12.3 335.5 114 285 +1950 11 17 12 10 MICHAEL 43.6 220.5 149 698 +1971 11 1 6 11 BERYL 51.2 10.3 91 182 +1999 6 15 12 1 VALERIE 22.7 223.7 94 884 +1973 1 20 12 20 CHRIS 54.9 35.8 129 617 +1999 3 23 18 10 SANDY 36.5 217.6 122 149 +2002 7 14 12 19 OSCAR 31.8 299.9 13 358 +1963 8 15 6 12 RAFAEL 65.0 207.0 73 742 +1974 7 16 12 14 CHRIS 63.6 86.9 68 450 +1964 3 23 18 1 TONY 47.6 328.3 13 371 +1959 8 22 6 21 WILLIAM 33.5 244.2 45 445 +1953 7 27 6 27 SANDY 13.7 171.4 95 219 +1981 3 2 18 3 PATTY 43.5 182.3 134 593 +1984 7 7 18 6 ISAAC 24.5 148.1 118 367 +1957 7 22 12 25 ALBERTO 60.2 80.7 155 171 +1981 1 26 6 9 PATTY 58.3 40.5 11 623 +1977 7 6 18 14 FLORENCE 38.7 95.2 17 857 +1989 5 1 6 16 HELENE 36.5 129.7 74 39 +1991 4 15 0 4 SANDY 39.4 101.6 18 572 +1969 12 21 6 7 WILLIAM 27.8 134.6 158 247 +1966 6 16 6 15 FLORENCE 11.5 121.7 36 819 +1993 4 10 12 21 WILLIAM 30.9 192.0 101 303 +1984 9 23 12 21 ISAAC 43.3 349.1 93 310 +1991 4 27 6 27 ISAAC 48.6 49.0 24 625 +1982 8 2 0 19 JOYCE 29.0 67.1 91 135 +1974 6 22 18 11 MICHAEL 14.5 214.7 30 874 +1963 3 13 18 17 LESLIE 42.1 256.4 159 822 +2002 8 7 0 14 BERYL 16.4 230.0 16 361 +1961 3 14 12 14 RAFAEL 24.0 264.4 57 674 +1952 11 6 12 5 CHRIS 33.5 133.0 10 346 +2002 7 16 12 20 VALERIE 7.7 193.9 84 463 +1987 5 7 12 22 WILLIAM 66.9 221.0 81 23 +1955 2 9 0 12 TONY 15.6 193.0 129 560 +1994 2 14 12 7 ISAAC 23.8 249.6 30 358 +1985 7 6 12 1 HELENE 23.7 173.9 71 506 +1956 11 7 0 21 ALBERTO 31.5 75.4 40 68 +1999 10 24 0 21 MICHAEL 42.4 214.3 158 90 +1961 8 7 0 17 BERYL 44.8 302.6 16 364 +1961 2 26 12 16 TONY 70.0 62.1 67 866 +1977 1 3 0 4 SANDY 18.5 329.8 109 464 +1955 4 5 18 8 BERYL 55.6 303.4 55 866 +1975 9 6 0 18 FLORENCE 23.5 184.9 90 59 +1971 10 17 6 2 LESLIE 26.0 217.6 91 27 +1970 3 24 12 16 ALBERTO 26.1 121.6 162 482 +1972 9 9 6 6 NADINE 43.5 259.0 156 625 +1977 1 22 0 19 SANDY 8.9 36.0 11 134 +1987 4 21 0 26 SANDY 8.1 343.7 96 562 +1950 12 10 12 17 TONY 39.1 347.1 30 195 +1980 6 17 0 24 ISAAC 46.3 344.2 31 451 +1956 3 10 12 18 DEBBY 9.2 14.8 155 892 +1986 9 18 18 10 ERNESTO 48.2 275.9 137 159 +1981 3 11 18 2 KIRK 53.4 35.5 11 492 +1964 9 24 6 11 RAFAEL 14.5 83.4 62 574 +2001 2 10 0 8 WILLIAM 25.7 255.2 28 353 +1953 7 8 12 20 MICHAEL 56.7 315.1 34 733 +1993 11 10 18 21 TONY 27.9 170.0 17 636 +1968 12 9 0 1 VALERIE 25.2 166.9 58 541 +1956 4 6 12 10 FLORENCE 19.4 0.6 13 312 +1971 2 2 18 2 PATTY 10.9 15.3 68 801 +1984 11 5 18 23 MICHAEL 45.6 333.5 79 766 +1999 9 7 6 2 RAFAEL 42.2 287.6 47 792 +1974 4 15 6 28 HELENE 57.0 340.4 74 24 +1974 12 23 6 6 ERNESTO 49.2 299.0 72 515 +1953 9 12 0 12 ISAAC 57.6 275.6 139 896 +2001 7 25 0 10 FLORENCE 39.5 25.1 31 12 +1956 12 19 12 19 BERYL 19.6 258.3 77 669 +2002 4 21 0 14 FLORENCE 49.7 196.8 146 536 +1975 5 15 18 23 WILLIAM 59.1 7.1 15 387 +1957 4 6 12 9 NADINE 25.0 19.2 96 728 +1957 9 21 6 18 TONY 26.5 111.4 89 446 +1977 10 10 12 20 MICHAEL 21.3 304.5 143 98 +1997 5 3 6 16 JOYCE 50.5 69.5 116 362 +1954 10 9 6 6 MICHAEL 31.3 92.1 120 457 +1963 2 6 0 4 KIRK 22.6 147.6 161 582 +1967 6 22 0 8 KIRK 46.9 196.6 129 747 +1961 6 10 6 18 JOYCE 50.1 124.6 52 410 +1954 4 9 6 21 TONY 17.0 105.2 97 88 +1999 2 2 0 4 FLORENCE 51.9 182.7 148 293 +1972 8 22 6 20 TONY 56.6 250.2 56 652 +1988 8 23 0 25 LESLIE 30.3 142.8 34 709 +1966 8 25 12 13 BERYL 36.1 163.0 104 748 +1984 5 27 6 25 GORDON 49.1 149.0 137 865 +2003 4 17 18 26 KIRK 51.8 328.2 152 786 +1961 1 3 12 14 OSCAR 27.3 278.0 107 31 +1991 6 3 18 10 CHRIS 33.6 195.1 122 770 +2002 11 6 6 28 SANDY 58.9 61.6 119 896 +1965 11 27 0 11 HELENE 22.1 116.9 100 49 +1956 9 21 6 5 MICHAEL 63.5 154.0 44 278 +1978 6 12 12 24 SANDY 27.3 149.9 113 539 +1957 6 17 18 4 CHRIS 55.0 227.9 31 96 +1964 5 9 12 18 GORDON 60.7 187.1 161 730 +1967 6 20 0 11 LESLIE 31.6 143.1 72 708 +1953 3 21 12 12 TONY 65.6 106.6 30 893 +2002 1 7 18 9 RAFAEL 32.4 120.1 27 61 +1973 4 12 6 27 NADINE 56.6 89.2 73 766 +1979 11 1 18 22 WILLIAM 47.8 283.5 14 645 +1965 2 18 12 11 BERYL 9.3 351.9 55 318 +1951 3 12 6 17 VALERIE 39.2 165.0 31 339 +1961 11 9 12 25 NADINE 62.6 139.8 127 163 +1987 6 7 0 20 LESLIE 50.4 176.4 52 300 +1972 4 11 18 12 NADINE 27.0 170.3 122 74 +1962 7 15 0 23 TONY 51.3 57.7 109 292 +1999 3 23 18 9 WILLIAM 40.5 258.8 151 859 +1995 9 25 0 23 NADINE 17.8 312.1 114 259 +1993 1 7 18 7 MICHAEL 32.7 173.2 16 461 +1966 5 24 12 27 TONY 35.7 157.6 11 395 +1952 4 25 6 25 CHRIS 69.1 144.5 36 384 +1992 5 14 12 14 NADINE 13.1 209.6 120 677 +1956 9 7 6 19 KIRK 13.1 101.5 68 437 +1959 5 4 0 3 BERYL 9.0 313.5 49 473 +1955 2 10 18 25 SANDY 48.5 46.2 55 46 +1998 2 18 18 27 VALERIE 39.2 285.1 132 220 +1981 11 24 12 21 TONY 25.9 83.0 95 98 +1951 10 7 0 13 GORDON 67.2 286.7 137 691 +1995 2 5 18 1 MICHAEL 42.0 279.7 78 415 +1965 2 1 6 11 KIRK 45.1 191.7 145 485 +1963 1 23 6 17 NADINE 28.3 198.2 44 468 +1973 1 13 6 3 NADINE 63.5 345.8 47 481 +1968 8 8 6 21 WILLIAM 64.6 200.6 55 386 +2002 11 25 0 19 SANDY 35.6 53.3 49 828 +1952 2 19 12 22 ERNESTO 66.7 198.3 45 245 +1979 3 27 12 8 ALBERTO 11.2 226.5 19 800 +1953 8 12 0 1 WILLIAM 49.6 211.3 57 718 +2001 5 9 0 28 NADINE 63.1 190.9 71 593 +1977 4 9 6 5 OSCAR 68.9 278.8 93 358 +1958 9 22 12 8 HELENE 35.8 297.3 71 308 +1969 2 25 18 25 ALBERTO 8.3 137.1 13 357 +1964 12 26 6 21 PATTY 48.6 20.0 82 528 +1971 12 4 0 1 ISAAC 20.9 218.0 147 28 +1979 5 1 18 13 ALBERTO 32.7 27.7 112 705 +1966 2 7 0 7 LESLIE 21.8 62.9 163 637 +1966 6 27 18 14 SANDY 11.2 233.9 90 120 +1961 5 16 18 23 TONY 24.6 193.2 22 407 +1985 8 1 0 24 GORDON 51.0 33.5 44 531 +1986 11 16 12 16 BERYL 44.5 86.0 24 93 +1988 6 4 18 1 ERNESTO 58.6 76.7 41 215 +1959 1 27 6 17 MICHAEL 15.4 47.4 126 794 +1985 7 17 0 17 MICHAEL 53.6 98.6 123 466 +1969 9 27 12 28 ISAAC 47.9 252.2 118 106 +1996 9 21 0 8 MICHAEL 21.4 101.6 125 359 +2001 4 14 12 5 ERNESTO 26.9 253.9 117 179 +1975 6 15 12 10 ERNESTO 29.9 288.2 155 253 +1970 11 14 6 10 NADINE 64.5 255.2 74 617 +1956 9 24 6 24 GORDON 64.9 309.6 106 830 +1987 4 18 12 1 SANDY 36.1 330.9 67 679 +1974 9 25 0 28 ISAAC 61.1 346.7 144 659 +1982 2 16 12 28 GORDON 52.6 331.8 159 345 +1990 5 8 18 18 LESLIE 18.7 83.1 63 446 +1950 3 7 12 5 TONY 55.0 89.1 157 765 +1960 12 17 0 26 NADINE 29.5 112.5 155 859 +2003 1 20 6 8 ERNESTO 13.3 244.3 95 82 +1965 1 12 18 14 OSCAR 66.5 178.5 38 547 +1950 2 14 6 26 DEBBY 11.1 142.7 77 699 +1986 2 13 18 8 BERYL 56.3 327.6 69 117 +1996 6 14 6 23 GORDON 30.0 217.6 139 889 +1950 12 14 6 21 JOYCE 15.9 333.1 10 154 +1966 2 24 18 19 SANDY 51.3 287.8 91 831 +1989 12 25 18 14 NADINE 29.4 86.1 122 22 +1975 6 23 18 10 ERNESTO 17.0 143.5 84 553 +1984 1 23 12 26 ERNESTO 47.9 163.0 28 602 +1952 9 10 6 14 WILLIAM 15.5 205.7 50 432 +1956 10 6 18 19 PATTY 55.7 355.2 35 79 +2002 11 25 6 24 VALERIE 66.0 154.5 150 605 +1996 4 10 12 10 WILLIAM 30.7 216.1 79 796 +1973 1 16 18 28 NADINE 68.8 292.8 118 789 +1965 8 8 12 5 OSCAR 53.3 357.9 127 652 +1962 5 11 18 25 HELENE 32.3 113.5 55 866 +1976 7 18 0 9 ERNESTO 39.2 206.3 72 477 +2004 12 13 18 21 RAFAEL 20.1 177.0 126 706 +1977 9 16 18 28 ERNESTO 65.7 192.0 129 735 +1950 8 22 0 17 FLORENCE 10.4 143.3 156 57 +1993 5 12 6 23 RAFAEL 59.4 84.9 27 763 +1951 5 14 6 11 NADINE 9.0 220.3 150 795 +1973 8 9 6 17 SANDY 69.1 65.7 75 583 +1957 10 7 12 1 WILLIAM 52.8 5.0 22 207 +1971 2 26 0 28 JOYCE 30.3 350.4 119 227 +1958 4 12 6 26 LESLIE 66.0 183.9 138 373 +1982 5 17 6 4 MICHAEL 63.3 22.8 24 473 +1987 6 25 0 5 RAFAEL 30.5 120.9 26 657 +1998 3 6 0 14 FLORENCE 52.5 313.9 81 734 +2001 7 8 6 21 FLORENCE 66.4 155.1 86 774 +1990 2 19 12 8 PATTY 19.5 66.2 117 611 +1983 8 1 18 26 KIRK 33.8 230.0 158 393 +1957 4 4 12 14 TONY 15.1 22.2 113 721 +1951 3 3 0 12 GORDON 39.4 139.0 99 536 +1966 4 13 12 4 TONY 36.5 338.3 124 229 +2002 10 9 6 9 NADINE 63.6 222.5 104 731 +1989 11 27 18 3 ALBERTO 42.4 267.9 70 714 +1964 1 7 0 27 CHRIS 65.3 263.9 90 17 +1981 5 8 6 18 RAFAEL 62.8 335.9 23 367 +1992 5 27 18 5 DEBBY 24.3 210.4 86 615 +1958 11 13 0 9 SANDY 24.1 101.5 58 428 +1983 7 27 0 2 VALERIE 53.5 247.7 158 487 +1992 10 13 12 6 ALBERTO 27.1 153.2 114 612 +1990 6 22 0 6 BERYL 41.8 83.6 135 731 +1963 12 5 6 6 HELENE 28.1 257.8 107 10 +1969 2 3 6 12 MICHAEL 47.7 65.9 38 837 +2000 5 26 12 27 FLORENCE 13.7 251.8 133 750 +1989 10 22 18 23 ERNESTO 9.5 179.8 162 226 +2003 6 14 12 28 MICHAEL 13.9 169.8 103 167 +1980 9 4 0 7 VALERIE 17.6 298.9 87 736 +1976 1 28 0 9 SANDY 35.4 345.3 23 373 +1979 8 6 6 21 SANDY 15.3 143.1 30 523 +1997 10 27 18 17 ISAAC 46.2 335.3 159 249 +1954 12 4 0 4 RAFAEL 66.0 65.2 53 839 +1973 11 27 0 23 TONY 20.5 73.1 46 754 +1977 2 20 18 9 LESLIE 62.7 15.0 135 143 +2004 8 24 18 3 ALBERTO 36.2 132.1 11 340 +1968 9 25 18 10 VALERIE 63.7 108.1 130 408 +1982 1 27 12 9 HELENE 20.4 318.1 45 702 +1974 11 20 12 24 GORDON 32.5 124.9 27 198 +1957 10 3 6 14 CHRIS 36.8 1.2 63 737 +1959 3 6 6 20 KIRK 39.0 75.3 76 707 +1969 6 5 0 25 ALBERTO 68.2 91.0 100 618 +1966 5 6 18 9 WILLIAM 8.4 133.9 121 836 +1954 4 4 18 7 JOYCE 23.5 202.2 86 346 +1958 10 5 18 23 NADINE 53.0 105.2 111 720 +1976 1 14 12 8 ISAAC 64.9 47.7 111 154 +1979 4 21 12 26 DEBBY 10.5 57.5 50 431 +1983 5 8 18 16 NADINE 23.9 23.6 11 202 +1969 10 13 0 8 ERNESTO 15.1 340.0 27 127 +2000 6 7 18 11 ALBERTO 55.7 107.4 11 0 +1988 12 25 18 5 LESLIE 16.1 63.2 132 340 +1989 1 8 6 28 BERYL 68.3 78.7 11 392 +1982 4 22 0 6 SANDY 37.6 115.2 132 81 +1955 6 27 6 15 GORDON 50.2 182.2 157 255 +1961 12 5 0 10 VALERIE 68.2 295.7 26 330 +1965 7 8 6 8 RAFAEL 10.9 264.2 103 278 +1950 8 25 6 5 ALBERTO 35.0 318.9 109 544 +1957 11 14 18 13 WILLIAM 62.6 0.1 88 59 +1993 12 4 18 5 MICHAEL 29.9 319.8 137 827 +1999 7 19 0 7 HELENE 52.5 117.6 22 191 +1951 1 3 0 5 BERYL 17.7 236.3 38 218 +1974 9 4 6 23 CHRIS 59.0 235.6 37 541 +1996 8 7 12 13 HELENE 56.6 58.2 51 786 +1994 4 11 6 7 BERYL 52.3 276.6 144 270 +1955 4 23 12 3 PATTY 12.0 79.4 59 773 +1967 6 2 6 26 CHRIS 15.8 121.1 115 627 +1978 9 8 12 3 KIRK 37.7 64.3 11 78 +1955 12 21 6 21 RAFAEL 18.7 255.9 48 867 +1970 1 8 18 10 BERYL 38.2 179.2 155 252 +1971 12 14 18 3 MICHAEL 15.5 128.5 50 856 +1970 12 13 18 12 DEBBY 48.3 248.8 100 294 +1996 11 2 0 25 HELENE 22.2 224.3 81 660 +1986 9 24 18 3 KIRK 50.8 312.6 14 261 +1990 3 4 0 21 PATTY 62.1 0.2 145 486 +1956 9 2 12 15 LESLIE 32.0 80.2 63 886 +1999 5 16 12 20 SANDY 38.7 105.7 106 78 +1983 7 22 0 27 RAFAEL 55.3 252.7 65 544 +1976 3 15 18 13 WILLIAM 26.4 214.4 145 658 +2000 8 14 6 19 GORDON 49.8 158.3 134 522 +1953 4 1 0 20 MICHAEL 51.4 29.5 53 567 +1985 3 8 18 24 JOYCE 59.3 63.7 43 875 +1981 3 13 0 28 MICHAEL 25.9 80.8 75 783 +1966 6 14 18 25 SANDY 13.1 311.8 71 708 +1984 7 23 6 10 HELENE 17.3 120.3 152 655 +1983 6 5 12 9 JOYCE 24.1 321.4 89 415 +1958 6 13 18 4 RAFAEL 33.5 302.3 102 667 +2004 10 26 0 19 GORDON 54.4 322.9 122 448 +1970 10 22 0 25 KIRK 45.6 328.8 124 405 +1973 5 21 12 27 SANDY 15.1 4.8 100 40 +1990 10 14 12 2 GORDON 9.9 282.0 49 469 +1988 3 17 12 11 WILLIAM 32.7 218.5 71 432 +1981 11 18 6 2 MICHAEL 39.7 170.8 55 641 +1977 1 28 0 8 WILLIAM 26.7 203.3 143 407 +1953 1 10 6 12 NADINE 25.7 212.3 34 401 +1964 7 2 6 6 ALBERTO 50.8 348.9 157 818 +1960 3 18 6 4 KIRK 40.5 83.7 152 143 +1994 9 3 18 20 ERNESTO 61.8 131.7 39 14 +1966 9 8 12 23 PATTY 58.0 155.9 70 33 +1976 10 8 0 11 NADINE 27.2 3.4 60 258 +1993 10 28 6 27 MICHAEL 7.6 317.4 162 620 +1995 10 20 18 20 CHRIS 26.5 100.0 70 31 +1950 5 5 12 11 MICHAEL 25.2 258.9 18 608 +1964 11 8 12 26 SANDY 9.5 209.3 21 377 +1978 4 26 6 8 SANDY 21.8 31.8 36 413 +1967 10 16 0 6 ISAAC 57.0 252.7 89 81 +1952 8 25 6 14 PATTY 43.2 124.9 49 833 +1966 9 4 6 26 BERYL 12.1 280.0 71 546 +1993 4 18 18 8 SANDY 14.0 349.1 88 574 +1969 6 1 12 18 GORDON 24.9 220.8 51 165 +1965 3 13 18 4 RAFAEL 22.2 168.8 141 525 +1996 3 9 12 9 NADINE 25.4 299.4 95 342 +1951 11 2 6 14 JOYCE 21.3 201.1 118 266 +1978 8 21 18 7 HELENE 13.5 250.5 134 544 +1985 6 13 6 11 OSCAR 23.0 228.3 72 179 +1953 3 19 0 21 GORDON 62.0 315.4 157 745 +1961 2 5 0 19 PATTY 8.8 355.1 27 815 +1972 5 15 12 13 ISAAC 8.4 156.3 164 24 +1988 2 18 12 12 ERNESTO 19.0 325.6 163 614 +2000 12 2 12 21 NADINE 48.6 133.3 12 102 +1961 4 1 18 3 KIRK 38.1 287.7 25 127 +1971 12 23 12 8 RAFAEL 9.0 101.7 47 37 +1961 12 22 0 20 HELENE 53.4 10.8 57 828 +1956 1 2 0 2 NADINE 45.8 300.7 16 724 +1979 4 1 6 10 KIRK 54.9 281.6 129 709 +1975 12 8 12 14 FLORENCE 34.2 62.7 123 66 +1965 11 3 12 21 HELENE 28.0 287.3 89 430 +1986 2 15 6 6 TONY 46.3 107.7 20 675 +2002 12 22 12 28 FLORENCE 20.0 169.2 67 743 +1985 10 1 6 16 RAFAEL 8.0 75.3 123 408 +1957 12 19 6 25 NADINE 65.1 145.9 114 116 +1975 1 26 6 11 ALBERTO 24.9 283.3 146 636 +1994 3 8 6 8 GORDON 63.3 241.9 144 731 +1977 1 22 18 10 OSCAR 41.5 307.0 159 4 +1965 10 3 18 2 GORDON 48.2 166.7 23 40 +2004 8 28 12 28 NADINE 21.3 297.2 139 40 +1970 1 25 12 10 ISAAC 30.0 69.5 108 183 +1985 2 12 18 17 PATTY 48.8 270.1 102 867 +1974 3 10 0 18 JOYCE 39.2 217.5 113 26 +1963 11 6 12 23 RAFAEL 68.3 7.3 71 647 +2000 4 11 6 10 HELENE 44.4 241.0 114 767 +1992 11 10 0 28 DEBBY 11.1 87.0 121 406 +1982 5 20 12 1 VALERIE 43.1 196.8 101 399 +1987 4 18 6 9 NADINE 54.4 3.1 87 524 +1970 8 13 18 20 MICHAEL 38.6 327.9 80 479 +2002 2 26 6 22 OSCAR 54.8 130.8 93 783 +1982 4 23 12 14 OSCAR 32.0 17.5 53 844 +2003 3 3 6 12 JOYCE 31.3 140.0 138 879 +1962 5 5 18 15 CHRIS 61.4 101.4 42 250 +1953 8 13 6 27 KIRK 24.7 67.8 26 34 +1971 10 26 18 12 ISAAC 13.2 127.0 139 255 +1998 3 27 12 12 JOYCE 56.4 245.7 74 155 +1970 10 24 6 17 WILLIAM 11.7 216.6 22 673 +1967 5 2 18 16 ISAAC 8.3 216.1 132 301 +1981 7 25 0 16 SANDY 50.3 295.0 35 417 +1964 6 3 12 6 MICHAEL 9.3 271.2 146 546 +1975 5 7 18 18 PATTY 17.7 351.9 11 482 +1994 5 23 0 17 FLORENCE 23.0 183.9 10 466 +1970 2 14 18 14 BERYL 58.1 330.8 152 105 +1974 10 22 18 26 BERYL 18.6 340.2 103 314 +1995 4 11 6 28 WILLIAM 48.2 47.5 129 534 +1955 4 8 0 24 BERYL 67.6 333.2 161 138 +2002 10 1 12 6 PATTY 25.8 251.5 85 677 +1957 6 9 18 23 KIRK 12.8 348.0 134 685 +1973 2 2 6 28 LESLIE 30.4 189.1 103 294 +1980 10 24 18 16 CHRIS 26.2 259.6 59 609 +1962 2 9 0 3 SANDY 40.4 77.9 116 500 +1962 1 21 0 9 HELENE 23.1 347.3 132 722 +1957 11 17 12 19 CHRIS 32.6 224.1 151 700 +1981 3 27 6 1 TONY 44.9 254.1 55 222 +1973 2 17 12 4 GORDON 65.5 236.8 106 178 +1994 10 12 18 10 JOYCE 53.0 166.4 88 542 +1975 10 18 18 4 FLORENCE 51.6 4.6 99 151 +1963 3 11 0 16 VALERIE 9.3 332.9 141 809 +1974 1 28 6 7 ERNESTO 42.6 218.8 160 156 +2004 12 12 0 14 BERYL 45.0 279.8 62 278 +1962 4 16 6 10 RAFAEL 61.8 190.9 136 654 +1986 9 21 6 22 ALBERTO 42.2 66.0 57 662 +1968 10 4 0 4 SANDY 19.0 164.0 85 153 +1964 5 10 12 7 ALBERTO 53.6 304.1 50 371 +1953 3 25 18 3 OSCAR 51.5 261.1 25 215 +1954 7 16 0 21 TONY 11.5 61.5 146 895 +2000 9 7 12 17 OSCAR 18.4 114.2 155 323 +1978 5 27 0 25 BERYL 46.4 74.9 83 344 +1966 7 27 0 1 RAFAEL 28.5 282.8 114 282 +1999 1 18 0 20 WILLIAM 42.3 198.5 144 596 +1995 7 14 0 14 SANDY 20.3 300.6 43 560 +1999 5 9 12 7 LESLIE 41.5 85.7 63 862 +1986 7 23 12 9 SANDY 11.1 97.0 16 245 +1962 9 10 18 15 KIRK 14.6 97.5 146 321 +1984 1 24 12 3 SANDY 26.6 161.1 132 587 +1970 2 20 0 14 ERNESTO 32.1 23.8 135 793 +2003 3 8 0 19 HELENE 58.1 233.0 30 166 +1990 5 4 6 12 ALBERTO 39.3 28.0 113 823 +1996 3 24 18 18 ALBERTO 14.6 107.9 75 765 +1970 5 15 0 6 KIRK 55.1 296.2 144 406 +1991 10 3 6 3 RAFAEL 10.3 52.9 23 777 +1991 7 21 6 20 TONY 51.8 331.9 50 504 +1974 6 12 6 16 JOYCE 25.0 213.7 147 95 +1996 9 2 0 1 PATTY 28.5 157.7 105 748 +2000 2 4 0 7 TONY 15.5 56.3 119 51 +1954 11 26 12 5 FLORENCE 10.3 221.5 15 605 +1953 11 8 18 21 DEBBY 58.9 162.5 30 422 +1964 4 9 12 4 DEBBY 29.4 126.0 108 173 +2001 8 2 18 7 ERNESTO 22.6 120.9 48 825 +1967 5 7 18 24 MICHAEL 68.9 313.8 59 63 +1952 9 8 12 7 MICHAEL 49.1 268.0 93 15 +1968 2 8 18 15 ERNESTO 19.0 71.9 32 199 +1980 2 1 18 16 PATTY 59.6 178.1 59 259 +1990 2 21 12 23 RAFAEL 35.9 132.8 131 756 +1975 6 10 0 21 HELENE 14.9 224.5 34 681 +1966 1 10 0 22 LESLIE 21.4 207.4 80 321 +1987 4 15 6 1 VALERIE 61.6 30.3 110 844 +1965 9 4 12 28 ALBERTO 63.0 28.1 54 152 +1974 3 8 12 18 PATTY 67.6 199.0 159 753 +1977 4 7 6 27 ERNESTO 65.9 211.4 56 135 +1986 8 15 6 8 LESLIE 13.6 31.1 124 132 +1996 10 5 18 8 OSCAR 27.0 249.0 87 508 +1965 5 2 12 6 ISAAC 18.4 40.2 38 231 +1969 8 23 18 21 JOYCE 60.5 254.1 51 279 +1989 12 21 0 15 KIRK 16.9 23.5 156 544 +1973 2 1 18 10 SANDY 25.4 184.3 102 0 +1964 9 4 18 25 KIRK 44.2 246.2 43 706 +1972 9 9 6 3 WILLIAM 49.8 99.7 106 574 +1966 11 25 18 8 HELENE 65.7 271.1 83 670 +1971 2 6 0 9 JOYCE 49.2 60.9 111 78 +1984 3 20 0 4 WILLIAM 45.6 170.5 66 633 +1999 11 28 6 10 GORDON 8.2 115.0 11 815 +1981 8 11 6 15 OSCAR 40.0 52.7 148 164 +1991 12 7 0 2 KIRK 53.1 94.3 62 687 +1998 10 9 6 26 KIRK 37.5 343.5 119 3 +1959 11 8 6 26 PATTY 36.3 255.9 22 344 +1950 6 14 12 23 VALERIE 26.5 160.8 72 813 +2001 3 24 6 1 HELENE 61.0 73.7 97 368 +1998 3 5 12 7 ISAAC 15.1 347.2 57 620 +1971 7 14 0 26 GORDON 26.0 195.2 153 609 +1989 3 10 12 6 ALBERTO 28.6 353.9 44 631 +1973 7 18 0 28 KIRK 63.6 152.5 162 701 +1996 2 3 6 15 WILLIAM 61.3 326.2 138 748 +1993 6 2 0 25 ALBERTO 69.8 255.4 156 326 +1982 11 26 18 20 PATTY 51.5 113.0 78 304 +1968 3 2 12 3 PATTY 55.2 294.3 118 810 +1964 1 17 18 2 JOYCE 43.1 83.3 14 269 +1982 5 5 0 13 ISAAC 34.9 343.5 68 85 +1992 10 11 6 17 KIRK 24.1 202.8 15 363 +1994 1 20 0 23 NADINE 55.6 133.7 89 143 +1962 3 24 12 26 CHRIS 7.1 163.9 134 247 +1958 5 21 12 16 DEBBY 65.1 252.5 116 726 +2004 12 8 0 21 JOYCE 8.7 291.3 130 796 +1952 1 5 0 27 NADINE 14.6 209.9 37 497 +1951 3 24 18 25 DEBBY 61.5 34.7 65 796 +1999 1 4 6 12 GORDON 40.2 287.4 115 167 +1991 4 22 6 4 CHRIS 39.4 174.4 18 336 +1991 3 21 12 28 RAFAEL 64.3 351.9 77 664 +1993 10 1 0 20 MICHAEL 56.2 97.5 117 550 +1953 12 21 6 26 VALERIE 48.2 336.2 48 203 +1959 2 22 0 16 JOYCE 18.6 349.2 38 524 +1951 10 17 18 22 ERNESTO 43.6 296.5 68 453 +1990 3 14 0 21 JOYCE 27.3 128.7 141 539 +1974 4 27 6 24 HELENE 64.1 290.7 39 887 +2003 9 15 18 4 VALERIE 54.8 189.3 102 469 +1953 3 17 6 28 KIRK 34.9 28.9 142 401 +2003 12 25 6 2 GORDON 15.2 353.5 154 86 +1999 5 18 18 27 ALBERTO 35.4 30.8 144 221 +1961 2 10 6 16 WILLIAM 59.3 193.8 122 726 +1963 5 19 18 12 JOYCE 61.2 40.3 81 800 +1972 1 25 6 21 RAFAEL 29.9 167.9 48 741 +1985 11 16 12 1 WILLIAM 49.7 175.8 123 398 +1986 6 16 12 1 ALBERTO 41.5 125.5 55 451 +2004 3 23 0 14 DEBBY 43.6 207.0 94 188 +1975 3 13 18 9 SANDY 16.2 132.4 107 826 +1964 10 5 12 28 FLORENCE 41.2 112.6 31 555 +1984 1 27 6 2 WILLIAM 25.9 276.2 136 882 +2002 10 12 0 18 MICHAEL 9.4 194.6 124 246 +1962 4 5 0 7 MICHAEL 27.7 170.1 60 701 +1956 2 8 0 4 MICHAEL 16.7 235.8 18 110 +1953 12 20 12 2 MICHAEL 60.6 341.9 32 331 +2002 1 16 0 12 ISAAC 28.3 171.6 134 592 +1993 2 6 6 24 ALBERTO 62.5 329.6 148 814 +1994 1 27 6 2 NADINE 22.6 184.0 121 590 +1964 7 21 0 16 JOYCE 58.9 209.4 145 834 +2003 12 17 0 19 ALBERTO 20.7 197.3 54 410 +1980 4 8 18 12 ERNESTO 38.7 350.0 48 566 +1972 7 10 18 12 CHRIS 47.9 274.3 164 790 +1986 5 21 0 6 ISAAC 36.8 225.0 99 642 +1952 4 17 6 26 WILLIAM 10.1 259.0 94 387 +1966 2 22 12 15 ISAAC 57.4 297.1 19 617 +1951 8 15 18 2 BERYL 43.7 356.7 154 591 +1955 10 5 18 2 NADINE 31.3 351.1 126 228 +1997 10 26 12 23 GORDON 16.9 23.1 38 875 +1982 1 28 18 10 ALBERTO 26.0 345.9 160 347 +1955 12 23 6 3 CHRIS 59.3 272.9 148 679 +1956 3 19 12 20 ALBERTO 40.4 92.5 127 546 +1981 8 8 12 3 JOYCE 33.1 4.5 100 533 +1958 10 15 12 13 DEBBY 27.0 31.9 33 618 +1969 4 8 0 16 LESLIE 55.0 258.5 44 576 +2004 4 8 18 3 DEBBY 52.4 292.7 164 624 +1996 1 14 12 12 RAFAEL 14.3 175.2 124 2 +1996 7 23 6 9 KIRK 63.7 143.0 126 241 +1994 10 22 0 4 NADINE 68.8 177.0 13 459 +2004 3 8 0 19 TONY 48.9 95.2 135 61 +1955 9 11 6 25 JOYCE 24.2 206.5 88 811 +1997 9 23 0 19 PATTY 7.9 176.0 103 101 +1969 6 15 18 23 FLORENCE 16.3 113.7 71 305 +1999 4 22 12 24 DEBBY 50.9 92.9 30 484 +1987 12 24 12 26 OSCAR 12.8 297.9 134 702 +1982 3 19 0 22 GORDON 7.8 116.8 106 386 +2003 12 18 12 4 ERNESTO 28.9 8.0 111 269 +1964 10 9 18 28 RAFAEL 50.3 193.9 24 622 +1992 4 3 0 11 ALBERTO 53.4 274.9 133 153 +2000 1 21 6 6 DEBBY 12.6 73.1 137 462 +1961 10 25 12 25 ALBERTO 42.1 333.5 145 536 +1983 6 20 6 22 CHRIS 65.0 116.7 111 878 +1951 2 28 0 5 RAFAEL 51.0 240.0 114 136 +2004 2 19 6 25 JOYCE 31.8 75.6 82 206 +1978 11 17 6 2 SANDY 25.2 9.8 91 429 +1995 1 16 6 3 JOYCE 55.3 251.3 48 70 +1964 10 26 6 11 OSCAR 66.6 89.5 162 645 +1958 1 20 6 3 VALERIE 29.9 18.2 137 225 +1987 4 28 18 7 TONY 38.8 77.8 157 463 +1964 9 27 18 5 DEBBY 29.4 148.1 132 45 +1956 5 10 0 27 JOYCE 15.8 281.4 39 232 +1965 6 19 6 24 OSCAR 23.2 328.7 83 275 +1990 7 12 18 10 MICHAEL 35.4 15.1 95 631 +1977 9 24 6 17 HELENE 39.7 153.1 123 831 +1993 10 19 0 20 PATTY 23.3 348.4 107 331 +1962 4 15 6 13 HELENE 15.0 331.0 144 778 +1957 2 11 6 2 RAFAEL 15.8 329.8 60 5 +2004 12 10 0 3 CHRIS 47.6 103.5 109 251 +1994 4 11 6 19 PATTY 56.5 286.8 70 817 +1973 1 25 18 19 SANDY 21.0 351.1 76 589 +1997 5 4 0 11 ALBERTO 9.9 139.6 147 375 +1963 6 11 6 8 CHRIS 49.8 135.3 137 626 +2004 12 27 12 9 WILLIAM 36.8 109.2 85 857 +1958 1 3 6 7 ISAAC 47.5 242.6 93 289 +1981 11 23 6 18 LESLIE 35.6 11.4 14 137 +1962 6 20 18 20 HELENE 14.3 7.7 145 587 +1992 1 18 12 7 TONY 15.1 293.5 17 728 +1950 1 25 0 6 SANDY 68.7 45.5 95 454 +1990 11 6 12 26 RAFAEL 25.1 239.1 153 255 +1989 4 8 18 14 RAFAEL 52.0 72.1 129 766 +1967 8 1 0 5 JOYCE 47.9 299.4 32 864 +1969 3 14 6 2 HELENE 10.3 119.0 119 526 +1963 4 12 6 7 CHRIS 18.4 47.0 127 896 +1977 10 11 0 25 BERYL 48.5 69.8 57 766 +1998 10 24 18 26 BERYL 10.2 328.7 75 32 +1982 3 12 6 26 BERYL 60.5 303.3 35 243 +1959 7 4 0 17 LESLIE 52.9 30.0 82 11 +1972 5 10 12 25 VALERIE 49.2 240.1 160 99 +1983 1 5 12 5 DEBBY 39.1 108.6 54 320 +2000 6 20 6 19 SANDY 69.8 218.0 35 418 +1991 11 8 18 28 WILLIAM 7.3 186.6 61 742 +1965 2 18 6 10 PATTY 43.4 257.9 17 335 +2000 4 2 18 1 HELENE 7.5 55.9 98 898 +1952 1 9 0 24 PATTY 66.8 209.2 44 618 +1970 11 24 0 3 DEBBY 27.0 160.1 114 53 +1998 4 4 0 21 WILLIAM 63.3 302.0 164 519 +1956 6 24 18 10 ISAAC 43.5 161.0 158 12 +1957 1 1 12 2 HELENE 12.8 256.9 23 146 +1952 2 25 18 25 TONY 32.5 187.9 84 650 +1980 8 15 6 21 ALBERTO 8.8 168.3 127 429 +1987 9 16 12 3 CHRIS 19.9 228.6 103 48 +1951 9 7 6 23 JOYCE 67.2 94.1 104 888 +1994 2 7 6 20 SANDY 43.6 58.9 150 761 +2004 7 7 0 28 GORDON 52.3 237.0 115 166 +1957 10 16 18 16 NADINE 46.0 241.5 13 262 +1998 1 23 12 7 JOYCE 48.8 4.9 57 394 +1985 1 7 6 24 WILLIAM 55.2 28.6 121 515 +1963 6 9 0 24 HELENE 49.8 88.2 97 533 +1960 6 5 18 24 PATTY 55.9 99.5 94 230 +1970 9 14 18 12 JOYCE 32.5 238.9 50 491 +1960 4 27 18 1 LESLIE 69.5 261.9 67 844 +1993 11 13 18 23 VALERIE 53.5 181.0 15 677 +1961 4 6 12 27 OSCAR 39.1 69.6 131 774 +1981 8 24 6 5 RAFAEL 62.9 23.6 24 777 +1961 2 23 12 21 WILLIAM 24.2 44.9 77 264 +1989 4 17 6 8 SANDY 30.9 347.0 37 610 +1991 4 27 12 6 OSCAR 44.4 291.6 134 212 +1996 4 11 6 14 TONY 20.1 306.6 36 42 +1958 9 19 18 4 LESLIE 13.9 242.4 74 555 +2000 8 21 12 25 KIRK 30.6 349.3 68 448 +1991 4 2 12 18 ISAAC 63.3 355.7 147 538 +1990 4 17 0 25 TONY 69.0 331.1 88 39 +2003 7 23 0 4 ISAAC 15.3 192.4 76 403 +1993 3 10 18 21 CHRIS 49.6 158.1 65 582 +1957 8 10 0 24 GORDON 38.9 350.8 87 823 +1982 1 3 18 11 DEBBY 40.9 218.0 92 166 +1984 11 17 12 8 TONY 11.2 96.2 130 638 +2004 4 3 18 9 ISAAC 45.8 140.0 40 312 +1955 9 19 6 1 LESLIE 24.6 300.0 39 552 +1959 11 17 6 1 VALERIE 34.4 146.0 36 440 +1989 1 10 12 5 WILLIAM 8.1 98.7 18 255 +1990 8 16 12 28 WILLIAM 44.2 207.4 158 491 +1956 12 27 18 1 DEBBY 68.8 246.0 76 44 +1989 5 21 6 26 MICHAEL 53.4 96.9 90 15 +1998 7 2 0 26 ALBERTO 40.9 249.5 60 688 +1977 4 21 18 16 ALBERTO 8.2 302.1 152 168 +2004 1 3 0 21 WILLIAM 16.6 123.0 120 342 +1985 1 24 0 15 OSCAR 27.1 72.8 49 569 +1981 12 1 0 27 HELENE 57.4 328.6 25 416 +1987 2 14 6 10 VALERIE 9.5 165.2 128 771 +1964 7 25 18 15 ISAAC 47.0 294.6 121 53 +1951 6 20 6 24 FLORENCE 66.4 207.4 10 365 +1974 5 12 6 19 ALBERTO 44.8 47.3 51 153 +1960 3 2 18 8 FLORENCE 28.0 50.7 48 438 +1986 7 23 6 13 DEBBY 62.4 81.1 115 405 +1967 8 5 6 21 ERNESTO 15.4 40.4 68 563 +1998 8 20 18 24 WILLIAM 48.3 201.3 126 678 +1978 8 11 6 13 ERNESTO 51.7 147.5 30 731 +1957 6 21 0 5 RAFAEL 40.1 251.7 143 786 +2002 10 15 0 5 NADINE 28.0 197.2 101 656 +1977 2 7 0 24 VALERIE 23.0 313.7 96 404 +1969 4 2 12 11 BERYL 10.0 308.8 54 586 +1957 12 25 12 28 GORDON 14.7 304.7 142 895 +1974 2 15 12 22 JOYCE 45.8 179.0 28 787 +1965 4 13 12 7 VALERIE 59.0 30.3 90 604 +1963 3 21 18 12 ALBERTO 54.2 270.7 108 119 +1951 4 21 18 16 RAFAEL 10.6 86.9 137 145 +1957 3 15 6 20 ERNESTO 7.9 27.9 28 264 +1983 9 4 6 18 FLORENCE 65.9 175.1 39 454 +1974 1 15 12 24 LESLIE 30.3 98.1 34 837 +1991 3 25 6 28 TONY 24.1 262.6 80 851 +1990 2 7 0 15 VALERIE 67.8 85.4 22 560 +1966 1 17 18 19 GORDON 13.0 241.7 41 27 +1968 7 2 6 12 HELENE 17.0 124.9 44 428 +1982 8 23 6 1 TONY 49.4 87.0 17 221 +1998 3 24 18 28 PATTY 25.5 225.8 30 592 +1975 1 1 18 21 RAFAEL 69.6 240.7 154 617 +1992 7 27 0 1 OSCAR 37.2 311.3 89 460 +1990 2 7 12 5 ERNESTO 32.8 60.9 95 614 +1989 2 3 0 20 DEBBY 60.4 296.5 94 858 +1959 8 15 6 17 LESLIE 23.5 274.4 102 647 +1963 2 1 0 17 PATTY 32.5 162.7 68 690 +1975 11 28 18 27 ALBERTO 9.0 346.0 76 827 +1953 5 17 0 9 MICHAEL 63.4 57.5 21 367 +1950 2 12 18 28 GORDON 20.5 134.6 118 52 +1959 9 14 0 9 ALBERTO 30.9 30.0 75 40 +1990 2 11 12 25 CHRIS 69.3 62.5 92 793 +1971 3 2 18 16 ISAAC 34.9 144.1 110 437 +1982 5 16 0 21 TONY 39.9 186.3 89 114 +1957 11 13 6 28 PATTY 69.7 245.4 163 810 +1957 3 27 18 16 PATTY 58.0 47.2 94 828 +1995 1 28 12 4 ERNESTO 51.2 188.5 42 50 +1950 10 7 6 17 MICHAEL 50.5 276.2 92 319 +1966 12 12 6 27 RAFAEL 24.6 59.3 132 786 +2002 7 5 12 28 ERNESTO 11.5 132.9 142 804 +1977 4 8 6 10 LESLIE 28.3 327.2 92 423 +1985 6 19 12 2 TONY 65.5 42.1 42 718 +1952 1 16 6 12 ISAAC 66.3 123.9 25 827 +1956 11 7 0 15 JOYCE 62.0 101.1 57 208 +1987 6 18 6 23 CHRIS 29.8 92.1 22 147 +1954 12 20 12 25 ERNESTO 60.1 228.0 49 782 +1969 9 4 0 20 ALBERTO 54.0 50.2 105 715 +1964 2 15 12 13 DEBBY 68.7 104.3 145 651 +1957 6 8 12 25 WILLIAM 42.6 30.5 27 191 +1964 4 13 18 9 JOYCE 47.5 28.0 47 296 +1981 12 22 18 17 VALERIE 15.3 110.9 40 130 +1995 10 5 0 21 PATTY 45.1 336.4 125 886 +1953 6 14 18 23 JOYCE 10.0 263.4 156 561 +1952 9 17 0 26 MICHAEL 66.7 221.0 113 687 +1970 2 19 0 26 DEBBY 33.8 89.8 132 49 +1966 9 17 18 18 GORDON 59.1 26.7 94 295 +1988 12 10 6 8 BERYL 69.0 162.9 102 826 +1953 6 3 18 6 PATTY 40.1 196.9 110 748 +1975 7 9 12 20 NADINE 42.0 64.5 63 643 +2001 4 25 12 2 PATTY 69.6 181.9 148 76 +1992 6 24 18 3 LESLIE 44.5 34.2 27 3 +1971 2 18 0 16 NADINE 27.6 155.1 130 673 +1970 4 11 6 26 MICHAEL 19.3 160.7 85 386 +1957 2 16 18 2 TONY 11.0 312.1 43 503 +1982 1 17 0 16 PATTY 49.7 16.8 127 748 +1976 2 15 12 3 JOYCE 64.0 218.3 94 823 +1994 4 9 18 8 VALERIE 23.4 79.5 121 32 +1958 9 3 6 13 GORDON 37.9 252.8 62 848 +2003 10 15 12 18 KIRK 49.3 112.0 131 204 +1986 7 21 18 26 RAFAEL 60.6 16.1 82 820 +2000 10 7 0 22 OSCAR 64.5 73.8 153 154 +2000 5 12 18 2 ERNESTO 30.5 282.7 78 873 +1975 10 22 18 12 ERNESTO 38.2 343.5 157 112 +1977 12 6 12 11 HELENE 53.3 330.4 131 633 +1977 11 5 12 22 TONY 13.9 127.9 13 442 +1988 3 17 6 25 FLORENCE 54.9 249.3 106 119 +1984 12 23 12 24 MICHAEL 46.5 249.3 121 232 +1975 8 18 6 13 RAFAEL 36.0 71.3 123 51 +1959 3 21 12 25 WILLIAM 14.1 154.0 147 353 +1998 2 15 0 25 BERYL 23.7 299.5 159 70 +1981 7 26 6 19 DEBBY 43.6 285.5 80 256 +1969 12 4 6 28 LESLIE 58.4 143.1 41 318 +1992 6 13 6 19 WILLIAM 34.7 221.2 69 499 +1992 2 4 6 26 CHRIS 13.3 332.5 100 172 +1992 2 21 12 3 HELENE 32.1 236.9 103 576 +1988 11 10 0 3 FLORENCE 23.7 232.3 145 409 +1955 6 15 0 26 ALBERTO 11.9 3.3 93 35 +1981 1 26 0 1 WILLIAM 35.0 66.1 16 84 +1956 8 13 0 27 KIRK 60.5 22.5 159 819 +1982 4 22 0 21 ISAAC 23.0 259.2 61 541 +1993 8 9 0 21 ERNESTO 63.0 45.6 57 442 +1954 3 21 18 14 WILLIAM 25.1 257.5 146 635 +1990 10 11 18 16 DEBBY 55.2 333.4 43 8 +1972 7 13 6 12 FLORENCE 35.0 345.1 27 300 +1985 12 26 12 17 BERYL 32.9 302.5 164 307 +1995 7 9 18 9 DEBBY 22.7 10.6 47 100 +1968 10 26 0 6 GORDON 9.2 119.3 81 505 +1984 12 16 6 12 TONY 18.3 247.5 63 755 +1997 11 17 0 3 SANDY 40.6 238.8 164 249 +1974 12 26 18 10 VALERIE 65.6 313.3 63 390 +1997 6 18 18 3 VALERIE 34.9 231.9 28 115 +1980 3 27 12 4 CHRIS 41.6 95.6 149 106 +1989 10 10 18 2 WILLIAM 32.9 18.6 107 848 +2004 7 27 18 9 ISAAC 65.9 262.4 32 561 +1971 4 28 0 1 MICHAEL 20.3 159.4 143 598 +1992 3 28 12 13 JOYCE 9.9 19.1 20 646 +2000 2 9 0 27 VALERIE 14.0 7.4 60 420 +1977 1 26 12 24 KIRK 68.6 187.8 106 644 +1956 2 3 6 20 OSCAR 27.4 175.5 17 280 +1995 4 14 18 1 GORDON 30.0 93.9 116 686 +1968 10 7 6 18 TONY 38.4 164.4 118 217 +1963 11 27 6 27 RAFAEL 57.6 341.7 80 356 +1970 12 10 6 24 VALERIE 17.0 276.1 99 20 +1952 4 10 6 3 LESLIE 49.9 115.6 61 249 +1964 2 19 6 17 VALERIE 34.6 296.5 79 830 +1980 4 20 12 10 GORDON 52.1 314.8 114 662 +1970 1 6 18 7 HELENE 15.8 78.4 38 383 +1981 6 10 0 21 JOYCE 8.0 152.4 107 293 +1992 2 18 12 22 KIRK 56.7 153.4 126 457 +1965 6 25 6 21 CHRIS 38.3 172.1 104 98 +1974 2 11 18 16 KIRK 12.9 326.3 45 631 +1967 10 21 12 12 FLORENCE 59.9 355.2 36 447 +1950 9 15 18 11 ERNESTO 34.6 329.0 161 33 +1951 5 8 18 26 SANDY 66.4 40.9 118 547 +1992 11 26 18 23 BERYL 60.7 302.4 152 670 +1951 6 4 0 14 KIRK 48.4 329.5 154 164 +1974 2 2 0 17 ISAAC 48.5 326.5 102 897 +1966 12 9 6 21 BERYL 37.9 74.1 53 426 +1996 9 14 12 18 KIRK 45.3 264.9 77 672 +1955 9 26 12 25 RAFAEL 43.8 108.7 149 512 +1971 6 11 18 5 GORDON 19.2 245.5 98 642 +1984 4 1 12 24 ISAAC 37.1 264.5 53 346 +1971 4 24 6 8 ALBERTO 34.7 251.0 123 391 +1973 12 19 0 26 HELENE 44.4 197.4 138 822 +1980 10 25 12 2 TONY 21.4 212.5 47 343 +1977 5 14 6 20 FLORENCE 13.1 188.3 129 767 +2004 3 8 6 2 LESLIE 28.8 168.1 14 683 +1955 2 18 6 6 CHRIS 51.6 207.0 20 759 +2001 6 10 18 5 SANDY 11.0 284.7 143 443 +1988 4 10 6 24 HELENE 30.9 188.0 84 139 +2001 12 28 6 14 GORDON 11.0 180.7 51 188 +1957 2 4 0 16 KIRK 58.9 314.0 105 410 +2003 4 27 0 5 FLORENCE 59.4 135.7 32 837 +1962 7 13 12 1 JOYCE 38.4 10.6 103 627 +1999 11 27 18 24 NADINE 67.4 249.8 105 677 +1998 2 28 0 16 TONY 41.3 42.5 148 620 +1961 8 3 18 18 HELENE 44.5 277.3 74 146 +1964 12 21 18 20 ISAAC 23.2 234.9 65 586 +1987 1 16 6 10 JOYCE 69.6 246.9 27 199 +1984 4 18 18 21 PATTY 40.1 158.1 114 37 +1988 8 9 6 16 HELENE 25.1 38.7 156 506 +2003 1 28 6 28 SANDY 46.2 121.1 135 79 +1951 9 7 18 10 JOYCE 23.6 208.6 96 264 +1985 6 10 6 25 JOYCE 45.2 137.2 136 687 +1979 9 3 0 11 LESLIE 23.3 167.4 139 762 +1969 3 12 0 4 GORDON 36.1 356.2 39 815 +1985 12 11 0 18 DEBBY 7.5 0.7 93 501 +1997 8 1 18 23 MICHAEL 13.6 341.4 136 80 +1974 6 25 0 7 MICHAEL 61.8 264.2 44 541 +1969 3 25 12 16 BERYL 42.4 127.6 108 601 +1989 12 12 0 1 ISAAC 64.1 2.3 130 624 +1960 7 16 6 17 RAFAEL 16.2 152.2 72 224 +1970 9 3 12 5 ALBERTO 14.1 49.9 130 827 +1978 8 19 6 20 DEBBY 29.0 189.2 15 643 +1998 1 28 0 16 RAFAEL 25.3 303.5 64 213 +1988 9 4 6 8 MICHAEL 53.6 315.0 150 352 +1952 3 22 18 1 BERYL 13.0 252.0 150 181 +1991 6 28 18 4 HELENE 56.0 230.4 101 264 +1987 12 12 12 22 GORDON 66.8 304.4 146 354 +1965 11 15 6 5 BERYL 48.1 175.2 153 383 +1994 6 21 12 25 KIRK 55.3 314.5 163 451 +1998 4 21 18 28 CHRIS 46.3 301.5 133 527 +1979 10 26 6 20 BERYL 21.2 113.9 21 811 +1989 11 6 6 1 DEBBY 57.7 135.1 18 837 +1968 6 28 6 1 PATTY 33.8 1.5 71 695 +1977 3 21 12 28 HELENE 60.6 171.9 162 759 +1950 6 25 12 3 TONY 21.7 269.0 126 521 +1970 2 23 0 11 BERYL 63.1 349.8 155 534 +1995 6 22 18 17 DEBBY 43.1 342.8 48 272 +1992 4 22 18 14 OSCAR 48.4 198.1 106 574 +1981 6 21 18 9 ERNESTO 35.6 114.4 72 132 +1993 6 10 6 8 GORDON 21.2 116.6 14 477 +1990 1 4 6 28 OSCAR 20.9 148.4 71 243 +1972 4 16 0 10 DEBBY 62.5 254.5 17 288 +1971 9 14 18 4 ISAAC 17.9 170.8 98 521 +1982 11 5 0 15 FLORENCE 64.8 234.1 54 870 +1986 7 4 12 21 NADINE 47.2 284.2 12 145 +1957 8 19 0 28 MICHAEL 17.9 125.3 113 606 +1989 8 17 18 7 ALBERTO 64.6 96.4 57 697 +2000 8 13 0 24 VALERIE 33.0 100.3 66 793 +1980 11 6 0 4 KIRK 16.2 289.1 23 871 +1989 4 12 6 13 ALBERTO 61.6 272.2 116 579 +1986 4 4 18 4 SANDY 15.5 248.8 59 41 +1957 4 25 6 11 GORDON 34.1 59.4 111 757 +1955 2 24 0 9 NADINE 27.7 81.3 40 264 +1952 2 10 6 16 CHRIS 45.0 61.6 137 771 +1988 11 15 12 11 GORDON 35.7 336.0 63 671 +1958 11 9 6 10 PATTY 35.6 65.6 155 18 +1985 9 11 12 7 ERNESTO 67.6 285.7 85 23 +1981 9 22 0 16 JOYCE 69.8 23.7 18 540 +1956 8 22 0 11 MICHAEL 65.8 160.5 51 109 +1950 9 9 6 9 MICHAEL 40.3 69.9 69 781 +1991 10 6 6 6 ISAAC 40.5 28.7 125 560 +2001 7 25 18 28 JOYCE 68.9 28.2 126 458 +1989 9 24 18 19 LESLIE 69.5 331.4 32 664 +2000 3 28 6 14 KIRK 13.6 245.7 106 569 +1957 3 21 12 3 JOYCE 12.6 9.5 17 687 +1977 4 20 0 25 RAFAEL 58.4 143.1 96 208 +1950 4 23 0 6 HELENE 21.0 35.2 104 744 +1963 8 17 0 15 JOYCE 43.1 78.4 121 338 +1970 1 2 18 17 HELENE 29.6 351.9 34 162 +1972 2 12 12 20 DEBBY 52.6 155.8 160 852 +1958 3 8 18 11 RAFAEL 34.8 333.8 103 651 +1989 9 27 12 14 TONY 21.3 79.0 71 45 +1967 10 4 0 24 KIRK 40.5 12.1 131 178 +1963 5 9 12 24 ISAAC 45.1 253.3 161 538 +1992 8 5 18 1 OSCAR 55.4 21.5 70 832 +1960 9 2 12 5 MICHAEL 69.1 123.9 105 28 +1983 3 9 0 22 MICHAEL 10.1 210.1 120 174 +1982 2 22 18 18 SANDY 19.0 110.1 37 172 +1975 7 28 12 21 NADINE 48.5 118.2 125 659 +1955 7 22 18 14 HELENE 27.9 226.6 69 487 +1957 8 7 18 5 BERYL 68.1 328.3 57 366 +1965 8 22 12 2 PATTY 64.7 327.5 88 557 +1988 3 18 12 1 RAFAEL 9.3 266.9 68 840 +1993 6 27 18 13 HELENE 31.8 322.4 83 447 +2001 4 19 18 15 PATTY 43.6 114.4 96 182 +1956 7 22 12 8 JOYCE 29.9 109.2 83 857 +1984 1 10 12 24 NADINE 69.1 151.7 21 77 +1997 12 4 6 21 PATTY 42.0 126.1 142 809 +1961 12 13 6 5 JOYCE 32.7 287.4 148 666 +1956 4 18 18 20 VALERIE 62.0 332.8 126 551 +1982 3 26 0 24 RAFAEL 12.4 349.2 103 101 +1958 5 22 0 2 TONY 69.5 44.0 11 53 +2000 5 8 6 12 BERYL 23.9 301.9 79 876 +1952 12 13 0 2 KIRK 12.7 148.6 161 211 +1972 1 21 0 28 GORDON 16.2 246.5 40 807 +1995 12 10 0 21 DEBBY 65.1 52.2 74 528 +1999 3 15 12 5 BERYL 23.6 99.2 130 245 +1951 8 4 18 9 BERYL 32.0 221.9 80 221 +1959 10 10 6 4 OSCAR 42.3 28.2 111 756 +1960 3 19 12 5 ERNESTO 33.6 106.2 161 140 +1997 5 9 6 4 ALBERTO 53.1 351.0 140 861 +1951 9 9 12 16 JOYCE 12.8 142.7 17 382 +1955 2 2 6 4 ALBERTO 10.8 52.5 48 314 +1961 3 9 12 15 NADINE 27.5 119.8 99 170 +1965 2 15 12 27 LESLIE 24.4 293.4 148 208 +1955 4 16 18 18 NADINE 48.7 42.8 106 424 +1971 3 10 18 23 ALBERTO 59.1 76.6 80 478 +1965 2 3 12 16 JOYCE 27.5 180.7 101 275 +1979 1 21 18 2 TONY 11.6 120.9 63 652 +1999 10 19 6 2 KIRK 40.6 338.0 111 215 +1984 3 12 12 9 GORDON 23.3 326.2 45 719 +1963 10 1 0 19 MICHAEL 41.6 268.8 121 163 +1999 4 2 0 10 ERNESTO 58.3 118.0 27 704 +1952 7 7 0 21 SANDY 51.2 131.1 154 388 +1971 3 16 18 21 BERYL 54.0 70.3 126 635 +1959 6 6 6 18 DEBBY 39.3 29.5 17 407 +1959 8 19 12 9 MICHAEL 30.4 249.7 143 3 +1951 7 9 12 19 KIRK 28.2 79.0 124 832 +1959 8 20 18 17 GORDON 53.7 28.9 34 454 +2003 9 16 0 2 WILLIAM 48.5 284.2 21 660 +1973 3 21 6 10 BERYL 58.6 55.8 132 57 +2004 3 19 18 20 JOYCE 17.0 179.8 98 706 +1990 4 21 12 12 MICHAEL 33.7 146.8 29 275 +1965 2 13 18 19 WILLIAM 49.7 220.0 130 169 +1991 12 2 0 9 CHRIS 38.2 177.6 98 132 +1972 7 11 0 11 JOYCE 8.0 270.2 111 836 +1950 12 20 12 2 MICHAEL 55.8 181.9 71 161 +1966 12 11 18 10 WILLIAM 69.6 336.3 74 814 +1958 5 11 6 20 BERYL 30.6 152.0 80 17 +2000 9 26 18 26 PATTY 20.1 33.0 162 514 +1951 8 3 12 2 TONY 12.9 321.5 115 526 +1962 4 18 12 1 LESLIE 23.9 85.1 95 296 +1966 8 17 0 7 FLORENCE 36.4 9.5 139 837 +1950 3 6 18 22 ERNESTO 54.7 208.0 27 674 +1995 3 13 0 24 MICHAEL 28.2 268.0 136 664 +1953 9 21 6 6 DEBBY 65.3 118.3 57 469 +1958 3 22 0 2 RAFAEL 48.6 50.5 151 693 +1960 5 4 18 22 HELENE 50.3 305.7 20 675 +1990 11 5 6 6 ALBERTO 69.4 337.3 114 656 +1961 12 23 12 27 GORDON 17.8 325.1 127 327 +1957 6 15 6 5 VALERIE 8.3 162.8 90 861 +1976 7 22 0 3 SANDY 12.5 175.5 60 239 +2004 2 15 12 8 WILLIAM 50.7 280.2 46 807 +1971 5 9 6 17 OSCAR 50.9 17.6 77 788 +1991 8 16 18 3 MICHAEL 61.2 165.7 136 749 +1993 6 9 0 17 PATTY 58.8 226.2 48 840 +1953 10 19 0 2 FLORENCE 22.9 204.4 129 6 +2000 9 9 6 17 LESLIE 52.8 234.5 72 235 +1990 10 1 12 1 HELENE 60.2 32.3 118 257 +1983 1 1 12 9 WILLIAM 10.1 306.8 130 262 +1981 9 9 0 27 SANDY 31.2 17.6 35 404 +1991 3 28 18 25 OSCAR 30.1 24.4 133 624 +1992 6 13 0 19 GORDON 28.9 3.8 105 32 +1986 9 2 6 25 CHRIS 8.6 305.5 113 447 +1953 11 6 0 19 ISAAC 21.1 72.3 143 498 +1967 4 28 18 19 CHRIS 69.9 350.7 133 41 +1955 2 5 18 27 FLORENCE 39.1 91.5 96 776 +1995 3 21 12 11 VALERIE 68.6 256.3 154 654 +1969 2 10 0 22 PATTY 27.4 341.2 141 57 +1963 5 8 12 13 JOYCE 36.0 127.3 11 809 +1999 11 26 0 27 MICHAEL 56.1 39.4 89 538 +1988 1 9 6 11 KIRK 36.0 176.4 127 786 +1994 1 25 18 24 DEBBY 36.1 85.1 44 869 +1987 2 24 12 13 NADINE 20.8 82.6 132 80 +1955 6 24 6 8 ISAAC 30.3 170.7 22 859 +2002 3 23 0 17 BERYL 58.4 350.2 17 435 +1969 12 14 6 5 OSCAR 57.0 307.3 90 561 +1986 4 1 6 8 RAFAEL 40.2 224.3 17 728 +1968 7 10 18 1 BERYL 23.1 258.7 96 672 +1980 7 17 6 20 DEBBY 9.6 141.0 63 651 +1964 7 8 0 7 TONY 59.3 22.1 49 842 +1973 2 14 18 21 SANDY 58.0 193.8 97 888 +1986 4 1 0 26 FLORENCE 45.8 286.2 35 654 +1999 11 10 18 26 LESLIE 31.2 56.6 103 305 +1980 3 14 12 19 PATTY 65.9 120.0 40 830 +1950 6 24 0 8 BERYL 54.2 253.2 64 444 +1974 6 21 18 5 VALERIE 21.9 133.0 63 575 +2000 8 22 0 2 SANDY 46.2 78.2 116 755 +1966 12 21 0 15 GORDON 18.6 99.8 94 758 +1966 1 1 6 18 ALBERTO 29.8 21.4 48 625 +1950 3 11 12 24 ISAAC 43.2 257.2 115 102 +1963 6 8 18 7 NADINE 68.8 64.0 87 572 +1995 12 9 6 10 TONY 27.8 232.7 63 361 +1987 3 22 0 12 JOYCE 9.9 19.0 89 698 +1962 2 24 18 23 FLORENCE 23.6 345.4 164 518 +1986 7 13 6 8 BERYL 22.0 51.3 139 682 +1967 6 24 12 11 TONY 44.7 266.5 54 214 +1963 5 19 12 4 ERNESTO 27.0 351.9 89 692 +1990 10 4 6 18 FLORENCE 65.3 30.5 108 159 +1950 2 14 18 13 MICHAEL 28.9 1.1 146 431 +1963 2 26 18 19 RAFAEL 41.4 232.6 163 483 +1951 5 3 12 20 DEBBY 67.2 258.3 18 161 +2001 3 8 6 11 FLORENCE 13.3 240.4 75 462 +1966 11 27 6 21 DEBBY 52.2 102.5 111 379 +1961 6 26 18 24 OSCAR 50.6 269.2 124 511 +1967 7 16 18 16 NADINE 48.0 178.0 39 576 +1984 8 11 18 7 CHRIS 14.0 129.4 53 371 +1972 9 17 0 4 BERYL 9.5 128.5 98 891 +1958 11 6 0 15 WILLIAM 15.0 24.1 136 870 +1968 5 28 6 17 HELENE 21.6 355.9 39 514 +1952 11 5 12 13 SANDY 26.5 336.2 35 164 +1981 6 11 18 1 OSCAR 15.8 175.8 85 527 +1961 3 12 0 7 PATTY 42.7 247.7 26 497 +1990 9 19 12 28 WILLIAM 27.1 27.9 55 867 +1996 1 18 12 22 CHRIS 57.5 146.5 21 264 +1953 3 6 0 2 KIRK 35.8 313.5 131 362 +1975 6 14 12 10 JOYCE 34.9 213.9 80 388 +1988 10 8 0 3 NADINE 37.2 342.1 135 89 +1983 1 14 0 5 JOYCE 22.3 313.6 151 534 +1978 11 8 12 10 GORDON 36.7 158.7 102 667 +1994 4 10 18 6 RAFAEL 20.8 138.3 140 96 +2003 6 12 18 26 BERYL 49.4 313.7 29 588 +1952 10 28 0 3 MICHAEL 42.3 337.5 41 338 +1951 3 17 0 1 WILLIAM 34.5 274.5 53 702 +1990 11 11 18 8 MICHAEL 63.2 334.7 158 364 +1959 8 27 12 21 JOYCE 23.9 38.0 18 834 +1964 9 13 0 5 BERYL 58.8 3.2 64 51 +1994 1 7 0 23 JOYCE 66.3 156.1 151 358 +1977 2 3 6 3 KIRK 53.1 28.9 12 783 +1956 6 1 18 11 DEBBY 55.5 250.1 21 579 +2002 10 3 6 5 NADINE 54.6 12.8 163 831 +2000 4 2 12 19 FLORENCE 7.1 201.0 145 548 +2003 5 18 12 2 DEBBY 17.2 132.9 79 122 +1988 10 26 12 16 JOYCE 53.2 134.2 60 754 +1958 6 17 6 19 CHRIS 65.0 333.0 111 227 +2003 4 8 18 23 TONY 19.4 229.5 74 82 +1975 9 5 6 28 ERNESTO 61.1 10.4 39 349 +1970 7 6 0 18 MICHAEL 40.9 34.3 78 576 +1991 3 9 18 18 VALERIE 14.7 26.5 112 393 +1963 3 5 6 23 CHRIS 67.4 138.3 149 623 +1993 8 25 0 2 ALBERTO 48.2 283.0 112 820 +1957 10 6 6 12 RAFAEL 20.1 192.9 91 716 +1994 5 25 0 16 DEBBY 53.7 339.2 164 448 +1978 6 7 0 22 HELENE 11.2 251.0 163 191 +1967 8 13 18 23 MICHAEL 31.6 259.5 159 643 +2002 1 13 12 13 JOYCE 66.2 283.7 117 461 +1979 6 18 18 28 MICHAEL 28.7 229.7 62 327 +1950 8 9 6 28 SANDY 14.0 21.2 127 650 +1987 9 28 12 18 ISAAC 42.4 42.4 51 625 +1986 11 23 0 26 PATTY 46.1 253.1 119 304 +1999 10 24 12 23 ALBERTO 32.7 20.7 50 441 +1964 8 18 18 25 ISAAC 24.9 14.3 150 161 +1987 11 22 12 6 TONY 55.0 181.1 22 550 +2000 1 12 6 16 OSCAR 56.4 343.1 38 883 +1983 8 18 6 23 KIRK 18.6 282.9 70 683 +1992 8 26 6 9 MICHAEL 11.7 9.7 63 437 +1963 11 22 6 15 LESLIE 31.5 145.1 62 877 +1966 9 5 0 5 NADINE 38.0 7.3 75 704 +1953 4 3 0 19 NADINE 30.6 61.6 53 885 +1959 11 2 6 9 LESLIE 43.9 162.4 16 662 +1953 3 17 18 8 GORDON 38.5 51.0 73 448 +1978 3 18 12 25 GORDON 39.5 316.0 18 522 +1997 8 1 0 15 KIRK 68.5 85.8 31 288 +2003 8 26 0 21 LESLIE 33.6 187.3 67 554 +1990 9 17 12 2 VALERIE 8.3 277.3 87 273 +1956 7 16 6 14 ISAAC 14.1 119.9 139 147 +1973 1 3 18 11 RAFAEL 28.4 248.9 73 365 +1986 7 17 6 24 WILLIAM 14.9 99.7 78 524 +1991 2 16 0 21 RAFAEL 31.0 139.4 39 5 +1964 6 14 12 20 OSCAR 30.4 160.0 30 825 +1994 10 21 18 4 ISAAC 50.3 134.3 89 770 +2001 6 13 18 17 LESLIE 35.4 180.6 132 529 +1994 4 3 12 27 PATTY 33.1 302.3 106 741 +1993 1 15 18 11 HELENE 49.0 165.3 61 41 +1987 12 12 0 26 DEBBY 52.4 228.8 14 813 +1999 5 28 12 9 HELENE 60.1 329.8 121 248 +1992 12 8 18 10 CHRIS 14.2 150.0 148 170 +1993 10 22 12 2 ALBERTO 35.7 226.8 103 287 +1993 7 9 6 25 CHRIS 26.5 0.6 158 873 +1999 4 10 18 4 DEBBY 28.6 60.3 65 427 +1978 6 25 18 25 TONY 14.4 350.6 132 174 +1968 4 22 0 17 DEBBY 16.6 268.8 54 681 +1964 3 26 12 18 ALBERTO 8.5 226.3 158 177 +1996 7 26 0 2 NADINE 24.4 208.0 120 207 +1980 12 26 0 19 ISAAC 7.1 272.9 135 233 +1965 12 12 12 6 KIRK 64.4 284.1 141 451 +1960 3 13 18 22 VALERIE 46.0 149.5 158 171 +1996 3 15 12 14 ERNESTO 13.8 80.9 69 559 +1958 10 8 0 11 RAFAEL 24.9 114.3 130 690 +1958 6 23 6 5 FLORENCE 48.0 51.6 144 714 +1971 8 15 0 19 KIRK 65.6 319.3 110 164 +1987 12 20 6 23 OSCAR 31.5 126.0 149 127 +1970 2 22 6 21 SANDY 54.3 260.6 10 426 +1991 10 15 18 13 ISAAC 14.6 203.7 76 371 +1957 11 7 12 4 RAFAEL 20.9 217.9 142 431 +1985 9 10 18 22 LESLIE 32.7 344.9 138 184 +1995 10 18 0 12 NADINE 19.0 234.0 25 797 +1975 2 10 18 28 HELENE 27.7 271.8 142 459 +1985 1 23 12 1 CHRIS 66.0 331.7 56 248 +1983 9 23 12 23 HELENE 51.4 336.5 131 896 +1952 12 19 0 11 FLORENCE 45.7 18.6 16 897 +1995 2 17 12 3 VALERIE 46.4 286.5 159 526 +1960 10 21 0 15 CHRIS 23.1 106.8 135 794 +1970 4 19 18 9 NADINE 39.2 64.2 19 704 +1967 6 8 12 11 DEBBY 7.5 307.3 97 691 +1995 6 16 18 9 ALBERTO 15.7 77.8 88 392 +1986 12 22 18 1 DEBBY 48.5 252.8 95 224 +1991 1 11 0 10 TONY 16.9 301.3 99 441 +1969 5 17 12 5 NADINE 26.3 161.9 64 445 +2004 1 16 18 8 NADINE 22.0 18.9 154 747 +1989 2 28 12 21 JOYCE 23.7 183.9 38 57 +1987 11 15 18 7 ALBERTO 44.0 213.0 23 859 +1962 6 10 0 15 JOYCE 69.6 259.7 34 521 +2003 1 5 12 12 BERYL 65.2 215.0 47 329 +1969 1 12 0 5 GORDON 43.1 108.7 15 864 +1970 7 21 12 18 NADINE 33.9 18.6 74 877 +1989 4 11 18 18 MICHAEL 39.8 119.8 105 895 +2003 7 14 18 4 CHRIS 8.0 52.1 57 343 +1957 8 26 18 8 LESLIE 66.0 243.3 51 598 +1977 8 14 0 8 WILLIAM 37.3 166.7 19 582 +1995 8 27 12 27 FLORENCE 34.9 224.9 119 143 +1957 4 16 6 19 TONY 29.1 147.8 14 317 +1981 8 10 18 5 ISAAC 35.6 200.4 79 770 +1965 4 23 12 27 VALERIE 19.1 209.1 99 241 +1964 1 18 0 2 FLORENCE 12.4 240.0 151 520 +1962 12 21 18 16 DEBBY 12.1 148.7 108 852 +1967 1 12 0 26 FLORENCE 8.1 148.1 132 618 +1954 9 17 0 24 ERNESTO 62.9 184.3 163 73 +1988 1 27 6 17 GORDON 45.5 132.9 28 343 +1975 7 7 12 1 FLORENCE 23.7 48.4 12 541 +2002 9 9 6 10 NADINE 63.0 234.5 89 598 +2004 1 7 0 7 DEBBY 39.1 277.6 145 341 +1989 10 18 12 19 ERNESTO 53.7 308.7 24 119 +1982 5 9 0 26 TONY 23.8 235.0 70 505 +1989 1 22 0 7 DEBBY 27.7 48.4 24 890 +1985 1 12 18 17 GORDON 22.3 340.0 115 375 +1997 9 20 6 26 WILLIAM 43.0 1.7 74 357 +2001 12 12 12 27 LESLIE 41.7 220.4 155 61 +1976 6 16 12 17 ERNESTO 18.5 186.5 135 739 +1987 7 9 18 11 VALERIE 32.0 62.1 109 186 +1996 5 27 0 4 JOYCE 10.5 205.5 53 717 +1998 8 20 6 20 LESLIE 41.6 297.7 64 592 +1989 11 6 12 19 MICHAEL 60.0 152.2 93 328 +1979 5 5 0 6 OSCAR 12.0 297.5 38 820 +1980 3 11 12 24 VALERIE 24.4 308.3 18 196 +1997 5 7 0 27 ERNESTO 26.9 318.9 112 169 +1974 9 6 18 14 RAFAEL 11.7 191.6 133 93 +1951 3 27 18 6 WILLIAM 8.7 74.9 152 836 +1960 5 15 12 13 ERNESTO 49.2 18.2 35 357 +1980 1 24 12 15 MICHAEL 24.5 81.4 152 358 +1969 11 4 6 13 PATTY 18.0 288.3 111 460 +1982 9 8 0 15 LESLIE 17.2 79.2 60 91 +1954 12 23 12 22 NADINE 64.0 2.1 89 632 +1994 9 27 18 5 ISAAC 12.0 157.6 37 485 +1975 2 28 0 15 TONY 29.0 65.7 33 4 +1994 2 14 18 2 LESLIE 16.6 305.8 33 787 +1982 11 24 12 6 OSCAR 33.1 180.8 88 603 +2001 4 7 12 9 DEBBY 31.8 240.3 74 33 +2000 2 6 12 11 DEBBY 67.3 204.0 49 632 +1985 5 17 18 4 JOYCE 41.4 297.5 143 11 +1969 8 8 0 28 KIRK 31.2 271.1 17 569 +1996 10 28 12 26 VALERIE 27.8 16.1 16 695 +1975 7 10 6 28 DEBBY 36.4 181.4 34 692 +2002 5 8 0 16 MICHAEL 57.7 75.7 87 538 +1956 8 4 6 27 GORDON 37.4 317.0 78 860 +1960 8 8 0 28 WILLIAM 30.0 50.0 86 296 +1980 4 24 0 13 BERYL 49.0 55.1 15 285 +1955 9 27 12 14 RAFAEL 27.8 47.8 41 406 +1996 10 15 0 13 NADINE 45.0 118.0 143 691 +1971 7 2 18 20 CHRIS 43.4 10.3 156 282 +1993 9 11 12 19 DEBBY 47.3 64.6 117 752 +1983 7 13 0 11 BERYL 50.1 87.8 69 5 +1951 12 2 6 7 NADINE 49.6 83.1 131 249 +1991 8 19 6 6 TONY 69.1 352.2 80 21 +2003 8 12 12 1 ALBERTO 18.5 88.1 111 602 +1990 7 12 18 21 WILLIAM 44.5 21.5 11 199 +1966 8 3 6 22 OSCAR 24.4 184.3 32 34 +1979 9 16 0 13 CHRIS 62.7 269.3 13 159 +1952 4 25 12 11 DEBBY 46.8 264.5 154 255 +1992 2 18 0 8 OSCAR 64.9 211.3 66 458 +1978 2 15 12 28 VALERIE 60.3 314.9 60 43 +1977 8 21 12 23 ERNESTO 58.5 81.0 38 524 +1992 3 8 6 12 BERYL 56.3 11.0 134 207 +1951 6 24 18 24 CHRIS 69.5 130.9 129 275 +1971 9 4 18 11 CHRIS 35.5 336.9 96 286 +1953 4 17 6 26 BERYL 65.7 287.2 123 405 +1980 5 18 12 12 RAFAEL 31.4 137.1 31 806 +1974 12 5 18 23 CHRIS 48.3 4.1 82 749 +1951 11 5 18 20 NADINE 36.1 185.5 107 806 +1954 6 24 0 27 GORDON 37.4 219.6 95 837 +1961 5 6 18 14 LESLIE 52.4 75.6 16 876 +1987 7 18 18 12 OSCAR 62.8 106.5 70 164 +1987 10 28 18 17 LESLIE 36.0 351.8 56 467 +1959 7 27 18 11 ERNESTO 28.3 86.1 27 681 +1991 11 1 0 4 VALERIE 66.2 19.2 78 781 +1950 1 1 12 24 ALBERTO 48.0 246.3 130 123 +1973 12 10 6 21 PATTY 34.7 118.7 42 780 +1961 3 1 0 10 CHRIS 66.0 172.2 14 515 +1999 9 4 6 16 JOYCE 14.7 332.8 159 310 +1987 6 17 18 9 DEBBY 51.4 336.1 111 533 +1992 5 3 18 23 MICHAEL 54.6 43.0 41 266 +1970 4 1 12 25 DEBBY 62.2 239.3 64 525 +1955 11 13 12 15 MICHAEL 45.2 210.4 114 172 +1999 3 4 12 20 GORDON 52.0 342.7 40 342 +1995 8 27 12 24 TONY 23.5 123.5 104 889 +1976 5 3 6 19 MICHAEL 65.0 264.8 108 8 +2004 6 21 6 23 ALBERTO 35.0 292.0 55 53 +1982 6 8 12 6 SANDY 51.8 107.6 14 721 +1991 7 12 6 20 CHRIS 12.8 305.9 57 819 +1958 11 19 6 25 LESLIE 57.8 179.8 40 726 +1994 1 11 0 15 RAFAEL 35.7 261.0 151 353 +1975 5 28 12 18 OSCAR 27.7 8.5 161 488 +1981 5 12 18 2 MICHAEL 29.9 35.8 61 57 +1968 12 1 12 1 SANDY 52.5 316.9 25 445 +1987 1 11 0 8 LESLIE 31.0 62.7 10 81 +1979 8 8 18 12 KIRK 64.6 209.0 116 527 +1951 1 13 0 11 VALERIE 66.9 73.2 60 230 +1989 9 21 6 9 ALBERTO 10.9 22.8 21 511 +1983 8 8 6 4 FLORENCE 20.8 351.5 116 783 +1981 6 27 0 18 MICHAEL 65.0 231.1 36 5 +1989 6 17 0 23 MICHAEL 42.9 62.9 105 873 +1994 12 18 0 17 GORDON 19.9 149.6 33 630 +1950 6 17 18 5 DEBBY 63.0 59.1 17 358 +2003 12 15 12 3 BERYL 18.3 335.9 77 796 +1974 5 6 12 11 MICHAEL 18.1 64.1 160 368 +1977 9 21 18 18 CHRIS 10.1 144.3 87 774 +1976 12 8 18 24 BERYL 29.3 150.6 68 266 +1994 1 28 6 18 ISAAC 61.3 155.2 104 725 +1959 9 27 12 9 FLORENCE 14.9 266.0 60 240 +2000 12 2 0 21 SANDY 26.6 26.2 69 741 +1968 8 2 0 18 FLORENCE 43.2 109.9 87 685 +1963 3 22 12 15 MICHAEL 52.2 244.9 133 256 +1978 1 13 0 6 MICHAEL 61.8 335.0 10 574 +1972 4 10 6 11 DEBBY 31.7 62.6 14 376 +1986 6 26 6 26 LESLIE 56.6 31.1 139 840 +1996 11 27 0 18 DEBBY 52.6 59.3 33 806 +1957 9 23 6 1 OSCAR 66.5 108.5 124 783 +1993 12 14 6 25 GORDON 60.1 261.3 149 814 +2004 12 19 0 28 BERYL 7.1 116.1 26 804 +2002 2 10 12 9 RAFAEL 18.2 82.7 13 678 +1959 6 19 12 22 MICHAEL 48.1 101.0 158 745 +1987 12 28 18 8 RAFAEL 46.2 15.9 68 857 +1982 9 17 6 16 SANDY 10.9 254.2 112 81 +1991 9 28 18 24 CHRIS 39.3 68.5 11 79 +2004 5 20 0 1 RAFAEL 34.3 314.4 117 626 +1976 4 28 6 2 WILLIAM 63.8 6.3 66 321 +1998 6 8 12 14 BERYL 7.3 150.0 22 480 +2004 10 28 12 20 KIRK 29.4 141.9 87 232 +1974 1 8 0 15 MICHAEL 60.4 46.5 53 751 +1995 10 12 12 28 MICHAEL 15.3 230.4 156 355 +1975 5 20 0 8 TONY 27.2 197.5 133 400 +1951 11 14 12 20 ISAAC 58.4 336.0 47 637 +1966 6 16 0 24 ISAAC 12.2 126.9 40 248 +1977 3 6 6 23 BERYL 14.1 232.6 142 672 +2002 4 14 6 12 NADINE 68.1 111.3 138 861 +1993 3 3 12 10 OSCAR 9.0 238.3 102 176 +1960 9 12 6 28 RAFAEL 20.0 300.7 43 623 +1974 10 3 0 19 TONY 68.3 162.8 90 457 +1981 9 4 0 28 JOYCE 36.9 232.4 115 597 +1964 4 16 6 22 MICHAEL 24.5 107.3 23 314 +1981 2 15 6 13 NADINE 7.5 34.3 107 791 +1989 1 20 12 1 JOYCE 54.2 161.0 17 601 +1989 9 2 6 5 KIRK 18.5 282.7 86 578 +2004 5 1 6 7 FLORENCE 45.1 239.7 80 500 +1984 8 27 18 19 HELENE 26.0 286.7 149 690 +1997 12 12 0 1 ERNESTO 67.3 233.5 162 303 +1977 11 14 6 26 NADINE 30.1 43.3 133 821 +1985 5 26 18 18 DEBBY 68.8 205.9 133 385 +1974 6 24 12 24 JOYCE 49.3 8.6 156 17 +1995 9 27 18 1 NADINE 56.5 188.7 98 203 +1993 6 3 0 2 OSCAR 18.1 336.2 125 846 +1988 11 12 12 6 VALERIE 24.3 291.9 158 527 +1953 9 17 18 11 MICHAEL 41.3 285.0 121 686 +2003 3 13 6 12 LESLIE 58.9 197.2 60 228 +1996 7 10 0 17 LESLIE 11.5 178.8 85 884 +1990 3 2 0 20 SANDY 32.0 178.2 119 39 +1990 7 25 6 17 HELENE 63.4 74.2 141 738 +1961 5 4 18 4 RAFAEL 44.7 68.0 129 217 +2004 1 16 12 7 ERNESTO 34.2 305.0 60 865 +1965 9 7 0 1 RAFAEL 52.1 188.7 40 720 +1988 4 23 18 8 TONY 53.4 51.8 13 20 +1974 10 18 18 25 JOYCE 69.0 230.3 129 700 +1997 1 18 18 27 HELENE 38.7 242.9 92 691 +1955 1 25 0 14 ERNESTO 12.8 73.2 104 121 +2000 5 4 6 8 MICHAEL 46.7 177.1 89 652 +1977 6 21 18 8 CHRIS 8.1 149.0 73 521 +1972 12 22 12 13 BERYL 68.1 166.6 53 431 +1982 8 10 6 22 ALBERTO 15.4 308.2 106 279 +1959 6 22 0 20 HELENE 45.2 4.8 35 463 +1962 4 9 18 2 JOYCE 7.0 166.7 59 876 +1987 12 9 18 17 LESLIE 50.1 227.4 32 881 +1988 3 27 6 10 DEBBY 44.2 172.9 41 874 +1995 11 12 12 20 ALBERTO 53.2 16.0 101 4 +1968 5 17 0 5 WILLIAM 60.4 286.4 93 297 +1959 6 18 6 7 VALERIE 28.5 179.3 62 894 +1958 8 1 18 3 BERYL 34.4 124.0 143 171 +1950 8 23 6 7 LESLIE 65.2 165.7 117 525 +1982 1 16 18 4 JOYCE 35.1 283.3 125 309 +1962 2 23 18 6 LESLIE 39.4 278.7 138 213 +1980 4 5 12 7 LESLIE 54.5 18.4 124 425 +1964 7 12 12 9 KIRK 19.0 261.8 136 436 +1954 6 28 18 26 FLORENCE 62.0 343.6 138 321 +1962 6 25 0 5 ALBERTO 17.6 12.8 41 4 +1972 1 5 6 10 PATTY 34.6 278.1 72 137 +1951 1 21 0 2 HELENE 63.0 49.5 32 347 +1972 1 23 18 5 NADINE 8.8 253.6 102 12 +1951 6 2 12 19 ERNESTO 27.3 250.7 77 355 +1970 9 19 0 11 CHRIS 67.5 357.1 35 894 +1969 4 25 18 11 LESLIE 16.6 9.3 124 259 +1998 2 11 6 17 TONY 32.2 55.3 98 299 +2003 7 5 12 28 DEBBY 41.6 299.1 83 393 +1960 8 10 12 17 TONY 15.6 286.1 106 845 +1971 2 28 6 10 TONY 16.5 251.3 123 71 +1986 6 24 6 7 ERNESTO 17.5 231.1 126 881 +1985 7 13 0 6 LESLIE 14.0 238.9 69 15 +1951 12 6 6 10 TONY 36.6 69.8 85 441 +1953 8 13 18 9 ALBERTO 35.8 91.6 159 132 +1970 3 18 6 3 MICHAEL 41.5 43.5 22 860 +1968 7 12 18 19 DEBBY 38.4 223.2 129 91 +1966 1 24 0 11 PATTY 57.3 95.2 160 640 +1995 11 5 18 1 HELENE 39.2 141.7 35 567 +1969 8 12 6 8 FLORENCE 19.8 355.9 92 429 +1986 5 22 0 10 OSCAR 67.5 21.1 152 45 +1981 1 22 0 28 VALERIE 39.6 313.0 97 638 +1975 7 23 18 6 OSCAR 20.6 22.2 143 895 +1981 4 13 12 1 VALERIE 35.1 336.5 32 697 +2000 3 19 18 1 ERNESTO 44.9 284.6 31 675 +1965 1 15 18 27 TONY 46.7 327.7 20 133 +1977 1 17 12 1 WILLIAM 67.1 79.6 72 441 +1964 1 11 18 12 NADINE 15.2 164.1 147 261 +1981 10 17 0 25 HELENE 24.5 326.5 134 327 +1956 10 28 18 23 GORDON 53.8 223.9 80 523 +1951 1 12 18 25 FLORENCE 18.0 40.6 70 500 +1994 1 25 6 7 BERYL 11.1 167.1 140 369 +1972 12 17 12 11 MICHAEL 61.5 272.8 49 27 +1971 6 3 0 27 ISAAC 44.1 349.1 149 100 +1990 6 5 18 2 JOYCE 53.9 184.0 49 200 +1955 3 12 12 8 CHRIS 50.4 252.4 72 252 +1998 8 9 6 5 RAFAEL 23.1 41.2 143 300 +1956 4 13 18 25 GORDON 25.9 7.0 151 183 +1986 7 22 6 6 VALERIE 37.6 29.6 105 51 +1950 5 27 18 3 PATTY 43.2 162.8 95 196 +1994 10 24 6 20 GORDON 20.7 239.4 158 561 +1984 9 27 0 19 MICHAEL 17.9 216.5 62 320 +2001 1 15 18 16 LESLIE 36.4 31.3 137 316 +1957 6 8 18 24 ALBERTO 40.1 328.0 136 9 +1999 5 23 12 7 GORDON 25.7 285.6 135 42 +1952 12 12 6 7 HELENE 9.4 250.9 126 169 +1967 1 26 12 28 MICHAEL 29.7 313.1 152 333 +1994 8 16 0 28 ERNESTO 68.9 191.4 135 173 +1992 8 11 0 9 KIRK 44.7 229.8 87 598 +1988 3 2 0 5 NADINE 36.6 223.4 58 773 +1962 4 18 18 10 RAFAEL 30.3 182.2 28 898 +1986 1 23 6 19 VALERIE 19.4 23.6 112 191 +1985 6 17 6 2 MICHAEL 8.5 8.9 160 9 +1956 1 1 18 28 DEBBY 32.4 87.2 123 798 +1974 2 14 0 7 ERNESTO 28.2 192.3 11 547 +1984 9 26 6 28 TONY 23.1 320.6 133 545 +1990 7 22 12 24 ALBERTO 10.5 52.8 23 90 +1974 5 16 0 1 VALERIE 12.6 317.0 100 86 +1974 9 24 0 28 GORDON 64.2 98.4 17 341 +1957 8 26 12 26 PATTY 69.8 61.2 22 583 +1970 5 8 0 22 VALERIE 8.0 167.3 104 556 +1962 2 18 12 9 RAFAEL 55.4 330.4 151 689 +1989 1 6 12 13 FLORENCE 27.9 119.7 54 406 +1993 12 6 18 5 DEBBY 50.9 3.8 110 39 +1982 4 7 12 1 NADINE 35.6 313.7 99 535 +1964 12 5 12 25 TONY 63.1 356.0 152 14 +1950 5 16 12 18 ISAAC 41.9 161.4 103 781 +1998 9 18 6 5 ALBERTO 60.3 103.0 61 583 +1995 10 22 0 12 TONY 23.6 229.3 17 828 +1953 8 12 6 24 TONY 67.7 105.6 26 532 +1954 8 15 6 1 ISAAC 7.7 201.6 139 566 +1976 11 2 0 1 DEBBY 36.5 133.8 90 160 +1952 9 26 18 13 RAFAEL 53.0 148.4 88 300 +1975 10 5 18 4 FLORENCE 39.8 16.2 76 202 +1972 7 15 6 3 GORDON 37.0 161.0 138 529 +1971 4 17 18 21 NADINE 63.2 29.6 140 726 +1954 5 4 12 4 NADINE 39.3 224.7 97 764 +2004 3 6 0 6 DEBBY 37.8 99.4 75 566 +1962 9 7 12 9 ISAAC 35.3 313.5 86 592 +1969 5 17 6 8 RAFAEL 64.9 27.0 131 861 +1969 1 7 12 6 FLORENCE 46.3 29.6 20 351 +1997 8 21 6 3 ALBERTO 16.6 183.7 47 602 +1964 10 2 12 5 OSCAR 56.6 20.5 85 474 +1970 9 15 12 27 DEBBY 26.7 64.6 108 214 +1983 9 17 0 11 OSCAR 60.8 107.6 48 5 +1981 2 3 6 23 PATTY 50.5 129.6 56 196 +1981 7 7 12 17 TONY 62.4 285.5 109 475 +2001 1 21 12 17 ISAAC 16.0 308.4 134 606 +1973 1 13 0 19 PATTY 51.2 53.3 163 500 +1983 1 14 6 6 ALBERTO 55.8 193.8 156 567 +1971 10 12 18 27 DEBBY 62.8 151.5 109 227 +2004 7 17 12 6 LESLIE 19.7 175.4 99 184 +1995 11 8 12 15 SANDY 58.6 242.7 159 784 +1951 11 27 18 5 NADINE 52.3 52.5 67 31 +1968 1 5 0 9 WILLIAM 20.8 195.4 95 106 +1978 3 4 18 27 KIRK 56.2 130.5 73 430 +1969 10 22 0 5 CHRIS 63.5 52.7 146 366 +1970 12 2 6 16 ALBERTO 48.0 144.4 153 547 +2003 9 8 0 3 WILLIAM 35.5 1.9 144 403 +1968 10 14 0 10 GORDON 53.2 72.4 40 337 +1951 7 19 18 18 JOYCE 36.1 343.7 80 510 +1977 3 3 18 15 LESLIE 62.5 222.3 94 1 +1977 8 5 0 21 HELENE 29.6 110.9 128 7 +1961 2 27 18 23 ERNESTO 15.8 317.2 152 567 +2004 12 4 18 24 PATTY 18.7 163.7 152 623 +1963 9 3 12 9 TONY 59.7 249.1 89 648 +1972 9 3 18 16 ISAAC 52.1 48.2 72 457 +1958 4 8 0 25 TONY 48.1 127.9 14 817 +1969 1 2 18 25 OSCAR 24.5 324.1 35 360 +1967 6 15 12 23 MICHAEL 60.7 98.0 121 375 +2003 11 21 6 28 WILLIAM 56.1 105.2 23 844 +1989 4 28 6 17 ERNESTO 50.3 164.1 131 345 +1969 11 9 18 10 ALBERTO 58.9 168.1 43 806 +2002 2 28 6 26 CHRIS 52.6 314.5 91 730 +1965 8 27 0 12 GORDON 40.0 87.3 141 872 +2003 2 3 0 5 OSCAR 22.4 49.9 29 614 +1958 10 5 12 7 ALBERTO 40.7 135.0 94 273 +1973 7 13 12 13 KIRK 29.1 200.6 131 224 +1999 10 20 12 3 HELENE 18.1 264.9 85 862 +1952 11 7 6 1 SANDY 68.9 277.3 15 849 +1951 9 4 6 13 FLORENCE 18.4 192.7 146 133 +1956 6 17 0 7 HELENE 34.6 323.8 95 144 +1975 6 12 6 5 MICHAEL 33.0 227.8 66 613 +1982 4 8 6 1 ISAAC 40.0 308.5 44 702 +1953 7 28 18 12 BERYL 23.6 308.5 47 884 +1962 3 2 0 8 PATTY 14.3 268.4 67 402 +2001 5 19 0 23 LESLIE 27.5 79.2 152 790 +1960 9 18 0 27 NADINE 46.7 303.5 105 254 +2004 1 21 12 6 RAFAEL 69.2 215.2 107 601 +1956 4 4 0 2 ERNESTO 44.5 60.6 86 639 +1956 2 2 6 27 BERYL 17.7 24.8 41 237 +1996 10 4 6 1 BERYL 61.5 254.7 96 109 +1983 12 15 18 28 HELENE 38.4 92.6 131 354 +1986 6 17 12 10 LESLIE 67.8 78.3 68 638 +1985 11 16 18 1 ISAAC 32.2 300.7 113 607 +1983 5 17 6 4 FLORENCE 53.5 318.5 116 797 +1978 9 9 12 16 FLORENCE 67.3 8.8 75 691 +1984 3 27 0 18 VALERIE 19.7 292.4 24 839 +1988 8 17 18 28 KIRK 49.4 0.7 81 851 +1974 6 7 12 22 ERNESTO 13.4 12.7 108 447 +1996 3 15 18 28 NADINE 25.7 301.5 92 432 +1965 6 28 0 14 DEBBY 64.0 71.0 41 551 +1959 6 22 0 13 ISAAC 66.6 62.8 59 105 +1981 7 4 6 14 PATTY 27.3 277.8 22 78 +1992 9 12 18 2 BERYL 9.3 314.5 48 616 +1966 3 5 18 25 GORDON 20.5 308.7 88 107 +1983 11 12 12 12 LESLIE 66.3 234.6 95 369 +1987 12 21 18 16 GORDON 47.0 306.5 107 126 +1963 8 6 12 24 NADINE 7.3 236.8 96 591 +1970 11 20 0 3 WILLIAM 56.9 132.4 129 122 +1997 9 23 0 13 SANDY 12.2 43.0 146 808 +1958 8 25 6 28 ISAAC 17.1 354.5 116 231 +1960 7 13 12 26 NADINE 24.1 243.1 86 253 +1959 10 10 18 9 PATTY 19.5 158.1 126 225 +1969 9 26 12 5 HELENE 69.3 148.7 162 113 +1967 10 13 18 24 MICHAEL 70.0 264.7 48 121 +1980 2 4 12 2 FLORENCE 53.7 100.0 80 718 +1968 2 3 0 20 ERNESTO 36.2 245.8 105 280 +1980 2 12 12 24 MICHAEL 52.1 266.5 149 287 +2002 11 11 18 8 BERYL 24.7 148.6 75 251 +1990 5 17 0 19 VALERIE 59.7 235.0 89 382 +1978 6 14 18 12 KIRK 60.9 231.3 74 841 +1951 1 9 18 8 ISAAC 30.8 135.6 29 354 +1994 12 3 18 23 LESLIE 32.3 309.1 71 108 +1957 1 4 18 22 CHRIS 8.6 50.1 112 573 +1970 6 21 18 24 MICHAEL 68.4 327.9 150 92 +1958 8 16 0 27 MICHAEL 45.2 187.5 22 58 +1972 9 15 18 21 VALERIE 64.5 52.6 108 506 +1959 9 26 6 12 LESLIE 60.2 87.3 145 689 +1977 11 26 12 2 LESLIE 22.5 293.4 29 389 +1952 12 2 18 5 RAFAEL 67.6 110.3 111 175 +1990 7 10 12 27 FLORENCE 62.1 303.3 30 219 +1977 11 7 18 11 WILLIAM 30.2 30.6 22 556 +1985 1 17 18 24 HELENE 51.5 183.8 29 641 +2004 5 5 6 15 VALERIE 66.0 80.9 88 882 +1979 2 2 18 4 NADINE 44.2 220.4 34 35 +1997 10 18 6 2 HELENE 46.7 232.5 60 808 +1962 12 17 6 7 JOYCE 54.5 137.1 20 61 +1967 8 28 6 16 SANDY 29.1 156.4 110 284 +1973 5 10 6 28 LESLIE 65.5 346.9 155 30 +1973 3 28 18 24 RAFAEL 16.1 29.0 148 206 +1953 12 20 0 21 MICHAEL 67.1 81.1 69 529 +1975 6 10 12 4 CHRIS 52.1 62.0 44 149 +1961 2 15 0 22 TONY 51.2 351.2 24 172 +1975 7 28 0 25 VALERIE 63.5 265.4 39 424 +1987 5 6 18 12 KIRK 48.0 299.4 40 580 +1973 9 3 12 6 WILLIAM 47.2 349.7 25 267 +2001 2 18 18 12 TONY 27.4 9.9 12 116 +1987 2 6 12 21 KIRK 21.5 251.7 16 244 +1992 9 9 6 15 SANDY 50.7 27.6 108 341 +1994 8 7 6 6 PATTY 27.5 339.8 22 717 +1964 6 9 6 19 LESLIE 38.2 287.5 95 335 +1967 12 20 0 2 CHRIS 13.6 48.8 39 431 +1984 6 5 0 1 ALBERTO 24.6 294.8 111 191 +1993 3 28 0 14 CHRIS 46.4 286.7 38 338 +1995 7 24 6 3 BERYL 47.9 283.5 78 736 +1995 12 8 0 18 MICHAEL 65.9 34.9 99 359 +1951 1 12 0 9 JOYCE 46.2 305.9 163 479 +1993 1 18 0 13 FLORENCE 52.8 352.5 45 295 +2000 4 8 0 6 NADINE 63.2 190.6 150 108 +1955 1 12 18 19 BERYL 36.5 132.2 77 827 +1952 12 8 6 19 ERNESTO 38.4 278.2 111 43 +1981 5 22 6 12 JOYCE 58.4 121.2 148 762 +1981 8 26 6 16 NADINE 44.0 241.0 51 489 +1960 6 18 0 6 TONY 17.7 97.4 116 742 +1952 9 16 18 14 WILLIAM 13.6 211.7 30 106 +1994 11 6 6 6 ISAAC 58.0 136.9 68 411 +1993 1 22 6 18 LESLIE 51.3 267.5 30 362 +1970 11 19 6 18 GORDON 8.8 69.7 70 523 +1957 8 12 18 16 PATTY 10.5 158.7 96 837 +1966 12 4 12 26 FLORENCE 58.2 60.9 154 595 +1980 1 24 18 1 TONY 58.3 241.9 79 311 +1978 9 22 0 14 RAFAEL 30.4 49.0 26 653 +1977 1 21 18 11 WILLIAM 11.2 33.4 28 729 +1952 5 8 6 10 WILLIAM 23.7 67.2 147 642 +1987 5 25 6 10 ALBERTO 42.1 160.4 126 154 +1965 6 11 6 12 WILLIAM 24.8 217.2 145 790 +1973 4 21 0 1 LESLIE 47.2 273.2 64 505 +1964 7 20 0 26 KIRK 52.7 196.0 14 865 +1985 2 7 12 20 GORDON 40.5 24.9 99 828 +1980 6 16 0 2 OSCAR 12.2 315.6 106 395 +1998 1 21 0 18 ERNESTO 61.5 340.1 129 27 +1966 3 10 6 1 SANDY 54.2 65.2 148 418 +2003 6 4 6 27 WILLIAM 43.3 95.1 142 338 +1989 3 10 0 20 ALBERTO 55.2 171.6 61 17 +1972 1 27 0 22 SANDY 8.1 270.8 163 694 +1950 8 7 18 5 WILLIAM 10.2 155.9 163 396 +1968 8 5 18 16 CHRIS 12.3 128.7 99 467 +1985 6 1 6 20 RAFAEL 10.9 250.5 68 698 +1950 10 19 6 21 ALBERTO 52.5 125.4 153 400 +1955 4 15 12 15 GORDON 66.7 71.6 52 658 +1960 5 4 18 15 CHRIS 41.3 2.7 43 393 +1961 1 20 12 10 TONY 55.9 278.1 40 110 +1986 11 7 6 9 ERNESTO 21.8 233.5 18 41 +1967 4 12 12 23 ALBERTO 13.9 232.5 158 276 +1974 10 18 0 19 CHRIS 47.1 5.2 147 824 +1958 6 11 18 5 DEBBY 40.0 166.4 67 297 +1997 7 14 6 22 FLORENCE 7.5 30.1 61 214 +2000 5 28 0 26 ISAAC 26.9 34.4 128 546 +1990 11 17 0 27 JOYCE 47.4 190.2 106 11 +1998 5 9 12 8 ALBERTO 14.4 316.1 85 767 +2000 1 18 18 23 ISAAC 28.9 108.9 135 746 +1955 5 13 18 7 SANDY 40.0 317.8 143 335 +1988 7 20 6 7 JOYCE 48.1 191.5 45 341 +1995 8 17 0 25 TONY 10.3 98.4 117 327 +1972 7 12 6 13 FLORENCE 62.7 9.2 136 429 +1956 3 5 6 9 NADINE 56.5 148.5 75 689 +1985 8 7 18 18 SANDY 64.1 157.8 14 394 +1985 1 15 6 12 HELENE 33.8 197.0 85 46 +1978 5 8 6 15 FLORENCE 51.1 180.9 39 52 +1968 3 16 6 12 LESLIE 13.3 241.5 86 106 +1966 6 24 12 17 ALBERTO 61.5 128.0 126 163 +1964 1 21 12 9 ERNESTO 28.6 72.2 65 721 +1960 1 11 18 26 PATTY 38.1 286.2 20 747 +2000 10 21 12 26 WILLIAM 28.7 90.1 162 726 +1971 5 3 6 10 KIRK 60.6 161.4 107 736 +1972 10 11 0 22 GORDON 26.6 189.2 147 732 +1985 3 17 18 5 LESLIE 41.4 347.0 36 849 +1963 3 3 18 20 NADINE 10.7 232.5 136 318 +1986 2 21 18 9 FLORENCE 58.1 283.8 29 79 +1987 4 2 12 13 DEBBY 39.9 333.5 22 746 +1991 8 28 6 6 BERYL 59.6 275.7 11 854 +1978 8 9 18 8 ALBERTO 61.0 231.7 73 525 +1967 11 2 0 5 TONY 19.1 336.0 105 661 +1968 1 28 18 13 FLORENCE 7.6 41.1 57 878 +1970 3 8 18 27 KIRK 66.1 281.3 39 333 +1982 6 23 12 11 RAFAEL 62.8 67.0 124 372 +1975 1 5 6 10 WILLIAM 57.2 21.9 101 341 +1972 8 14 18 22 HELENE 57.4 46.7 123 104 +1993 5 21 12 4 RAFAEL 31.0 107.3 95 604 +1957 6 13 12 28 GORDON 57.4 354.4 15 707 +1966 5 19 18 4 WILLIAM 23.2 26.2 20 827 +1961 10 25 18 8 TONY 27.0 61.3 65 638 +1963 1 28 6 9 VALERIE 25.1 234.0 123 499 +1952 5 5 12 13 FLORENCE 45.6 292.0 16 567 +1957 11 19 12 14 ALBERTO 15.2 310.4 48 111 +1957 2 9 0 5 LESLIE 41.4 243.5 120 5 +1972 12 13 12 17 FLORENCE 50.0 309.6 13 366 +1985 12 11 0 9 MICHAEL 34.8 332.0 75 189 +1964 4 13 12 15 JOYCE 33.2 91.0 84 245 +1959 8 26 18 24 GORDON 67.1 203.5 137 767 +1991 10 4 6 2 SANDY 43.9 354.2 137 380 +1990 12 5 12 19 GORDON 44.7 136.7 76 205 +1984 7 15 0 12 JOYCE 62.6 142.9 109 381 +1979 11 4 6 6 KIRK 12.7 288.3 32 277 +1960 1 7 18 2 VALERIE 60.0 269.6 10 92 +1957 7 20 12 11 CHRIS 41.5 265.1 138 367 +1976 5 26 6 16 MICHAEL 53.4 156.0 47 819 +1974 8 6 18 14 PATTY 64.0 80.8 138 856 +1972 5 6 18 8 ALBERTO 12.6 22.7 40 719 +1986 9 28 18 1 TONY 16.5 151.0 160 646 +1954 7 21 6 25 WILLIAM 14.1 99.0 84 764 +1969 12 2 6 7 TONY 53.9 234.5 30 569 +1997 7 17 12 2 BERYL 28.7 56.8 22 525 +1975 11 8 18 17 GORDON 62.1 209.6 67 9 +1969 9 6 6 4 ERNESTO 36.7 129.9 82 788 +2001 9 26 6 18 BERYL 42.1 43.3 110 689 +1959 2 20 0 22 MICHAEL 7.9 337.7 28 98 +1980 2 4 18 12 BERYL 59.4 133.9 47 232 +2003 3 20 0 28 GORDON 56.1 76.9 120 623 +1971 11 26 18 14 GORDON 22.3 7.4 159 664 +1990 4 17 18 9 KIRK 48.4 335.1 46 558 +1996 9 12 6 22 DEBBY 22.9 335.5 64 194 +1952 3 24 0 4 BERYL 25.6 203.5 28 831 +2003 2 19 0 8 CHRIS 36.3 81.2 138 571 +1986 4 21 12 26 DEBBY 69.6 249.6 72 854 +1963 9 22 18 2 GORDON 60.0 116.0 72 499 +1999 9 6 12 19 GORDON 7.6 243.9 25 645 +1985 7 2 12 28 ALBERTO 68.8 65.3 115 782 +1969 9 5 0 5 SANDY 68.9 324.0 58 769 +1958 2 25 18 28 SANDY 29.3 356.5 159 551 +1969 5 27 0 10 ERNESTO 25.7 342.6 99 352 +1963 5 22 0 5 CHRIS 62.1 125.5 50 372 +1958 1 11 18 18 CHRIS 29.8 176.0 161 807 +1992 7 13 0 14 DEBBY 12.3 147.3 55 389 +1970 6 18 18 7 KIRK 44.4 39.9 65 394 +1969 3 15 18 19 ERNESTO 61.9 331.6 43 682 +1960 7 10 12 4 CHRIS 30.0 94.0 36 688 +1989 8 3 18 14 GORDON 12.5 15.0 36 251 +1980 12 7 18 27 SANDY 17.8 215.1 12 714 +1961 9 20 12 26 HELENE 48.2 49.0 116 556 +1991 9 19 0 13 ALBERTO 69.2 111.0 13 93 +1987 9 17 6 20 HELENE 7.3 44.6 94 895 +1985 2 28 12 3 ISAAC 66.3 65.9 65 253 +1974 11 25 18 17 RAFAEL 16.9 52.4 42 549 +1970 9 10 6 13 VALERIE 22.9 65.3 116 700 +1976 4 7 12 13 WILLIAM 65.3 97.3 135 319 +1992 6 23 0 24 KIRK 54.9 33.6 139 443 +1996 4 15 0 28 SANDY 31.4 209.9 147 111 +1971 3 5 12 27 CHRIS 49.6 146.3 143 789 +1964 11 12 12 26 DEBBY 58.4 62.8 105 281 +1991 2 22 18 16 OSCAR 21.9 86.9 152 796 +1989 11 2 12 19 MICHAEL 57.3 277.0 145 172 +1960 6 5 0 15 RAFAEL 56.1 306.1 31 417 +1955 12 1 18 19 FLORENCE 41.1 342.3 141 648 +1989 2 26 12 21 ERNESTO 15.9 50.5 110 788 +1956 8 23 12 14 JOYCE 40.5 109.5 123 761 +1971 7 5 12 12 GORDON 60.5 38.5 90 818 +1966 3 26 0 22 SANDY 39.6 249.5 52 540 +1977 4 4 6 23 TONY 68.8 120.8 134 212 +1994 5 11 12 1 FLORENCE 66.6 154.7 132 234 +1999 7 12 0 14 JOYCE 47.9 355.0 155 4 +1950 9 28 12 8 MICHAEL 46.6 38.7 145 488 +2003 7 7 18 16 LESLIE 65.0 100.0 152 656 +1997 2 25 6 18 NADINE 51.6 306.1 105 550 +1989 5 26 6 9 NADINE 26.0 129.1 47 756 +1981 8 22 18 16 ERNESTO 13.8 10.1 69 607 +1957 7 23 6 20 MICHAEL 65.1 310.4 102 296 +1967 12 16 0 16 VALERIE 25.6 204.4 163 812 +1996 12 4 12 8 CHRIS 34.5 150.5 61 625 +1986 11 7 0 19 OSCAR 67.9 50.8 69 413 +1953 12 10 12 2 KIRK 39.1 81.6 56 694 +1953 1 5 12 13 TONY 62.0 229.6 138 476 +1957 6 23 0 18 MICHAEL 44.5 310.9 28 709 +1956 11 11 6 28 HELENE 69.4 172.5 56 458 +1971 11 28 6 13 MICHAEL 20.6 214.1 124 241 +1988 12 5 0 27 WILLIAM 39.3 173.8 110 126 +1967 3 23 18 19 VALERIE 45.8 155.8 122 832 +2002 2 7 6 26 LESLIE 13.1 91.9 145 321 +1994 11 19 12 15 PATTY 22.9 174.5 80 828 +1950 6 16 18 27 HELENE 70.0 70.2 10 805 +1980 7 23 6 2 VALERIE 63.2 248.9 159 500 +1957 3 25 12 6 NADINE 14.9 97.9 102 208 +1966 5 8 6 19 VALERIE 40.3 45.7 116 874 +1978 12 11 18 16 NADINE 69.3 207.8 51 164 +1979 2 11 6 8 WILLIAM 28.0 273.4 68 733 +1967 2 14 6 19 PATTY 35.6 183.0 128 420 +1961 11 13 6 15 GORDON 27.2 146.9 91 899 +1992 6 17 12 2 CHRIS 47.5 317.2 120 869 +1970 6 18 18 24 ERNESTO 8.3 109.4 160 359 +1994 6 2 6 2 LESLIE 17.5 56.4 64 743 +1953 4 1 12 17 OSCAR 21.1 294.3 87 435 +1998 5 22 6 20 BERYL 35.4 176.8 95 836 +1968 12 13 6 17 MICHAEL 64.4 116.8 161 778 +1961 10 10 0 6 TONY 11.7 1.6 159 474 +1985 1 12 0 3 KIRK 65.3 268.0 66 438 +1994 5 2 18 23 KIRK 44.7 142.6 80 173 +1992 10 12 0 27 ALBERTO 42.6 76.0 155 0 +1962 10 3 6 3 DEBBY 38.0 322.9 116 16 +1976 12 3 0 3 JOYCE 40.8 114.0 126 120 +2002 2 10 0 12 KIRK 47.6 95.2 140 82 +1981 5 6 0 18 HELENE 24.7 233.0 106 68 +1993 12 4 6 20 WILLIAM 44.1 262.8 95 495 +1965 8 8 0 8 PATTY 26.6 268.5 13 534 +2003 10 16 6 25 BERYL 7.1 260.3 38 887 +1958 8 14 18 7 SANDY 27.1 299.1 108 834 +1950 7 24 12 18 NADINE 11.7 174.5 17 112 +1969 11 17 6 12 GORDON 65.6 176.3 144 258 +1957 10 22 6 4 FLORENCE 15.4 290.7 31 180 +1993 9 17 18 21 TONY 35.1 139.0 90 631 +1989 8 19 0 18 WILLIAM 63.9 160.7 116 173 +1987 5 12 12 24 LESLIE 50.5 357.9 66 176 +1969 9 2 18 21 LESLIE 10.9 141.4 45 652 +1992 8 8 12 4 RAFAEL 27.2 296.7 65 491 +1979 5 18 6 15 KIRK 38.4 208.0 66 499 +1963 12 24 12 4 WILLIAM 29.0 194.7 108 119 +1991 7 27 0 14 CHRIS 46.9 227.0 62 762 +1964 7 21 18 14 BERYL 50.6 6.6 94 147 +1994 1 27 12 6 RAFAEL 22.1 22.8 14 411 +1965 7 7 12 16 NADINE 57.7 45.2 122 686 +1980 5 7 6 23 GORDON 67.9 32.8 62 537 +1965 8 27 6 23 HELENE 69.5 302.4 21 226 +1972 11 26 18 11 BERYL 14.1 260.9 151 498 +1987 9 19 18 24 HELENE 11.3 213.7 114 749 +1952 1 19 12 1 BERYL 12.0 299.7 156 877 +1962 12 22 12 24 RAFAEL 25.7 86.4 90 653 +1973 11 24 18 6 BERYL 32.5 25.6 75 267 +1964 8 2 12 17 MICHAEL 42.8 79.7 161 854 +1996 1 21 0 4 LESLIE 56.4 89.4 86 338 +1985 3 4 6 22 ERNESTO 12.1 199.4 42 806 +1952 2 17 0 19 DEBBY 57.0 113.0 126 336 +2004 10 4 12 26 ERNESTO 26.1 199.6 139 220 +1980 10 13 0 20 VALERIE 31.9 202.0 25 446 +1983 9 19 18 16 PATTY 47.5 343.2 121 832 +1952 1 16 12 21 SANDY 24.3 270.7 84 505 +1986 7 15 12 22 NADINE 34.6 146.9 48 835 +1952 2 20 18 16 CHRIS 47.3 264.2 117 779 +1967 1 19 6 4 TONY 68.2 351.9 55 538 +1997 4 2 6 10 BERYL 22.5 11.4 164 217 +1977 12 8 0 11 KIRK 47.6 296.0 132 572 +1990 5 14 6 6 CHRIS 29.9 38.3 32 234 +1981 4 16 12 4 RAFAEL 20.1 307.4 99 89 +1996 2 15 0 28 MICHAEL 25.9 83.3 58 742 +1993 5 6 18 22 FLORENCE 11.8 118.4 71 267 +1999 9 5 0 28 KIRK 49.0 136.9 32 369 +1990 8 18 6 25 PATTY 68.1 78.3 26 700 +1976 8 19 6 20 GORDON 58.3 336.9 101 391 +1972 6 7 0 22 CHRIS 64.2 208.8 32 817 +1980 8 5 18 5 ERNESTO 35.5 303.3 157 304 +1952 1 17 6 25 ISAAC 42.6 226.6 20 591 +1966 6 10 6 25 SANDY 24.0 52.7 121 757 +1973 9 16 6 19 JOYCE 48.0 118.4 34 791 +1960 11 22 6 18 MICHAEL 59.3 105.7 161 501 +1993 11 15 18 6 ISAAC 24.0 131.0 27 381 +1994 10 25 0 16 CHRIS 56.8 251.9 51 301 +1964 9 24 18 15 VALERIE 45.3 10.1 84 895 +1963 2 21 6 13 OSCAR 66.8 322.1 109 536 +1959 7 19 18 8 LESLIE 11.3 196.6 61 284 +1972 5 6 6 12 RAFAEL 14.1 334.4 42 239 +1964 9 18 6 8 CHRIS 59.1 99.2 110 638 +1955 8 20 18 20 NADINE 19.0 254.4 160 427 +1996 9 18 0 6 RAFAEL 42.5 14.5 64 339 +1972 9 7 0 12 DEBBY 18.8 117.2 21 430 +1983 1 2 12 25 WILLIAM 30.1 7.3 122 131 +1972 3 10 12 16 ALBERTO 22.6 24.1 15 235 +1956 1 9 18 20 VALERIE 11.7 197.9 111 217 +1996 5 17 6 24 GORDON 19.9 271.2 57 857 +1993 1 7 6 20 WILLIAM 10.8 132.9 141 447 +1982 4 9 18 18 HELENE 23.9 271.1 39 422 +1982 7 24 6 8 ISAAC 66.5 68.0 16 817 +1981 2 5 18 19 TONY 41.9 24.6 80 290 +2003 5 4 6 17 ALBERTO 37.0 247.5 141 546 +1985 4 23 0 4 LESLIE 42.5 148.8 36 115 +1999 5 24 18 4 HELENE 48.8 55.2 145 391 +1989 6 12 0 21 CHRIS 24.9 161.4 111 554 +1953 4 6 0 5 SANDY 28.3 131.1 25 126 +1995 1 16 0 22 KIRK 55.8 288.0 76 49 +1985 6 16 18 7 CHRIS 24.2 135.9 155 380 +1990 5 12 6 4 RAFAEL 18.1 333.5 61 376 +1989 10 11 6 1 VALERIE 68.6 167.5 123 441 +1997 11 3 6 6 ALBERTO 37.4 202.8 72 423 +1997 9 25 12 8 KIRK 7.1 238.6 75 230 +1965 3 20 6 17 ISAAC 50.3 131.7 126 274 +1983 2 18 6 27 SANDY 47.0 120.7 79 241 +1979 7 1 0 4 NADINE 19.8 121.1 41 543 +1970 7 26 6 16 PATTY 22.3 49.3 25 627 +1971 7 6 0 4 TONY 49.4 307.1 28 323 +1995 9 10 6 6 TONY 60.3 338.8 38 233 +1953 12 2 18 16 KIRK 16.8 93.5 84 5 +1993 12 27 0 2 GORDON 38.7 146.0 58 117 +1984 10 4 18 12 ISAAC 45.3 288.1 75 325 +1970 12 4 18 4 CHRIS 42.0 320.0 136 468 +1988 9 25 12 22 DEBBY 28.5 242.3 148 721 +1980 3 3 0 22 PATTY 54.2 104.4 72 778 +1959 10 7 6 21 GORDON 17.8 218.8 31 593 +1985 2 24 6 13 SANDY 59.9 52.0 73 660 +2001 2 3 12 23 RAFAEL 12.8 267.4 50 609 +1996 11 25 12 17 JOYCE 67.4 114.4 106 662 +1990 3 18 12 12 BERYL 11.3 178.8 10 557 +1963 3 26 12 10 SANDY 19.3 178.4 46 844 +1956 10 16 12 5 ERNESTO 31.9 314.3 28 211 +2000 8 19 12 12 ALBERTO 33.1 166.6 75 59 +1990 10 23 12 2 FLORENCE 35.7 44.7 159 54 +1957 2 6 6 24 PATTY 38.5 335.3 18 488 +1995 1 25 6 5 BERYL 14.6 330.5 61 841 +1974 4 15 6 18 LESLIE 29.2 119.8 38 363 +1969 8 19 18 3 MICHAEL 38.2 221.7 122 311 +1990 7 10 12 6 TONY 42.9 289.5 97 370 +1957 5 21 0 25 PATTY 42.8 281.2 161 849 +1977 1 8 18 17 FLORENCE 67.9 100.6 16 761 +1963 6 2 6 4 NADINE 22.4 254.6 40 314 +1983 7 1 18 28 BERYL 52.0 357.7 14 602 +1980 1 14 6 4 LESLIE 32.7 349.3 153 346 +1975 10 3 18 27 NADINE 34.9 142.5 37 155 +1957 2 16 18 6 MICHAEL 60.0 332.6 16 865 +1960 10 22 0 13 ISAAC 67.4 266.0 40 542 +1953 2 5 12 3 ISAAC 53.3 25.7 90 756 +1960 2 7 0 16 LESLIE 9.4 232.4 58 222 +1961 5 20 18 22 PATTY 17.9 228.2 102 586 +1984 9 19 12 10 ISAAC 60.6 292.6 107 846 +1981 11 7 18 18 MICHAEL 39.4 309.6 161 788 +1990 9 21 18 27 PATTY 41.4 152.1 139 659 +2001 8 11 18 28 RAFAEL 50.6 210.3 52 874 +1954 3 11 18 27 ISAAC 46.1 297.2 18 32 +1961 8 23 18 3 GORDON 63.1 157.3 44 377 +1961 5 27 0 25 FLORENCE 11.1 128.8 140 219 +1974 7 18 12 23 WILLIAM 15.7 341.3 60 700 +1994 2 12 0 3 RAFAEL 67.3 221.2 139 239 +1989 1 4 12 5 HELENE 57.6 37.3 103 623 +1999 11 3 12 3 TONY 8.7 85.8 79 569 +1989 12 4 18 4 ALBERTO 9.4 173.3 53 13 +1984 10 19 12 20 DEBBY 33.5 200.4 144 566 +1953 10 9 0 24 BERYL 69.6 105.2 47 164 +1977 11 26 0 18 GORDON 28.6 352.1 34 161 +1982 6 19 12 1 BERYL 68.3 60.5 47 418 +1979 12 11 0 1 VALERIE 9.9 28.8 64 133 +1978 9 8 6 17 TONY 37.1 90.3 133 492 +2004 9 7 0 22 BERYL 9.2 106.5 21 494 +1995 9 18 6 11 PATTY 16.8 277.7 81 31 +1991 5 14 0 6 FLORENCE 16.8 112.2 88 35 +1996 9 27 6 13 MICHAEL 33.8 348.1 28 292 +1991 7 10 18 16 ALBERTO 19.5 183.9 66 572 +1980 6 4 0 5 ISAAC 26.0 312.7 53 850 +1986 8 13 6 13 ERNESTO 59.7 350.1 89 729 +1975 7 18 6 24 CHRIS 19.7 259.9 126 580 +1976 5 28 0 18 ERNESTO 31.5 32.5 38 274 +1987 9 15 6 7 CHRIS 15.4 282.7 65 551 +1982 3 13 6 28 JOYCE 53.3 193.4 112 179 +1970 4 13 12 23 JOYCE 65.5 334.6 74 389 +1952 10 10 6 6 KIRK 33.5 54.1 131 47 +1959 11 21 0 7 RAFAEL 38.1 117.9 107 539 +1996 5 6 0 20 MICHAEL 20.8 350.9 163 829 +1970 8 1 6 21 SANDY 25.2 313.5 59 389 +1986 8 16 18 20 DEBBY 41.2 206.7 95 695 +1966 12 5 0 22 JOYCE 19.3 197.2 150 305 +1996 8 26 12 1 WILLIAM 32.7 334.3 77 35 +1974 2 25 12 18 MICHAEL 42.9 65.4 107 30 +1955 7 1 6 21 NADINE 18.2 179.2 45 823 +1989 10 19 6 25 OSCAR 67.1 326.6 37 400 +1975 8 6 0 10 JOYCE 24.5 12.2 33 189 +2000 1 9 6 13 DEBBY 37.2 313.4 14 755 +1989 7 22 18 12 JOYCE 68.6 95.6 69 670 +1985 1 4 12 16 CHRIS 58.5 222.9 141 802 +1962 9 15 6 25 SANDY 30.3 47.0 12 65 +1993 3 24 6 7 FLORENCE 31.9 129.2 103 173 +2003 11 4 18 23 NADINE 29.6 117.9 16 83 +1969 10 12 6 12 HELENE 55.5 283.8 101 156 +1995 1 1 0 5 WILLIAM 32.6 202.6 77 720 +1997 6 14 6 21 ALBERTO 44.3 310.2 63 795 +1989 5 23 0 22 ERNESTO 15.7 247.3 10 123 +1974 10 5 12 22 DEBBY 47.3 331.6 101 229 +1996 6 6 12 14 FLORENCE 63.2 241.2 67 472 +1994 2 1 6 27 FLORENCE 27.6 126.0 164 6 +1960 1 7 6 5 FLORENCE 29.5 6.6 163 454 +1951 8 19 12 19 SANDY 32.3 283.1 113 351 +1971 6 23 6 2 LESLIE 27.6 230.5 110 769 +1985 2 1 6 10 LESLIE 13.0 6.4 74 467 +1999 5 28 12 16 BERYL 59.2 277.0 10 724 +1970 12 22 0 6 MICHAEL 51.3 101.8 82 58 +1998 6 15 18 24 SANDY 47.6 336.3 99 868 +1990 12 24 6 12 WILLIAM 12.2 46.3 79 739 +1954 6 18 0 12 RAFAEL 10.5 117.7 87 344 +1969 5 9 0 11 NADINE 39.2 139.4 139 705 +2001 9 25 6 24 PATTY 7.8 232.7 34 305 +1980 9 8 12 1 KIRK 36.6 286.1 139 550 +1993 6 12 6 13 JOYCE 59.2 106.0 122 349 +1967 5 12 6 19 ALBERTO 63.0 199.8 122 105 +1977 4 2 12 22 WILLIAM 69.8 72.8 160 275 +1956 6 7 0 9 GORDON 41.8 304.8 139 348 +1965 8 28 12 10 FLORENCE 37.1 135.4 142 664 +1995 3 18 0 16 ISAAC 38.1 221.7 50 393 +1983 8 25 12 1 PATTY 23.6 191.5 118 770 +1977 8 10 12 1 LESLIE 39.2 321.8 154 744 +1986 11 22 6 20 GORDON 10.5 109.4 63 767 +1992 4 17 6 22 ALBERTO 30.9 174.5 135 828 +1991 5 15 18 25 PATTY 16.5 132.9 140 518 +2001 12 2 18 3 GORDON 39.5 47.7 51 775 +1976 7 24 18 19 CHRIS 40.4 27.1 53 422 +1972 4 19 0 21 GORDON 58.0 135.3 146 517 +1994 4 24 18 3 JOYCE 54.3 74.6 62 454 +1993 1 4 18 15 NADINE 33.2 62.8 50 767 +1954 8 1 18 7 WILLIAM 21.3 185.5 100 445 +1962 6 24 18 23 ALBERTO 15.1 194.0 60 286 +1979 2 23 12 18 ERNESTO 59.4 2.5 63 212 +1972 10 17 6 27 FLORENCE 42.2 68.5 35 426 +1978 11 19 12 16 PATTY 63.2 247.9 27 868 +1978 8 6 0 6 LESLIE 36.3 305.8 143 581 +1999 12 15 6 10 MICHAEL 47.4 177.7 131 532 +1951 12 1 18 27 HELENE 53.3 95.4 103 486 +1988 7 2 6 5 OSCAR 29.3 284.0 82 575 +1989 11 11 18 10 CHRIS 63.0 37.1 123 582 +1995 1 4 18 10 TONY 34.6 63.9 164 203 +1993 11 5 18 11 HELENE 61.1 89.5 129 374 +1978 9 16 0 5 KIRK 16.8 325.1 14 686 +1991 12 6 6 24 GORDON 55.9 133.9 55 681 +1985 2 10 18 15 ISAAC 62.2 143.4 16 281 +1961 7 12 12 20 ERNESTO 59.5 118.7 37 451 +1978 11 5 6 7 ERNESTO 29.0 285.2 136 87 +1985 7 7 18 24 VALERIE 46.0 204.0 136 519 +1970 4 21 6 10 BERYL 41.7 237.9 64 356 +1963 8 18 6 28 NADINE 47.9 230.0 32 842 +1956 1 8 18 9 GORDON 45.5 343.0 142 894 +2000 9 27 18 22 PATTY 55.1 140.1 110 82 +1989 4 8 6 3 WILLIAM 54.8 161.7 128 584 +1964 1 18 12 4 ISAAC 50.0 40.9 140 549 +1980 9 24 0 1 SANDY 49.9 29.1 116 71 +1971 1 6 0 20 LESLIE 63.2 60.0 136 174 +1971 12 20 12 3 FLORENCE 49.1 313.9 125 168 +1953 8 3 12 26 ISAAC 57.1 252.7 56 445 +1950 10 2 6 16 NADINE 28.1 207.4 64 666 +1969 8 23 6 11 GORDON 23.4 314.0 151 732 +1972 9 26 6 28 CHRIS 60.3 194.3 59 863 +1956 8 1 18 18 TONY 62.5 223.8 58 866 +1961 3 2 12 15 ERNESTO 69.2 107.9 22 199 +1958 5 18 18 1 HELENE 30.7 123.7 141 9 +1987 2 13 18 14 OSCAR 61.2 190.5 130 122 +1968 7 4 6 23 CHRIS 38.9 160.8 46 102 +1987 4 28 6 24 HELENE 15.3 154.6 154 606 +1984 11 20 0 22 JOYCE 12.9 12.8 118 522 +1985 10 16 12 3 BERYL 45.2 27.1 102 718 +1997 1 10 18 12 TONY 13.9 125.7 91 570 +1998 4 18 18 27 RAFAEL 28.5 38.0 51 693 +1977 9 26 0 25 ERNESTO 67.3 100.1 85 779 +1998 3 20 18 7 LESLIE 47.9 49.4 47 309 +1951 6 20 12 15 LESLIE 36.6 330.0 103 812 +1998 12 15 0 24 GORDON 29.7 278.3 154 865 +1997 7 23 18 26 DEBBY 23.3 299.7 31 210 +1998 4 18 18 13 LESLIE 49.7 302.5 43 239 +1979 2 10 0 17 TONY 7.8 210.2 24 433 +1961 4 15 6 8 HELENE 8.2 269.7 33 485 +1953 11 14 12 21 SANDY 50.9 153.5 144 264 +1996 1 21 6 20 DEBBY 27.7 272.1 98 654 +1990 6 18 0 18 ERNESTO 54.2 3.8 16 133 +1986 5 20 6 24 ALBERTO 36.5 230.5 161 433 +1998 1 7 12 3 JOYCE 9.6 143.7 102 595 +1950 8 19 12 27 ERNESTO 47.3 160.3 150 195 +1987 11 4 18 12 CHRIS 63.1 207.5 155 891 +1979 3 14 6 28 OSCAR 37.5 288.5 37 717 +1960 4 26 0 19 TONY 62.9 228.4 159 299 +1961 5 11 6 9 OSCAR 58.5 99.4 25 601 +1955 7 14 18 18 GORDON 39.4 129.2 56 870 +1996 1 7 18 20 ERNESTO 50.9 234.4 161 650 +1964 10 23 6 24 ISAAC 14.3 23.0 159 410 +1993 1 12 0 3 ISAAC 58.5 301.9 63 94 +1960 4 10 18 21 JOYCE 60.3 103.9 120 527 +1978 9 8 0 17 DEBBY 55.1 218.1 70 742 +1950 3 12 6 3 GORDON 37.1 164.8 123 820 +1967 10 1 12 13 GORDON 28.5 257.8 59 605 +1974 8 23 0 26 ALBERTO 13.2 167.5 39 395 +1978 4 4 12 17 ISAAC 26.2 74.6 104 684 +1983 12 25 6 5 OSCAR 18.5 177.5 129 148 +1994 4 15 0 2 ERNESTO 68.7 237.8 134 101 +2002 2 13 12 20 LESLIE 41.6 174.6 47 616 +1955 9 14 12 10 ERNESTO 32.2 271.2 51 783 +1985 6 12 18 17 VALERIE 54.3 14.6 37 818 +1963 9 2 12 28 MICHAEL 66.4 246.8 113 555 +1991 11 9 18 4 DEBBY 60.7 236.2 39 398 +1981 2 10 6 23 DEBBY 52.8 139.8 58 395 +1954 6 14 12 6 VALERIE 38.1 258.8 96 259 +1965 6 10 0 24 SANDY 15.8 275.8 103 241 +1980 8 26 18 21 CHRIS 33.0 341.4 20 691 +1969 4 3 12 9 RAFAEL 26.4 27.7 37 614 +1961 11 13 0 8 ERNESTO 38.1 8.8 13 30 +1985 12 11 0 4 FLORENCE 59.6 310.5 30 327 +2004 5 19 12 7 PATTY 25.9 192.7 123 683 +1992 9 6 12 20 LESLIE 57.1 166.7 95 41 +1996 7 15 6 21 HELENE 12.5 118.7 146 283 +1951 4 23 18 16 ERNESTO 12.7 150.0 77 285 +1954 8 24 6 3 GORDON 66.5 243.1 92 317 +1986 6 18 12 28 FLORENCE 34.2 93.5 38 106 +1968 2 23 6 26 RAFAEL 41.9 223.4 61 285 +1962 1 26 6 14 TONY 58.1 350.1 164 320 +1962 11 8 18 6 PATTY 12.4 242.5 160 43 +1991 2 28 18 4 HELENE 42.5 246.0 140 771 +1954 1 27 6 13 GORDON 23.1 15.6 109 598 +1972 3 8 0 11 ISAAC 68.0 352.2 104 341 +1950 6 14 6 16 WILLIAM 32.9 236.2 100 786 +1957 10 24 12 14 JOYCE 64.5 231.0 50 617 +1999 3 20 18 26 ERNESTO 14.3 14.5 27 705 +1999 12 6 12 19 TONY 30.6 33.8 11 324 +1985 11 8 12 15 MICHAEL 56.4 47.5 30 698 +1985 12 9 12 26 CHRIS 20.1 260.5 123 132 +2001 1 1 6 17 ISAAC 7.5 40.0 61 6 +1985 3 10 6 10 DEBBY 43.6 351.2 161 721 +1958 8 3 6 13 LESLIE 48.8 216.5 60 338 +1963 11 11 0 13 VALERIE 13.8 188.1 111 495 +1993 9 5 18 17 OSCAR 17.5 151.6 21 644 +1987 10 16 18 15 OSCAR 7.1 250.9 75 298 +1995 1 11 12 13 WILLIAM 28.4 165.7 62 367 +1964 1 11 6 12 ERNESTO 19.9 9.0 163 757 +1992 5 8 12 28 TONY 58.7 280.0 41 282 +1977 11 11 0 5 VALERIE 25.9 95.9 160 134 +1997 6 24 12 19 LESLIE 16.1 307.3 56 806 +1959 5 26 0 7 FLORENCE 48.3 97.2 126 121 +2003 8 21 12 2 OSCAR 7.2 291.9 38 619 +1960 11 2 6 1 RAFAEL 55.6 183.5 150 99 +1978 3 27 6 4 FLORENCE 10.6 267.8 87 55 +2003 3 13 0 7 KIRK 41.5 243.7 105 313 +1982 3 9 0 8 DEBBY 30.8 85.1 118 204 +1986 8 24 6 6 ISAAC 58.6 200.2 148 44 +1969 12 25 0 17 BERYL 8.9 14.7 101 48 +1951 11 12 12 25 WILLIAM 11.0 323.1 75 744 +1998 11 21 0 12 BERYL 10.9 278.8 102 72 +1961 1 20 18 23 ALBERTO 43.5 207.5 44 273 +1962 1 23 12 7 GORDON 21.3 188.5 134 597 +1980 9 13 18 26 RAFAEL 39.8 93.7 97 785 +1981 8 5 18 7 DEBBY 34.7 100.2 138 865 +1997 6 6 6 25 CHRIS 27.5 238.8 52 503 +1977 12 24 6 28 BERYL 30.3 270.7 124 480 +1965 1 6 18 4 FLORENCE 49.1 119.5 150 498 +2002 6 7 12 4 VALERIE 60.0 99.4 75 368 +1956 9 10 12 4 JOYCE 45.5 103.2 86 60 +1995 9 23 6 15 TONY 18.1 267.4 138 829 +1989 12 19 18 25 LESLIE 38.9 286.4 105 820 +1951 3 21 18 22 TONY 14.3 175.7 37 607 +1991 6 3 18 27 WILLIAM 51.7 350.4 71 414 +1956 8 16 18 1 BERYL 29.8 285.6 69 486 +1957 1 16 6 6 DEBBY 8.8 340.7 16 0 +1995 12 26 18 11 LESLIE 52.2 182.3 61 152 +1999 7 19 6 23 HELENE 21.7 150.4 132 320 +1992 8 4 18 3 TONY 19.9 124.5 49 852 +1975 6 25 12 23 SANDY 39.2 86.1 42 760 +1979 9 19 12 17 SANDY 54.4 225.8 93 307 +1985 1 19 12 22 OSCAR 30.4 42.3 28 525 +1972 10 13 6 19 ALBERTO 29.4 49.6 53 273 +1992 10 7 12 12 DEBBY 60.9 35.6 131 548 +2002 12 1 0 22 PATTY 58.1 121.4 87 209 +1981 7 15 12 3 JOYCE 61.3 211.1 134 226 +1978 9 26 18 25 PATTY 14.5 155.6 44 735 +1995 3 12 0 16 BERYL 42.4 294.8 119 49 +1953 8 18 6 25 WILLIAM 8.6 283.4 86 171 +1951 12 6 6 19 GORDON 16.1 55.2 98 280 +1968 11 10 12 27 VALERIE 31.4 304.7 146 316 +1960 6 18 18 28 NADINE 63.2 329.9 49 346 +1997 2 23 18 13 LESLIE 39.1 26.2 11 646 +1989 10 27 12 6 MICHAEL 58.8 98.0 103 824 +1958 7 16 18 22 RAFAEL 54.9 61.1 62 709 +1989 3 2 12 28 LESLIE 31.1 28.1 130 465 +1955 6 7 6 14 CHRIS 35.9 61.4 91 661 +1991 7 9 12 22 FLORENCE 54.6 118.0 58 164 +1959 12 18 6 23 KIRK 52.4 259.3 20 430 +1959 5 24 6 18 OSCAR 35.5 250.6 30 3 +1979 5 12 18 14 BERYL 52.7 200.3 47 590 +1951 6 5 6 6 CHRIS 9.6 190.2 77 605 +1955 4 21 6 27 BERYL 26.4 124.1 131 97 +1953 11 16 6 7 TONY 65.6 336.9 126 880 +1952 5 8 18 16 HELENE 37.9 286.3 139 374 +2000 6 28 12 18 ALBERTO 54.8 69.1 11 871 +1995 12 11 12 20 OSCAR 64.9 258.5 133 309 +1998 8 17 12 17 GORDON 29.2 9.0 60 572 +1960 8 23 18 10 LESLIE 12.3 57.4 12 876 +1966 7 11 6 21 NADINE 64.8 107.5 21 543 +1975 7 6 12 15 LESLIE 14.4 15.8 22 581 +1981 4 27 0 25 BERYL 62.7 9.1 115 757 +2004 12 13 12 11 OSCAR 40.7 250.8 16 423 +1989 4 25 18 17 ERNESTO 49.3 252.4 36 804 +1954 8 17 12 22 DEBBY 61.5 241.8 26 185 +1987 6 24 12 13 ISAAC 31.9 57.7 97 671 +1966 11 15 12 10 PATTY 47.5 249.0 58 489 +1988 4 11 12 20 CHRIS 61.2 200.4 114 450 +1972 10 18 12 12 CHRIS 17.9 354.9 86 819 +1963 10 6 0 25 SANDY 27.1 299.3 113 268 +1983 6 19 18 14 ALBERTO 67.9 173.2 117 690 +1966 5 4 6 17 JOYCE 57.6 128.4 98 484 +1968 12 12 0 16 LESLIE 31.5 308.9 123 183 +1956 1 20 0 27 JOYCE 56.3 157.1 41 871 +1958 3 8 6 1 ERNESTO 40.6 151.8 56 526 +1964 6 27 12 13 ISAAC 28.3 297.9 138 36 +1960 6 22 12 20 SANDY 52.5 305.4 131 338 +1991 7 3 6 7 NADINE 26.7 50.5 43 776 +1953 4 11 6 1 VALERIE 27.7 5.9 112 752 +1965 12 2 12 9 OSCAR 26.3 47.4 47 371 +1971 7 7 6 1 CHRIS 51.2 149.9 102 515 +1979 7 3 0 9 MICHAEL 22.7 124.2 82 144 +2002 1 27 6 23 MICHAEL 43.7 224.1 46 516 +1980 3 21 12 14 WILLIAM 39.1 208.0 106 635 +1973 3 25 0 5 LESLIE 31.2 222.5 14 250 +1994 8 3 6 28 RAFAEL 60.4 66.0 59 894 +1957 3 12 18 23 FLORENCE 40.3 267.2 63 607 +2002 5 9 18 5 BERYL 54.0 198.2 70 365 +1964 12 25 12 14 ALBERTO 29.6 103.1 137 270 +1993 11 18 18 6 JOYCE 38.2 71.3 69 889 +1986 6 27 0 5 FLORENCE 14.9 173.0 140 437 +1978 2 19 12 2 ERNESTO 35.7 198.4 20 358 +1991 11 19 18 18 FLORENCE 15.4 221.4 105 867 +1995 11 27 6 9 PATTY 30.3 10.1 102 689 +1970 7 27 18 28 TONY 32.2 47.4 71 6 +1992 9 11 0 3 DEBBY 46.0 128.9 133 780 +1990 5 28 6 22 KIRK 14.3 188.0 46 874 +1976 4 4 6 16 WILLIAM 34.6 304.3 101 503 +1973 2 24 18 12 CHRIS 48.0 146.5 87 211 +1951 1 3 6 3 RAFAEL 30.4 231.0 136 31 +1960 3 5 18 13 ERNESTO 59.4 276.1 89 89 +1962 7 14 6 22 LESLIE 56.6 5.2 24 113 +1999 2 12 0 13 FLORENCE 45.5 224.0 55 176 +1977 10 13 18 20 TONY 46.4 99.9 160 138 +1996 1 11 12 28 ERNESTO 18.5 337.3 154 393 +1988 5 1 6 17 WILLIAM 55.0 251.8 32 794 +1956 9 2 12 14 BERYL 14.4 189.7 46 53 +1988 11 5 0 14 VALERIE 57.5 251.0 34 844 +2003 1 26 18 26 MICHAEL 21.0 345.9 83 762 +1959 1 20 6 4 JOYCE 58.5 44.4 46 553 +1988 4 12 18 1 BERYL 39.9 53.0 81 147 +1977 5 18 0 16 TONY 30.4 309.4 147 517 +1999 6 12 0 17 VALERIE 49.8 271.2 61 862 +1969 7 19 0 21 SANDY 29.7 343.9 38 652 +1997 7 16 12 9 SANDY 20.5 254.7 33 20 +1982 4 12 12 21 NADINE 65.9 188.6 146 72 +1984 6 20 6 2 WILLIAM 35.0 185.0 139 425 +1997 9 3 18 12 GORDON 46.8 294.1 47 78 +1973 8 17 18 27 BERYL 22.7 116.2 105 294 +1992 9 17 12 21 OSCAR 42.0 140.3 79 589 +1994 2 7 12 8 LESLIE 21.6 142.8 140 58 +1953 3 9 0 9 ERNESTO 32.2 54.6 109 643 +1995 8 7 12 1 CHRIS 61.9 308.1 14 646 +1996 9 26 18 22 GORDON 18.1 71.6 162 662 +1988 1 28 18 8 ISAAC 28.4 226.1 126 342 +1983 3 28 0 1 SANDY 24.8 171.3 131 852 +1980 5 26 0 19 WILLIAM 51.2 304.4 164 193 +1974 1 6 12 5 SANDY 12.0 47.0 138 89 +1959 9 13 12 24 HELENE 62.3 347.2 33 857 +1950 8 13 0 18 SANDY 44.4 354.4 19 475 +1967 2 2 18 10 ERNESTO 16.1 69.3 151 662 +1979 3 20 6 18 ALBERTO 46.5 330.6 127 65 +1990 3 24 0 18 ERNESTO 23.7 224.6 110 485 +1994 11 23 12 11 NADINE 31.2 139.0 53 557 +1965 6 22 6 25 MICHAEL 11.3 77.3 123 140 +1999 11 12 6 16 HELENE 42.1 159.8 163 701 +1993 10 22 18 6 ISAAC 49.1 328.9 80 377 +1986 4 28 6 10 BERYL 11.5 267.2 44 12 +1975 10 3 0 27 JOYCE 47.3 135.7 142 526 +1966 10 2 18 19 TONY 51.8 144.2 136 249 +1962 9 8 18 13 FLORENCE 15.9 223.7 131 622 +1978 9 8 12 4 NADINE 68.6 315.6 140 131 +1984 1 9 12 5 KIRK 65.5 249.3 127 319 +1972 9 18 0 26 JOYCE 66.1 84.6 97 683 +1986 6 9 12 27 TONY 41.6 239.2 17 557 +1997 4 28 0 26 DEBBY 49.7 176.2 37 302 +1987 1 13 6 14 GORDON 32.9 112.1 10 820 +1965 4 14 18 3 SANDY 27.9 238.2 126 369 +1985 1 16 6 26 FLORENCE 19.8 31.5 36 759 +1974 6 19 18 23 BERYL 31.7 1.7 133 461 +1979 1 9 12 18 TONY 18.9 66.4 132 574 +1963 12 26 12 16 DEBBY 8.2 184.1 129 656 +1984 3 9 6 12 LESLIE 35.9 168.9 46 769 +1981 12 20 0 23 GORDON 55.7 228.0 12 57 +1960 6 22 18 13 ALBERTO 34.3 26.3 90 462 +1986 8 20 0 7 FLORENCE 19.4 238.7 64 624 +1965 4 3 12 19 LESLIE 22.0 90.0 136 809 +1960 10 9 18 2 ERNESTO 25.8 41.3 92 717 +1961 9 20 6 26 JOYCE 50.0 210.8 68 130 +1986 5 14 18 25 ERNESTO 43.5 201.9 53 606 +1985 2 1 0 10 KIRK 52.4 156.0 147 379 +1980 9 10 6 25 JOYCE 10.3 188.8 133 510 +1965 4 4 12 24 DEBBY 28.5 78.5 110 470 +1975 4 24 0 25 BERYL 59.5 41.4 68 549 +1974 4 13 6 1 ERNESTO 54.5 50.4 134 505 +1997 1 11 18 1 ERNESTO 42.8 38.8 143 250 +2003 12 24 18 18 NADINE 67.9 143.4 55 782 +2000 3 4 12 2 SANDY 32.3 83.7 67 647 +1981 2 11 18 7 OSCAR 25.2 237.1 116 378 +1967 1 20 0 27 LESLIE 36.0 97.2 92 544 +2001 2 18 0 8 KIRK 52.3 274.6 83 340 +1961 1 17 6 25 OSCAR 15.3 260.5 97 742 +1975 3 18 18 17 BERYL 14.2 199.1 107 178 +1952 6 7 0 28 ISAAC 18.6 2.0 146 621 +1993 5 6 6 8 VALERIE 43.9 243.7 153 188 +1985 7 10 12 11 JOYCE 47.8 350.9 62 599 +1976 9 3 0 6 TONY 49.6 53.2 68 30 +1984 9 23 18 2 JOYCE 19.7 138.4 156 545 +1982 11 22 18 5 MICHAEL 43.8 127.6 158 415 +1998 5 2 12 16 WILLIAM 23.7 119.6 70 674 +1968 5 7 6 10 SANDY 42.5 322.8 67 378 +1981 3 6 12 20 TONY 48.0 177.4 85 584 +1974 1 7 6 8 OSCAR 14.6 144.0 90 137 +1966 7 3 6 6 ERNESTO 40.1 334.9 30 559 +1971 10 18 18 20 ISAAC 12.0 212.9 112 213 +1990 6 8 12 20 WILLIAM 33.9 18.6 143 866 +1984 1 25 0 2 BERYL 14.6 141.4 101 796 +1991 6 21 0 4 OSCAR 22.5 114.8 27 373 +1972 3 5 18 24 GORDON 27.9 342.9 15 277 +1985 11 22 12 28 JOYCE 42.5 119.2 11 792 +1976 1 13 12 1 JOYCE 37.8 135.9 44 64 +2003 5 24 18 23 ERNESTO 7.3 255.4 126 548 +1993 6 15 12 5 FLORENCE 41.1 219.6 110 597 +1968 10 10 18 26 KIRK 20.8 68.2 144 702 +2004 6 23 12 20 ISAAC 30.3 37.6 135 401 +1995 10 10 18 21 TONY 33.4 108.3 49 465 +1987 6 15 12 5 ALBERTO 15.9 287.9 30 582 +1985 4 19 0 21 PATTY 66.2 201.7 109 611 +2004 12 27 6 26 HELENE 43.5 88.9 64 768 +1985 8 23 12 11 OSCAR 63.2 157.8 47 161 +1957 11 9 18 9 KIRK 70.0 88.6 91 500 +1952 6 16 0 23 KIRK 17.2 334.4 40 614 +1996 4 28 12 3 ISAAC 37.3 72.2 134 346 +1952 8 12 6 25 NADINE 40.3 239.3 54 150 +2002 6 16 18 1 GORDON 15.1 242.3 161 632 +1957 12 19 0 8 MICHAEL 19.7 229.3 48 63 +1996 2 12 18 27 WILLIAM 40.2 23.9 17 77 +1973 6 24 12 19 ERNESTO 34.2 83.7 14 40 +1987 11 27 0 1 HELENE 63.4 313.4 111 497 +1956 2 22 12 7 ERNESTO 66.8 274.1 31 320 +1967 12 12 18 26 ALBERTO 38.0 37.2 153 801 +1968 10 10 18 16 RAFAEL 64.4 269.4 104 823 +1985 4 2 0 7 KIRK 40.6 177.4 108 666 +1968 11 17 0 17 LESLIE 65.8 267.5 62 630 +1989 4 4 0 1 VALERIE 58.0 183.2 127 491 +1951 9 19 0 17 DEBBY 49.9 25.8 125 563 +1956 9 8 6 23 MICHAEL 12.2 307.8 98 333 +1977 1 9 12 6 CHRIS 62.6 144.1 110 584 +1987 4 24 18 12 PATTY 49.4 234.7 70 368 +1961 3 5 0 2 DEBBY 31.6 99.7 76 606 +1991 10 11 12 6 PATTY 13.3 242.8 87 797 +1993 4 15 6 18 LESLIE 57.2 15.6 20 544 +1992 4 16 0 2 SANDY 20.6 191.4 159 106 +1981 10 16 0 23 RAFAEL 62.9 328.9 95 889 +1981 6 22 18 12 SANDY 37.3 253.5 94 575 +1972 9 28 18 25 PATTY 67.3 184.1 27 61 +1987 5 16 6 17 PATTY 23.7 77.1 78 686 +1979 11 13 18 26 RAFAEL 13.8 310.3 113 18 +2000 4 26 6 28 JOYCE 38.3 56.9 96 376 +2002 4 25 18 8 KIRK 42.4 132.5 140 519 +1996 12 7 18 17 VALERIE 11.4 55.3 63 266 +1975 4 24 18 22 JOYCE 7.1 262.0 71 483 +1972 11 1 12 22 PATTY 17.6 159.6 43 552 +1971 8 28 12 15 ALBERTO 9.8 4.0 27 317 +1997 10 11 0 14 ISAAC 20.7 149.0 81 306 +1996 1 13 18 24 ERNESTO 61.2 199.1 48 795 +1981 2 14 18 17 ERNESTO 54.6 119.5 52 528 +1961 8 3 6 19 ISAAC 27.5 332.0 74 545 +1950 9 25 12 11 OSCAR 15.5 221.4 24 674 +1954 9 11 18 27 DEBBY 28.8 12.3 91 597 +1966 1 20 6 24 BERYL 16.5 80.5 154 38 +1987 9 9 6 15 OSCAR 14.1 93.3 59 731 +2001 3 27 18 21 NADINE 17.9 207.5 140 594 +1977 9 20 18 4 ALBERTO 47.1 321.7 76 580 +1992 4 12 18 13 FLORENCE 35.3 312.3 147 372 +1982 1 21 18 24 KIRK 53.1 344.4 93 474 +1989 11 6 18 25 CHRIS 36.5 154.3 158 388 +2002 8 2 0 1 GORDON 35.5 101.4 33 620 +1988 10 19 6 14 WILLIAM 42.3 342.8 39 506 +1996 7 1 6 26 DEBBY 15.9 138.7 21 85 +1955 2 26 12 7 ERNESTO 24.6 96.6 21 67 +1999 7 28 18 8 KIRK 32.9 140.3 97 517 +1979 1 19 12 8 KIRK 40.6 61.4 106 59 +1962 11 14 0 20 ALBERTO 9.4 64.9 96 89 +1957 9 27 6 14 LESLIE 42.9 244.9 162 259 +1962 10 21 6 7 MICHAEL 39.5 217.6 151 360 +1981 1 6 0 11 OSCAR 18.4 167.8 159 322 +1969 7 27 0 12 DEBBY 58.2 25.3 20 512 +1974 7 9 18 25 PATTY 29.7 167.8 53 107 +1987 2 15 12 22 PATTY 45.6 31.1 81 148 +1971 5 1 0 9 NADINE 10.9 24.8 77 457 +1982 11 20 18 16 GORDON 64.6 65.7 12 768 +1986 1 26 0 12 NADINE 55.7 107.7 88 175 +1993 8 6 0 9 ISAAC 50.4 101.1 18 396 +1951 8 18 12 5 HELENE 46.7 80.8 105 387 +1999 6 14 12 4 CHRIS 50.8 224.2 149 502 +1994 12 17 18 25 FLORENCE 47.5 213.6 53 637 +1976 9 10 6 2 JOYCE 42.6 301.9 50 678 +1956 12 18 18 26 OSCAR 18.6 301.8 53 807 +1978 12 15 0 17 WILLIAM 22.8 64.5 21 393 +1953 11 18 6 16 CHRIS 22.0 182.6 52 55 +1987 1 28 18 7 GORDON 19.9 185.7 107 653 +2001 5 23 18 4 FLORENCE 25.8 12.7 17 241 +1983 10 21 12 27 KIRK 63.8 321.4 98 253 +1966 4 23 0 16 LESLIE 23.4 226.0 55 540 +2004 7 14 6 1 JOYCE 47.2 172.5 37 750 +1995 10 21 0 15 NADINE 22.9 266.6 75 55 +1995 11 11 6 26 HELENE 48.2 216.0 63 842 +1973 12 8 6 22 SANDY 43.5 297.7 155 689 +1986 10 15 12 10 PATTY 39.5 181.2 152 350 +1982 8 27 12 6 KIRK 51.1 316.8 142 899 +1981 1 27 6 5 KIRK 56.4 297.8 37 524 +2000 4 10 0 16 WILLIAM 47.5 237.9 162 257 +2004 3 16 6 23 BERYL 51.5 121.0 157 312 +1996 8 11 0 20 RAFAEL 32.2 238.2 127 773 +2002 9 9 6 1 GORDON 17.5 128.6 162 43 +1966 6 8 0 17 PATTY 19.1 76.5 127 464 +1982 6 27 6 27 ALBERTO 35.6 19.4 41 254 +1986 4 22 6 5 BERYL 39.4 176.0 31 303 +1956 3 5 6 22 PATTY 58.3 344.4 115 736 +2003 12 25 12 3 LESLIE 67.1 16.6 81 497 +1993 2 11 18 10 SANDY 32.2 105.4 107 396 +1951 10 25 6 6 FLORENCE 24.2 217.2 30 851 +1995 10 4 0 20 VALERIE 11.3 109.4 107 567 +1959 9 10 18 11 NADINE 8.0 312.0 31 864 +1996 9 16 12 27 PATTY 43.4 95.5 150 505 +1953 11 13 12 7 NADINE 56.5 139.1 74 470 +1964 1 16 18 5 MICHAEL 26.9 70.3 87 336 +1989 3 26 0 16 DEBBY 38.2 253.8 38 559 +1994 1 14 0 16 MICHAEL 17.3 227.7 97 619 +1961 4 26 12 7 VALERIE 59.7 140.3 17 584 +1999 4 25 0 26 MICHAEL 40.2 260.3 140 175 +1995 2 4 12 16 GORDON 60.2 282.3 151 645 +1971 12 11 12 16 CHRIS 20.7 258.4 42 285 +1967 2 5 12 3 ERNESTO 67.8 46.4 34 824 +1968 7 20 12 22 SANDY 41.0 18.3 24 339 +1984 4 18 12 14 ISAAC 67.6 245.7 34 565 +1961 8 8 18 9 ERNESTO 25.7 129.3 45 330 +1956 11 2 0 25 NADINE 39.7 221.2 30 55 +1995 6 23 18 22 WILLIAM 27.2 39.9 98 619 +1965 12 24 18 15 GORDON 46.4 114.0 44 283 +1986 11 3 18 20 PATTY 44.3 76.9 46 857 +1961 7 14 0 23 RAFAEL 42.7 261.2 100 37 +1991 7 4 18 2 HELENE 31.5 34.6 17 268 +1975 6 8 18 23 LESLIE 69.7 345.7 87 797 +1979 7 11 6 5 ERNESTO 9.7 82.6 67 597 +1987 6 14 6 27 DEBBY 20.8 65.3 160 140 +1973 2 24 0 4 SANDY 37.5 317.9 42 138 +1951 10 11 12 5 NADINE 24.2 48.9 46 700 +1970 7 3 12 7 JOYCE 16.3 130.1 79 263 +2004 3 17 12 7 SANDY 22.5 70.6 23 824 +1950 6 2 6 11 NADINE 60.4 337.5 26 39 +1999 11 20 18 17 GORDON 20.7 303.7 148 739 +1982 7 4 18 9 JOYCE 31.1 348.7 18 128 +1963 8 4 0 24 ALBERTO 60.1 128.9 139 694 +1986 5 15 12 13 PATTY 33.5 139.9 100 232 +1982 6 20 0 18 LESLIE 58.1 287.6 92 458 +1978 5 13 6 7 KIRK 37.1 303.0 101 478 +1976 5 18 12 21 JOYCE 33.3 285.4 22 473 +1983 7 2 6 3 ISAAC 46.7 300.4 17 672 +1960 2 21 6 19 KIRK 68.8 65.8 102 499 +1974 6 2 12 23 GORDON 7.8 98.4 101 260 +1968 3 22 6 3 ERNESTO 15.6 308.9 78 574 +1971 2 24 0 11 WILLIAM 52.7 221.0 96 718 +1995 12 19 12 17 RAFAEL 15.3 198.2 54 769 +1976 6 3 12 20 MICHAEL 50.3 175.5 128 499 +2000 5 13 12 14 HELENE 39.1 345.2 33 439 +1996 3 11 12 23 ISAAC 38.8 333.3 99 115 +1996 1 8 12 5 OSCAR 55.1 202.4 36 13 +1983 7 7 6 26 ERNESTO 25.1 123.8 28 699 +1953 11 4 0 24 SANDY 68.3 250.0 60 695 +1989 5 11 12 28 KIRK 11.1 3.5 28 312 +1983 12 2 6 27 TONY 40.9 12.6 98 821 +1999 2 26 6 1 CHRIS 62.6 162.6 45 259 +1969 5 26 12 15 ERNESTO 18.1 61.3 163 625 +1955 6 17 18 18 OSCAR 11.4 350.8 34 312 +2003 1 27 12 16 NADINE 22.1 116.0 103 602 +1964 11 14 12 27 RAFAEL 64.9 283.4 140 832 +1971 7 8 12 21 TONY 38.0 216.5 156 347 +2001 8 2 0 15 VALERIE 20.8 308.2 127 6 +1963 8 14 6 4 SANDY 58.9 178.3 48 158 +1972 12 6 6 1 BERYL 41.0 69.8 160 295 +1969 9 21 0 25 ALBERTO 68.6 101.3 112 298 +1958 11 17 6 20 NADINE 69.1 228.7 45 828 +1997 7 21 0 14 CHRIS 61.6 149.5 23 134 +1956 12 14 6 12 JOYCE 34.7 279.2 144 606 +1963 5 11 6 2 FLORENCE 18.2 256.2 157 887 +1966 12 13 6 7 ISAAC 25.6 229.3 118 704 +1958 11 20 6 8 GORDON 8.7 158.1 120 302 +1992 4 27 0 14 FLORENCE 16.1 146.6 35 293 +1982 6 15 18 22 KIRK 18.2 93.1 74 70 +1978 12 15 18 6 FLORENCE 26.0 90.9 77 337 +1963 8 19 6 5 GORDON 37.7 281.7 87 870 +1988 9 18 0 12 WILLIAM 50.7 89.9 65 128 +2001 12 16 6 8 OSCAR 60.5 322.7 44 166 +2002 3 17 18 20 PATTY 55.0 116.6 158 445 +1967 3 9 12 7 KIRK 54.0 85.5 143 129 +1952 8 16 0 21 KIRK 24.7 24.4 156 57 +1950 11 26 12 21 BERYL 63.8 17.4 40 197 +1995 6 2 18 24 ALBERTO 25.3 252.9 80 312 +1993 4 15 6 7 GORDON 56.0 144.2 20 9 +1994 2 13 18 14 VALERIE 61.5 179.1 78 31 +1950 8 17 0 12 VALERIE 64.9 114.1 87 731 +1960 4 11 6 23 MICHAEL 47.1 49.5 100 46 +1950 4 11 6 18 ISAAC 25.9 86.5 114 592 +1977 10 8 6 1 ALBERTO 21.8 182.3 11 593 +1978 6 17 18 17 SANDY 63.9 6.3 98 815 +1981 11 13 6 11 LESLIE 64.1 333.0 152 795 +1981 10 1 12 5 BERYL 20.0 30.0 79 543 +1958 3 22 18 11 HELENE 37.7 282.7 58 356 +1956 1 13 0 13 OSCAR 65.9 194.8 73 606 +1951 2 9 6 5 ALBERTO 24.5 8.6 101 710 +1977 5 5 18 16 FLORENCE 50.4 206.7 100 81 +1979 8 26 18 11 VALERIE 27.9 140.8 50 460 +1975 10 26 18 8 FLORENCE 26.1 100.6 162 762 +1963 7 27 12 7 OSCAR 63.1 226.1 110 513 +1962 4 18 18 6 SANDY 57.5 17.6 87 442 +1963 10 23 12 16 DEBBY 48.9 246.9 134 510 +1986 12 13 0 9 VALERIE 16.7 143.9 65 366 +2004 3 3 18 20 LESLIE 12.2 292.7 13 787 +1990 6 18 12 20 PATTY 55.2 50.1 140 256 +1966 11 1 18 9 RAFAEL 42.6 149.8 36 9 +1978 6 5 12 19 GORDON 24.0 8.1 17 321 +1957 3 3 0 19 VALERIE 44.8 255.4 103 581 +1951 11 10 6 27 WILLIAM 12.8 356.6 115 128 +1961 2 9 6 21 NADINE 40.8 339.0 57 723 +1968 2 17 12 7 MICHAEL 64.1 96.0 87 472 +1958 3 22 6 14 ISAAC 53.8 9.9 64 533 +1992 2 26 6 23 ISAAC 56.8 191.4 91 285 +1954 11 13 18 12 VALERIE 37.1 340.0 65 195 +1999 1 21 6 23 WILLIAM 48.6 351.3 66 477 +1978 1 6 0 17 OSCAR 66.1 271.9 83 148 +1952 12 23 0 4 PATTY 41.4 84.9 34 512 +1965 5 12 0 12 PATTY 37.5 81.8 125 879 +1988 11 5 18 16 WILLIAM 46.5 259.0 148 814 +1959 1 3 6 21 FLORENCE 25.6 222.0 18 115 +1952 9 24 0 8 BERYL 24.9 318.8 52 769 +1951 12 23 12 18 LESLIE 60.4 184.8 40 776 +1998 9 14 6 3 NADINE 64.0 170.0 14 326 +1997 4 24 6 28 ERNESTO 63.0 261.1 87 668 +1970 6 18 6 16 JOYCE 65.6 10.4 102 734 +1999 2 6 6 22 CHRIS 27.0 172.4 22 312 +1963 10 4 12 7 SANDY 46.5 119.9 56 444 +1958 6 13 0 13 SANDY 56.0 337.5 66 632 +1955 4 22 12 12 CHRIS 26.3 116.8 18 798 +1997 3 11 12 3 WILLIAM 37.6 226.5 93 268 +1968 11 27 0 19 HELENE 7.1 201.9 112 624 +1955 9 23 0 18 ALBERTO 16.4 162.1 44 215 +1992 11 22 18 21 MICHAEL 46.4 304.2 56 825 +1954 2 18 0 20 HELENE 23.0 353.7 54 888 +1955 4 7 0 1 ALBERTO 47.9 12.2 81 812 +1954 11 26 0 17 BERYL 23.6 234.4 137 771 +1986 12 3 0 22 ERNESTO 24.6 299.1 150 417 +1999 6 10 0 3 GORDON 30.7 94.3 127 238 +1999 1 14 0 7 MICHAEL 32.5 327.9 86 364 +1989 4 2 12 18 PATTY 45.1 338.6 91 550 +1955 3 2 18 21 PATTY 22.2 157.3 118 280 +2001 9 28 18 3 RAFAEL 35.8 228.9 55 208 +1997 5 18 0 6 HELENE 48.6 131.9 163 9 +1988 7 2 12 22 SANDY 16.9 39.3 37 389 +1999 8 17 18 13 VALERIE 47.3 110.7 57 463 +1967 7 9 0 14 PATTY 17.3 211.7 132 276 +1968 3 17 0 16 OSCAR 54.9 175.0 54 75 +1996 11 8 12 19 ERNESTO 45.0 43.3 52 522 +1951 5 5 6 4 ERNESTO 26.6 170.3 103 370 +1951 8 27 6 6 JOYCE 31.7 263.7 163 117 +1988 9 28 18 7 MICHAEL 26.4 124.6 116 193 +1954 8 2 6 2 SANDY 39.8 349.5 55 11 +1959 9 1 6 12 SANDY 53.6 78.8 124 426 +1995 7 16 12 13 OSCAR 62.2 316.1 124 618 +1992 11 10 0 20 DEBBY 24.8 244.8 152 626 +1999 2 24 0 4 GORDON 59.6 102.3 66 302 +1993 9 1 18 21 JOYCE 46.5 332.5 18 494 +1961 8 24 18 16 PATTY 26.4 37.5 42 298 +1997 8 26 18 22 ISAAC 29.9 174.8 53 842 +1988 2 23 0 25 TONY 9.3 85.3 13 821 +1996 11 17 12 4 GORDON 50.1 219.4 161 344 +1971 9 13 6 18 NADINE 48.3 355.8 98 765 +1963 6 3 6 11 RAFAEL 36.9 28.1 24 159 +1999 6 16 18 23 RAFAEL 32.2 326.7 94 700 +1954 12 24 6 10 SANDY 33.8 261.9 155 717 +1953 10 20 18 21 ALBERTO 21.4 145.6 36 699 +1958 3 18 18 23 OSCAR 60.5 102.6 21 398 +1964 2 20 18 26 NADINE 23.9 188.6 27 640 +2001 10 1 6 28 DEBBY 60.4 252.3 111 768 +2004 11 20 18 21 GORDON 51.6 138.3 26 333 +2001 4 26 18 8 MICHAEL 47.7 64.1 137 897 +1985 10 10 12 12 HELENE 19.8 42.9 30 610 +2000 12 11 0 1 TONY 34.2 349.9 43 192 +1967 4 1 6 16 CHRIS 17.2 237.7 35 512 +1957 9 15 18 2 BERYL 58.4 163.0 68 599 +1977 8 11 18 27 DEBBY 37.9 124.0 78 156 +1960 7 8 6 23 HELENE 31.8 217.2 59 674 +1963 2 1 18 26 SANDY 30.1 120.5 41 10 +1994 3 5 18 10 WILLIAM 10.8 169.2 82 247 +1971 2 8 0 26 WILLIAM 41.1 211.2 46 844 +1985 5 21 6 22 ALBERTO 15.8 245.0 109 522 +1981 6 28 18 1 NADINE 48.9 79.3 93 573 +1978 4 20 18 3 KIRK 52.3 68.0 76 621 +1957 4 6 12 7 OSCAR 58.1 193.8 20 689 +1973 4 25 12 6 RAFAEL 35.6 61.2 40 293 +1960 5 9 18 28 JOYCE 41.4 34.8 35 809 +1999 1 11 12 5 VALERIE 53.3 122.3 105 227 +2004 9 2 18 15 GORDON 28.0 343.2 59 829 +1973 1 7 18 2 ISAAC 17.2 125.1 90 423 +1982 12 27 12 20 RAFAEL 17.0 227.6 161 673 +1974 8 3 12 5 PATTY 15.8 257.9 24 603 +1986 1 14 18 7 LESLIE 59.5 141.7 80 888 +1984 4 4 0 7 HELENE 32.6 255.3 40 766 +2004 12 8 12 10 GORDON 57.9 197.7 60 481 +1962 7 24 12 8 VALERIE 11.6 269.8 56 253 +1957 10 13 12 6 HELENE 50.4 102.6 17 849 +1993 3 7 6 14 ISAAC 40.6 270.9 45 316 +1980 8 25 6 15 TONY 15.5 11.9 22 234 +2000 1 21 18 8 MICHAEL 26.9 205.1 23 304 +1972 5 19 6 19 PATTY 66.7 188.8 61 640 +1960 5 19 12 12 DEBBY 16.3 287.6 158 703 +1980 12 5 6 10 MICHAEL 49.9 37.6 82 841 +1962 1 26 0 6 TONY 21.2 59.9 141 95 +1977 6 3 6 16 KIRK 7.8 165.3 21 389 +1956 1 4 18 9 BERYL 40.1 22.3 28 443 +1989 7 22 0 5 PATTY 47.9 295.7 139 114 +1955 8 20 6 12 VALERIE 44.5 267.8 121 131 +1958 3 26 6 5 GORDON 23.9 114.0 116 169 +1951 10 12 12 4 ERNESTO 9.1 123.1 26 425 +1981 6 13 6 11 KIRK 37.4 274.5 104 813 +1991 1 15 6 26 ERNESTO 50.4 114.3 70 325 +1950 7 3 12 11 DEBBY 60.3 165.8 52 132 +1981 2 19 6 7 OSCAR 21.6 5.0 34 295 +1957 2 28 18 16 RAFAEL 61.1 44.2 104 194 +1954 10 27 0 17 OSCAR 17.9 180.7 130 90 +1996 2 21 6 11 ERNESTO 13.2 195.9 17 444 +1970 4 17 12 11 HELENE 9.6 245.1 42 743 +1995 3 25 18 25 HELENE 48.1 348.4 121 714 +1956 11 10 18 27 NADINE 39.3 220.8 48 374 +1959 1 16 12 12 RAFAEL 62.9 66.9 125 315 +1964 5 8 6 27 HELENE 52.7 8.8 35 378 +1963 8 28 6 16 DEBBY 15.5 326.7 92 453 +1976 2 25 6 24 RAFAEL 67.2 116.7 66 756 +1978 1 6 6 13 KIRK 22.6 255.7 24 682 +1956 2 13 12 16 ISAAC 19.9 304.8 134 363 +1993 12 8 0 11 GORDON 41.2 26.6 61 613 +1982 9 19 6 3 RAFAEL 48.9 62.7 32 826 +1990 2 13 0 10 CHRIS 36.2 164.0 126 476 +1972 5 17 0 26 LESLIE 51.7 254.4 117 843 +2004 2 23 18 18 ISAAC 32.3 281.7 115 698 +1980 5 3 12 20 LESLIE 11.0 303.3 70 623 +1964 12 15 18 12 RAFAEL 55.6 252.9 129 821 +1999 8 19 6 5 ALBERTO 49.7 162.4 18 585 +1981 10 21 0 8 VALERIE 55.4 244.8 99 373 +2002 5 9 12 26 ALBERTO 25.7 174.9 162 371 +2003 2 28 12 4 FLORENCE 36.0 227.9 19 782 +1991 11 26 0 21 KIRK 54.1 83.2 16 200 +1960 8 6 18 21 VALERIE 16.2 254.0 103 792 +1959 8 27 18 20 BERYL 68.8 58.6 115 838 +1981 4 17 6 22 LESLIE 47.0 202.8 42 311 +1954 5 12 18 7 VALERIE 35.5 83.1 157 344 +2001 8 11 0 2 OSCAR 12.6 167.3 130 205 +1982 2 24 6 1 NADINE 10.9 158.8 162 28 +1978 7 16 18 8 SANDY 32.6 123.2 155 353 +1969 10 1 6 13 NADINE 21.1 323.5 114 790 +1992 12 14 6 8 ALBERTO 26.8 347.6 24 345 +1960 1 19 6 27 LESLIE 19.0 121.7 23 561 +1974 5 9 18 19 TONY 62.0 321.2 109 330 +1972 6 28 18 7 JOYCE 21.4 226.6 36 470 +1976 8 28 6 10 HELENE 13.5 51.1 26 52 +2001 1 3 6 27 NADINE 65.8 79.5 50 65 +1995 9 14 6 16 HELENE 9.1 49.8 50 314 +1996 7 18 6 10 WILLIAM 63.8 18.7 120 110 +1969 4 8 0 14 HELENE 24.9 139.0 73 639 +1986 9 23 18 8 MICHAEL 63.2 264.2 33 365 +2000 7 21 18 14 JOYCE 69.4 109.4 74 732 +1974 1 4 18 12 ERNESTO 18.9 49.7 23 594 +1990 2 6 12 13 SANDY 57.1 271.0 44 233 +1989 8 12 0 25 LESLIE 51.5 308.2 49 365 +1990 1 18 12 9 BERYL 41.5 308.3 73 270 +2001 10 10 12 10 GORDON 42.7 266.3 48 414 +1986 5 7 12 21 MICHAEL 37.5 103.4 27 265 +1959 6 17 0 19 OSCAR 19.5 336.1 90 855 +2001 2 23 0 25 RAFAEL 26.0 269.4 146 257 +1977 11 21 12 24 LESLIE 28.3 190.5 33 736 +1965 8 9 18 23 RAFAEL 15.9 152.6 149 580 +2001 1 14 12 18 CHRIS 63.9 132.1 76 290 +1968 10 16 12 22 HELENE 39.3 16.8 32 3 +1977 8 28 12 21 JOYCE 35.5 194.3 156 297 +1960 8 5 12 20 RAFAEL 35.7 264.1 62 343 +1970 9 6 6 24 OSCAR 67.5 117.3 92 557 +1980 4 12 6 24 RAFAEL 57.7 62.8 79 273 +1968 11 2 6 19 HELENE 13.7 53.8 34 491 +1996 6 1 6 18 BERYL 43.7 223.5 36 98 +1961 2 18 18 4 ALBERTO 30.5 91.0 91 667 +1995 2 11 0 11 GORDON 60.8 165.3 140 888 +1988 10 27 18 16 FLORENCE 9.6 257.5 132 145 +2002 4 17 18 25 BERYL 32.2 332.0 84 620 +2000 9 23 12 24 ERNESTO 50.5 50.0 118 569 +1974 6 18 18 13 LESLIE 31.3 204.8 101 491 +1960 6 9 12 2 LESLIE 30.5 139.0 81 204 +1960 3 1 18 12 OSCAR 69.0 292.4 58 192 +1965 4 8 0 12 TONY 55.3 255.5 154 892 +1997 1 10 6 25 NADINE 58.2 330.8 70 381 +1966 10 22 0 24 TONY 59.8 8.3 11 216 +1951 5 25 6 24 SANDY 55.9 186.3 141 530 +1956 2 4 6 20 WILLIAM 66.4 287.0 119 104 +1964 10 18 6 18 KIRK 52.9 2.2 75 429 +1977 8 17 6 7 RAFAEL 45.3 50.4 41 441 +1983 5 9 12 17 HELENE 12.6 23.3 16 103 +1956 3 16 6 8 OSCAR 13.7 324.7 102 498 +1975 7 9 12 17 MICHAEL 29.9 65.7 51 723 +2002 12 12 6 1 HELENE 49.9 42.0 32 471 +2000 4 6 0 4 PATTY 25.6 75.7 150 364 +1990 9 19 0 20 ERNESTO 38.1 188.7 154 642 +1962 10 14 18 14 VALERIE 63.0 43.2 108 756 +1999 12 28 0 23 MICHAEL 11.1 82.5 70 879 +1980 3 28 12 21 VALERIE 26.8 247.2 42 606 +1981 6 24 0 5 CHRIS 67.8 248.1 93 98 +1956 2 22 18 20 MICHAEL 10.9 102.5 73 258 +1952 3 7 18 9 HELENE 48.2 350.5 45 431 +1976 4 5 6 19 BERYL 67.5 172.8 84 141 +1998 3 1 12 17 CHRIS 23.2 229.9 66 405 +1962 7 1 18 20 NADINE 24.1 262.3 128 504 +1979 10 10 6 3 MICHAEL 49.6 13.5 120 199 +1986 7 6 12 6 PATTY 7.2 95.4 103 370 +2003 9 28 0 24 DEBBY 68.5 118.3 149 420 +1998 3 16 12 22 FLORENCE 24.2 152.6 21 287 +1995 10 23 18 5 TONY 13.3 246.0 18 187 +1982 1 15 0 2 WILLIAM 11.5 204.9 97 26 +1981 4 26 12 27 CHRIS 19.6 273.5 156 416 +1984 4 24 0 5 ISAAC 26.3 112.5 52 260 +2004 9 13 0 9 FLORENCE 48.5 17.1 59 291 +1996 8 18 6 28 WILLIAM 61.3 200.4 45 435 +1987 11 22 6 4 KIRK 60.1 58.5 56 392 +2004 6 17 0 21 FLORENCE 65.1 318.1 147 541 +1957 4 24 18 28 GORDON 55.0 296.5 23 771 +1987 1 15 0 2 NADINE 35.9 236.5 145 870 +1975 5 17 12 27 DEBBY 43.6 352.3 147 61 +1974 4 12 0 12 KIRK 43.7 196.9 158 43 +1987 9 4 12 15 SANDY 10.3 308.5 152 738 +2003 12 26 6 2 WILLIAM 15.8 338.1 32 573 +2003 7 5 18 2 VALERIE 9.3 34.7 91 810 +1962 5 17 18 2 BERYL 52.0 85.6 102 92 +1979 10 27 18 17 WILLIAM 18.3 9.8 130 296 +1962 4 10 18 7 OSCAR 28.8 157.8 130 899 +1981 2 21 0 8 FLORENCE 55.8 208.2 79 228 +1973 8 26 0 8 RAFAEL 7.5 63.1 105 271 +1996 9 20 0 26 ERNESTO 20.9 337.0 144 283 +1994 9 21 12 1 KIRK 15.2 19.7 60 470 +1956 6 14 6 12 HELENE 27.7 126.4 87 349 +1964 3 25 18 18 CHRIS 46.8 212.1 134 280 +1985 8 14 6 3 PATTY 58.9 182.3 86 405 +1953 10 12 6 26 ALBERTO 10.1 316.4 72 33 +1995 6 6 18 17 VALERIE 53.2 271.0 31 104 +1995 11 24 6 16 WILLIAM 69.5 291.5 60 646 +1998 9 7 0 20 JOYCE 27.4 210.8 152 474 +1983 2 1 18 27 ERNESTO 31.0 158.1 20 297 +1991 5 11 0 11 WILLIAM 68.0 127.5 107 422 +1992 9 13 18 23 NADINE 53.2 79.8 33 798 +1982 11 28 0 18 DEBBY 29.6 307.3 64 176 +1988 3 15 12 19 VALERIE 11.5 243.8 76 539 +1975 5 24 18 24 WILLIAM 69.9 137.2 97 760 +1982 7 9 12 3 JOYCE 47.6 196.0 92 524 +1983 9 20 18 27 FLORENCE 68.0 282.9 16 249 +1971 2 19 12 18 OSCAR 10.8 34.0 58 152 +1993 12 26 12 1 ERNESTO 32.3 235.4 83 67 +2003 5 1 18 2 RAFAEL 28.3 64.1 64 700 +1977 11 3 0 6 ALBERTO 62.7 225.6 117 27 +1990 4 15 18 26 LESLIE 53.1 49.8 63 257 +1984 6 14 12 13 BERYL 58.0 274.3 10 244 +2001 1 10 18 10 HELENE 51.9 96.5 146 618 +1970 5 21 18 20 BERYL 38.5 12.5 46 299 +1987 7 15 6 4 WILLIAM 41.2 231.9 130 119 +1956 5 27 0 18 TONY 54.2 331.0 90 592 +1965 6 20 12 8 SANDY 68.8 130.0 21 881 +1964 4 17 12 19 ERNESTO 14.9 328.1 35 279 +1964 6 9 12 4 CHRIS 63.9 272.0 130 505 +1954 7 19 18 26 DEBBY 63.0 70.1 152 450 +1974 5 5 0 1 ALBERTO 60.0 254.7 148 355 +1989 10 15 18 15 DEBBY 9.8 157.6 122 806 +1998 11 17 0 15 OSCAR 56.2 184.2 42 204 +1952 10 13 12 22 JOYCE 30.6 38.1 63 510 +1976 12 11 6 27 SANDY 65.8 113.8 157 709 +2002 2 15 18 14 RAFAEL 23.7 52.6 17 709 +1960 9 25 18 21 WILLIAM 37.1 154.6 25 472 +1992 7 19 18 11 OSCAR 43.2 114.7 141 151 +1996 5 27 6 1 CHRIS 47.5 50.2 67 141 +1969 1 22 0 20 WILLIAM 26.0 77.8 118 479 +1983 12 18 6 2 CHRIS 23.9 6.4 30 687 +1950 4 23 18 24 ISAAC 55.6 253.2 150 884 +1959 3 25 18 18 MICHAEL 9.1 35.1 83 851 +1965 6 23 0 4 VALERIE 47.7 48.7 31 53 +1981 3 19 18 25 BERYL 44.3 187.4 98 772 +1976 8 13 0 12 ISAAC 11.1 48.9 109 41 +2001 12 9 6 2 RAFAEL 48.7 306.0 144 645 +1963 12 16 0 24 GORDON 53.3 355.7 79 326 +1969 1 12 18 6 OSCAR 41.8 131.8 133 804 +1972 6 24 18 27 TONY 54.0 67.8 39 200 +1982 5 27 18 2 SANDY 46.6 210.2 142 197 +1980 8 3 18 13 GORDON 14.1 328.0 80 104 +1966 12 28 12 19 ALBERTO 69.3 18.3 63 417 +1976 3 8 0 28 WILLIAM 38.6 186.1 47 148 +1998 10 20 18 28 MICHAEL 44.7 57.7 88 687 +1991 7 2 12 16 SANDY 35.8 193.9 22 560 +1954 7 28 6 19 HELENE 61.4 254.3 11 9 +1991 12 7 0 7 ISAAC 38.6 85.5 64 634 +1978 3 14 0 14 ERNESTO 65.4 115.7 42 54 +1969 5 19 12 14 JOYCE 7.9 206.8 141 458 +1982 9 4 12 6 KIRK 26.6 48.4 148 146 +1975 6 21 12 6 ALBERTO 28.3 303.3 29 581 +1953 1 14 0 23 ALBERTO 61.8 122.5 92 475 +1975 8 20 0 1 ISAAC 20.1 168.2 98 599 +1983 7 9 6 18 VALERIE 27.4 100.1 45 718 +1953 9 8 18 15 VALERIE 48.3 171.6 67 470 +2003 2 6 0 17 VALERIE 39.1 290.6 39 803 +1950 9 5 6 21 HELENE 20.9 282.7 123 20 +1959 12 16 18 14 CHRIS 22.2 107.0 104 351 +1977 9 22 0 5 DEBBY 26.4 184.8 112 612 +1994 6 6 12 17 JOYCE 28.0 286.4 72 647 +1974 6 4 18 13 ERNESTO 30.2 182.4 34 196 +2000 2 25 18 17 WILLIAM 49.0 17.7 42 691 +1996 11 5 6 13 TONY 59.9 176.1 15 730 +1981 7 21 0 7 DEBBY 61.6 312.0 107 95 +1985 2 5 12 23 MICHAEL 48.9 155.3 106 233 +1970 9 10 6 1 PATTY 24.5 173.4 121 537 +1997 7 4 12 20 ALBERTO 46.5 254.3 58 336 +1980 6 2 6 19 PATTY 32.4 284.5 14 49 +1980 2 23 18 18 OSCAR 16.1 121.7 82 116 +1957 12 27 18 2 OSCAR 52.7 137.9 121 94 +1959 10 20 6 14 TONY 40.1 204.4 40 648 +2001 9 1 6 17 JOYCE 26.1 93.9 149 818 +1976 4 5 12 25 ISAAC 45.8 162.3 68 53 +1962 10 19 18 18 CHRIS 12.9 265.5 90 743 +2004 6 26 12 6 BERYL 47.3 127.1 69 753 +1953 8 11 0 8 FLORENCE 29.2 135.6 52 795 +1954 6 1 12 25 BERYL 25.8 160.9 142 370 +2002 10 3 6 7 LESLIE 39.5 241.9 115 320 +1978 9 8 12 10 BERYL 14.5 121.1 68 248 +1984 12 6 6 19 LESLIE 12.6 30.5 40 140 +1956 2 11 0 14 DEBBY 57.9 169.8 112 470 +1991 1 3 6 27 ERNESTO 37.5 244.5 145 894 +1966 9 17 18 19 GORDON 41.1 132.1 150 273 +1963 7 19 0 19 ERNESTO 53.6 164.6 102 240 +1955 12 24 12 23 HELENE 62.7 330.7 112 214 +1987 2 16 18 28 CHRIS 21.9 64.3 51 438 +1969 11 12 18 4 CHRIS 44.0 204.4 71 199 +1966 12 15 18 4 WILLIAM 54.5 325.1 78 661 +1979 10 4 12 1 SANDY 33.6 164.6 44 688 +2002 8 6 6 23 ERNESTO 51.9 200.8 86 604 +1982 2 19 18 10 ALBERTO 8.7 123.1 21 549 +1976 3 3 18 14 GORDON 35.5 148.0 17 562 +2001 12 4 12 10 DEBBY 43.9 140.8 24 134 +1984 8 26 12 20 SANDY 62.4 319.6 113 314 +1972 8 5 18 17 LESLIE 17.2 6.5 67 759 +1976 2 27 6 27 ERNESTO 53.0 268.8 57 531 +1964 9 5 6 26 DEBBY 54.2 152.0 129 752 +1993 3 3 12 4 OSCAR 49.7 33.4 84 357 +1951 10 24 6 7 GORDON 8.0 314.4 128 673 +1977 12 7 12 28 JOYCE 25.7 164.7 97 575 +1950 7 1 6 23 CHRIS 60.8 137.1 145 226 +2002 1 5 6 1 NADINE 38.0 337.7 36 458 +1985 3 13 18 20 RAFAEL 50.2 295.9 73 849 +1997 9 1 0 2 MICHAEL 17.3 314.4 153 16 +1965 12 11 6 17 ISAAC 51.7 233.1 47 580 +1974 10 26 0 24 JOYCE 35.9 191.1 119 376 +1958 7 28 6 17 GORDON 47.9 273.2 146 600 +1996 1 28 18 25 BERYL 58.1 338.0 99 558 +1973 7 23 12 20 RAFAEL 7.6 270.4 75 637 +1971 7 1 12 23 ISAAC 49.5 226.7 142 408 +2000 9 14 12 1 BERYL 58.9 132.2 68 199 +1981 2 4 12 9 BERYL 55.3 216.0 137 145 +1993 11 11 0 1 RAFAEL 33.2 259.2 10 603 +1990 8 16 6 3 DEBBY 41.2 37.1 86 431 +1981 11 7 0 23 BERYL 54.7 285.7 131 21 +1968 8 13 12 19 NADINE 67.1 35.8 122 752 +1990 2 13 12 11 RAFAEL 25.4 298.8 51 244 +1990 9 7 18 1 RAFAEL 32.8 254.8 49 394 +1978 4 2 6 13 GORDON 42.6 69.8 11 673 +1992 4 5 18 16 BERYL 16.3 49.9 93 888 +1992 7 8 6 4 ALBERTO 49.2 33.0 133 732 +2002 9 20 6 19 NADINE 21.3 208.7 151 55 +1985 6 14 0 23 LESLIE 46.6 9.3 39 132 +1964 9 7 6 10 HELENE 11.7 84.5 160 272 +1992 7 16 0 1 BERYL 58.9 164.8 156 572 +1984 7 13 6 7 CHRIS 19.2 85.5 71 414 +1978 10 6 0 10 BERYL 61.8 195.7 84 567 +1985 7 13 0 4 CHRIS 40.2 252.5 120 886 +1989 4 10 18 20 JOYCE 47.5 86.0 102 847 +1995 11 13 0 28 RAFAEL 10.6 276.4 140 364 +1959 11 9 12 28 BERYL 46.0 144.1 45 611 +1971 4 13 0 27 JOYCE 63.4 130.5 137 583 +1976 5 25 18 17 CHRIS 63.1 238.8 72 256 +1985 3 18 6 16 RAFAEL 17.7 313.6 13 692 +1966 11 12 18 27 TONY 37.9 17.9 145 516 +1951 3 4 12 6 RAFAEL 38.1 122.2 96 10 +1958 12 9 18 17 BERYL 12.3 294.1 155 870 +1977 7 28 12 26 MICHAEL 61.5 61.7 71 486 +1993 4 13 0 11 TONY 64.4 39.7 157 415 +1974 12 4 6 11 TONY 15.2 84.6 14 601 +1964 2 25 12 23 GORDON 11.1 8.2 117 99 +1954 2 16 18 20 DEBBY 35.1 211.5 87 21 +1964 1 15 0 16 LESLIE 64.6 72.7 34 856 +2002 7 5 0 12 ISAAC 48.9 273.0 149 128 +2003 11 9 6 9 LESLIE 20.1 344.6 44 153 +1999 7 10 6 25 BERYL 50.6 51.7 142 821 +1979 11 14 12 10 TONY 39.9 357.2 93 555 +1973 7 1 12 8 VALERIE 47.7 178.8 80 266 +1975 5 11 6 19 ALBERTO 42.4 152.7 17 605 +1988 8 5 12 19 VALERIE 49.6 212.1 121 334 +1979 10 17 6 6 PATTY 11.7 127.1 64 169 +1968 1 13 18 12 ISAAC 48.8 97.5 55 251 +1986 8 23 12 26 ISAAC 47.0 107.1 95 546 +1972 5 24 18 26 ALBERTO 58.9 29.4 104 408 +1972 12 12 18 24 VALERIE 40.5 231.7 154 496 +1975 11 12 12 4 BERYL 44.1 318.0 25 713 +1972 3 7 18 12 NADINE 33.2 239.5 119 544 +1961 1 21 12 2 VALERIE 55.1 254.2 42 783 +2001 3 7 6 8 DEBBY 9.6 221.6 44 252 +1987 5 7 18 20 OSCAR 37.1 339.1 62 336 +1999 7 4 12 5 WILLIAM 59.8 87.7 139 346 +1992 11 8 6 20 TONY 32.3 132.8 89 748 +1952 10 27 6 6 ALBERTO 62.4 99.7 17 861 +1987 8 24 12 8 WILLIAM 40.2 176.2 138 723 +1963 2 5 0 22 KIRK 40.9 30.1 143 47 +1959 8 15 18 21 HELENE 11.0 9.6 122 151 +1979 6 7 12 6 OSCAR 62.5 177.6 73 540 +1977 7 9 6 26 WILLIAM 48.8 116.9 130 100 +1961 1 28 18 5 JOYCE 33.0 284.7 147 723 +1999 6 22 6 7 WILLIAM 65.6 29.7 84 619 +1978 5 11 18 12 BERYL 26.6 185.4 101 45 +1962 5 4 6 25 ALBERTO 50.9 84.8 96 387 +1968 6 1 12 24 PATTY 45.8 205.6 12 151 +1968 10 7 18 13 CHRIS 63.9 180.0 161 532 +1993 3 15 0 1 ERNESTO 37.1 257.6 32 306 +1977 6 6 12 22 PATTY 17.1 29.6 77 407 +1992 3 28 18 23 WILLIAM 65.6 102.1 135 130 +1979 5 28 12 9 WILLIAM 25.4 25.9 115 516 +1974 4 24 18 3 ALBERTO 47.5 72.9 144 160 +1961 2 3 0 23 JOYCE 49.3 218.1 150 464 +1965 1 20 18 10 DEBBY 63.6 115.0 59 734 +1982 9 1 6 23 MICHAEL 53.3 10.9 40 861 +1955 3 23 18 28 GORDON 9.2 188.7 49 727 +1983 6 26 12 24 FLORENCE 65.9 320.6 14 820 +1973 3 9 0 19 FLORENCE 37.1 266.4 27 837 +1985 5 12 0 12 GORDON 50.9 34.4 87 765 +1953 6 13 0 2 KIRK 44.7 264.3 72 344 +1955 10 14 12 6 LESLIE 50.5 273.5 42 2 +1970 4 1 12 24 MICHAEL 29.6 229.0 141 287 +2004 12 23 6 8 OSCAR 29.3 298.8 164 293 +1958 7 18 0 2 RAFAEL 44.0 253.4 108 291 +1967 11 10 0 7 DEBBY 16.7 344.9 52 306 +1955 9 23 0 16 FLORENCE 27.1 118.3 79 583 +1977 9 21 18 23 GORDON 18.7 144.0 91 72 +1960 2 26 6 12 MICHAEL 37.9 99.4 58 348 +1959 11 18 0 2 RAFAEL 62.8 151.5 33 581 +1964 7 28 6 6 NADINE 39.7 268.2 91 258 +1983 8 3 0 17 JOYCE 12.3 233.1 72 542 +1951 10 4 0 6 HELENE 52.2 177.6 128 730 +2001 9 23 0 28 MICHAEL 30.2 144.3 101 94 +1971 9 17 0 7 RAFAEL 30.1 298.2 39 325 +1951 11 15 18 12 OSCAR 41.3 61.0 88 164 +1998 5 27 0 6 HELENE 30.8 5.4 131 4 +1956 7 5 6 9 VALERIE 36.2 205.8 50 558 +1985 7 17 18 19 RAFAEL 18.0 28.5 152 855 +1963 7 28 6 2 ISAAC 21.1 286.3 105 818 +1959 4 17 0 2 PATTY 45.5 220.7 56 130 +1950 11 7 18 17 OSCAR 50.4 240.0 90 218 +1961 11 18 0 28 VALERIE 58.1 247.6 34 678 +2003 5 26 0 16 NADINE 56.6 93.4 78 231 +1992 3 4 18 26 OSCAR 39.6 52.3 82 41 +1969 2 3 0 21 ERNESTO 40.7 63.1 32 22 +1973 5 27 18 5 CHRIS 32.7 282.4 84 113 +1976 12 1 6 6 BERYL 69.9 22.2 149 441 +1999 4 3 18 20 WILLIAM 65.8 19.6 145 440 +1969 7 8 0 26 PATTY 53.8 334.8 30 569 +1959 1 2 6 6 HELENE 39.2 94.9 144 213 +1951 2 22 18 1 CHRIS 53.1 115.7 47 852 +1955 12 9 18 13 HELENE 65.5 4.2 69 32 +1977 5 26 12 25 OSCAR 15.9 233.8 82 856 +2002 10 10 6 12 ALBERTO 31.1 51.7 149 370 +1957 6 4 18 8 MICHAEL 45.4 157.2 91 381 +1952 1 17 12 2 NADINE 23.3 328.3 54 616 +1985 12 15 18 10 ERNESTO 17.2 229.6 27 132 +1985 5 12 12 5 TONY 30.9 281.4 51 446 +2000 4 3 18 19 NADINE 43.1 258.7 81 167 +1970 12 26 18 24 HELENE 39.0 12.5 65 415 +1954 6 27 0 2 FLORENCE 40.0 274.8 20 300 +1956 2 12 12 12 HELENE 11.9 99.8 131 841 +1950 8 18 0 15 FLORENCE 53.2 335.1 138 834 +1990 10 27 12 23 ISAAC 51.1 126.3 40 817 +1981 6 18 6 21 DEBBY 26.3 262.0 90 734 +1981 2 20 6 7 FLORENCE 52.3 327.0 29 356 +1975 11 11 0 16 KIRK 27.1 312.2 27 500 +1970 3 27 18 6 MICHAEL 39.2 25.6 141 291 +1976 6 6 6 20 CHRIS 69.4 301.3 40 327 +1977 3 27 12 21 PATTY 51.8 112.3 49 309 +1958 10 7 18 15 ISAAC 62.6 126.3 97 493 +1957 4 27 6 20 FLORENCE 42.6 199.6 148 717 +1957 8 26 0 5 WILLIAM 50.1 39.0 57 848 +1971 6 1 18 26 VALERIE 34.3 211.0 114 860 +1971 6 18 12 21 VALERIE 26.0 304.4 11 657 +1988 12 16 0 4 ALBERTO 26.0 286.1 162 52 +1972 12 4 18 22 MICHAEL 18.0 302.0 150 18 +1993 5 19 18 10 BERYL 53.1 66.2 65 194 +1979 4 15 18 24 OSCAR 31.0 331.3 46 831 +1983 10 22 6 23 SANDY 17.8 206.5 128 159 +2000 8 7 0 8 CHRIS 35.5 56.2 62 111 +1973 4 6 0 23 VALERIE 65.4 2.1 55 706 +1971 11 3 0 13 LESLIE 63.9 85.3 105 736 +1979 9 17 12 9 JOYCE 60.9 275.7 94 785 +2000 7 7 12 11 ERNESTO 46.4 328.6 142 656 +1998 10 3 12 19 FLORENCE 64.1 38.2 105 417 +1961 2 5 12 2 FLORENCE 48.7 217.5 29 667 +1966 3 1 18 14 OSCAR 38.0 13.6 56 221 +1988 9 19 18 12 WILLIAM 8.3 115.5 14 284 +1978 6 25 0 12 VALERIE 52.2 22.6 157 734 +1996 7 25 6 5 DEBBY 46.5 69.7 136 37 +1999 12 25 18 17 GORDON 36.6 26.5 58 709 +1974 1 25 12 21 PATTY 37.2 42.4 26 24 +1993 8 5 18 14 PATTY 11.1 26.9 50 458 +1952 8 7 0 7 GORDON 42.8 186.2 11 162 +1963 3 10 0 11 MICHAEL 22.4 273.9 22 466 +1953 1 12 12 18 WILLIAM 64.6 343.8 19 60 +1975 6 9 12 20 WILLIAM 36.1 212.8 107 238 +1959 9 24 12 21 LESLIE 13.5 199.5 42 16 +1985 11 16 18 14 WILLIAM 41.4 353.0 19 448 +1960 10 20 6 20 FLORENCE 60.8 253.1 59 831 +1994 7 24 6 7 ISAAC 56.3 270.5 93 785 +1988 12 15 6 11 SANDY 30.8 275.8 112 467 +1993 10 24 12 9 DEBBY 15.7 131.7 51 645 +2003 11 22 18 12 ERNESTO 40.2 132.9 48 818 +2002 11 19 0 23 VALERIE 44.1 51.8 42 294 +1952 7 17 18 9 OSCAR 46.9 144.4 126 482 +1979 3 3 18 5 VALERIE 45.8 177.0 133 259 +1969 10 6 6 17 ERNESTO 12.0 94.3 151 100 +1965 4 12 18 14 HELENE 13.8 221.2 160 440 +1954 5 2 18 21 OSCAR 42.6 203.5 37 357 +1952 6 17 12 18 SANDY 33.7 232.5 157 363 +1952 7 8 18 6 GORDON 18.9 214.9 70 319 +2004 9 8 6 5 NADINE 29.0 331.7 130 372 +1956 5 19 0 3 LESLIE 54.7 227.7 62 866 +2004 6 2 12 23 NADINE 45.5 338.4 112 256 +1970 8 24 6 3 PATTY 59.3 355.0 114 818 +1965 4 18 6 14 DEBBY 62.7 320.4 16 823 +1989 10 19 6 3 OSCAR 46.7 137.2 145 239 +1999 5 4 0 9 ISAAC 64.9 1.0 134 259 +1994 11 17 18 12 BERYL 64.8 103.8 133 31 +1984 4 24 0 12 RAFAEL 15.5 211.4 160 130 +1956 12 27 6 16 HELENE 12.5 258.7 142 470 +1970 9 15 12 26 MICHAEL 15.8 141.6 72 142 +1956 1 7 0 1 VALERIE 14.9 116.4 68 698 +1997 2 21 18 12 VALERIE 24.3 269.0 51 388 +1978 9 13 18 2 WILLIAM 38.1 113.1 106 397 +1964 3 21 6 19 LESLIE 54.9 178.9 24 81 +1964 9 10 6 25 JOYCE 28.3 205.6 32 782 +1951 10 11 18 2 RAFAEL 68.2 278.4 128 823 +1980 6 11 12 18 LESLIE 20.7 138.7 95 774 +1950 7 8 12 13 ISAAC 62.0 331.5 118 484 +1995 10 25 12 10 MICHAEL 55.7 120.0 68 760 +1980 12 4 18 17 CHRIS 32.9 221.2 140 663 +1967 2 26 18 22 NADINE 9.9 1.8 117 604 +1977 8 6 6 16 GORDON 48.1 83.3 66 729 +2003 3 4 0 28 TONY 43.9 317.8 14 138 +1956 2 26 6 2 MICHAEL 7.6 140.8 28 577 +1987 10 14 6 10 TONY 34.4 123.1 121 662 +1996 6 10 18 22 SANDY 69.9 41.3 99 312 +1986 2 8 0 9 ISAAC 60.4 166.0 81 302 +1979 12 13 0 14 HELENE 59.8 324.7 21 298 +2002 10 18 6 17 KIRK 52.2 125.1 115 731 +1955 2 4 6 17 ERNESTO 9.1 88.3 59 369 +1976 8 6 18 23 MICHAEL 9.2 213.6 73 897 +1967 5 9 0 22 ERNESTO 9.6 13.9 31 93 +1953 8 6 0 16 FLORENCE 53.4 225.1 143 843 +1955 4 1 18 3 KIRK 30.5 70.4 121 195 +1972 9 15 12 12 JOYCE 13.3 91.1 73 33 +1951 2 8 0 16 MICHAEL 65.5 257.5 49 327 +1997 7 20 18 17 LESLIE 53.7 333.2 34 358 +1992 4 3 0 9 VALERIE 64.4 126.6 140 634 +1974 8 9 18 15 BERYL 21.4 14.9 27 88 +1978 7 10 0 16 PATTY 49.0 119.3 53 629 +1975 1 26 0 2 GORDON 45.0 35.0 73 134 +1954 7 15 0 25 OSCAR 27.6 172.9 120 311 +1969 9 27 0 21 PATTY 23.1 313.2 107 383 +1964 10 20 12 21 FLORENCE 49.8 185.9 132 401 +1994 5 20 0 2 MICHAEL 48.6 130.6 109 77 +1972 11 20 0 9 KIRK 37.2 308.4 37 820 +1993 11 25 6 10 WILLIAM 65.5 210.6 136 177 +1967 9 23 18 7 CHRIS 13.0 116.1 81 801 +1958 8 17 12 26 VALERIE 61.9 315.0 95 706 +1959 3 28 12 21 VALERIE 42.7 82.6 35 46 +1968 3 16 0 10 DEBBY 26.3 199.1 137 576 +1997 8 3 6 18 ALBERTO 42.1 284.1 128 65 +1982 2 13 18 19 ISAAC 35.2 330.6 93 397 +1956 5 14 18 7 VALERIE 50.9 258.7 107 703 +1952 9 28 0 27 VALERIE 50.1 145.6 156 120 +1990 10 27 18 19 ALBERTO 39.6 186.8 135 556 +1998 9 20 12 7 NADINE 16.5 246.3 79 194 +1950 5 12 18 15 DEBBY 37.8 255.6 66 791 +1999 7 18 6 1 ERNESTO 52.5 154.4 78 841 +2003 6 8 6 7 PATTY 63.5 247.8 113 25 +1978 2 11 18 20 MICHAEL 61.5 235.6 140 129 +1987 1 15 0 18 ALBERTO 11.4 184.6 88 340 +1959 4 24 12 23 MICHAEL 39.2 253.2 42 838 +1981 3 17 12 3 JOYCE 68.5 330.3 123 701 +1969 5 25 6 14 FLORENCE 46.2 249.0 123 540 +1992 6 14 12 27 SANDY 64.7 245.9 76 53 +1977 11 10 0 21 WILLIAM 55.7 45.8 99 38 +1995 6 7 0 2 JOYCE 62.0 337.7 51 31 +2003 11 12 12 4 OSCAR 65.8 19.9 62 615 +1976 7 3 12 25 CHRIS 46.9 163.8 39 348 +1989 11 1 18 9 MICHAEL 15.7 277.4 38 712 +1999 1 13 6 5 DEBBY 11.4 303.6 71 776 +1978 12 7 18 14 WILLIAM 23.6 292.4 160 158 +1971 6 14 0 27 HELENE 43.6 153.2 121 358 +1980 12 8 6 2 ALBERTO 49.4 144.2 134 364 +1978 6 4 12 27 JOYCE 19.6 19.9 27 753 +1967 6 27 0 7 VALERIE 29.4 230.9 10 177 +1977 8 8 18 8 VALERIE 34.5 136.5 90 56 +1990 2 6 0 23 OSCAR 36.2 138.3 116 14 +1991 1 20 6 15 VALERIE 35.1 188.4 14 671 +1993 11 15 6 26 HELENE 20.1 25.4 124 378 +1951 5 12 12 25 KIRK 20.2 262.9 119 172 +1954 1 13 6 27 RAFAEL 58.6 69.9 120 847 +1985 10 2 0 5 FLORENCE 40.3 354.8 67 828 +1989 2 26 6 13 MICHAEL 13.1 183.5 76 558 +1960 3 16 12 14 JOYCE 22.9 169.8 121 456 +1954 2 27 18 16 LESLIE 16.8 86.1 93 516 +1978 3 26 12 9 ALBERTO 60.4 342.9 121 399 +1970 6 18 12 9 JOYCE 14.9 192.1 135 567 +2003 6 11 18 14 ISAAC 69.3 274.5 156 272 +1980 8 10 18 12 ALBERTO 65.5 43.4 160 437 +1951 10 17 18 3 HELENE 22.6 105.6 89 888 +1958 6 17 12 11 MICHAEL 7.9 282.7 89 665 +1967 1 11 6 4 OSCAR 51.5 357.4 48 21 +1982 4 16 6 9 LESLIE 24.6 309.4 14 299 +1975 12 24 18 8 CHRIS 16.2 338.3 142 429 +1983 12 24 0 4 ERNESTO 9.2 94.0 59 288 +1973 9 19 12 8 BERYL 32.0 83.1 156 322 +1955 1 18 0 16 WILLIAM 24.8 171.8 34 784 +1992 12 6 6 9 ALBERTO 51.4 166.2 20 399 +2004 1 4 12 2 VALERIE 14.9 110.2 53 627 +1996 5 5 0 6 CHRIS 45.4 150.4 100 740 +1970 11 22 0 23 BERYL 33.9 130.3 130 565 +1979 9 21 12 19 LESLIE 21.6 176.6 44 557 +2000 6 17 18 27 SANDY 65.6 33.8 128 281 +1967 7 11 0 6 MICHAEL 28.0 125.9 141 89 +1991 5 7 12 11 ERNESTO 52.2 144.5 22 42 +1962 8 21 0 16 ISAAC 63.7 158.7 76 583 +1984 7 8 6 21 HELENE 60.0 92.2 159 493 +1992 9 11 18 25 DEBBY 43.2 216.8 66 690 +1988 7 10 18 26 SANDY 26.4 315.3 146 188 +1951 3 3 12 23 HELENE 48.7 251.3 136 411 +1960 6 25 18 28 WILLIAM 32.5 251.8 112 504 +1995 2 1 0 12 DEBBY 33.7 107.6 129 77 +2001 2 16 0 4 FLORENCE 18.0 187.2 127 352 +2001 10 17 18 9 WILLIAM 12.1 324.7 57 524 +1984 2 4 18 16 NADINE 66.8 307.2 50 602 +1997 2 8 0 21 WILLIAM 9.2 288.5 159 765 +2000 9 11 0 9 KIRK 33.6 306.6 89 71 +1986 10 9 0 2 HELENE 65.3 240.0 161 91 +1951 7 17 6 7 MICHAEL 28.5 319.4 118 22 +1969 11 24 18 14 OSCAR 39.1 131.6 58 430 +1951 6 16 0 15 ISAAC 33.8 347.6 68 125 +1997 11 16 12 19 BERYL 43.1 51.3 100 325 +2003 7 12 0 26 JOYCE 57.4 81.5 69 621 +1981 3 17 12 15 VALERIE 54.5 26.2 91 388 +1989 7 6 0 18 BERYL 12.5 268.5 136 601 +1998 12 21 6 2 KIRK 44.9 332.8 110 514 +1999 2 26 12 5 KIRK 63.8 45.5 88 10 +1978 3 4 12 23 PATTY 58.1 232.4 37 391 +1960 6 4 12 26 LESLIE 29.8 65.6 103 491 +1983 11 25 12 4 TONY 23.2 167.5 110 826 +1995 7 8 12 7 ISAAC 39.2 223.8 134 828 +1960 12 18 6 11 OSCAR 35.5 103.7 70 742 +1984 4 24 12 4 ISAAC 15.5 216.0 68 122 +1955 7 7 0 16 DEBBY 11.8 192.0 95 478 +1984 1 19 0 22 OSCAR 67.6 129.5 38 194 +1987 4 21 0 24 JOYCE 50.6 221.7 42 434 +2000 9 20 12 10 GORDON 19.0 144.2 158 88 +1967 6 25 12 24 ERNESTO 52.0 67.4 132 490 +1987 2 11 0 23 BERYL 19.5 200.6 125 31 +1971 4 18 18 7 MICHAEL 43.2 96.3 94 361 +1993 12 28 12 1 MICHAEL 58.9 301.5 14 1 +1961 5 22 12 15 FLORENCE 40.7 286.0 18 197 +1984 6 20 6 1 SANDY 14.8 280.0 140 822 +1980 6 4 12 4 WILLIAM 18.8 342.1 41 860 +1952 11 16 18 18 KIRK 48.4 162.1 104 239 +1993 12 15 0 1 KIRK 17.7 109.7 22 201 +1972 7 13 6 11 TONY 13.4 170.7 141 209 +1961 11 6 6 10 BERYL 32.2 189.1 83 722 +1985 6 19 0 20 FLORENCE 54.5 78.5 145 845 +1972 5 4 0 18 ERNESTO 27.6 197.9 95 16 +1989 2 5 18 20 ISAAC 8.4 215.6 23 208 +1996 12 27 12 5 KIRK 33.8 139.5 141 239 +2004 10 12 12 25 MICHAEL 43.6 201.5 38 688 +1983 3 19 18 2 LESLIE 39.3 63.9 90 328 +1966 2 5 0 17 SANDY 10.4 35.7 16 834 +1999 6 17 18 17 GORDON 67.0 201.8 114 360 +1971 2 25 12 8 DEBBY 59.0 249.0 51 120 +2002 5 17 18 3 RAFAEL 26.5 101.8 87 791 +1959 4 6 6 2 HELENE 19.9 207.8 142 372 +1991 12 2 6 10 ISAAC 63.7 105.3 104 658 +1989 1 27 18 11 JOYCE 21.5 325.8 124 358 +1972 8 18 0 14 VALERIE 32.5 338.5 146 196 +1954 11 12 0 1 ISAAC 36.7 163.6 49 305 +1967 10 18 18 1 TONY 61.0 47.4 24 772 +1985 4 24 0 22 LESLIE 18.0 109.3 56 377 +1952 4 22 6 13 GORDON 22.1 307.7 12 225 +2000 4 14 12 19 OSCAR 63.2 226.9 134 625 +1960 2 3 12 14 ALBERTO 64.4 323.5 157 635 +1978 5 19 6 25 KIRK 64.0 289.4 80 190 +1957 10 27 0 20 CHRIS 58.9 287.3 43 886 +1957 10 11 18 2 GORDON 68.2 250.8 101 548 +1981 1 16 0 9 GORDON 51.0 216.5 97 261 +1984 6 6 6 25 LESLIE 12.4 114.4 15 201 +1987 10 15 12 11 BERYL 19.9 11.9 160 65 +1980 5 18 6 10 TONY 15.9 320.6 21 562 +1964 2 23 0 24 CHRIS 43.3 72.0 12 529 +1969 6 8 12 16 JOYCE 50.1 120.9 53 243 +1967 3 5 18 24 NADINE 34.4 356.9 128 363 +1984 2 6 0 19 OSCAR 23.1 74.1 157 289 +1971 1 28 6 9 RAFAEL 69.9 315.6 60 624 +1992 6 24 0 18 SANDY 61.0 48.8 118 482 +1966 6 26 18 7 LESLIE 66.6 54.9 75 454 +1952 4 24 12 18 OSCAR 66.6 134.5 32 150 +1982 1 22 0 14 JOYCE 12.8 263.8 120 779 +1964 6 5 18 21 FLORENCE 14.6 282.6 47 519 +1997 11 17 18 9 DEBBY 9.2 350.8 100 363 +1998 11 14 0 2 HELENE 22.6 123.6 138 283 +1992 11 1 12 19 KIRK 11.0 195.1 20 79 +1962 7 16 6 9 RAFAEL 65.6 55.7 91 526 +1999 5 25 12 9 JOYCE 39.7 333.5 71 301 +1986 12 23 6 6 WILLIAM 14.0 292.5 113 277 +1979 12 17 18 21 PATTY 49.4 231.0 140 697 +1994 1 6 6 19 ISAAC 34.6 292.6 146 112 +1976 3 18 18 11 JOYCE 36.8 194.1 45 731 +1951 6 12 12 20 OSCAR 40.0 227.1 57 71 +1968 10 13 6 27 KIRK 39.9 350.7 154 510 +2002 2 8 0 7 KIRK 51.0 300.4 55 295 +1970 5 26 6 13 CHRIS 23.2 229.6 140 51 +1977 3 25 18 18 PATTY 47.7 208.8 80 308 +1988 4 12 0 2 TONY 11.4 323.9 15 466 +1998 1 2 12 22 PATTY 29.9 87.3 115 94 +1954 2 10 6 15 VALERIE 43.9 341.9 104 493 +2004 8 19 18 1 ERNESTO 48.8 197.7 132 834 +2004 6 15 12 21 JOYCE 44.4 73.4 84 726 +1987 11 17 6 28 NADINE 66.1 224.1 41 566 +1954 3 28 0 6 JOYCE 33.1 340.9 61 224 +1982 7 13 12 24 ISAAC 12.5 99.8 48 655 +1950 10 21 18 3 WILLIAM 16.6 60.3 78 117 +1988 4 21 0 17 NADINE 54.2 2.8 159 175 +2002 3 2 6 24 BERYL 36.1 353.8 137 392 +2004 5 14 12 6 LESLIE 19.4 31.2 109 294 +1987 4 22 12 9 KIRK 38.9 310.2 18 589 +1972 9 26 0 18 OSCAR 47.3 108.0 164 562 +1968 3 21 6 3 KIRK 53.2 113.3 31 645 +1973 2 16 12 25 VALERIE 63.9 298.3 78 243 +1964 5 20 12 7 HELENE 36.4 295.7 46 501 +1987 2 3 0 7 PATTY 32.2 171.7 34 440 +1979 4 4 6 25 NADINE 31.4 26.9 100 354 +2001 6 16 18 7 BERYL 49.6 207.5 157 297 +2000 11 12 6 3 CHRIS 59.3 85.3 91 791 +1968 3 10 0 27 BERYL 31.3 71.2 14 370 +1974 8 8 18 19 PATTY 60.4 171.8 97 342 +1959 11 4 12 23 SANDY 39.9 87.9 49 529 +1986 9 5 6 3 NADINE 43.2 311.2 90 183 +1955 2 8 18 26 SANDY 21.3 102.9 101 513 +1980 10 20 0 17 VALERIE 66.2 212.8 140 16 +1967 3 23 6 1 OSCAR 16.2 343.5 12 52 +1951 5 14 18 17 HELENE 61.2 109.9 101 601 +1980 4 28 0 26 GORDON 30.9 250.7 78 621 +1961 8 18 0 24 PATTY 30.1 182.5 158 60 +1994 11 2 18 19 NADINE 12.7 63.0 34 391 +1977 5 2 18 11 GORDON 19.6 22.0 103 517 +1969 2 22 6 4 LESLIE 67.0 14.2 106 392 +1987 5 4 12 21 VALERIE 68.4 305.5 13 525 +1956 3 22 6 4 ERNESTO 16.5 58.4 105 481 +1984 11 15 6 21 SANDY 59.8 82.1 58 219 +1989 10 3 12 25 PATTY 13.1 214.2 151 65 +1966 11 6 0 14 GORDON 33.9 106.9 21 352 +1951 11 1 18 28 WILLIAM 54.4 66.7 82 772 +1953 2 28 12 11 HELENE 64.5 208.8 89 270 +1976 2 19 12 9 DEBBY 65.7 174.4 127 873 +1977 8 21 18 8 WILLIAM 38.9 164.3 146 632 +2004 7 9 6 7 WILLIAM 14.7 1.2 74 49 +1989 7 23 0 2 RAFAEL 36.2 171.7 129 413 +1973 3 14 6 1 WILLIAM 64.6 323.8 49 124 +1963 7 10 12 1 GORDON 53.5 102.9 39 435 +1989 2 20 6 8 GORDON 30.3 57.2 87 300 +2000 1 2 0 6 PATTY 62.2 79.9 109 387 +1984 4 15 0 13 BERYL 22.6 86.3 115 869 +1992 7 20 18 2 RAFAEL 20.5 26.2 137 191 +1970 5 22 0 18 MICHAEL 17.9 108.5 143 552 +1976 3 12 6 9 HELENE 32.4 114.5 129 464 +1958 12 17 6 9 ALBERTO 43.9 348.2 132 745 +1974 11 12 12 9 HELENE 59.1 286.5 97 16 +1975 3 6 0 28 BERYL 66.7 184.5 55 261 +1960 4 20 0 17 FLORENCE 50.5 350.7 150 828 +1974 11 13 12 21 ALBERTO 63.2 171.2 16 278 +1995 6 26 6 26 WILLIAM 66.2 178.8 72 408 +1993 3 28 18 4 KIRK 8.6 76.2 133 66 +1976 8 4 18 12 CHRIS 30.5 158.8 112 488 +1966 1 14 18 6 KIRK 62.2 209.7 112 44 +1955 8 11 0 27 WILLIAM 62.9 127.5 24 588 +1995 8 25 6 14 JOYCE 16.0 81.9 153 499 +1995 12 16 18 10 ISAAC 40.0 168.9 112 324 +1970 2 8 0 8 NADINE 61.3 349.1 163 421 +1953 4 22 18 7 MICHAEL 64.1 124.7 10 413 +1960 7 6 18 20 FLORENCE 17.0 24.9 67 433 +1960 5 27 12 9 KIRK 45.4 38.7 12 30 +1967 5 19 12 27 ERNESTO 60.3 108.8 133 886 +1967 11 10 18 13 ALBERTO 10.2 302.0 58 177 +2003 2 16 0 19 TONY 56.4 252.7 125 176 +1966 11 21 18 15 GORDON 20.2 228.9 60 629 +1957 3 5 18 22 VALERIE 56.0 150.3 160 842 +1984 4 28 18 16 PATTY 43.5 247.9 145 382 +2000 10 10 18 25 HELENE 13.3 198.5 134 235 +2002 8 21 18 20 BERYL 44.6 199.2 93 179 +1990 9 16 6 13 VALERIE 52.8 217.2 42 169 +1977 10 17 18 19 PATTY 30.0 287.2 51 764 +1974 6 2 18 19 JOYCE 58.0 7.4 21 717 +1992 10 20 12 15 JOYCE 50.4 156.1 92 284 +1967 10 14 18 21 VALERIE 56.0 215.6 64 637 +1953 10 18 12 11 CHRIS 31.0 183.6 80 225 +1978 1 13 12 28 ISAAC 39.9 336.6 42 717 +1955 4 26 6 1 PATTY 69.2 337.0 69 719 +1977 4 16 6 7 OSCAR 37.6 237.6 46 668 +1955 1 18 12 1 SANDY 54.8 233.4 82 833 +1968 2 11 6 13 GORDON 16.9 76.3 40 800 +2003 6 4 6 5 FLORENCE 7.9 324.5 97 892 +1965 9 16 12 22 ALBERTO 63.3 282.1 145 364 +1983 1 23 12 25 WILLIAM 66.2 97.0 65 691 +1963 1 11 6 8 CHRIS 19.3 93.8 54 840 +1971 10 3 18 8 TONY 11.2 335.4 100 301 +1977 12 26 18 6 BERYL 52.8 119.9 93 415 +1987 11 12 18 20 PATTY 67.2 287.8 84 698 +1979 7 2 18 17 OSCAR 56.7 26.2 60 279 +1950 7 26 6 3 MICHAEL 51.3 97.9 96 346 +1950 5 2 6 19 BERYL 56.7 257.9 57 417 +1976 5 23 6 27 RAFAEL 52.8 205.0 130 802 +1978 2 21 18 25 PATTY 21.3 129.5 120 71 +1977 7 21 12 4 KIRK 55.7 162.4 80 623 +1994 2 4 18 12 OSCAR 11.0 183.1 62 221 +1985 12 24 18 26 OSCAR 28.9 53.1 95 780 +1986 10 24 18 8 LESLIE 39.0 185.2 43 240 +1983 8 11 12 21 KIRK 9.3 47.7 51 392 +1954 1 16 18 12 SANDY 10.8 228.6 93 78 +1988 5 2 0 23 TONY 17.6 82.1 70 882 +1955 7 13 6 26 FLORENCE 59.1 274.0 144 853 +1957 3 17 6 14 LESLIE 14.2 280.5 141 120 +1961 1 1 6 19 FLORENCE 65.2 156.1 156 633 +1978 9 14 12 7 RAFAEL 16.9 47.6 52 254 +1971 9 3 0 5 ISAAC 8.4 206.7 85 841 +1984 4 15 18 27 OSCAR 42.5 167.9 84 359 +1952 10 20 12 14 NADINE 47.4 35.6 33 54 +2002 2 22 0 27 GORDON 17.3 167.5 145 680 +1962 5 8 6 15 OSCAR 63.0 262.0 10 295 +1995 11 28 12 3 LESLIE 51.4 194.3 123 226 +1964 3 16 6 22 KIRK 65.1 187.0 127 641 +1970 7 9 12 23 HELENE 67.3 199.0 62 310 +1984 1 7 0 3 LESLIE 33.0 195.2 79 290 +1988 8 5 18 8 ISAAC 9.4 261.6 30 203 +1967 1 10 0 6 LESLIE 21.4 82.9 19 892 +1958 12 27 6 17 ISAAC 34.7 232.8 164 583 +1955 10 12 18 7 VALERIE 41.3 264.5 81 100 +1989 5 6 0 18 TONY 68.5 334.8 128 335 +1983 7 20 18 10 NADINE 22.8 340.9 84 687 +1992 5 14 12 1 TONY 53.6 215.5 27 249 +1990 11 3 6 25 ERNESTO 39.9 305.8 125 336 +1994 2 5 0 28 KIRK 36.8 137.2 150 507 +1967 1 25 12 25 HELENE 34.2 208.4 74 108 +1957 2 21 12 14 WILLIAM 26.3 95.7 138 850 +2004 12 26 0 28 ERNESTO 55.4 221.9 160 891 +1969 5 23 6 19 SANDY 40.4 67.6 51 660 +1963 3 6 0 21 FLORENCE 28.0 155.7 14 48 +1984 5 24 12 13 CHRIS 37.3 268.5 136 115 +1956 10 9 6 24 GORDON 65.6 4.5 55 770 +1973 11 21 6 19 LESLIE 16.9 282.0 17 730 +1981 5 16 6 28 PATTY 69.8 75.9 105 790 +1955 12 11 12 26 OSCAR 7.1 41.8 164 41 +1952 5 15 0 1 SANDY 41.8 53.4 128 72 +1994 7 6 0 3 KIRK 35.0 357.7 92 875 +1960 7 17 6 24 MICHAEL 60.0 117.8 122 211 +1962 10 21 0 12 LESLIE 56.7 50.7 50 91 +1964 7 15 12 27 OSCAR 61.7 108.9 103 225 +1959 10 4 18 27 JOYCE 8.4 225.9 155 606 +1975 12 10 12 22 SANDY 11.5 47.6 123 21 +1981 1 3 6 11 ISAAC 13.7 31.5 87 207 +1984 6 2 18 25 VALERIE 40.7 68.7 103 847 +2002 3 5 12 3 LESLIE 25.0 204.3 147 34 +1990 5 22 18 11 LESLIE 23.8 62.1 119 880 +1958 11 16 0 14 PATTY 23.9 292.2 86 508 +1978 12 2 0 6 TONY 60.6 136.5 26 502 +1975 1 2 18 25 KIRK 12.4 49.5 116 258 +1974 3 23 6 15 VALERIE 35.7 26.7 128 889 +1983 7 9 12 7 OSCAR 11.5 63.7 18 823 +1982 8 18 6 7 NADINE 32.7 53.3 47 505 +1969 8 21 0 11 PATTY 19.5 69.9 53 575 +1977 5 1 18 11 VALERIE 43.8 107.0 139 121 +1979 12 19 18 18 GORDON 55.2 132.4 135 188 +1969 3 10 6 5 DEBBY 20.3 40.8 154 538 +2002 4 17 18 12 WILLIAM 43.1 118.3 138 457 +1977 5 7 6 6 HELENE 37.2 248.6 31 314 +1980 11 16 12 27 GORDON 64.3 129.1 149 578 +1959 3 14 6 26 RAFAEL 40.0 140.8 130 577 +1956 7 15 18 26 JOYCE 41.0 58.9 158 672 +1957 7 3 0 8 VALERIE 29.6 36.0 63 676 +1994 10 17 0 20 WILLIAM 27.7 199.8 151 764 +1961 1 13 18 2 ALBERTO 60.8 30.8 18 360 +1991 1 2 6 25 VALERIE 67.4 31.1 108 752 +1967 11 25 6 12 BERYL 12.0 203.2 129 525 +1969 8 8 0 11 ERNESTO 23.6 212.5 33 22 +1965 3 23 12 12 CHRIS 13.2 25.6 37 16 +1977 6 25 0 16 FLORENCE 60.5 72.0 82 561 +1978 9 27 6 2 ISAAC 34.4 211.0 149 129 +1970 11 8 18 1 RAFAEL 32.8 183.7 109 334 +1981 12 18 18 21 CHRIS 21.3 172.4 90 726 +1983 2 25 0 16 FLORENCE 23.7 63.8 144 24 +1996 4 22 12 24 NADINE 36.4 157.6 77 371 +1970 5 24 12 16 ISAAC 51.3 165.1 55 396 +1959 1 2 6 18 HELENE 65.2 89.5 155 852 +1993 11 17 6 4 HELENE 31.6 50.0 138 746 +1974 3 24 0 8 BERYL 68.4 309.2 76 730 +1961 7 7 6 18 DEBBY 34.0 154.1 87 277 +1961 1 19 18 17 FLORENCE 63.5 133.3 149 510 +1989 10 19 0 16 HELENE 34.9 104.4 65 211 +2001 11 7 0 12 PATTY 64.4 277.6 67 643 +1960 10 23 18 19 FLORENCE 35.7 309.3 64 275 +2000 4 19 12 5 SANDY 25.0 199.5 159 36 +1963 12 25 6 27 WILLIAM 25.9 105.3 87 899 +1962 7 28 6 27 MICHAEL 61.4 227.1 110 835 +1957 6 1 12 6 WILLIAM 27.3 339.4 93 11 +1995 10 11 0 17 ISAAC 66.4 48.5 40 40 +1967 12 6 12 26 JOYCE 63.3 260.2 102 547 +1990 5 15 0 21 SANDY 64.8 249.2 152 657 +1979 12 24 18 3 ISAAC 29.9 151.6 79 439 +2004 5 19 0 19 GORDON 25.6 1.0 21 812 +1956 7 4 12 25 GORDON 46.0 291.2 43 505 +2001 9 4 18 6 WILLIAM 47.8 187.4 78 197 +2003 11 23 18 13 ISAAC 13.0 244.5 32 402 +2001 11 9 6 2 CHRIS 26.8 181.5 159 415 +1997 9 7 18 13 FLORENCE 50.3 124.4 135 720 +1970 11 6 12 26 WILLIAM 31.2 143.0 126 492 +1958 5 4 18 3 SANDY 66.7 223.6 131 149 +1955 12 22 18 15 BERYL 12.7 254.6 39 852 +1957 5 13 0 21 ISAAC 18.7 294.3 123 148 +1987 4 16 18 4 NADINE 22.3 77.3 129 527 +1954 1 13 0 10 WILLIAM 48.8 72.2 124 496 +1983 9 19 0 6 NADINE 14.3 212.5 48 394 +2001 8 21 18 26 BERYL 24.2 299.2 108 751 +1997 9 7 12 2 PATTY 7.9 152.1 161 791 +1995 4 3 18 20 GORDON 8.8 270.7 133 825 +1982 11 18 0 6 CHRIS 69.7 51.9 37 414 +1968 6 10 0 27 MICHAEL 49.5 295.0 88 887 +1999 1 18 12 23 ALBERTO 68.8 304.4 47 549 +1957 8 25 18 5 GORDON 15.3 297.2 37 110 +1964 8 10 18 21 PATTY 10.2 100.0 99 359 +1986 5 4 6 17 WILLIAM 29.9 309.8 96 756 +1970 3 20 6 22 SANDY 54.6 267.2 59 302 +1954 4 9 12 16 LESLIE 54.4 353.0 145 465 +1978 6 12 0 9 SANDY 28.5 113.5 61 180 +1950 6 14 18 19 BERYL 14.8 15.5 11 897 +1958 11 3 18 23 FLORENCE 21.8 295.6 151 417 +1965 8 8 0 23 JOYCE 9.1 35.2 161 49 +1997 12 1 6 18 ERNESTO 48.8 101.5 118 113 +1967 1 13 12 17 MICHAEL 38.2 222.0 10 516 +1995 7 13 18 5 BERYL 12.2 6.7 120 419 +1997 9 23 0 25 DEBBY 15.9 31.2 163 374 +1995 1 16 0 24 PATTY 53.9 153.9 93 899 +1961 4 8 6 21 GORDON 36.5 284.1 25 25 +1997 5 19 12 23 CHRIS 23.0 5.0 37 365 +1950 3 10 18 28 BERYL 37.8 289.8 16 443 +1972 5 2 12 4 LESLIE 27.8 219.1 151 133 +1993 7 11 18 14 VALERIE 69.2 259.7 89 448 +1962 9 23 6 26 JOYCE 65.6 179.4 100 822 +2004 6 15 18 8 MICHAEL 47.0 182.9 40 248 +1968 8 7 18 18 ALBERTO 7.9 319.9 31 877 +1966 9 5 18 6 BERYL 57.1 2.6 16 155 +1979 3 16 18 2 MICHAEL 57.4 185.0 78 547 +1973 6 10 0 12 NADINE 17.7 291.2 11 130 +1967 12 25 0 20 BERYL 24.4 78.3 145 770 +1951 3 18 18 24 NADINE 34.0 237.9 100 92 +1959 9 24 12 7 GORDON 21.3 185.2 15 88 +1980 10 13 18 9 PATTY 65.5 257.3 96 136 +1953 1 5 0 9 KIRK 22.4 132.9 43 710 +1979 12 17 18 1 LESLIE 68.2 197.4 119 388 +1986 9 27 6 20 ISAAC 54.5 347.8 50 439 +1972 1 9 6 5 NADINE 44.2 99.0 115 475 +1985 6 20 0 18 ERNESTO 12.4 72.4 97 490 +2004 12 21 6 13 ISAAC 21.5 341.4 78 628 +2002 2 7 0 13 ALBERTO 63.6 117.5 122 550 +1988 7 7 18 26 WILLIAM 58.8 131.5 116 751 +1992 6 18 0 8 TONY 25.8 41.2 121 501 +1997 12 8 0 27 ERNESTO 52.9 313.5 164 632 +1956 11 12 18 1 SANDY 14.1 141.9 126 452 +1952 7 17 0 10 FLORENCE 33.2 356.6 46 426 +1983 3 5 6 7 ISAAC 13.6 346.5 69 300 +1960 10 18 18 26 CHRIS 49.8 151.6 71 522 +1962 12 19 0 5 CHRIS 54.5 58.8 142 862 +1977 3 22 6 8 LESLIE 37.3 15.2 38 367 +1971 12 1 18 4 PATTY 59.9 323.7 120 849 +1990 12 9 6 27 DEBBY 38.8 341.0 110 681 +1989 3 23 18 11 SANDY 50.1 156.7 99 809 +1991 6 2 0 18 MICHAEL 68.5 63.8 89 207 +1988 1 27 0 14 ALBERTO 54.7 37.7 69 723 +1962 5 8 0 11 KIRK 44.2 208.0 106 750 +1958 5 21 12 15 PATTY 55.5 22.8 155 694 +1999 6 18 18 14 WILLIAM 17.0 127.9 24 737 +1964 11 15 12 7 MICHAEL 52.3 84.4 46 698 +1987 8 15 6 20 KIRK 32.8 26.2 49 305 +1956 11 2 12 8 LESLIE 67.3 146.4 65 246 +1995 7 19 18 20 JOYCE 66.3 159.3 52 674 +1984 10 12 12 6 RAFAEL 60.7 77.2 136 409 +1995 4 18 0 14 HELENE 19.9 134.4 107 481 +1985 1 6 0 13 GORDON 64.0 340.7 79 653 +1962 2 15 18 27 RAFAEL 14.5 309.3 42 798 +1969 5 6 0 18 FLORENCE 58.4 4.6 139 324 +1993 1 27 12 3 KIRK 60.5 238.1 14 291 +1972 11 14 6 21 ISAAC 22.4 213.2 22 456 +2002 4 7 0 3 LESLIE 34.4 249.4 88 209 +1981 10 10 18 24 PATTY 21.8 284.9 42 650 +1986 12 16 6 25 JOYCE 40.9 96.3 160 34 +1987 10 11 18 25 MICHAEL 28.2 253.5 69 625 +1985 5 9 18 20 BERYL 56.0 218.5 139 204 +1972 9 10 6 9 PATTY 54.1 125.1 66 356 +1974 4 12 18 10 SANDY 43.5 185.9 92 716 +1994 3 18 12 27 NADINE 32.7 26.0 12 536 +1992 10 21 6 27 JOYCE 23.7 105.3 142 116 +2003 8 23 12 4 VALERIE 46.4 21.8 99 395 +1980 6 20 12 26 WILLIAM 61.9 0.9 61 392 +1963 9 1 12 1 TONY 43.6 318.7 122 846 +1996 6 8 0 1 CHRIS 54.8 25.2 25 108 +1956 1 28 12 9 HELENE 19.6 357.8 12 403 +1960 8 6 6 4 VALERIE 60.2 72.7 53 614 +1986 2 26 18 17 MICHAEL 18.3 103.8 102 423 +1992 6 23 0 9 FLORENCE 17.2 16.3 23 605 +1984 11 20 18 21 OSCAR 15.0 7.8 66 359 +1959 1 9 12 8 GORDON 42.9 278.0 47 303 +1951 6 7 12 3 NADINE 48.2 20.1 98 120 +1962 2 6 18 9 HELENE 16.5 3.7 65 628 +2000 3 1 6 11 ALBERTO 19.7 98.5 144 140 +1962 12 9 0 19 RAFAEL 42.4 4.0 23 648 +1981 6 20 18 17 ISAAC 43.7 45.4 77 37 +1998 12 28 0 19 ISAAC 56.8 233.0 28 529 +2001 11 17 18 13 JOYCE 54.4 300.0 81 865 +1987 2 20 18 2 GORDON 38.9 301.7 134 351 +1983 4 26 12 17 MICHAEL 26.1 314.2 42 230 +1961 12 5 0 23 GORDON 37.7 2.9 84 621 +1976 3 17 18 7 LESLIE 55.9 47.7 67 459 +1993 5 7 12 5 SANDY 57.5 96.3 78 543 +1999 10 22 18 2 ALBERTO 53.8 1.5 118 739 +1971 6 9 18 3 TONY 61.9 318.7 137 177 +1974 5 22 18 13 ISAAC 39.3 226.9 163 139 +1967 8 23 18 21 CHRIS 44.7 34.9 112 668 +1972 11 23 0 4 ERNESTO 54.3 273.0 18 274 +1986 12 15 6 23 ISAAC 18.6 89.4 22 427 +1973 4 5 0 27 TONY 44.9 174.3 32 830 +1965 11 13 12 17 FLORENCE 24.0 223.0 97 266 +1953 9 20 18 11 PATTY 31.4 43.9 55 605 +1976 11 3 6 13 LESLIE 22.0 266.5 32 647 +1986 5 23 0 28 FLORENCE 58.6 43.8 15 378 +1952 1 20 6 4 LESLIE 65.7 242.7 30 203 +1986 2 25 18 17 HELENE 68.8 86.3 110 319 +1959 12 27 18 10 GORDON 16.6 65.7 101 468 +1964 7 2 18 7 KIRK 8.6 111.7 42 450 +1980 5 3 6 1 DEBBY 20.0 141.0 90 383 +1996 1 23 6 1 SANDY 50.4 110.6 97 138 +1979 6 14 0 16 WILLIAM 68.7 27.0 160 436 +1998 8 5 6 16 RAFAEL 46.7 339.3 90 431 +1975 6 20 0 12 TONY 52.7 280.3 12 524 +1963 9 6 18 21 ISAAC 10.3 349.1 128 146 +1954 7 10 6 9 FLORENCE 33.3 46.5 111 689 +1985 1 4 18 2 NADINE 59.7 263.7 66 682 +1981 1 3 18 28 LESLIE 21.4 313.6 158 354 +1971 5 4 6 21 JOYCE 40.5 33.6 14 166 +1985 3 28 6 23 SANDY 69.1 55.3 92 571 +1993 3 6 12 13 PATTY 63.4 312.8 134 352 +1950 12 20 0 10 RAFAEL 53.3 12.4 85 106 +1976 1 26 0 22 RAFAEL 26.6 25.1 155 785 +1985 1 10 6 28 JOYCE 61.6 236.1 88 209 +1959 6 7 18 24 NADINE 21.3 4.3 157 721 +1952 1 4 12 9 DEBBY 27.8 285.2 40 638 +1985 10 2 18 7 GORDON 40.6 291.5 26 156 +1959 8 5 12 23 ISAAC 7.5 181.8 89 745 +1982 11 4 12 20 DEBBY 31.2 41.4 61 645 +1951 6 4 18 17 PATTY 17.3 196.3 120 815 +1965 12 24 12 15 OSCAR 60.2 300.3 48 367 +1979 8 18 18 14 RAFAEL 41.0 20.9 66 116 +1959 6 19 12 6 OSCAR 46.5 159.2 73 616 +1951 12 14 6 15 BERYL 36.7 345.7 144 92 +2003 8 20 6 28 FLORENCE 20.1 1.9 67 458 +1964 9 25 12 13 VALERIE 62.5 342.1 144 470 +2000 9 21 18 23 FLORENCE 50.2 79.0 96 69 +1968 2 27 0 25 ALBERTO 44.8 9.3 52 601 +1962 11 7 0 27 GORDON 12.0 97.2 108 240 +2000 1 24 12 23 ERNESTO 36.8 323.4 160 642 +1964 10 4 18 21 DEBBY 31.9 70.0 85 364 +1985 7 2 12 14 LESLIE 53.4 256.9 69 128 +1969 5 27 18 6 TONY 68.6 157.5 54 13 +1988 9 7 12 20 CHRIS 15.3 311.0 144 502 +1977 12 21 18 26 MICHAEL 29.1 214.9 122 706 +1956 7 19 18 4 LESLIE 59.5 99.0 156 244 +1974 4 5 12 27 WILLIAM 35.8 167.1 82 506 +1952 4 17 6 26 FLORENCE 12.7 144.5 123 883 +1952 4 7 0 14 VALERIE 35.5 338.2 70 601 +1996 9 14 18 13 VALERIE 33.9 196.8 156 45 +1950 10 6 0 3 ALBERTO 21.8 224.8 138 20 +1976 6 3 0 22 TONY 15.4 346.0 117 96 +1976 8 18 12 18 RAFAEL 63.0 311.0 117 838 +1950 5 23 18 7 TONY 59.6 196.1 155 733 +1961 5 2 0 15 OSCAR 10.2 67.4 136 364 +1974 12 25 0 25 ERNESTO 47.5 113.2 25 599 +1959 4 27 18 17 ALBERTO 38.3 230.2 73 381 +1963 10 23 0 15 SANDY 51.1 65.2 129 393 +1959 1 27 18 13 LESLIE 21.4 137.9 75 694 +1988 5 4 6 27 VALERIE 17.9 149.4 24 679 +1966 6 6 12 3 KIRK 36.9 109.1 151 579 +1953 10 20 12 10 GORDON 22.5 141.8 84 154 +1994 4 15 0 16 RAFAEL 37.6 153.4 127 757 +1952 8 14 12 18 MICHAEL 60.7 223.8 51 251 +1980 10 12 0 10 FLORENCE 43.3 183.9 143 862 +1964 1 15 12 6 FLORENCE 33.3 242.9 95 682 +1982 10 5 18 11 RAFAEL 44.4 291.8 58 24 +2002 6 5 0 14 NADINE 43.6 344.0 70 859 +1979 10 16 12 3 TONY 64.3 207.5 44 658 +1975 9 6 6 22 LESLIE 48.2 5.4 18 190 +1985 5 14 6 14 VALERIE 57.0 50.1 91 782 +1974 3 6 12 28 CHRIS 16.4 53.0 135 750 +1960 9 17 12 15 BERYL 17.3 29.6 50 412 +1990 9 12 0 9 OSCAR 25.6 353.9 106 770 +1988 1 12 0 18 KIRK 38.2 279.5 79 881 +1999 1 8 6 3 LESLIE 23.7 171.8 99 687 +1990 6 6 6 2 JOYCE 44.8 286.4 48 12 +2003 5 11 0 8 JOYCE 23.9 53.1 118 101 +1955 10 21 18 15 JOYCE 7.3 208.8 22 710 +2003 11 28 0 18 NADINE 67.1 167.0 129 357 +1976 6 20 6 7 WILLIAM 22.1 131.9 57 401 +1959 6 27 12 6 SANDY 44.1 37.4 141 876 +1992 1 19 18 16 PATTY 11.8 130.8 102 825 +1963 6 13 6 25 FLORENCE 32.5 115.7 111 416 +1986 6 12 6 10 RAFAEL 19.3 115.2 138 794 +1992 12 4 18 12 FLORENCE 36.5 271.6 107 555 +1966 10 11 12 23 FLORENCE 52.4 132.7 157 562 +1956 7 14 0 10 GORDON 57.5 323.7 33 874 +2002 3 25 12 8 DEBBY 44.5 103.3 142 489 +1964 12 18 18 23 OSCAR 41.5 80.4 73 526 +1982 7 25 0 1 TONY 60.2 19.6 104 16 +1997 3 19 6 19 HELENE 50.7 240.9 24 68 +1954 1 4 18 11 NADINE 68.5 130.7 134 226 +1966 4 14 6 23 CHRIS 8.1 220.5 34 478 +1986 4 16 18 4 CHRIS 38.2 111.5 118 265 +1990 7 2 0 28 ISAAC 36.6 157.1 107 884 +1986 6 17 12 25 NADINE 57.4 118.8 39 888 +1988 2 19 6 5 ERNESTO 47.7 335.1 24 616 +1954 6 9 18 14 FLORENCE 14.9 80.9 21 402 +1960 6 1 6 1 MICHAEL 34.6 225.6 12 783 +1955 11 10 18 19 BERYL 55.9 138.8 160 719 +1986 4 6 12 23 RAFAEL 57.5 165.2 157 251 +1979 2 3 12 5 JOYCE 40.2 207.9 145 513 +1954 9 26 6 25 OSCAR 15.2 28.7 27 318 +1976 3 10 12 4 GORDON 10.4 70.2 82 78 +1958 6 21 6 1 NADINE 55.8 120.3 113 742 +1960 9 27 6 6 CHRIS 59.4 24.7 88 130 +1987 10 25 6 2 VALERIE 34.5 102.0 23 570 +1983 7 10 18 19 RAFAEL 42.2 227.7 154 11 +1966 9 13 12 18 OSCAR 27.9 173.5 66 178 +1975 1 2 0 13 RAFAEL 26.2 268.3 75 362 +1974 7 27 18 19 VALERIE 8.8 14.4 40 531 +1977 8 4 12 17 RAFAEL 38.8 193.7 90 435 +1994 9 14 0 5 TONY 49.6 258.2 140 582 +1978 11 1 6 13 RAFAEL 22.6 307.5 148 87 +1953 2 21 18 1 GORDON 17.7 158.2 60 482 +1977 11 24 12 8 VALERIE 37.4 306.2 162 703 +1998 9 14 18 13 JOYCE 57.1 59.3 155 431 +1985 10 4 12 10 WILLIAM 16.2 323.1 48 600 +1981 6 19 12 6 JOYCE 26.8 90.5 99 567 +1972 11 20 18 14 DEBBY 61.2 197.3 11 588 +1993 5 24 6 21 TONY 24.0 192.9 56 806 +1956 1 20 6 20 FLORENCE 17.3 76.1 32 827 +1990 1 26 0 2 FLORENCE 9.3 325.1 157 366 +1976 1 3 0 26 PATTY 65.5 348.7 61 597 +2000 5 25 0 4 OSCAR 44.2 128.7 116 203 +1975 7 25 18 11 FLORENCE 61.0 19.8 24 232 +1992 12 4 18 2 VALERIE 27.0 16.8 110 500 +1992 3 1 0 15 GORDON 29.8 319.2 114 409 +1950 5 12 0 20 JOYCE 36.2 250.5 130 361 +1995 8 12 0 8 ALBERTO 68.9 284.1 68 174 +1974 9 3 12 8 PATTY 56.1 289.6 146 269 +1968 7 18 18 7 TONY 52.8 116.8 127 348 +1992 4 2 6 21 NADINE 26.2 5.2 103 751 +1961 1 17 6 15 ISAAC 53.4 297.8 92 105 +1971 9 4 6 9 MICHAEL 61.9 271.6 59 401 +1989 12 24 6 22 HELENE 21.2 156.9 44 518 +1963 6 1 6 22 ERNESTO 36.4 347.1 75 433 +1958 6 23 6 1 OSCAR 37.2 304.9 17 802 +1967 9 16 12 2 MICHAEL 26.4 128.8 14 769 +1967 12 13 12 19 ERNESTO 66.7 295.7 124 258 +1982 7 17 18 21 RAFAEL 8.2 209.7 64 510 +1980 2 6 18 14 FLORENCE 55.2 69.5 120 195 +1966 12 26 12 6 VALERIE 33.7 225.0 75 512 +1969 6 8 12 3 LESLIE 18.4 220.6 106 898 +1958 7 13 0 16 SANDY 44.8 216.6 88 597 +1960 4 22 12 5 VALERIE 65.5 74.8 35 520 +1963 9 18 6 3 KIRK 48.8 96.3 67 47 +1956 9 12 6 13 TONY 24.0 25.1 149 569 +1995 8 19 18 17 KIRK 39.2 181.7 102 189 +1951 11 19 0 15 ISAAC 16.7 161.7 99 415 +1958 9 15 12 17 WILLIAM 52.4 225.4 71 386 +1960 2 20 18 27 FLORENCE 15.1 299.8 17 527 +2000 2 16 0 5 ISAAC 30.7 274.2 163 472 +1957 11 15 6 24 VALERIE 62.0 111.5 49 875 +1971 7 25 12 17 MICHAEL 50.9 311.2 126 387 +1959 10 9 6 7 RAFAEL 43.1 163.9 75 780 +1984 4 1 6 23 DEBBY 52.2 149.8 71 281 +1994 1 16 6 14 RAFAEL 48.4 248.0 137 444 +1991 2 27 0 18 JOYCE 20.7 170.7 88 476 +1959 7 25 0 3 ERNESTO 12.5 103.4 137 297 +1964 8 28 18 4 KIRK 66.3 100.4 63 297 +1956 9 19 12 26 BERYL 57.9 236.6 43 836 +1993 8 10 6 9 SANDY 16.8 11.9 25 566 +1971 5 14 6 2 BERYL 12.9 319.8 74 75 +1982 7 5 12 22 SANDY 51.4 207.0 142 537 +1972 4 12 18 22 MICHAEL 56.2 246.8 95 10 +1956 2 16 6 22 LESLIE 53.1 219.8 97 434 +1979 2 14 18 6 MICHAEL 23.9 312.1 126 97 +1955 7 12 6 14 WILLIAM 16.7 213.6 81 300 +1995 12 6 12 8 MICHAEL 61.2 268.0 119 215 +1996 10 10 18 14 JOYCE 40.6 239.8 18 652 +1960 6 2 12 5 FLORENCE 25.1 305.5 105 170 +1962 9 9 18 23 WILLIAM 37.6 95.6 32 158 +1988 10 25 0 15 SANDY 24.5 104.0 80 836 +1963 3 18 6 8 MICHAEL 18.8 32.9 112 300 +1972 2 18 12 10 TONY 61.3 71.1 160 377 +1964 4 15 18 7 ERNESTO 65.0 40.8 24 263 +1965 3 16 0 3 KIRK 25.8 253.1 16 520 +1996 10 27 6 2 GORDON 16.1 266.5 40 666 +1974 3 12 12 28 NADINE 51.7 205.5 48 725 +1955 6 8 6 7 LESLIE 52.7 183.8 83 178 +1960 1 16 12 2 BERYL 47.9 17.3 87 580 +1971 7 18 18 27 OSCAR 24.3 33.1 78 197 +2003 8 10 6 17 RAFAEL 41.9 286.8 28 261 +1982 5 21 12 16 NADINE 20.1 93.8 99 449 +1958 9 2 0 13 FLORENCE 41.5 84.0 125 238 +1967 5 12 12 12 CHRIS 49.4 59.2 26 462 +1967 1 11 18 8 SANDY 64.7 318.2 70 754 +2004 6 3 6 24 MICHAEL 8.6 147.9 151 138 +1992 7 3 6 26 ISAAC 27.6 330.1 105 323 +1991 1 12 12 2 DEBBY 41.7 102.6 20 820 +1983 7 10 0 26 DEBBY 62.1 173.7 27 221 +1986 4 3 12 2 DEBBY 35.2 275.1 149 577 +1981 4 27 6 22 DEBBY 36.7 6.4 59 679 +2003 3 19 18 16 MICHAEL 62.0 326.2 25 127 +1957 11 26 6 20 LESLIE 30.4 284.6 47 313 +1959 12 17 18 15 KIRK 7.9 329.5 61 636 +1958 11 3 12 10 FLORENCE 26.7 50.3 11 379 +1955 12 13 18 5 RAFAEL 55.4 139.3 107 208 +1985 8 19 0 2 TONY 19.8 311.5 60 512 +1956 1 17 6 12 FLORENCE 40.0 128.7 97 412 +1964 10 10 0 7 DEBBY 42.6 153.0 68 542 +1978 8 24 12 23 CHRIS 26.1 338.0 119 90 +1965 9 25 0 6 KIRK 55.9 90.5 89 580 +1982 8 23 6 15 PATTY 65.9 231.8 95 61 +1974 6 9 6 21 PATTY 59.3 286.0 147 185 +1959 5 6 12 11 TONY 30.2 316.4 144 678 +2002 8 8 0 16 JOYCE 61.7 341.9 159 199 +1953 2 8 6 9 ISAAC 48.2 178.1 91 236 +1981 10 19 0 19 BERYL 38.7 59.2 49 728 +1986 9 10 18 18 SANDY 14.8 176.4 115 716 +1990 4 25 12 12 SANDY 69.3 232.3 112 891 +1980 11 7 6 13 ISAAC 24.4 28.4 76 888 +1969 10 23 18 7 HELENE 29.3 101.5 28 672 +1971 1 5 18 6 CHRIS 38.8 24.6 98 266 +1964 4 11 6 19 MICHAEL 33.7 261.6 94 368 +1976 12 23 0 28 NADINE 9.0 173.0 147 37 +1961 9 3 18 26 TONY 28.4 13.4 11 774 +1972 8 4 6 8 SANDY 57.9 176.1 59 70 +1993 7 5 18 12 TONY 45.3 355.4 63 896 +1985 1 14 6 12 DEBBY 51.2 262.3 111 358 +1952 7 21 0 19 KIRK 31.5 38.1 140 839 +1990 6 12 0 24 WILLIAM 47.2 147.3 54 785 +1981 11 17 18 9 TONY 41.4 296.8 126 798 +1986 5 5 18 7 MICHAEL 16.2 98.5 126 321 +1967 5 19 6 18 RAFAEL 14.2 247.8 152 607 +1959 11 10 0 12 OSCAR 12.9 139.2 54 538 +1966 1 26 18 7 HELENE 13.3 233.2 78 574 +1990 6 17 18 8 ERNESTO 68.4 293.6 161 118 +1973 11 21 18 22 ALBERTO 29.7 352.6 105 681 +1979 2 4 0 25 PATTY 40.1 93.1 95 26 +1964 6 7 0 14 ERNESTO 36.5 23.7 78 600 +1956 6 23 0 24 LESLIE 18.8 235.8 25 3 +1970 3 12 6 11 ERNESTO 56.5 218.9 76 401 +1954 7 23 12 7 CHRIS 26.9 39.5 11 711 +1955 1 7 18 25 MICHAEL 44.8 354.1 22 666 +1975 4 2 0 9 OSCAR 13.7 75.9 121 389 +1960 10 13 12 3 HELENE 51.8 228.4 153 281 +1974 12 8 6 22 TONY 10.7 342.9 89 670 +1985 9 3 18 25 HELENE 51.2 135.1 157 123 +1963 8 5 6 20 ERNESTO 7.3 286.5 36 253 +2002 5 7 18 13 FLORENCE 19.8 278.4 122 813 +1985 1 17 0 10 OSCAR 16.4 68.1 108 5 +1951 3 18 6 17 PATTY 54.1 322.5 64 334 +1960 3 23 6 6 TONY 21.4 235.4 160 872 +1952 2 16 18 6 NADINE 65.5 337.5 53 422 +1953 2 16 6 14 VALERIE 65.1 48.1 130 315 +1989 7 25 18 14 DEBBY 44.6 95.5 38 480 +1991 4 7 18 25 VALERIE 42.4 100.9 122 355 +1958 10 15 18 4 KIRK 16.1 6.6 14 610 +1955 4 6 0 19 CHRIS 19.7 130.5 94 803 +1980 10 4 6 23 NADINE 69.7 35.6 42 16 +1973 9 17 6 24 DEBBY 11.1 236.4 50 32 +1968 9 9 12 7 DEBBY 24.0 286.3 129 538 +1954 8 10 12 2 ALBERTO 24.2 204.4 100 415 +1986 3 13 18 19 RAFAEL 53.3 109.9 43 199 +1986 1 6 18 25 JOYCE 27.2 210.7 143 700 +1957 10 23 18 21 ISAAC 24.2 159.6 92 121 +1964 1 19 0 21 MICHAEL 45.6 298.0 87 407 +1977 1 5 12 1 FLORENCE 40.1 332.5 23 796 +1980 9 11 0 16 ISAAC 52.5 283.7 87 447 +1981 2 7 0 11 GORDON 37.6 247.6 162 529 +1979 8 17 18 8 CHRIS 14.9 145.1 44 734 +1992 8 21 0 8 PATTY 69.9 185.1 71 509 +1996 6 4 0 5 GORDON 68.8 257.3 45 350 +1952 6 28 0 4 JOYCE 40.7 284.4 25 659 +1970 4 25 18 26 MICHAEL 69.0 32.4 55 827 +1982 7 7 18 22 FLORENCE 30.5 337.1 39 240 +1985 9 21 12 7 WILLIAM 66.8 22.1 144 727 +1986 3 28 6 12 VALERIE 69.0 194.7 151 95 +1962 2 6 0 19 TONY 14.5 315.7 29 809 +1953 6 8 12 15 SANDY 54.5 237.6 90 242 +1963 4 11 0 15 ISAAC 16.2 326.8 34 312 +1971 10 22 0 2 HELENE 62.1 218.6 163 448 +1961 7 27 6 20 GORDON 47.3 148.9 105 242 +1977 5 24 6 2 SANDY 40.1 196.1 130 98 +1969 4 20 12 25 HELENE 43.9 5.4 63 29 +1957 9 7 12 11 GORDON 8.8 339.6 112 893 +1982 12 24 18 15 PATTY 38.9 58.7 127 413 +1996 8 16 12 12 HELENE 41.1 247.0 53 29 +1977 12 23 18 20 GORDON 19.2 332.3 159 78 +2002 12 26 6 9 LESLIE 48.1 104.0 71 444 +1954 10 2 0 11 KIRK 35.7 285.8 129 562 +1994 11 13 6 3 ISAAC 34.4 187.8 87 313 +1979 2 6 12 28 CHRIS 62.5 187.9 122 212 +1971 3 3 18 28 MICHAEL 34.0 169.4 136 125 +1986 9 16 6 22 SANDY 56.3 58.8 93 827 +1951 9 8 6 28 MICHAEL 59.5 80.7 27 449 +1980 8 14 18 22 ALBERTO 21.3 20.0 16 780 +1996 1 28 18 21 VALERIE 29.6 166.2 163 560 +2000 2 20 0 26 VALERIE 44.1 349.0 41 862 +1990 5 4 6 28 ERNESTO 62.8 228.9 141 14 +1950 4 19 6 22 SANDY 60.0 34.1 81 277 +1974 7 23 6 22 ISAAC 28.8 236.8 21 761 +1954 10 4 18 10 RAFAEL 21.8 320.3 101 597 +1963 5 6 12 25 RAFAEL 25.8 175.3 85 820 +1956 3 25 6 2 DEBBY 54.9 91.0 116 223 +1998 12 6 18 4 WILLIAM 56.0 190.9 37 320 +1956 5 26 6 26 PATTY 68.9 170.4 116 123 +1952 2 10 0 17 KIRK 56.4 54.3 116 761 +1953 7 24 12 17 PATTY 16.7 132.1 103 833 +1993 11 15 12 17 ALBERTO 10.6 275.0 82 366 +1960 12 14 18 17 WILLIAM 21.6 128.7 13 498 +1979 1 25 0 13 WILLIAM 37.2 230.5 142 682 +1953 2 18 18 16 RAFAEL 67.6 175.3 71 115 +1985 9 27 6 5 ERNESTO 21.3 89.3 12 739 +1995 10 25 12 22 FLORENCE 19.5 87.1 78 420 +1958 5 26 6 28 WILLIAM 31.7 198.6 70 836 +1977 11 21 0 7 SANDY 46.1 64.8 127 43 +1966 10 23 6 28 TONY 56.0 116.6 70 855 +1999 12 11 0 2 JOYCE 53.3 268.8 123 446 +1990 8 5 18 9 NADINE 48.0 138.9 74 449 +1995 5 16 6 24 WILLIAM 53.4 330.6 76 226 +1973 12 10 0 25 VALERIE 69.0 64.2 42 548 +1979 1 17 0 2 ALBERTO 31.4 241.0 54 661 +1976 12 5 18 19 HELENE 51.7 7.9 138 785 +1975 9 24 12 15 NADINE 53.5 48.3 112 833 +1950 12 24 0 25 ISAAC 34.6 37.6 151 367 +1990 3 14 6 17 BERYL 41.9 151.9 72 341 +1960 1 19 12 16 RAFAEL 43.6 276.1 121 542 +1989 7 9 6 12 MICHAEL 38.3 40.3 49 449 +1996 1 1 6 7 ALBERTO 45.1 15.8 64 232 +1974 2 10 12 12 MICHAEL 61.7 227.5 124 75 +1951 10 7 18 1 RAFAEL 12.9 7.1 102 577 +1954 7 18 18 24 VALERIE 14.2 210.7 132 391 +1956 10 3 6 19 NADINE 38.2 148.5 46 208 +1962 8 27 12 24 OSCAR 45.6 116.7 15 92 +1966 12 24 6 25 WILLIAM 50.5 212.7 150 320 +1995 1 6 12 28 GORDON 12.5 218.2 155 543 +1963 4 9 0 9 PATTY 18.7 342.7 151 436 +1984 7 11 18 14 JOYCE 14.5 333.1 30 868 +1964 1 15 6 19 TONY 18.9 86.6 111 16 +2002 6 22 6 26 HELENE 38.1 278.9 71 565 +1994 5 21 12 1 TONY 36.7 146.3 60 320 +1989 8 27 18 13 HELENE 23.9 147.3 90 486 +1997 5 8 18 21 LESLIE 57.2 88.2 53 700 +1950 11 11 12 20 TONY 36.9 103.3 148 299 +2004 9 2 6 2 BERYL 10.4 222.8 43 68 +1960 9 22 12 7 BERYL 27.7 79.3 55 783 +1959 7 14 6 15 LESLIE 45.5 288.4 15 591 +1976 3 4 12 14 DEBBY 9.8 5.9 48 189 +2000 10 24 0 7 BERYL 60.7 246.8 142 155 +1994 10 14 6 3 NADINE 67.2 253.9 25 700 +1991 5 25 12 21 FLORENCE 69.9 157.5 115 813 +1996 6 1 12 7 TONY 13.5 338.3 103 584 +1986 3 9 18 3 TONY 60.8 7.1 31 531 +2003 8 10 0 27 OSCAR 10.0 12.0 11 786 +1990 4 28 6 10 RAFAEL 25.6 322.1 51 148 +1970 4 22 18 4 PATTY 17.6 90.7 125 143 +1999 3 4 18 17 VALERIE 40.6 136.5 128 325 +1969 3 3 0 1 CHRIS 60.9 188.3 88 865 +1979 4 18 18 12 RAFAEL 25.1 335.8 142 801 +1991 4 6 12 21 VALERIE 13.0 153.3 62 335 +1965 7 9 18 13 ISAAC 7.9 41.9 150 846 +1960 10 21 6 28 FLORENCE 21.8 6.0 83 377 +1950 5 12 0 15 HELENE 57.7 18.1 38 292 +1983 11 25 18 1 NADINE 60.8 52.5 50 299 +1964 8 26 18 8 DEBBY 26.5 267.6 94 71 +1960 9 8 12 1 FLORENCE 28.9 126.4 132 544 +1982 1 17 12 6 MICHAEL 57.8 183.0 81 747 +1974 1 12 0 26 LESLIE 31.8 130.3 146 173 +1977 4 10 0 27 MICHAEL 25.1 201.4 148 151 +1993 8 12 12 14 RAFAEL 25.2 307.2 79 708 +1997 2 12 6 1 OSCAR 14.2 95.1 54 561 +1997 3 6 12 15 BERYL 16.6 167.9 106 647 +1969 4 9 12 25 LESLIE 33.4 345.2 140 291 +1953 6 25 0 10 LESLIE 13.8 250.2 43 630 +1955 7 19 18 28 FLORENCE 50.6 239.0 99 580 +1968 3 15 12 12 DEBBY 63.1 326.9 125 420 +2001 11 7 0 22 FLORENCE 37.6 61.0 53 137 +1989 1 4 12 27 LESLIE 32.9 81.0 90 400 +1960 11 1 0 9 GORDON 20.3 59.2 111 360 +1972 5 20 0 7 RAFAEL 53.5 298.0 110 707 +1977 5 14 0 14 LESLIE 20.1 89.6 63 91 +1981 3 21 18 14 NADINE 24.5 272.1 13 828 +1978 5 5 12 21 SANDY 48.6 173.8 38 816 +1994 8 7 18 16 BERYL 8.9 249.9 92 720 +1979 4 12 18 19 PATTY 29.3 196.0 99 894 +2000 9 10 12 20 CHRIS 35.9 343.9 117 322 +2004 9 11 6 16 FLORENCE 40.6 164.6 86 546 +2003 1 23 12 1 NADINE 62.1 206.5 115 59 +1970 8 27 12 9 NADINE 21.8 200.6 74 616 +2004 9 13 18 14 WILLIAM 11.6 108.0 163 485 +2003 4 1 0 26 TONY 54.0 173.3 76 101 +1953 7 24 6 5 WILLIAM 38.5 249.3 57 178 +1993 2 16 18 10 MICHAEL 38.0 146.3 51 765 +1988 9 22 0 22 JOYCE 62.6 200.7 133 349 +2002 2 18 18 24 MICHAEL 8.4 72.6 141 659 +1997 10 2 18 10 ERNESTO 54.1 109.3 144 268 +1964 10 16 0 15 JOYCE 62.1 158.2 83 796 +1961 10 15 6 11 KIRK 21.8 92.7 94 629 +2003 9 2 18 23 RAFAEL 29.6 9.0 83 769 +1991 1 22 12 23 HELENE 66.9 142.5 51 112 +1962 4 2 18 1 JOYCE 41.7 36.6 85 396 +1987 12 19 12 6 ALBERTO 34.9 342.3 41 223 +1975 4 9 18 28 OSCAR 11.5 10.1 59 446 +1975 6 24 0 4 VALERIE 54.4 253.5 16 415 +1955 2 3 18 2 CHRIS 20.4 128.4 28 273 +1953 6 20 0 27 FLORENCE 51.5 71.4 54 733 +2001 6 25 6 21 JOYCE 19.4 144.7 51 500 +1980 10 11 18 13 JOYCE 54.1 249.5 140 499 +1997 5 20 6 27 MICHAEL 39.7 354.0 101 838 +1978 5 26 12 7 SANDY 13.5 334.8 110 632 +1972 9 15 6 16 OSCAR 62.8 217.9 40 759 +1983 11 18 12 9 ALBERTO 18.4 221.0 24 107 +1965 8 12 6 5 DEBBY 11.8 222.0 89 842 +1961 11 10 12 27 ISAAC 69.2 89.3 96 843 +1988 3 15 18 24 KIRK 13.4 119.3 121 787 +1989 1 5 12 5 HELENE 61.2 166.9 96 463 +1984 5 23 6 19 TONY 63.6 273.4 45 641 +1968 3 10 12 28 ALBERTO 45.0 179.4 139 336 +1997 1 6 0 2 LESLIE 16.7 105.6 126 732 +1985 1 6 12 4 GORDON 38.4 188.0 52 70 +1989 6 5 18 23 SANDY 60.6 213.1 82 143 +1959 2 19 18 23 LESLIE 62.8 135.6 87 102 +1976 4 25 0 9 CHRIS 8.2 216.6 44 867 +1964 4 6 6 25 BERYL 43.8 188.9 157 646 +1950 10 17 6 18 ERNESTO 29.4 156.4 53 264 +2004 11 13 0 6 BERYL 16.9 11.1 138 215 +1973 12 2 18 15 OSCAR 20.0 121.7 83 361 +2002 2 9 18 6 RAFAEL 15.7 179.1 73 546 +1973 5 24 18 25 ERNESTO 60.2 177.4 69 107 +1965 8 28 6 5 DEBBY 39.0 241.0 101 279 +1951 10 5 18 26 HELENE 34.2 309.1 27 665 +1970 3 21 0 14 ALBERTO 51.0 331.6 64 814 +1999 3 6 0 5 KIRK 58.4 16.5 108 250 +1954 3 12 18 20 GORDON 22.4 66.9 104 492 +1976 10 16 6 4 MICHAEL 55.0 15.1 56 725 +2003 11 7 0 19 VALERIE 13.2 199.3 12 236 +1969 10 11 0 7 OSCAR 55.8 253.7 12 580 +1974 12 14 18 21 GORDON 32.4 191.1 111 780 +1967 6 5 12 4 ERNESTO 17.6 25.4 148 20 +1952 1 7 6 12 FLORENCE 9.3 326.9 67 858 +1954 5 2 0 8 LESLIE 8.5 298.5 149 89 +2002 6 23 12 7 WILLIAM 66.5 289.7 104 434 +1976 6 13 6 9 PATTY 23.4 125.5 14 580 +1962 4 5 0 20 TONY 65.8 257.7 60 555 +1975 10 14 6 3 DEBBY 11.0 231.2 81 479 +1982 8 23 6 1 RAFAEL 62.4 52.6 61 212 +1955 1 13 12 17 KIRK 31.4 273.8 19 891 +1960 5 22 18 21 DEBBY 41.6 166.1 94 872 +1991 1 11 18 3 HELENE 20.1 25.2 121 753 +1954 4 13 0 3 LESLIE 32.2 347.8 89 170 +1953 10 8 12 12 FLORENCE 54.8 159.7 124 115 +1970 10 24 12 10 ALBERTO 60.2 94.1 97 223 +1959 2 27 12 7 ERNESTO 31.7 68.4 116 353 +1959 11 4 12 15 JOYCE 46.8 135.3 53 434 +1979 2 4 12 2 BERYL 21.2 175.9 31 67 +1979 6 13 12 21 PATTY 51.5 148.9 56 364 +1986 3 25 0 8 SANDY 64.7 284.5 17 879 +1981 10 26 12 5 GORDON 12.0 93.3 128 531 +1962 2 26 0 16 FLORENCE 23.0 59.6 72 206 +1952 1 4 6 11 BERYL 49.8 221.7 66 476 +1954 1 9 18 7 ALBERTO 61.9 266.8 133 292 +2004 7 23 18 28 RAFAEL 45.1 26.6 148 782 +1993 8 7 12 26 GORDON 23.0 190.6 60 66 +1958 12 28 12 19 DEBBY 36.5 327.2 158 199 +1978 5 18 6 17 TONY 16.8 23.7 59 621 +1952 1 3 6 16 GORDON 17.3 144.1 127 708 +1972 11 24 0 4 GORDON 67.6 78.2 51 438 +1985 9 23 18 10 FLORENCE 13.2 170.9 142 496 +1987 5 18 0 5 LESLIE 41.7 168.3 148 599 +1987 12 19 0 24 HELENE 48.6 259.1 132 208 +1958 4 24 6 3 SANDY 61.2 76.5 143 432 +1968 10 22 0 14 JOYCE 54.2 23.4 100 640 +1964 10 1 18 7 PATTY 37.4 102.9 71 261 +2004 1 2 0 12 GORDON 65.9 160.0 105 212 +1954 7 4 0 16 GORDON 59.9 83.1 160 469 +1975 4 21 12 20 ALBERTO 53.4 178.5 114 227 +2000 12 23 6 13 LESLIE 41.4 191.4 39 678 +1954 1 7 12 13 VALERIE 49.2 77.1 13 584 +1988 2 4 18 26 MICHAEL 40.6 12.0 30 805 +1957 8 17 0 24 SANDY 18.9 74.5 138 660 +1971 8 17 0 20 KIRK 55.0 257.5 92 825 +1993 12 6 12 12 JOYCE 58.0 301.9 11 357 +1999 3 4 18 24 DEBBY 44.5 42.6 108 569 +1992 9 8 12 9 RAFAEL 38.8 193.7 17 274 +1985 3 3 0 27 ALBERTO 63.6 109.9 115 264 +2004 10 8 12 26 TONY 66.7 292.8 25 270 +1959 3 16 18 16 CHRIS 34.0 335.9 128 51 +1963 5 10 18 1 CHRIS 34.8 301.6 116 808 +1958 6 2 18 1 NADINE 48.9 248.4 155 133 +2002 1 20 6 5 TONY 13.3 206.9 46 86 +1968 11 19 12 18 GORDON 22.9 182.6 26 810 +1997 3 23 12 4 NADINE 49.2 34.2 134 266 +1966 1 10 18 26 KIRK 13.6 140.0 58 492 +1977 7 7 18 23 FLORENCE 68.7 246.4 94 869 +1988 6 9 18 5 NADINE 59.8 151.1 137 541 +1960 12 7 12 9 ERNESTO 44.5 276.6 115 562 +1993 10 14 18 6 HELENE 16.7 75.1 36 623 +1963 1 26 18 5 FLORENCE 24.3 214.2 35 510 +2000 12 16 0 21 ISAAC 37.1 21.5 66 338 +1993 1 2 0 5 ISAAC 7.3 350.2 104 476 +1973 9 25 18 24 JOYCE 44.7 115.8 153 133 +1987 6 6 6 10 WILLIAM 9.8 223.5 59 777 +1989 8 7 12 25 NADINE 31.6 344.6 35 205 +1950 2 13 6 27 MICHAEL 62.0 259.4 149 382 +1981 1 13 6 19 WILLIAM 41.2 60.9 136 603 +1987 9 5 6 15 FLORENCE 32.9 163.7 138 440 +1991 6 8 0 10 FLORENCE 55.1 214.4 85 874 +1974 7 6 0 8 DEBBY 60.7 171.3 74 725 +1965 7 9 6 11 PATTY 7.5 223.5 75 662 +1982 8 12 18 18 CHRIS 22.0 346.3 57 743 +1999 4 22 6 20 LESLIE 11.0 141.5 49 649 +1965 5 15 18 13 OSCAR 30.8 116.3 154 720 +2000 2 16 18 5 CHRIS 24.8 207.7 102 398 +1952 10 1 6 25 BERYL 45.5 158.6 93 217 +1991 3 10 18 27 TONY 54.6 227.8 29 645 +1958 1 28 6 9 BERYL 46.6 2.9 74 264 +1991 2 11 0 23 LESLIE 64.1 247.5 19 511 +1986 2 6 0 28 ALBERTO 38.7 108.8 70 82 +1982 2 5 18 10 FLORENCE 56.7 311.6 21 611 +1984 10 23 6 28 OSCAR 25.0 329.9 91 217 +2004 8 15 12 1 DEBBY 22.5 302.3 160 307 +1978 4 9 6 1 MICHAEL 66.4 224.9 79 33 +1986 4 28 6 10 JOYCE 50.9 231.5 73 581 +1962 9 2 0 22 HELENE 8.6 64.6 144 628 +1978 5 18 12 12 CHRIS 62.9 289.1 34 765 +1985 12 27 12 13 RAFAEL 58.7 120.9 45 359 +1991 4 13 12 12 TONY 24.5 103.4 76 863 +2002 11 3 18 2 RAFAEL 9.9 181.4 120 163 +1989 1 3 18 22 HELENE 28.1 338.0 154 339 +1950 12 7 6 20 MICHAEL 56.4 331.0 51 668 +1994 12 11 6 19 GORDON 59.2 101.5 54 164 +2000 1 24 0 24 GORDON 19.0 125.9 55 483 +1964 8 19 0 11 JOYCE 29.2 43.2 76 703 +1973 12 24 6 7 HELENE 34.9 338.8 33 513 +2001 2 15 18 27 NADINE 24.4 43.3 46 721 +1952 10 27 18 9 KIRK 10.3 39.8 25 529 +1985 1 16 6 1 WILLIAM 13.0 136.3 54 224 +1956 7 6 0 4 FLORENCE 65.0 209.5 134 264 +1993 1 19 18 23 MICHAEL 59.0 64.7 24 587 +1993 3 28 12 27 FLORENCE 62.0 62.4 136 409 +2001 7 8 6 15 KIRK 28.0 49.6 49 84 +1998 2 3 0 21 FLORENCE 60.7 187.1 86 854 +1993 1 6 0 9 HELENE 22.2 235.3 21 629 +1992 12 24 18 4 ALBERTO 32.4 1.0 50 125 +1988 8 14 12 7 HELENE 12.8 330.2 84 508 +1968 10 26 18 3 RAFAEL 48.6 329.3 67 266 +1988 4 8 12 26 OSCAR 24.7 85.4 69 129 +1989 4 2 0 26 LESLIE 47.7 222.9 71 777 +1994 9 18 6 13 DEBBY 51.1 51.8 113 70 +1971 7 7 6 8 TONY 56.9 159.0 99 548 +1968 1 26 18 27 HELENE 57.8 248.7 81 336 +1961 11 6 0 2 RAFAEL 16.0 7.0 76 332 +1976 8 9 0 28 ALBERTO 11.9 350.9 51 683 +1984 11 20 12 25 ERNESTO 55.9 33.1 47 416 +1977 2 5 6 22 DEBBY 61.4 252.1 144 455 +1973 11 24 12 24 SANDY 36.4 120.7 22 708 +1957 4 4 6 16 LESLIE 47.4 197.5 91 204 +1994 6 26 18 27 ISAAC 24.9 4.0 136 732 +1972 4 11 18 28 SANDY 25.9 197.1 60 356 +1975 6 19 18 4 SANDY 61.6 251.2 115 149 +1999 9 18 6 19 WILLIAM 64.1 172.9 38 874 +1984 2 8 12 12 ALBERTO 20.8 323.3 113 374 +1950 4 21 12 5 VALERIE 66.9 206.1 146 93 +1977 7 28 18 27 ALBERTO 26.8 169.4 29 9 +1955 9 22 12 2 LESLIE 22.8 93.7 26 891 +1970 3 28 12 12 ALBERTO 64.8 175.0 75 885 +1983 8 1 0 1 OSCAR 15.7 161.4 44 828 +1955 7 14 12 25 BERYL 44.3 59.3 139 612 +1975 9 5 0 3 CHRIS 21.4 134.5 125 790 +1954 3 14 18 13 LESLIE 46.7 346.0 121 849 +1971 3 5 12 12 NADINE 61.4 330.7 10 641 +2004 7 11 18 25 ISAAC 35.4 165.4 11 685 +1958 9 8 6 2 OSCAR 38.6 42.7 61 81 +1977 3 14 18 26 MICHAEL 15.2 289.9 92 29 +1950 7 27 12 13 JOYCE 51.2 29.9 159 253 +1964 1 28 6 16 TONY 16.0 325.4 46 450 +1992 1 13 18 28 PATTY 18.4 173.8 117 35 +1979 3 25 6 18 RAFAEL 65.9 254.7 136 198 +1955 4 7 12 4 NADINE 51.5 271.6 151 218 +1967 5 27 12 4 MICHAEL 18.2 144.2 26 481 +1958 10 15 12 8 PATTY 49.1 101.4 86 70 +1957 7 20 0 20 SANDY 19.2 113.3 15 859 +2001 10 21 12 27 LESLIE 38.6 191.4 58 760 +1988 1 24 0 23 TONY 24.5 21.2 89 474 +1964 6 8 18 23 KIRK 68.9 294.1 74 810 +2003 10 13 18 16 FLORENCE 49.3 324.8 131 127 +1964 11 11 18 8 OSCAR 13.5 47.5 69 313 +1986 2 14 18 25 LESLIE 22.0 41.2 57 520 +1957 2 13 18 1 KIRK 43.0 328.5 113 673 +1985 1 17 12 6 SANDY 38.5 74.0 101 339 +1976 7 23 12 21 RAFAEL 33.4 267.0 164 286 +1978 6 11 0 23 OSCAR 29.1 236.1 147 43 +1977 5 1 18 18 SANDY 28.2 212.1 80 150 +1997 11 27 12 25 JOYCE 7.2 152.9 141 301 +1969 8 19 18 9 CHRIS 38.3 218.9 163 140 +1968 6 7 0 3 LESLIE 31.6 129.7 67 502 +1950 1 25 0 20 SANDY 11.5 284.6 130 831 +1953 6 12 6 24 JOYCE 60.7 159.1 93 57 +1966 10 9 0 27 JOYCE 43.6 307.8 61 792 +2002 11 12 0 7 ALBERTO 61.4 273.2 49 14 +1976 4 17 18 3 WILLIAM 12.5 350.5 98 23 +1959 8 16 12 22 MICHAEL 36.1 315.1 122 401 +1975 11 15 18 21 LESLIE 42.5 213.3 164 170 +1973 9 8 6 2 NADINE 28.9 195.6 153 648 +1971 9 16 18 19 JOYCE 23.1 314.8 11 476 +1954 3 1 18 25 OSCAR 51.1 40.6 62 754 +1997 12 2 18 24 GORDON 67.8 12.3 147 344 +1989 1 15 12 25 OSCAR 33.9 120.1 63 498 +1953 10 21 0 6 WILLIAM 40.0 304.2 95 406 +1983 6 11 0 2 BERYL 49.4 138.5 19 674 +1998 9 19 0 21 ERNESTO 25.4 66.3 14 832 +1960 2 3 0 9 LESLIE 22.8 189.7 31 598 +1999 7 2 0 2 VALERIE 67.0 245.7 162 491 +1971 4 15 0 11 JOYCE 9.0 67.5 21 340 +2004 12 19 12 13 ISAAC 64.9 304.9 26 488 +1970 1 1 18 17 MICHAEL 33.5 188.4 20 550 +1952 2 28 12 4 HELENE 69.9 277.1 11 43 +1990 5 22 12 22 PATTY 43.2 239.3 99 375 +1959 3 18 18 16 GORDON 15.9 334.9 150 127 +1975 2 13 0 2 WILLIAM 44.5 82.8 52 73 +1996 3 12 0 14 BERYL 27.5 74.8 99 261 +1965 5 19 18 2 LESLIE 64.0 233.5 135 705 +1974 2 11 18 27 KIRK 32.4 283.2 126 394 +1954 7 19 6 15 FLORENCE 52.3 103.3 132 610 +2001 4 21 12 15 VALERIE 38.0 320.3 27 100 +1956 5 28 6 25 GORDON 51.8 129.5 121 778 +1951 7 20 18 11 PATTY 51.4 311.6 18 405 +1963 3 23 12 8 OSCAR 38.7 302.8 149 74 +1952 8 2 12 13 BERYL 58.9 235.4 73 218 +1954 1 20 18 3 FLORENCE 26.4 102.7 48 239 +1968 11 12 12 28 KIRK 40.3 279.6 121 419 +1990 6 3 18 18 MICHAEL 40.4 62.4 121 110 +1985 5 20 12 27 GORDON 59.5 129.1 146 64 +2003 11 20 18 9 FLORENCE 53.8 235.6 45 631 +1993 10 1 6 23 JOYCE 30.1 349.5 98 872 +1963 10 15 12 11 PATTY 39.4 73.6 100 430 +2004 2 11 18 7 WILLIAM 21.8 172.5 116 422 +1963 12 27 6 22 HELENE 33.0 174.8 140 396 +1995 5 8 6 7 MICHAEL 53.3 154.0 27 312 +1955 3 4 0 18 SANDY 51.1 70.8 43 187 +1974 9 19 0 25 JOYCE 23.0 202.9 136 739 +1960 9 4 18 26 HELENE 26.5 92.7 26 495 +1954 4 22 18 13 GORDON 20.7 284.8 151 881 +1993 4 6 6 28 CHRIS 9.3 204.4 112 115 +1977 7 14 6 13 SANDY 68.2 78.3 91 634 +1982 4 25 12 5 HELENE 8.8 138.7 141 225 +1990 8 11 0 20 WILLIAM 53.8 300.4 158 644 +1979 2 7 6 20 KIRK 35.0 283.8 135 857 +2002 8 23 0 8 HELENE 9.4 102.3 26 291 +1955 7 10 6 20 BERYL 46.8 56.3 75 681 +1971 5 19 18 12 GORDON 36.8 321.1 99 696 +1970 8 15 0 19 NADINE 31.6 291.2 161 219 +1971 3 7 6 14 FLORENCE 32.9 304.4 123 258 +1951 1 4 0 8 OSCAR 15.9 232.9 65 78 +1967 1 27 18 26 HELENE 36.3 134.5 149 422 +1955 8 6 6 13 ERNESTO 62.5 176.7 56 380 +1987 8 17 0 19 HELENE 44.8 46.9 97 89 +1963 1 6 18 6 BERYL 52.1 226.7 106 612 +1991 10 24 0 1 MICHAEL 64.8 162.8 123 336 +1977 3 24 6 4 ALBERTO 32.5 81.3 118 374 +1994 4 19 6 15 JOYCE 61.6 235.1 158 705 +1976 10 22 12 22 RAFAEL 52.5 81.2 36 626 +1982 2 13 0 22 LESLIE 49.4 45.5 129 339 +1986 6 21 12 28 FLORENCE 59.2 281.8 145 245 +1982 4 20 0 14 VALERIE 18.1 163.9 128 632 +1975 7 3 12 4 VALERIE 26.7 151.3 113 106 +1968 2 2 18 10 HELENE 67.4 298.8 52 175 +2002 2 27 6 14 HELENE 22.8 344.2 22 67 +1981 7 12 6 5 HELENE 9.9 286.4 53 551 +1972 9 10 12 21 ISAAC 46.6 199.5 101 810 +1979 5 13 6 13 ISAAC 21.6 160.5 120 272 +1960 4 10 12 26 SANDY 47.5 53.2 125 589 +1954 1 16 18 8 CHRIS 37.6 243.4 102 305 +1954 8 24 18 19 FLORENCE 66.2 183.0 85 795 +1976 12 9 18 14 CHRIS 32.4 349.5 131 635 +1979 12 5 12 6 ALBERTO 58.6 91.8 99 624 +1967 3 20 6 28 RAFAEL 47.6 117.3 106 85 +1996 1 1 0 4 MICHAEL 25.8 6.2 29 439 +2000 4 20 18 15 ISAAC 58.6 103.3 122 708 +1992 3 5 12 4 MICHAEL 36.1 36.6 51 443 +1968 8 1 6 19 DEBBY 38.6 244.5 31 260 +1961 6 6 18 16 MICHAEL 13.2 290.8 163 361 +1956 8 22 0 15 DEBBY 30.6 72.7 125 662 +1968 3 15 0 7 KIRK 23.8 210.1 45 205 +2001 5 16 0 21 BERYL 55.8 23.0 54 461 +1971 8 7 6 20 ALBERTO 20.0 16.3 131 129 +1953 4 11 0 22 MICHAEL 14.5 146.7 145 514 +1989 2 11 18 9 KIRK 10.2 196.8 48 893 +2001 6 23 0 11 SANDY 11.2 309.3 46 809 +1968 7 21 0 24 KIRK 37.6 249.6 93 69 +1983 3 6 12 5 VALERIE 25.4 338.8 133 114 +1971 9 16 6 17 RAFAEL 12.6 345.4 126 552 +1989 6 9 0 20 LESLIE 57.7 115.2 42 245 +1971 9 25 6 6 TONY 40.3 241.6 125 39 +1990 8 14 0 23 BERYL 15.5 323.2 46 80 +1956 11 16 0 10 ERNESTO 47.9 222.7 160 894 +1967 5 14 0 12 RAFAEL 67.8 166.6 128 272 +1993 7 7 12 27 CHRIS 67.3 5.0 29 547 +1965 8 10 18 17 JOYCE 36.7 143.9 82 444 +1959 5 19 18 22 RAFAEL 11.5 295.7 97 576 +1957 1 6 18 15 KIRK 67.2 137.0 13 158 +1977 7 4 18 18 LESLIE 29.2 331.2 81 826 +2003 3 18 12 17 KIRK 45.8 6.5 149 276 +1963 1 3 6 17 OSCAR 25.2 223.7 14 379 +1991 5 19 0 2 MICHAEL 22.0 325.9 110 519 +2002 4 26 0 4 WILLIAM 21.5 101.1 29 711 +1991 8 13 18 11 HELENE 27.5 17.5 56 830 +1997 10 6 18 2 MICHAEL 49.5 7.5 141 445 +1972 4 8 12 19 FLORENCE 26.5 6.6 120 736 +1993 5 6 0 17 SANDY 16.1 120.4 40 201 +1997 4 9 18 17 TONY 53.9 227.2 157 161 +1975 12 17 0 26 SANDY 66.2 269.3 161 536 +1951 12 18 12 15 OSCAR 22.6 143.4 113 57 +1958 4 9 12 7 VALERIE 55.6 66.2 130 419 +1952 7 9 12 5 VALERIE 56.0 319.0 18 402 +1953 12 23 12 12 NADINE 34.8 152.3 140 370 +1975 5 8 0 9 DEBBY 28.7 321.3 82 439 +1969 1 17 18 21 JOYCE 28.8 201.9 87 434 +1952 7 17 0 14 WILLIAM 66.4 220.4 137 62 +1997 12 27 12 3 ERNESTO 25.2 116.0 117 848 +1981 4 14 6 12 KIRK 59.0 336.8 74 216 +1957 8 4 18 22 LESLIE 18.9 210.2 163 580 +1956 6 28 0 12 ISAAC 36.5 310.9 83 331 +1976 2 16 6 5 GORDON 33.5 278.1 40 551 +1997 11 5 6 10 GORDON 59.6 25.5 135 156 +1960 2 26 12 20 RAFAEL 47.1 4.8 63 852 +1990 1 7 12 17 BERYL 28.5 136.6 71 770 +1956 12 12 18 17 ERNESTO 28.3 89.7 22 325 +1974 8 16 18 21 GORDON 40.5 272.7 22 418 +1961 4 19 0 26 NADINE 14.4 67.5 39 52 +1990 10 11 18 2 ALBERTO 35.9 170.6 164 102 +1978 12 23 18 5 OSCAR 66.6 278.4 84 589 +2003 4 26 18 14 ISAAC 41.4 193.8 93 54 +1973 2 24 0 25 KIRK 10.0 193.5 50 866 +1982 3 3 6 11 VALERIE 15.2 344.0 144 680 +1968 2 3 0 28 OSCAR 40.3 145.8 67 478 +1957 12 4 12 5 TONY 67.5 38.2 113 756 +1993 7 11 6 23 BERYL 44.9 24.7 164 415 +1960 6 5 6 12 NADINE 39.6 335.2 95 899 +1954 10 14 0 21 SANDY 29.7 258.1 152 568 +1962 9 6 12 20 BERYL 12.3 300.0 14 31 +1959 12 16 12 26 FLORENCE 24.8 240.7 135 342 +1999 6 21 0 28 LESLIE 55.3 229.9 14 602 +1995 3 10 12 21 ERNESTO 30.0 332.3 80 94 +1982 11 3 18 25 TONY 67.2 51.6 102 883 +1995 1 13 18 15 GORDON 27.8 210.3 91 314 +1988 12 14 6 12 FLORENCE 19.0 65.6 101 172 +1989 9 16 18 6 VALERIE 20.8 324.9 38 336 +1983 7 25 6 14 KIRK 22.7 69.0 132 724 +1977 6 21 12 3 ALBERTO 60.9 138.1 25 630 +2003 4 24 12 14 HELENE 56.9 86.5 66 91 +1997 11 21 0 12 ERNESTO 48.9 294.7 75 131 +1994 6 6 6 19 ISAAC 10.5 59.1 153 558 +1950 3 24 6 14 HELENE 58.3 275.0 129 126 +1958 3 28 0 12 GORDON 38.9 338.5 124 99 +1982 6 26 18 1 ISAAC 8.2 343.1 45 196 +1993 9 14 0 17 RAFAEL 11.2 13.9 81 632 +1970 8 25 6 20 RAFAEL 68.0 186.0 35 598 +1984 10 6 12 15 GORDON 38.0 19.8 40 890 +1977 11 27 0 17 DEBBY 14.9 203.5 42 356 +1997 4 18 0 22 OSCAR 33.9 182.5 48 752 +2004 1 13 18 1 BERYL 41.2 350.7 116 859 +1987 8 25 12 4 LESLIE 27.0 119.5 40 624 +1972 12 16 12 8 NADINE 10.0 261.4 11 285 +1966 5 17 6 20 JOYCE 67.8 352.8 59 641 +1967 9 26 18 8 LESLIE 37.8 251.6 138 576 +1983 2 5 6 17 JOYCE 61.6 270.7 138 688 +1983 2 9 18 23 DEBBY 10.0 109.9 137 619 +1977 6 27 0 5 ALBERTO 67.0 133.8 101 305 +1990 8 25 6 26 NADINE 45.2 36.1 24 228 +1993 2 15 0 8 RAFAEL 47.2 54.6 98 450 +1955 4 24 0 5 VALERIE 63.8 254.2 109 629 +2003 9 21 6 11 NADINE 43.0 336.0 65 210 +1970 12 9 12 22 PATTY 52.3 270.0 26 579 +1986 6 10 0 24 GORDON 66.6 114.7 19 179 +1957 1 23 12 13 BERYL 24.5 4.5 47 67 +1952 7 16 18 23 BERYL 38.4 115.6 37 36 +1953 8 24 0 2 NADINE 36.2 153.0 72 543 +1987 3 12 12 11 KIRK 54.9 311.4 151 425 +1968 1 8 6 24 TONY 47.7 107.1 71 34 +1967 11 23 12 8 LESLIE 37.9 203.0 149 612 +1990 4 20 6 1 HELENE 7.3 88.5 112 104 +1984 5 4 0 18 RAFAEL 69.4 100.3 62 519 +1956 5 4 12 10 ISAAC 17.3 161.6 104 63 +1993 7 9 18 21 ISAAC 18.8 86.5 28 175 +1957 11 20 0 28 ALBERTO 16.5 105.4 160 408 +1960 10 15 12 4 RAFAEL 68.6 250.2 72 488 +1959 4 28 12 26 OSCAR 60.8 204.2 30 597 +1964 6 17 12 12 FLORENCE 38.0 37.1 121 446 +1978 8 17 12 10 LESLIE 37.0 334.1 74 273 +1970 1 8 18 17 ALBERTO 22.7 329.5 44 709 +1950 8 28 18 9 WILLIAM 39.6 181.2 78 360 +1974 12 10 12 10 RAFAEL 66.7 160.4 127 785 +1960 12 25 0 5 CHRIS 42.2 77.6 154 325 +1974 9 21 6 18 DEBBY 53.9 246.6 139 118 +1983 6 10 0 4 VALERIE 28.4 174.9 58 2 +1987 5 11 6 14 WILLIAM 50.1 62.1 100 690 +2004 10 18 0 5 ERNESTO 48.2 318.8 155 83 +2003 6 19 6 19 HELENE 50.7 313.6 71 435 +1958 2 11 12 21 VALERIE 50.0 237.4 64 860 +1950 2 25 6 13 WILLIAM 48.4 207.2 21 859 +1962 8 6 6 10 WILLIAM 32.0 344.7 67 750 +1995 11 26 18 9 ALBERTO 8.2 88.0 95 763 +1965 7 19 0 14 NADINE 36.0 53.2 75 42 +1999 10 22 0 4 JOYCE 61.0 31.3 143 713 +1950 11 15 18 27 MICHAEL 31.9 124.1 14 43 +1966 3 13 18 16 WILLIAM 22.2 199.4 157 430 +1996 12 3 18 28 SANDY 43.7 225.2 118 761 +1985 9 28 6 1 VALERIE 23.3 229.6 116 26 +1954 9 25 6 20 ALBERTO 66.8 339.2 25 100 +1981 7 24 12 9 HELENE 37.6 34.6 132 771 +1992 1 2 12 2 BERYL 56.7 337.8 24 619 +1988 4 22 18 2 MICHAEL 50.8 126.3 95 749 +1950 6 17 18 28 RAFAEL 30.3 158.4 151 317 +1994 11 17 18 4 FLORENCE 67.4 172.0 51 389 +1953 6 17 12 25 RAFAEL 69.2 147.7 57 818 +2002 4 18 18 12 WILLIAM 42.7 193.2 63 209 +1981 8 12 12 7 KIRK 58.7 173.6 49 349 +1969 10 19 0 5 TONY 15.8 92.7 151 829 +2001 8 12 6 22 MICHAEL 21.5 92.0 137 35 +1989 1 20 18 16 LESLIE 25.8 308.9 139 330 +1992 9 22 0 10 NADINE 24.1 315.5 86 616 +1994 8 28 12 17 BERYL 25.2 312.4 22 211 +1986 6 9 18 17 FLORENCE 41.3 256.6 144 867 +1986 8 21 0 13 WILLIAM 49.7 18.0 76 581 +1990 5 12 18 17 RAFAEL 41.3 138.4 101 690 +1998 2 18 0 24 ERNESTO 36.7 45.1 131 351 +1956 3 5 12 18 BERYL 48.6 98.8 114 121 +1968 4 11 6 12 MICHAEL 22.6 88.3 94 216 +1975 5 18 18 12 ISAAC 37.8 230.1 47 774 +1960 7 12 18 2 FLORENCE 60.6 347.5 61 106 +1959 7 23 12 8 GORDON 29.3 249.9 13 658 +1993 10 28 12 17 SANDY 46.9 3.9 104 804 +1978 12 6 12 27 LESLIE 19.4 273.1 126 660 +1972 2 12 0 18 WILLIAM 17.4 264.2 25 236 +1975 3 17 18 10 JOYCE 38.8 64.3 39 573 +1985 11 6 0 18 ISAAC 36.1 52.3 38 151 +1965 3 11 12 13 BERYL 60.3 211.5 37 347 +1959 3 4 0 3 TONY 45.3 190.6 94 485 +1952 12 25 6 24 DEBBY 31.6 101.5 89 305 +2003 8 25 18 7 FLORENCE 13.8 149.4 129 835 +1968 11 6 0 10 TONY 39.6 13.6 139 142 +1966 11 20 12 2 DEBBY 46.8 184.4 90 728 +1990 6 1 18 24 WILLIAM 11.6 65.6 10 140 +1967 6 1 18 16 OSCAR 50.8 187.8 61 502 +1972 2 6 18 2 WILLIAM 26.4 336.4 139 863 +1968 3 11 0 15 MICHAEL 32.5 51.1 64 407 +1981 9 20 0 10 ERNESTO 29.9 73.2 162 140 +1954 5 25 18 13 WILLIAM 54.8 245.8 14 371 +1961 6 15 12 14 DEBBY 30.6 113.2 100 678 +1977 7 16 12 18 NADINE 31.2 129.0 149 856 +1958 10 10 18 27 LESLIE 28.2 39.4 23 216 +1992 10 2 18 3 GORDON 33.1 156.7 147 857 +1986 8 6 0 7 KIRK 41.6 182.0 21 812 +1998 2 11 12 15 LESLIE 29.3 357.3 104 382 +1965 1 24 6 2 ISAAC 58.7 62.0 142 364 +1999 3 13 18 13 ISAAC 43.5 88.0 149 407 +1993 2 17 18 14 HELENE 46.1 94.8 125 721 +1954 8 27 12 20 JOYCE 13.2 15.1 157 143 +1980 12 11 0 1 KIRK 27.4 69.8 80 590 +1970 5 6 18 17 KIRK 9.0 328.1 67 851 +2004 3 13 12 14 BERYL 61.2 46.6 38 187 +2004 5 16 6 4 HELENE 31.5 100.8 160 582 +1956 2 20 12 17 DEBBY 21.0 242.5 18 177 +1976 7 23 18 2 MICHAEL 43.7 244.2 151 702 +1970 8 1 6 17 LESLIE 9.3 85.0 147 763 +1975 2 7 6 16 FLORENCE 28.6 207.2 70 79 +2000 7 5 6 12 NADINE 46.9 348.9 62 382 +1997 1 17 6 28 BERYL 46.6 8.9 51 169 +1981 5 10 0 21 ERNESTO 67.0 188.6 12 30 +1959 5 22 12 9 NADINE 58.3 242.2 161 409 +1961 10 19 18 17 SANDY 15.7 155.7 140 756 +1956 12 4 0 20 ERNESTO 35.2 23.9 112 545 +1976 2 1 18 19 LESLIE 47.5 29.6 77 85 +2000 5 14 6 26 HELENE 27.6 238.6 30 216 +1958 11 4 12 23 CHRIS 35.6 127.6 110 812 +1967 3 5 6 6 KIRK 8.4 301.9 118 889 +1981 11 13 6 16 FLORENCE 30.0 309.1 89 869 +1997 4 1 6 12 GORDON 29.4 332.3 43 733 +2003 11 21 0 15 ALBERTO 20.1 109.0 61 378 +1996 9 15 6 21 ERNESTO 66.0 318.8 86 575 +1966 12 19 6 6 GORDON 69.2 127.7 151 99 +1986 5 22 18 11 CHRIS 59.4 7.4 141 218 +1956 1 15 6 12 FLORENCE 69.7 114.4 95 73 +1964 8 28 18 7 WILLIAM 50.1 315.6 51 419 +1965 11 9 12 22 ALBERTO 59.6 116.4 124 141 +1997 10 10 18 1 LESLIE 43.1 174.8 80 474 +1993 8 9 12 12 WILLIAM 54.9 95.6 159 769 +2002 10 20 12 9 DEBBY 51.5 88.8 145 461 +1988 3 8 12 6 LESLIE 54.2 90.5 69 327 +1979 6 12 12 7 HELENE 48.0 8.3 94 202 +1957 4 10 18 13 PATTY 9.7 231.6 43 574 +1987 2 24 6 4 KIRK 21.5 354.3 40 270 +1976 5 21 12 28 LESLIE 53.6 54.2 77 624 +1956 5 7 6 17 PATTY 18.5 300.1 122 687 +1967 7 14 6 26 JOYCE 43.0 27.2 117 636 +1973 8 7 6 1 DEBBY 60.7 59.5 92 363 +2004 5 12 6 4 NADINE 15.3 119.3 100 32 +1992 7 3 0 3 SANDY 7.9 291.4 18 549 +1962 6 15 0 1 SANDY 27.6 298.0 108 795 +1972 1 12 18 26 OSCAR 17.8 301.1 117 362 +1989 11 2 0 18 WILLIAM 38.9 55.1 38 552 +1963 11 27 18 26 TONY 34.6 261.5 112 107 +1966 5 12 12 22 VALERIE 28.8 288.6 56 243 +1992 3 3 18 2 FLORENCE 50.6 271.3 152 861 +1986 2 23 0 12 OSCAR 51.0 219.7 49 280 +1987 10 26 12 24 HELENE 39.9 255.5 31 717 +1996 3 26 0 8 DEBBY 39.5 124.3 52 529 +1991 7 16 6 6 ISAAC 42.7 217.1 149 240 +1976 9 8 0 17 BERYL 17.4 185.3 132 899 +1994 2 6 12 26 FLORENCE 9.4 37.5 87 236 +2002 12 26 0 3 GORDON 62.3 263.7 68 749 +1997 12 14 0 27 ISAAC 8.8 93.0 11 80 +1957 12 5 0 15 WILLIAM 20.8 180.6 108 454 +1969 6 25 18 5 JOYCE 23.5 6.6 57 136 +1972 12 19 18 5 PATTY 34.8 140.4 48 738 +1983 6 20 6 4 LESLIE 8.7 285.5 24 609 +1958 11 15 6 5 SANDY 33.7 120.4 32 854 +1959 4 20 6 20 FLORENCE 43.8 73.1 61 101 +1982 9 12 6 17 FLORENCE 63.6 138.6 147 858 +1993 10 3 6 5 SANDY 23.1 49.5 148 265 +1989 4 6 0 7 RAFAEL 12.4 277.1 142 407 +1973 8 7 18 13 RAFAEL 15.5 174.0 43 799 +1978 1 9 18 28 RAFAEL 28.0 158.7 49 533 +1951 11 28 0 3 PATTY 42.9 68.2 28 70 +1967 8 15 12 12 FLORENCE 69.5 31.0 30 630 +1952 6 9 18 28 KIRK 24.0 276.8 74 390 +1966 2 2 12 16 CHRIS 9.1 305.9 160 126 +1980 10 21 6 8 ISAAC 46.4 220.6 146 810 +1980 1 7 6 13 KIRK 55.9 24.3 39 823 +1997 3 27 18 9 VALERIE 68.3 184.0 46 807 +1985 7 24 6 23 JOYCE 19.5 2.5 104 262 +1957 12 11 12 21 BERYL 32.8 261.8 40 658 +1961 7 17 12 1 LESLIE 49.3 157.5 106 519 +1977 9 19 6 15 FLORENCE 67.9 156.7 55 754 +1976 4 12 12 20 SANDY 42.0 202.1 119 243 +1952 9 7 6 25 VALERIE 23.3 10.3 21 282 +1998 8 23 18 21 WILLIAM 9.0 148.0 76 275 +1982 9 21 0 22 ALBERTO 56.3 123.2 51 587 +1970 7 22 6 22 GORDON 43.5 304.6 82 508 +1984 5 3 12 2 ISAAC 25.5 260.6 126 647 +1959 7 9 18 12 VALERIE 63.6 117.6 50 316 +1975 2 8 6 28 CHRIS 23.2 154.0 160 786 +1996 2 6 0 24 OSCAR 42.3 222.9 146 38 +1956 6 7 0 2 MICHAEL 61.0 61.5 120 420 +1992 10 26 12 1 ERNESTO 10.9 179.4 58 474 +1989 6 2 6 26 ISAAC 7.7 120.6 120 167 +1998 8 19 12 8 GORDON 54.5 112.1 86 579 +2000 12 6 0 22 JOYCE 57.7 322.8 96 585 +1965 8 4 12 26 VALERIE 49.0 71.1 134 445 +1984 12 26 0 20 WILLIAM 21.9 253.1 22 744 +2002 1 8 18 9 MICHAEL 53.7 110.0 75 560 +1998 10 12 0 14 FLORENCE 59.4 357.9 95 239 +1965 10 25 6 22 GORDON 43.4 269.6 22 297 +2002 6 11 0 10 VALERIE 35.0 232.5 52 407 +2003 2 10 18 4 ALBERTO 13.4 211.4 79 71 +1973 10 12 6 3 BERYL 60.3 314.7 147 242 +1960 9 27 0 1 OSCAR 29.6 67.8 98 222 +1994 3 1 12 10 PATTY 54.7 193.2 27 611 +1973 2 23 0 3 PATTY 50.9 146.0 123 362 +1954 12 12 6 13 BERYL 30.5 251.1 93 522 +1996 4 8 6 13 WILLIAM 50.1 263.9 129 814 +1953 4 3 12 12 VALERIE 49.2 124.8 30 310 +1986 8 12 0 9 FLORENCE 51.3 73.8 160 81 +1995 3 26 18 2 RAFAEL 42.5 144.5 155 896 +1973 8 11 6 28 BERYL 57.5 227.3 71 113 +1979 9 2 6 7 JOYCE 13.1 200.1 18 731 +1997 8 13 6 24 PATTY 32.0 220.7 105 45 +1950 8 8 12 3 HELENE 51.6 306.5 59 806 +1988 12 9 12 23 JOYCE 56.7 299.2 134 380 +1958 7 3 12 27 OSCAR 37.2 73.2 61 415 +2000 5 3 0 9 KIRK 60.4 176.5 68 40 +1983 4 21 12 5 BERYL 42.7 79.4 164 525 +1964 4 9 12 3 WILLIAM 43.7 73.9 16 738 +1967 1 19 6 16 WILLIAM 10.4 278.8 101 881 +1956 9 22 12 1 JOYCE 10.0 7.4 99 889 +1990 3 8 18 7 TONY 8.5 192.4 130 747 +2002 10 4 6 4 MICHAEL 65.9 179.3 18 289 +2004 10 24 0 10 NADINE 24.7 329.1 33 87 +1950 9 6 6 9 ISAAC 47.5 180.7 56 658 +1954 6 21 18 18 VALERIE 61.1 8.5 132 260 +1979 12 16 0 13 LESLIE 23.6 247.5 85 219 +1961 4 26 0 9 WILLIAM 34.2 289.2 28 15 +1955 3 26 18 23 LESLIE 33.9 232.7 61 890 +1974 10 4 0 7 OSCAR 8.0 229.6 127 469 +1976 12 24 12 19 GORDON 7.1 61.3 149 186 +1964 9 8 18 28 OSCAR 69.1 252.9 117 744 +1980 12 21 6 9 BERYL 36.7 201.2 135 281 +1964 4 25 12 2 LESLIE 29.7 62.5 156 193 +1958 1 26 18 6 CHRIS 18.1 18.9 145 476 +2004 9 10 18 11 ISAAC 28.8 57.3 42 664 +1981 9 12 0 13 KIRK 55.2 228.0 25 690 +1991 4 4 18 23 SANDY 57.9 86.2 130 536 +1970 6 19 18 7 NADINE 20.0 10.7 132 187 +1969 10 21 6 12 KIRK 50.6 140.1 24 571 +1978 10 6 12 23 JOYCE 44.3 53.6 132 225 +1969 3 2 6 14 MICHAEL 18.2 52.6 135 205 +2000 3 4 12 10 SANDY 23.7 308.4 132 185 +1990 4 1 0 21 NADINE 59.5 243.6 41 634 +1996 10 9 18 11 VALERIE 15.4 50.7 97 217 +1995 5 28 18 7 BERYL 56.2 150.1 156 410 +1992 9 22 0 12 ISAAC 21.1 32.5 115 180 +1985 4 5 6 28 KIRK 47.8 249.4 53 429 +1959 5 21 18 10 JOYCE 32.1 84.3 10 735 +1996 3 20 12 22 HELENE 49.0 288.8 39 73 +1956 7 15 6 5 DEBBY 42.3 40.7 84 71 +1978 10 24 12 12 RAFAEL 63.6 314.2 21 639 +1972 12 10 12 19 ISAAC 27.0 121.1 109 735 +1979 5 6 12 28 MICHAEL 67.7 267.8 117 66 +1972 7 14 12 4 JOYCE 35.8 84.0 144 404 +1978 2 14 12 15 LESLIE 45.1 250.3 147 697 +1988 2 8 18 3 ALBERTO 55.0 301.8 151 652 +1950 4 13 18 18 VALERIE 57.6 14.6 159 121 +1953 5 28 12 11 GORDON 58.0 242.0 88 575 +1959 8 11 12 7 VALERIE 69.7 148.2 153 332 +1983 4 5 18 21 KIRK 37.3 185.7 73 109 +1957 10 9 12 16 SANDY 27.3 264.3 109 638 +1958 7 23 6 10 GORDON 64.5 40.4 104 332 +1973 10 4 0 9 LESLIE 56.7 320.5 150 249 +2003 7 3 18 18 LESLIE 10.0 60.4 28 766 +1972 8 5 6 26 LESLIE 54.2 258.3 97 746 +1993 5 5 18 6 VALERIE 30.6 150.9 157 444 +1968 7 13 12 3 GORDON 14.5 82.4 152 111 +1981 10 15 18 18 KIRK 45.2 38.6 95 694 +1961 12 27 0 28 LESLIE 64.1 196.1 21 694 +1953 7 6 6 19 WILLIAM 48.2 326.8 58 60 +1992 8 20 18 5 NADINE 45.8 207.0 51 760 +2002 11 1 18 15 PATTY 34.9 333.6 102 812 +1978 8 8 18 18 ALBERTO 53.7 335.4 28 330 +2003 2 21 0 6 BERYL 42.6 22.9 51 550 +1995 5 13 6 28 TONY 36.4 349.8 129 611 +1977 7 24 0 25 TONY 52.0 271.7 33 374 +1951 1 3 0 6 ERNESTO 21.3 110.5 104 845 +1970 9 22 18 26 WILLIAM 14.5 310.0 33 886 +2003 8 15 12 3 GORDON 27.0 236.2 117 400 +1995 7 18 12 18 LESLIE 29.2 171.8 106 554 +1983 8 24 0 14 OSCAR 29.2 312.0 22 329 +1952 5 1 6 4 FLORENCE 63.0 175.7 96 842 +1965 11 17 0 9 BERYL 31.6 21.2 25 698 +1991 2 13 6 19 TONY 20.6 240.0 147 372 +1965 5 9 18 8 JOYCE 27.7 77.3 86 365 +1952 3 24 6 25 HELENE 29.4 343.2 160 622 +1991 8 12 6 19 LESLIE 41.6 303.6 129 330 +1993 9 26 18 22 JOYCE 55.6 75.3 121 784 +1997 10 19 6 14 VALERIE 19.5 253.5 48 423 +1965 10 12 0 17 BERYL 18.4 276.4 88 356 +1968 10 6 6 10 ALBERTO 37.4 108.5 143 538 +1990 1 9 18 16 DEBBY 42.3 173.1 26 553 +1968 1 3 18 9 VALERIE 36.3 322.4 106 765 +1988 1 17 0 8 ISAAC 22.3 132.7 131 395 +1977 9 9 12 11 CHRIS 50.2 56.0 162 581 +1963 11 24 6 20 BERYL 37.8 238.3 97 14 +1991 9 28 18 11 RAFAEL 21.8 106.6 141 15 +1995 7 11 0 13 CHRIS 24.7 210.3 34 535 +1981 5 14 12 15 HELENE 52.9 144.8 93 115 +1981 7 16 6 13 OSCAR 37.0 357.9 42 103 +1950 7 17 0 9 MICHAEL 28.5 329.0 62 480 +1976 8 18 6 12 GORDON 47.6 348.1 156 347 +2004 9 24 0 20 MICHAEL 17.7 198.4 83 118 +1976 3 11 6 7 OSCAR 23.0 33.4 78 170 +1979 1 15 6 28 GORDON 15.5 61.9 115 406 +1996 12 2 6 4 BERYL 47.7 142.3 24 712 +1973 1 24 6 11 BERYL 19.2 33.7 130 590 +2002 12 19 18 20 TONY 24.0 90.7 104 151 +1993 11 6 0 16 CHRIS 18.7 177.4 34 682 +1981 2 16 18 19 FLORENCE 17.3 292.3 52 777 +1996 7 28 6 21 DEBBY 46.1 138.4 24 675 +1976 12 24 6 8 DEBBY 35.2 216.5 27 192 +1964 4 20 18 19 KIRK 55.6 19.4 137 59 +1969 3 23 6 5 SANDY 66.6 249.2 90 274 +1967 2 18 12 1 KIRK 69.2 179.5 86 100 +1991 1 8 6 4 OSCAR 61.4 8.2 47 762 +1997 6 17 18 25 OSCAR 28.7 97.0 125 380 +2002 7 22 12 25 WILLIAM 24.6 241.2 56 856 +1993 2 13 6 21 LESLIE 42.4 158.7 164 390 +1960 9 16 12 12 RAFAEL 58.4 175.1 148 130 +1959 10 22 6 12 ERNESTO 44.1 133.2 41 675 +1983 11 28 0 2 BERYL 23.4 237.5 139 614 +1992 4 23 12 15 BERYL 46.0 231.6 79 592 +1985 9 27 12 2 KIRK 10.2 286.7 142 192 +1994 3 16 12 21 HELENE 54.8 30.5 13 895 +1991 1 6 0 10 RAFAEL 13.5 293.8 140 627 +1996 9 23 12 2 MICHAEL 63.5 114.9 11 184 +1995 8 10 18 3 BERYL 27.2 269.0 123 842 +1989 11 15 12 14 TONY 23.0 277.4 36 342 +1987 2 19 6 7 VALERIE 25.4 93.2 96 559 +1958 11 13 18 2 HELENE 56.2 86.9 156 161 +1995 6 3 12 5 TONY 27.4 49.0 134 501 +1980 6 11 6 28 SANDY 9.1 220.5 59 113 +1977 12 4 6 4 PATTY 31.7 194.0 154 361 +1995 7 24 6 2 WILLIAM 20.9 271.7 85 155 +1952 11 6 12 15 TONY 62.4 65.5 115 762 +1959 5 4 18 2 MICHAEL 64.3 78.8 78 489 +1986 5 11 6 14 PATTY 32.6 8.2 156 344 +1963 11 28 0 14 PATTY 41.5 216.0 96 486 +1975 9 23 18 25 JOYCE 66.7 133.0 48 566 +1956 5 22 0 11 VALERIE 14.9 25.6 65 887 +1987 4 4 6 2 BERYL 41.7 274.1 152 604 +1963 9 7 18 4 PATTY 51.4 225.2 15 423 +1976 11 28 18 19 BERYL 59.2 110.6 134 691 +1987 8 4 6 5 VALERIE 37.1 262.3 162 207 +1951 11 21 12 14 HELENE 65.8 67.8 101 31 +1987 2 3 12 12 JOYCE 15.5 97.5 60 354 +1951 12 1 0 12 ERNESTO 57.9 86.2 69 97 +1969 1 5 18 18 CHRIS 11.7 334.3 46 219 +1963 5 24 6 18 CHRIS 44.9 185.5 95 660 +1983 5 13 12 24 HELENE 13.4 133.6 87 836 +1953 8 23 18 17 CHRIS 65.3 246.9 138 315 +1987 4 25 0 28 GORDON 57.6 265.7 119 448 +1987 11 11 6 19 ERNESTO 61.9 119.4 132 221 +1975 3 26 18 24 OSCAR 34.2 235.0 77 344 +1980 3 17 0 19 JOYCE 34.8 223.2 129 379 +1992 5 13 18 1 PATTY 42.4 316.6 93 749 +1950 9 13 0 27 ERNESTO 29.9 37.1 86 439 +1981 4 13 0 15 WILLIAM 48.8 227.8 130 364 +1975 4 7 6 2 RAFAEL 42.2 165.0 75 18 +2003 9 1 12 21 SANDY 36.0 140.3 15 388 +1970 1 17 6 28 JOYCE 39.7 251.7 138 184 +1954 8 5 12 3 TONY 69.8 46.5 96 220 +1969 9 25 0 24 DEBBY 11.5 177.1 154 84 +1987 3 20 0 14 MICHAEL 64.7 49.5 18 438 +1951 3 3 0 19 RAFAEL 66.7 7.5 32 752 +1972 3 25 18 20 MICHAEL 37.3 186.9 122 410 +1963 9 3 12 5 PATTY 65.7 26.9 123 564 +1961 10 17 0 15 GORDON 61.5 245.0 145 139 +1958 7 8 18 18 ISAAC 51.8 280.5 120 5 +1988 4 22 6 23 TONY 61.4 165.5 157 597 +2002 2 2 12 22 DEBBY 21.8 150.8 118 580 +1966 9 1 6 28 VALERIE 47.8 333.4 37 661 +1990 5 3 6 22 DEBBY 59.6 232.6 97 765 +2003 1 6 6 26 JOYCE 26.5 42.4 16 491 +1987 3 6 0 27 OSCAR 38.4 344.8 91 94 +1950 8 19 12 15 ERNESTO 46.5 10.7 107 831 +1966 9 11 12 3 LESLIE 57.3 282.2 160 607 +2001 11 28 0 18 JOYCE 46.8 346.3 157 283 +2003 3 17 0 17 RAFAEL 27.9 122.2 156 766 +1963 3 15 0 3 JOYCE 28.9 323.0 27 870 +1972 10 19 0 7 ALBERTO 42.7 19.1 114 687 +1987 2 10 18 28 PATTY 46.6 18.0 131 390 +1967 12 13 0 11 ALBERTO 64.7 318.4 18 358 +1974 11 15 12 19 HELENE 22.0 36.4 60 211 +1998 12 3 6 20 OSCAR 69.2 141.3 128 879 +1968 7 6 18 25 ALBERTO 19.3 151.9 21 571 +1985 7 26 0 22 FLORENCE 24.8 311.4 117 328 +1997 8 8 6 5 BERYL 20.7 209.5 108 337 +2004 9 21 12 17 TONY 28.2 274.5 133 83 +1951 12 18 6 22 NADINE 16.7 188.7 155 464 +1952 7 13 12 20 FLORENCE 30.0 167.5 57 260 +1983 12 1 12 15 MICHAEL 60.1 175.8 86 213 +2000 11 7 6 4 TONY 54.6 91.1 148 27 +1983 6 16 0 6 ALBERTO 57.6 214.1 59 302 +1980 10 14 18 14 ALBERTO 31.9 211.9 88 222 +1992 9 15 18 26 DEBBY 51.3 270.9 57 603 +1962 11 1 18 7 BERYL 27.4 13.4 92 866 +1958 7 8 12 26 VALERIE 17.8 126.7 86 151 +1998 8 18 12 17 TONY 14.2 155.6 103 147 +1954 8 26 6 15 ERNESTO 24.9 182.0 134 614 +1960 10 8 18 21 FLORENCE 49.5 1.0 81 275 +1954 12 15 6 5 WILLIAM 26.8 239.1 111 461 +2001 3 8 12 22 OSCAR 50.4 326.7 20 180 +2004 4 6 6 12 PATTY 56.4 15.2 18 511 +1987 9 17 6 23 JOYCE 63.5 319.1 48 342 +1996 2 9 6 25 KIRK 59.1 82.6 76 256 +1959 1 16 6 11 KIRK 51.1 207.3 10 62 +1987 1 6 6 3 RAFAEL 13.7 276.6 161 673 +1968 11 5 0 5 HELENE 27.0 142.9 116 622 +1975 5 19 12 26 PATTY 25.5 68.5 111 118 +2004 10 27 6 25 NADINE 38.1 276.9 115 13 +1982 6 28 12 13 MICHAEL 22.4 243.0 151 352 +1956 2 2 6 15 OSCAR 50.2 226.2 140 536 +1992 3 21 6 21 WILLIAM 42.3 177.5 93 250 +1964 9 18 18 11 NADINE 59.0 43.6 84 657 +1955 2 13 18 8 BERYL 17.3 333.0 26 474 +1992 3 9 6 13 ALBERTO 30.9 314.0 105 74 +1965 12 15 6 5 ALBERTO 26.1 335.0 153 775 +1972 7 3 12 27 ISAAC 12.3 191.7 17 645 +1974 8 27 12 12 OSCAR 52.2 223.3 24 129 +1996 3 18 12 15 TONY 18.6 234.7 27 204 +2001 2 27 0 24 ERNESTO 9.5 263.4 48 336 +1969 9 15 18 20 NADINE 23.6 260.6 55 38 +1956 9 13 0 5 KIRK 7.3 26.4 63 413 +1985 5 15 12 4 CHRIS 69.2 147.0 122 302 +1980 1 8 18 19 BERYL 63.9 251.1 150 319 +1966 5 27 12 20 FLORENCE 14.3 170.1 113 488 +1988 5 6 12 2 BERYL 66.6 119.3 47 491 +1964 11 28 12 5 GORDON 11.1 90.8 159 553 +1954 2 22 6 28 WILLIAM 64.1 2.1 27 165 +1971 3 23 0 3 ALBERTO 13.3 220.2 93 472 +1989 3 25 0 3 SANDY 59.0 276.0 137 23 +1959 1 8 12 23 LESLIE 29.1 343.5 36 30 +1950 3 28 0 10 JOYCE 40.8 265.9 34 131 +1984 9 11 18 8 WILLIAM 21.2 338.4 122 200 +1959 1 7 12 23 BERYL 47.6 154.5 36 887 +1999 3 26 6 28 BERYL 45.6 80.5 100 891 +2004 10 9 12 12 ISAAC 63.6 320.4 123 358 +1952 6 1 12 26 PATTY 26.1 349.6 152 472 +1950 3 16 18 18 BERYL 57.2 115.3 144 595 +1974 10 22 18 19 VALERIE 27.3 36.4 120 781 +1999 11 11 12 22 FLORENCE 19.4 265.0 11 680 +1968 6 18 18 13 CHRIS 51.2 159.3 72 327 +1975 9 7 12 28 VALERIE 35.9 147.7 66 27 +1987 9 28 12 23 SANDY 26.9 60.9 47 416 +1979 5 16 0 21 CHRIS 23.4 168.2 79 777 +1958 2 6 12 9 SANDY 64.8 296.4 19 462 +2004 12 6 0 11 PATTY 13.2 269.5 96 748 +1967 10 16 6 16 OSCAR 60.6 0.8 135 26 +1996 9 22 18 14 NADINE 42.7 333.8 35 511 +1977 8 5 6 4 LESLIE 56.7 293.8 118 802 +1972 2 4 18 14 HELENE 60.9 233.0 59 532 +1998 7 14 6 22 MICHAEL 65.4 120.9 47 530 +1954 11 17 0 28 KIRK 41.4 56.8 25 370 +1991 1 28 12 11 DEBBY 8.3 12.8 32 63 +1991 8 12 12 16 DEBBY 7.1 168.7 11 725 +1982 5 6 18 23 OSCAR 67.2 304.1 40 498 +1988 12 5 12 4 NADINE 12.9 294.1 39 785 +1950 5 23 18 17 ISAAC 45.4 147.2 99 105 +1990 9 20 18 22 ALBERTO 46.9 352.4 55 1 +1986 5 5 0 18 NADINE 65.5 175.2 132 413 +2001 2 2 6 4 HELENE 61.0 70.8 78 309 +1970 3 7 18 5 SANDY 12.4 20.9 43 71 +1961 12 17 12 15 RAFAEL 30.4 300.6 99 609 +1957 10 27 6 15 OSCAR 37.7 297.3 29 173 +1999 5 3 6 10 WILLIAM 52.7 215.9 132 249 +1985 10 7 18 15 ERNESTO 64.3 282.1 127 332 +1981 8 5 18 10 SANDY 55.0 147.1 30 88 +1995 6 7 6 18 SANDY 15.0 314.4 107 362 +1987 4 26 0 15 OSCAR 13.5 35.7 39 518 +2000 4 15 18 2 PATTY 68.6 298.1 114 586 +1962 1 18 6 7 MICHAEL 9.4 120.4 12 864 +1953 11 25 6 28 BERYL 44.1 278.4 21 180 +1956 10 25 12 7 RAFAEL 23.2 228.2 119 712 +1950 10 5 18 19 DEBBY 42.8 195.7 102 138 +2001 3 26 12 9 MICHAEL 52.5 126.1 97 359 +1955 5 27 6 2 FLORENCE 68.5 6.8 126 35 +1988 1 26 18 25 OSCAR 19.9 287.0 109 851 +1981 12 10 18 14 DEBBY 21.7 219.3 109 162 +1988 9 20 0 19 PATTY 28.0 204.6 47 332 +1972 3 20 18 2 VALERIE 57.7 103.0 56 682 +1985 1 12 6 6 ISAAC 67.1 63.0 117 663 +1992 10 14 12 10 ALBERTO 30.5 13.1 77 892 +2004 4 9 18 7 LESLIE 9.8 11.1 117 404 +2004 11 18 18 5 OSCAR 38.8 95.1 86 497 +1965 5 12 6 15 PATTY 29.2 285.8 102 381 +2004 11 28 18 7 BERYL 42.4 46.6 68 524 +2001 8 12 12 20 DEBBY 62.1 277.5 32 3 +1972 8 17 6 17 GORDON 39.5 152.3 161 897 +1966 3 14 18 7 BERYL 24.2 350.9 144 319 +1981 7 9 6 21 WILLIAM 11.8 49.0 96 405 +1990 6 6 18 7 CHRIS 37.4 287.7 64 422 +1970 8 28 18 3 MICHAEL 12.8 206.6 131 20 +1967 4 19 6 20 ALBERTO 29.3 200.3 143 28 +1964 8 18 0 21 KIRK 31.1 222.2 84 343 +2002 3 10 18 2 JOYCE 48.3 316.9 83 848 +1970 5 17 0 1 FLORENCE 59.8 78.5 67 754 +1956 7 11 12 4 LESLIE 65.5 291.6 50 496 +1955 1 10 0 22 NADINE 21.0 262.9 57 512 +1971 4 14 6 25 NADINE 19.8 253.0 93 55 +1986 11 23 12 10 WILLIAM 18.0 128.3 124 85 +1955 11 26 0 12 OSCAR 16.3 262.5 71 272 +1971 9 4 18 7 VALERIE 8.1 190.6 80 608 +1981 6 2 0 9 WILLIAM 48.5 312.3 37 513 +1985 9 20 18 19 OSCAR 45.2 134.0 146 389 +1979 7 19 6 16 GORDON 23.8 308.4 164 511 +1958 5 28 0 24 DEBBY 54.8 263.2 81 221 +1996 1 11 18 16 LESLIE 52.4 229.7 54 680 +1985 8 20 18 15 BERYL 11.5 254.4 39 317 +1962 6 20 0 10 OSCAR 45.0 167.8 118 568 +1952 7 10 18 26 BERYL 53.6 143.8 18 889 +1958 1 6 18 19 DEBBY 58.3 40.3 60 264 +1967 1 22 6 13 BERYL 27.7 60.5 109 394 +1969 6 13 12 1 MICHAEL 16.1 17.3 62 613 +1962 9 12 6 17 VALERIE 12.7 258.2 46 358 +1983 8 21 12 15 PATTY 49.3 230.0 40 732 +2002 1 13 12 22 TONY 52.4 34.8 129 296 +1982 4 5 12 11 MICHAEL 65.9 110.3 11 532 +1980 11 19 18 15 WILLIAM 13.9 40.4 153 843 +1988 7 1 0 6 BERYL 24.0 231.4 114 96 +1980 9 5 6 18 PATTY 50.7 58.7 18 186 +1990 9 5 18 9 HELENE 64.1 189.0 157 163 +1989 9 1 12 13 PATTY 65.3 131.6 138 830 +1977 11 12 0 10 VALERIE 38.6 12.8 73 152 +2004 6 9 0 1 KIRK 35.6 328.5 38 568 +1961 11 17 6 2 SANDY 29.0 52.2 136 656 +1991 6 16 18 25 PATTY 65.1 261.2 67 463 +1953 3 22 18 11 GORDON 49.7 121.6 79 440 +1954 5 7 18 9 DEBBY 39.8 358.0 104 309 +1950 11 16 12 11 SANDY 36.0 125.0 125 19 +1976 2 7 18 26 DEBBY 55.4 211.7 55 651 +2003 8 20 18 26 ERNESTO 63.4 140.8 22 886 +1976 6 13 18 25 CHRIS 53.7 14.2 107 7 +1975 1 9 18 11 WILLIAM 64.7 130.5 112 414 +1977 4 8 0 19 FLORENCE 14.8 20.9 88 684 +2003 11 26 12 8 ALBERTO 37.0 169.1 68 647 +1990 10 16 18 8 TONY 52.6 335.5 13 471 +1958 8 25 12 27 DEBBY 19.6 339.9 21 660 +1980 2 12 12 3 CHRIS 17.5 137.4 72 647 +1995 2 11 18 22 KIRK 31.9 219.6 154 623 +1970 10 22 18 22 GORDON 14.4 194.1 85 13 +2003 6 15 6 6 SANDY 61.6 53.2 64 580 +1973 9 24 12 12 ERNESTO 53.9 230.3 11 51 +1965 1 10 18 17 HELENE 22.1 94.3 94 483 +1979 7 27 18 2 SANDY 38.0 69.4 145 580 +1977 3 14 18 7 FLORENCE 49.4 116.4 34 130 +1983 8 12 0 26 PATTY 30.5 356.7 66 641 +1979 10 20 18 9 VALERIE 13.8 270.8 108 448 +1970 3 24 0 7 ISAAC 19.8 17.9 36 158 +1970 12 9 0 6 TONY 26.4 63.7 50 679 +2000 3 24 18 20 RAFAEL 49.0 308.0 111 383 +1957 4 19 12 25 GORDON 15.7 208.0 91 198 +1968 6 26 12 11 ERNESTO 52.8 239.5 143 194 +1996 8 20 6 15 ISAAC 55.0 181.9 107 831 +1974 12 4 6 6 ISAAC 55.5 283.1 67 127 +1961 5 16 0 18 SANDY 21.0 297.4 11 411 +1961 7 16 0 22 FLORENCE 67.8 177.2 14 510 +1972 3 12 0 16 VALERIE 44.7 14.1 11 680 +2004 1 18 6 6 FLORENCE 56.1 188.2 114 259 +1987 4 22 0 28 VALERIE 36.6 317.0 134 194 +2000 2 16 12 5 LESLIE 61.3 22.4 46 390 +1966 12 14 12 20 CHRIS 68.9 56.3 79 215 +1967 9 2 6 7 ISAAC 13.1 216.1 115 536 +1990 10 18 18 10 OSCAR 42.1 12.8 109 485 +1994 1 20 12 15 GORDON 25.2 226.2 122 656 +1967 2 2 12 28 WILLIAM 21.6 28.3 11 875 +1998 6 17 0 16 CHRIS 29.9 228.6 105 361 +1979 7 11 6 17 OSCAR 17.7 127.4 19 136 +1964 7 26 12 19 HELENE 26.1 53.3 35 151 +1981 12 2 12 1 RAFAEL 43.8 241.3 123 252 +2003 10 27 12 9 MICHAEL 39.9 212.6 154 454 +1995 12 16 6 25 KIRK 46.8 31.8 66 823 +1970 7 8 12 1 RAFAEL 56.9 97.7 57 241 +1990 7 13 18 7 KIRK 35.2 45.1 103 729 +1993 11 11 18 25 LESLIE 36.0 33.4 105 411 +1966 5 6 12 17 OSCAR 35.2 129.9 120 878 +1988 8 11 18 5 DEBBY 18.0 81.3 141 295 +1963 4 3 18 26 HELENE 20.2 43.6 129 528 +1993 1 12 0 9 BERYL 60.2 42.6 129 416 +1987 7 18 0 9 CHRIS 59.1 348.2 137 593 +1976 6 20 0 2 HELENE 43.4 327.8 44 149 +1972 12 17 0 17 VALERIE 53.1 191.7 109 880 +1950 1 25 18 22 CHRIS 63.1 134.0 149 869 +1983 6 4 6 21 LESLIE 9.5 326.7 95 396 +1951 11 6 18 17 ISAAC 48.8 200.0 23 175 +1971 3 5 18 2 FLORENCE 40.4 17.9 75 168 +1992 10 13 0 4 SANDY 43.8 335.4 15 159 +1951 7 15 6 23 MICHAEL 14.6 234.8 115 412 +1951 5 2 12 8 FLORENCE 58.8 233.1 78 600 +2001 4 25 18 25 LESLIE 70.0 35.0 112 763 +1963 9 17 0 14 CHRIS 28.5 19.4 66 819 +1992 8 7 18 27 FLORENCE 16.8 249.9 67 666 +1988 5 18 0 15 HELENE 22.4 154.5 66 563 +1971 6 8 12 4 JOYCE 7.6 134.7 63 318 +1961 12 13 18 20 SANDY 16.4 262.5 99 592 +1992 10 1 18 1 OSCAR 55.7 132.0 143 100 +1977 8 14 12 24 JOYCE 25.7 309.6 27 484 +1962 7 6 0 14 DEBBY 33.4 166.1 64 720 +1967 6 9 12 16 OSCAR 55.9 341.2 17 533 +1955 1 7 12 2 WILLIAM 40.6 62.2 148 791 +1979 4 11 18 20 SANDY 60.0 87.3 20 332 +1978 9 10 18 5 FLORENCE 15.8 256.4 112 159 +1959 11 11 0 27 HELENE 18.0 72.1 56 295 +1976 1 15 12 4 GORDON 48.0 296.6 86 516 +1994 5 6 18 7 SANDY 57.5 274.3 68 526 +1951 5 1 6 6 TONY 24.6 306.3 54 536 +1966 5 10 18 17 ALBERTO 59.8 257.0 106 727 +1993 4 9 12 25 ISAAC 57.8 38.1 12 610 +1981 4 2 6 27 SANDY 49.8 70.3 147 678 +1962 12 17 0 5 PATTY 43.6 240.6 158 344 +1969 5 19 6 10 NADINE 65.9 252.5 70 347 +1971 2 9 6 4 MICHAEL 65.0 129.0 152 696 +1985 8 3 6 20 DEBBY 33.9 25.3 86 232 +1957 1 11 6 25 ALBERTO 64.6 332.9 127 142 +2003 4 10 12 7 PATTY 57.3 16.8 104 855 +1966 6 12 12 22 NADINE 24.2 258.8 115 128 +1990 11 16 0 16 TONY 8.3 23.6 32 492 +1962 6 24 0 27 PATTY 58.5 316.6 63 713 +1982 3 4 0 8 TONY 38.5 180.6 147 435 +1958 5 28 18 24 PATTY 26.1 141.4 15 101 +1968 12 23 12 27 OSCAR 13.8 287.8 15 24 +1994 7 26 0 22 DEBBY 22.4 14.8 28 518 +2004 7 14 18 22 RAFAEL 32.9 232.6 75 702 +1980 1 4 12 11 KIRK 42.0 1.1 135 504 +1981 2 25 0 13 WILLIAM 41.9 341.9 23 198 +1976 9 2 6 20 ERNESTO 56.7 23.5 133 892 +1950 11 23 12 22 HELENE 8.8 328.0 115 546 +1975 7 20 18 11 OSCAR 11.0 189.3 61 487 +1973 8 21 12 23 VALERIE 64.0 93.9 157 211 +1956 8 19 0 27 JOYCE 20.8 5.8 53 811 +1971 10 12 0 21 PATTY 42.9 195.7 123 337 +1951 6 11 0 14 MICHAEL 27.4 277.9 163 283 +1975 6 4 6 20 HELENE 33.6 146.6 45 649 +1950 3 23 12 14 KIRK 37.6 18.2 74 743 +2003 12 7 6 1 OSCAR 26.9 151.3 67 706 +1966 3 26 0 18 FLORENCE 27.9 79.2 98 721 +1975 2 6 12 28 SANDY 56.2 136.7 141 412 +1995 6 18 0 19 RAFAEL 50.8 316.4 31 450 +1975 3 23 0 18 ALBERTO 52.4 112.2 154 485 +1977 2 17 18 26 CHRIS 66.5 290.1 69 580 +2001 2 23 0 2 CHRIS 10.5 138.5 20 883 +1990 9 6 0 16 MICHAEL 37.6 347.7 116 506 +1986 7 10 6 16 VALERIE 18.3 221.9 70 735 +1995 9 2 6 26 GORDON 14.1 271.4 27 596 +2003 5 11 0 23 RAFAEL 62.0 214.3 161 553 +1987 1 17 6 9 OSCAR 9.8 321.8 84 872 +1951 3 23 18 25 LESLIE 7.2 181.5 119 183 +1952 6 17 0 26 SANDY 15.0 127.2 32 207 +1979 10 16 18 20 LESLIE 38.3 344.0 90 448 +1969 4 24 0 13 CHRIS 38.7 3.8 112 820 +1997 2 14 0 12 RAFAEL 19.7 132.8 37 663 +1962 3 9 0 17 MICHAEL 33.5 347.7 14 315 +1982 3 7 0 2 LESLIE 64.5 152.8 37 529 +1954 12 25 0 4 BERYL 47.6 25.0 31 50 +1998 4 19 12 19 LESLIE 58.5 266.0 97 449 +1973 2 25 18 24 ERNESTO 41.1 133.5 64 498 +1971 12 23 12 20 ALBERTO 58.5 53.4 112 143 +1989 12 20 0 20 BERYL 40.6 355.0 129 271 +2002 12 15 6 28 TONY 64.7 150.7 41 565 +1994 11 12 0 1 ISAAC 69.3 97.1 105 862 +1960 7 3 12 17 RAFAEL 25.3 338.5 128 548 +1990 12 4 0 6 NADINE 21.9 261.7 156 383 +2001 2 21 0 9 RAFAEL 18.4 134.6 144 882 +1998 6 21 18 2 WILLIAM 23.0 329.7 128 61 +1973 1 3 18 5 JOYCE 56.4 315.9 124 557 +1992 10 17 6 23 ISAAC 17.6 48.6 161 887 +1984 9 27 0 16 MICHAEL 63.7 297.1 12 573 +1961 6 20 18 28 MICHAEL 21.8 262.3 39 52 +1967 1 11 18 21 ALBERTO 36.4 307.1 127 795 +1951 11 7 12 14 KIRK 60.5 152.2 16 837 +1956 5 17 12 6 HELENE 20.4 100.5 121 760 +1979 1 22 18 2 WILLIAM 42.9 242.9 36 153 +1989 6 22 18 13 HELENE 69.7 122.7 139 49 +1950 5 4 6 26 VALERIE 8.8 96.3 40 211 +1986 6 22 12 15 KIRK 66.3 169.2 24 171 +1971 5 8 0 22 NADINE 54.8 268.7 43 135 +1954 9 20 0 28 ISAAC 25.8 164.5 149 346 +1975 11 27 18 8 MICHAEL 64.7 75.7 19 254 +1995 2 9 6 19 BERYL 38.2 239.1 46 239 +1998 10 21 18 27 LESLIE 40.2 211.1 41 380 +1993 4 13 12 14 ERNESTO 19.9 253.7 68 540 +1972 11 2 12 27 ALBERTO 33.0 317.9 157 517 +2003 8 12 12 12 ISAAC 45.5 126.1 44 739 +1951 3 9 0 19 FLORENCE 34.3 344.7 50 703 +1961 6 5 18 23 CHRIS 23.6 244.8 145 463 +1954 4 28 6 15 WILLIAM 23.6 237.5 67 209 +1967 5 11 18 26 ERNESTO 28.5 59.2 121 341 +2004 1 9 6 13 ALBERTO 43.0 303.9 78 675 +1995 10 20 6 3 TONY 15.5 156.9 157 150 +2000 4 4 12 15 ISAAC 29.9 161.8 142 295 +1960 5 19 12 1 ERNESTO 25.5 314.4 52 611 +1978 6 1 6 15 ALBERTO 34.6 33.3 61 683 +1971 8 11 6 20 JOYCE 24.7 173.8 74 599 +1965 1 2 12 2 JOYCE 47.7 276.6 80 404 +2004 12 3 0 3 LESLIE 66.4 218.7 132 619 +1990 6 5 0 13 JOYCE 66.3 99.7 51 9 +1985 7 12 18 21 RAFAEL 63.2 315.6 99 869 +1959 1 13 0 27 ISAAC 27.0 20.2 96 50 +1965 12 21 12 25 ERNESTO 64.8 140.8 18 722 +1958 6 15 6 20 MICHAEL 36.2 172.0 89 476 +1958 11 5 6 6 VALERIE 15.7 50.4 114 302 +1990 2 5 12 8 ALBERTO 48.7 342.5 123 569 +1998 1 4 18 8 DEBBY 44.9 50.0 59 321 +1955 12 2 6 8 WILLIAM 63.8 280.6 150 720 +1995 11 14 18 26 PATTY 20.8 316.1 62 235 +2004 6 27 6 9 VALERIE 42.1 7.6 90 220 +1993 6 4 0 10 DEBBY 48.4 237.5 42 253 +1962 10 4 12 9 VALERIE 53.3 152.1 108 130 +1994 11 19 18 20 RAFAEL 54.4 22.3 65 113 +1990 1 28 0 23 WILLIAM 29.9 145.4 23 521 +1978 9 8 18 25 WILLIAM 14.6 263.0 100 720 +1953 3 24 18 10 JOYCE 13.3 285.9 133 897 +1966 8 22 0 28 LESLIE 18.9 318.9 79 896 +1964 7 8 6 11 HELENE 36.8 77.5 45 860 +1954 9 7 18 24 RAFAEL 66.1 83.2 74 883 +1961 5 1 6 14 PATTY 42.3 273.2 159 37 +1989 9 4 0 7 MICHAEL 36.1 228.5 56 579 +1966 3 7 18 5 ERNESTO 23.6 226.2 112 433 +1970 4 23 12 13 ISAAC 56.9 283.1 88 175 +1951 8 23 12 23 RAFAEL 43.9 78.7 148 442 +1985 5 24 0 8 ISAAC 37.9 23.5 121 217 +1984 4 11 18 11 OSCAR 13.8 41.1 137 410 +1979 8 13 18 28 LESLIE 44.0 325.3 88 646 +2003 2 17 18 23 MICHAEL 19.6 313.4 146 9 +1971 10 18 18 18 ALBERTO 26.5 157.5 150 674 +1985 7 18 18 28 JOYCE 24.1 270.4 45 804 +1999 7 28 0 10 FLORENCE 43.1 285.5 155 360 +1961 5 7 12 15 RAFAEL 31.6 128.8 68 385 +1960 7 20 18 28 RAFAEL 34.3 289.1 97 508 +1985 11 17 0 3 ISAAC 10.0 12.4 82 182 +1972 12 9 0 9 BERYL 15.9 151.2 161 170 +1970 1 7 6 4 FLORENCE 12.4 331.2 59 587 +1950 2 13 12 17 ERNESTO 63.4 288.3 63 74 +1988 2 5 12 11 NADINE 17.2 25.1 14 457 +1998 9 6 0 27 HELENE 12.7 91.3 44 279 +1974 8 14 12 10 LESLIE 32.9 147.8 140 124 +1998 8 26 18 28 GORDON 37.9 134.0 113 41 +1967 10 14 12 26 ALBERTO 9.2 221.5 148 544 +1967 8 9 6 24 LESLIE 43.0 350.3 85 713 +1978 9 24 6 2 MICHAEL 59.3 251.2 59 550 +1954 2 12 18 5 JOYCE 24.4 122.3 141 620 +1993 2 6 12 20 LESLIE 18.1 11.1 128 490 +1981 1 12 0 17 GORDON 32.3 136.6 69 584 +1971 5 28 0 17 PATTY 14.2 243.0 17 421 +1952 2 23 18 1 WILLIAM 25.2 350.0 43 561 +1981 3 26 0 25 BERYL 18.0 175.5 159 622 +1997 5 19 6 22 CHRIS 11.9 21.7 70 805 +2004 8 9 0 17 RAFAEL 60.0 290.9 147 295 +1965 1 24 12 13 GORDON 62.2 193.3 129 8 +1956 3 12 18 7 JOYCE 58.6 106.7 83 613 +1959 1 10 0 24 TONY 46.6 340.2 45 522 +2003 7 19 0 25 SANDY 67.0 219.6 97 883 +1970 3 3 0 17 CHRIS 52.1 129.1 55 65 +1998 1 22 18 19 FLORENCE 40.3 61.2 66 345 +1989 8 16 18 27 NADINE 13.9 213.3 103 359 +1993 9 25 18 12 FLORENCE 24.1 142.3 85 213 +1994 7 21 6 23 ERNESTO 10.8 357.1 21 870 +1963 4 17 6 5 NADINE 66.1 184.5 62 211 +1962 7 21 6 24 CHRIS 7.7 166.1 18 364 +1979 5 21 12 2 SANDY 15.3 195.2 32 83 +1954 1 2 0 22 TONY 29.5 217.3 146 388 +1988 3 13 6 26 PATTY 7.7 298.9 120 11 +1983 6 4 12 19 OSCAR 37.8 192.8 80 581 +1988 2 21 18 26 NADINE 52.5 147.1 105 292 +1983 12 17 0 2 VALERIE 63.7 301.1 21 205 +1988 12 16 12 7 SANDY 12.6 330.2 84 31 +1962 8 9 0 24 ERNESTO 33.2 31.7 54 395 +1988 9 4 6 24 FLORENCE 22.9 318.7 54 843 +1967 7 4 0 2 JOYCE 58.1 44.2 88 41 +1997 3 11 18 4 KIRK 40.5 45.8 104 330 +1972 5 8 18 10 ISAAC 59.2 36.7 158 446 +1987 8 9 12 12 HELENE 20.7 80.2 14 459 +1996 12 25 0 2 NADINE 10.2 322.3 65 747 +1988 5 15 6 15 CHRIS 49.1 143.9 60 833 +1973 9 23 6 19 LESLIE 19.7 203.1 110 164 +1999 6 28 12 20 WILLIAM 40.9 66.4 74 112 +1995 4 12 0 15 GORDON 67.1 30.2 107 770 +1994 11 2 12 28 CHRIS 43.8 84.9 114 23 +1967 5 4 12 25 RAFAEL 38.6 299.6 75 109 +1987 1 22 12 24 TONY 35.6 248.8 52 667 +1961 7 8 6 21 CHRIS 37.1 59.5 47 805 +1960 9 25 12 6 KIRK 45.7 69.2 110 411 +2001 2 26 18 12 LESLIE 54.7 158.7 41 664 +1989 7 9 18 15 ERNESTO 56.4 159.0 112 547 +1975 1 15 0 18 TONY 32.3 2.2 18 319 +1977 7 8 18 20 VALERIE 65.6 225.7 153 814 +1967 7 25 18 1 OSCAR 21.8 273.5 130 82 +1965 2 24 18 19 VALERIE 68.9 236.3 108 844 +2000 5 23 18 1 WILLIAM 45.7 256.0 79 490 +1987 12 16 12 16 HELENE 23.0 155.2 29 887 +1977 9 25 18 16 KIRK 22.5 33.2 86 850 +1968 11 25 12 24 NADINE 40.2 180.6 20 159 +1955 9 26 6 9 PATTY 61.2 126.0 128 438 +1963 2 13 0 27 PATTY 37.5 18.2 72 220 +1962 6 22 18 2 TONY 38.7 49.3 116 629 +1976 12 25 0 10 ALBERTO 42.0 144.0 156 665 +1982 6 17 6 20 MICHAEL 52.4 310.3 109 627 +1977 12 5 0 27 JOYCE 18.1 280.4 28 147 +1976 8 24 0 19 FLORENCE 32.6 18.5 49 771 +1955 11 11 6 1 DEBBY 14.5 299.9 30 809 +1965 6 6 12 24 VALERIE 14.2 321.4 90 835 +1979 6 3 18 19 LESLIE 45.6 30.1 147 277 +2002 3 5 18 28 ISAAC 57.5 59.6 54 556 +1965 5 20 6 18 BERYL 64.0 186.1 98 86 +1964 8 16 6 17 LESLIE 18.1 106.7 139 559 +1984 10 4 0 28 ISAAC 12.6 319.9 131 442 +1953 3 7 12 13 WILLIAM 35.5 321.0 26 609 +1968 6 27 12 25 GORDON 38.7 261.9 34 718 +2003 12 11 12 8 ISAAC 29.0 278.5 162 138 +1987 2 5 18 18 PATTY 58.1 138.3 149 285 +1960 8 9 18 24 ALBERTO 56.1 37.5 51 74 +1961 5 17 12 4 LESLIE 39.2 295.7 61 518 +1958 9 23 0 19 RAFAEL 25.7 94.7 142 342 +1968 5 8 6 18 LESLIE 53.0 42.1 97 421 +1993 6 19 6 7 HELENE 8.3 219.4 11 736 +1990 5 8 18 3 PATTY 54.1 100.4 90 183 +1969 6 17 6 26 ALBERTO 44.3 295.9 151 307 +2001 3 6 0 16 BERYL 65.7 174.6 28 310 +1954 10 23 12 3 ERNESTO 67.3 121.5 84 114 +1993 6 17 0 11 KIRK 35.2 158.6 40 260 +1963 6 5 6 25 LESLIE 62.7 34.6 77 312 +1978 9 10 6 27 WILLIAM 69.6 31.9 98 75 +1968 5 5 18 2 KIRK 23.7 244.9 86 10 +1989 11 20 12 12 ISAAC 62.5 110.7 118 133 +2001 2 27 18 22 ERNESTO 41.5 205.2 11 5 +1967 7 8 18 18 KIRK 40.6 349.4 135 329 +1955 10 18 6 21 DEBBY 53.2 280.4 144 133 +1979 10 10 18 26 WILLIAM 34.2 218.8 31 820 +1989 12 18 0 21 VALERIE 55.1 26.3 87 531 +2004 12 19 0 21 FLORENCE 43.5 80.6 121 627 +1958 11 18 12 11 VALERIE 16.9 122.5 159 638 +1965 10 23 18 16 ALBERTO 32.0 2.4 11 326 +1976 7 23 18 23 OSCAR 42.4 37.3 75 649 +1985 12 14 18 24 TONY 8.4 153.2 116 15 +1957 3 16 6 3 BERYL 64.6 37.0 138 456 +1986 5 3 6 4 RAFAEL 21.8 197.3 132 328 +1979 11 5 6 7 SANDY 24.9 92.1 52 188 +1950 4 17 6 10 LESLIE 47.3 82.0 135 242 +1963 12 24 18 4 ISAAC 27.1 286.6 60 801 +1985 4 3 12 28 SANDY 54.5 234.6 62 869 +1952 9 26 0 24 OSCAR 11.4 129.7 17 537 +1963 8 7 0 28 ISAAC 21.7 285.8 26 880 +1992 12 15 12 27 TONY 67.5 241.0 67 548 +1978 2 13 0 28 MICHAEL 16.6 165.2 70 506 +1951 8 6 12 24 LESLIE 17.5 159.5 90 451 +1990 7 11 12 16 FLORENCE 63.8 235.5 19 666 +1993 7 25 6 11 TONY 16.7 13.3 120 741 +1963 4 7 0 20 VALERIE 9.5 247.7 17 422 +1994 5 21 12 7 SANDY 56.6 318.0 11 826 +2002 3 2 0 7 RAFAEL 49.7 347.8 118 896 +1957 1 9 0 7 WILLIAM 68.6 207.0 143 240 +1984 2 6 0 11 ERNESTO 27.1 298.5 72 768 +2003 4 22 12 28 FLORENCE 39.4 105.9 142 701 +1978 3 20 12 1 PATTY 29.4 111.5 16 280 +1984 11 26 12 14 DEBBY 12.1 315.6 50 554 +1985 11 14 6 21 TONY 47.2 13.1 19 579 +1962 2 25 0 14 ALBERTO 28.9 189.6 11 400 +1985 10 28 0 26 MICHAEL 28.6 264.7 64 686 +1980 9 28 18 16 FLORENCE 24.9 178.1 55 427 +1988 10 1 12 24 HELENE 62.6 229.0 38 514 +1997 3 7 18 14 WILLIAM 20.8 282.2 26 339 +1964 1 26 6 4 FLORENCE 21.7 94.0 107 83 +2004 9 12 6 11 BERYL 46.0 39.6 126 826 +1982 6 5 18 19 BERYL 14.8 354.9 34 302 +1997 11 14 12 24 HELENE 30.5 89.8 134 529 +1987 6 6 0 1 ISAAC 55.2 48.6 51 361 +1990 5 7 18 8 ALBERTO 22.2 84.7 108 150 +1971 1 3 0 17 DEBBY 46.0 263.7 60 5 +1972 7 20 12 14 KIRK 54.6 63.5 99 672 +1957 8 19 0 14 ALBERTO 55.1 310.4 134 634 +1993 5 27 0 16 OSCAR 14.3 195.3 140 64 +1960 2 11 18 19 VALERIE 45.9 149.4 75 416 +1956 3 20 6 27 ALBERTO 12.7 237.4 25 516 +1975 4 24 12 5 ISAAC 41.3 316.9 37 612 +1952 10 13 0 23 MICHAEL 18.4 20.8 160 228 +1969 3 19 18 12 RAFAEL 14.4 55.8 157 4 +1994 9 3 12 2 LESLIE 13.4 146.0 157 843 +1952 1 6 12 5 CHRIS 51.0 179.2 21 577 +1977 1 20 0 24 VALERIE 17.5 336.5 117 790 +1983 9 10 18 24 OSCAR 33.5 351.7 148 133 +1994 8 16 6 20 LESLIE 43.3 77.0 163 16 +2003 5 13 12 27 LESLIE 39.6 37.2 60 72 +1993 8 24 18 28 HELENE 25.7 82.8 142 130 +1997 3 7 6 16 HELENE 33.9 334.9 70 536 +2003 1 10 6 11 SANDY 63.2 313.1 129 593 +1954 4 13 12 28 ISAAC 29.9 300.6 100 505 +1962 4 8 12 18 ISAAC 69.6 323.4 39 425 +1995 8 14 0 25 TONY 65.1 308.7 11 411 +1951 8 4 18 22 GORDON 39.5 162.7 111 870 +1987 8 4 12 13 HELENE 24.8 296.4 104 476 +1959 4 13 6 1 NADINE 18.2 342.7 91 799 +2004 2 18 12 21 ISAAC 19.7 293.2 114 217 +1986 9 9 0 14 WILLIAM 47.6 318.0 162 110 +1981 10 25 12 11 HELENE 11.5 333.6 34 650 +1960 6 2 18 21 FLORENCE 69.5 236.3 79 868 +1953 7 12 6 24 GORDON 43.9 121.5 26 411 +1961 1 11 6 25 LESLIE 22.6 239.4 134 398 +1994 10 27 6 10 ISAAC 19.3 325.2 155 477 +1999 2 14 0 9 LESLIE 13.4 20.5 96 680 +2000 10 2 18 23 WILLIAM 45.3 311.8 120 716 +1990 3 26 6 19 KIRK 33.2 249.7 102 40 +1958 3 5 12 17 PATTY 50.1 316.9 15 830 +1987 4 27 6 28 ALBERTO 50.4 302.6 82 49 +1981 2 8 0 25 SANDY 51.9 279.2 74 95 +1987 5 22 18 5 FLORENCE 66.4 146.7 51 317 +1966 1 16 12 4 OSCAR 56.0 309.0 134 805 +1956 10 5 18 11 SANDY 14.5 211.9 156 645 +1970 7 20 6 11 KIRK 38.3 83.4 36 270 +1993 9 27 6 28 CHRIS 14.8 7.2 106 845 +1963 2 12 6 3 LESLIE 61.5 216.2 94 421 +1994 6 16 0 8 DEBBY 34.0 257.2 160 536 +1971 1 24 12 24 SANDY 31.3 289.4 117 285 +1993 4 27 6 15 TONY 64.5 105.2 137 134 +1996 6 20 18 18 ERNESTO 42.6 193.7 68 380 +1996 6 8 12 16 ISAAC 22.1 55.7 64 485 +1973 10 5 12 3 PATTY 58.7 272.6 80 481 +1987 3 22 12 5 ERNESTO 39.0 33.6 70 59 +1968 7 6 12 1 ISAAC 53.0 267.5 141 227 +1972 8 5 0 16 VALERIE 58.0 200.7 24 774 +2000 10 7 0 17 ALBERTO 33.0 329.3 44 179 +1976 5 15 6 17 GORDON 9.0 37.3 74 14 +1994 10 15 12 16 SANDY 36.6 81.0 52 102 +1992 9 2 18 14 RAFAEL 17.5 227.4 116 9 +1970 5 26 6 10 OSCAR 60.6 81.5 21 341 +1975 6 6 6 1 NADINE 20.9 273.9 16 552 +1990 12 22 0 21 WILLIAM 35.7 206.6 15 525 +1952 11 8 0 21 PATTY 8.6 68.5 30 64 +1986 9 22 0 10 PATTY 26.5 203.6 148 337 +1965 10 27 18 5 CHRIS 55.5 357.6 108 151 +1967 10 11 12 13 ISAAC 12.8 97.6 104 184 +1963 3 13 18 21 WILLIAM 56.2 54.9 144 442 +1964 11 2 18 19 WILLIAM 47.4 29.2 94 577 +1974 11 4 6 11 JOYCE 21.1 1.3 154 620 +1987 2 4 6 1 BERYL 64.0 75.2 29 869 +1972 10 23 12 14 FLORENCE 31.1 31.8 75 833 +1974 4 23 18 2 ERNESTO 51.7 232.9 63 88 +1992 9 17 12 1 GORDON 48.2 114.9 69 517 +1986 11 1 12 18 OSCAR 31.0 304.8 106 514 +1978 7 3 6 1 CHRIS 24.5 82.4 122 233 +1952 3 7 0 13 NADINE 44.6 263.6 114 476 +1982 9 2 18 3 BERYL 60.7 155.4 28 763 +1996 10 20 0 23 GORDON 25.1 355.4 143 419 +1992 12 19 0 18 OSCAR 57.3 141.2 138 6 +1956 2 6 6 10 GORDON 55.5 89.1 36 786 +1978 4 9 18 23 RAFAEL 55.4 276.7 125 399 +1963 8 24 18 18 TONY 23.1 182.4 94 177 +1982 1 11 0 1 FLORENCE 21.2 150.9 57 145 +1998 3 25 0 24 NADINE 60.5 318.5 89 855 +1984 7 27 12 2 VALERIE 62.1 266.0 25 355 +2000 12 24 18 11 ISAAC 47.9 316.4 139 393 +1982 12 20 6 19 WILLIAM 14.3 223.6 150 724 +1987 2 19 6 23 HELENE 20.7 329.8 159 721 +1982 6 1 6 6 HELENE 26.2 205.2 147 705 +1957 8 6 12 3 ALBERTO 19.5 242.5 76 190 +1956 2 21 18 14 WILLIAM 8.9 327.7 95 561 +2004 12 14 18 5 FLORENCE 9.5 84.5 76 649 +1984 12 22 0 17 ISAAC 34.8 172.1 27 501 +1971 4 14 0 16 ALBERTO 14.1 315.5 108 722 +1973 1 10 6 22 FLORENCE 44.9 159.5 137 625 +1984 10 10 18 13 DEBBY 16.9 129.0 88 227 +1984 12 28 12 3 KIRK 55.2 0.1 58 740 +1999 12 7 0 16 ALBERTO 27.7 221.8 159 673 +1960 10 27 18 16 HELENE 18.1 103.8 51 325 +1962 6 6 12 19 NADINE 48.3 190.3 42 181 +1966 5 28 12 12 CHRIS 45.1 192.3 43 211 +1971 10 8 6 12 WILLIAM 45.3 332.4 53 492 +2003 9 15 6 1 LESLIE 7.0 208.3 28 747 +1998 5 4 12 11 NADINE 67.9 107.4 83 630 +1985 10 2 18 14 WILLIAM 24.5 188.0 75 507 +1986 1 14 6 7 VALERIE 31.3 141.5 54 279 +1952 8 21 6 21 JOYCE 51.0 324.9 25 705 +1965 8 18 18 22 MICHAEL 26.0 187.2 156 249 +1956 6 26 12 10 MICHAEL 27.9 145.7 116 247 +1996 5 1 6 15 JOYCE 47.4 323.8 118 500 +1966 2 28 0 10 KIRK 62.4 52.4 156 821 +1977 2 21 12 12 CHRIS 48.2 257.7 65 227 +1964 12 14 0 27 ISAAC 38.9 108.6 120 525 +1986 11 16 18 27 ERNESTO 59.6 205.3 152 272 +1983 9 10 6 22 BERYL 7.5 253.0 41 860 +1962 4 13 12 20 MICHAEL 42.5 43.6 75 721 +1970 10 15 18 22 WILLIAM 10.3 175.7 84 186 +1959 5 21 6 7 TONY 22.7 310.3 19 714 +1988 2 1 18 13 OSCAR 25.4 341.1 156 189 +1985 7 8 12 24 OSCAR 49.2 282.9 94 768 +1971 3 17 12 9 WILLIAM 7.1 294.4 63 266 +1961 8 18 12 15 WILLIAM 59.1 317.0 34 352 +1958 2 3 18 19 VALERIE 49.8 6.8 87 601 +1973 10 10 0 11 RAFAEL 30.1 343.3 159 766 +1950 4 26 18 27 DEBBY 34.0 213.1 102 346 +1973 11 17 6 19 ERNESTO 57.4 51.7 82 449 +1974 3 28 12 11 WILLIAM 62.9 260.4 93 344 +1962 4 4 18 10 KIRK 16.0 216.3 36 163 +2002 10 8 12 13 TONY 44.6 331.9 134 647 +1952 7 21 0 16 CHRIS 11.4 9.8 12 689 +1967 4 12 12 5 RAFAEL 24.1 347.3 65 371 +1965 6 19 6 8 TONY 25.5 237.5 135 593 +1958 10 9 0 2 SANDY 60.4 295.9 110 465 +1978 8 18 6 25 BERYL 28.9 69.7 97 683 +1968 2 1 18 18 FLORENCE 51.5 66.9 135 476 +1989 12 28 18 20 ERNESTO 56.8 25.9 10 409 +1993 11 9 18 5 ISAAC 48.9 14.4 164 719 +1995 5 22 12 1 CHRIS 25.8 308.4 16 113 +1989 3 11 0 16 FLORENCE 49.6 20.1 92 534 +1997 2 5 0 1 MICHAEL 11.9 141.4 58 45 +1996 12 21 18 6 MICHAEL 39.2 11.4 111 291 +1989 2 15 12 19 BERYL 36.4 199.9 17 803 +1990 2 15 12 12 CHRIS 38.1 61.2 122 100 +1975 5 9 6 17 PATTY 68.4 125.4 132 668 +1992 4 18 18 21 VALERIE 42.2 152.4 135 683 +1988 9 12 0 5 SANDY 59.1 317.6 83 735 +1986 8 28 18 26 BERYL 9.1 219.1 131 149 +1969 7 16 12 4 KIRK 48.3 254.6 63 585 +1988 9 12 12 18 HELENE 54.5 67.8 127 562 +2004 9 5 12 25 NADINE 42.5 149.7 64 838 +1991 8 10 0 16 WILLIAM 18.3 288.0 115 766 +1967 6 1 18 22 BERYL 52.0 272.6 149 879 +1962 10 23 6 26 DEBBY 61.5 138.3 83 502 +1964 6 1 12 26 BERYL 70.0 76.7 93 172 +1972 9 8 6 26 NADINE 36.7 248.9 126 751 +1999 12 20 0 13 HELENE 17.2 185.9 157 35 +1998 9 19 12 14 OSCAR 19.5 89.7 142 202 +1975 11 19 0 10 FLORENCE 52.9 246.7 151 874 +1977 11 4 0 2 SANDY 34.9 214.6 97 365 +1979 7 3 18 12 CHRIS 53.3 204.4 69 295 +1962 5 3 0 16 MICHAEL 68.3 54.6 119 297 +1974 9 27 6 3 OSCAR 66.6 81.1 151 11 +1992 11 17 18 23 DEBBY 33.2 348.7 29 669 +1952 12 24 18 22 SANDY 53.5 305.2 61 163 +1951 8 24 18 9 HELENE 49.9 39.7 125 111 +1972 5 13 18 6 RAFAEL 49.7 2.1 107 658 +1978 2 18 6 12 OSCAR 51.2 261.5 54 121 +1993 10 4 6 25 HELENE 47.8 148.3 54 293 +1983 7 11 12 27 VALERIE 35.5 88.7 104 535 +1968 9 16 18 13 DEBBY 37.1 355.9 110 700 +1998 2 18 0 7 KIRK 18.5 286.9 131 694 +1963 2 14 18 11 WILLIAM 64.6 41.2 161 730 +1977 2 15 18 10 GORDON 45.9 18.7 125 786 +1950 12 7 18 12 DEBBY 40.0 111.6 83 223 +1972 4 13 6 22 VALERIE 31.5 310.7 159 356 +1960 3 18 6 20 ERNESTO 40.7 174.4 63 485 +1969 12 4 12 3 LESLIE 17.2 247.6 141 412 +1961 8 18 6 18 RAFAEL 58.1 177.6 111 774 +2003 11 13 0 8 MICHAEL 64.5 1.3 39 539 +1958 1 7 18 3 WILLIAM 7.2 267.2 69 630 +2000 1 9 0 4 OSCAR 9.7 76.3 49 804 +1982 3 9 6 15 CHRIS 66.7 5.6 113 513 +1973 2 19 12 11 JOYCE 57.6 180.9 32 767 +1991 8 21 18 6 KIRK 32.8 133.2 77 565 +1990 8 20 12 6 SANDY 9.7 82.9 56 437 +1958 1 6 12 18 ERNESTO 33.9 42.8 75 246 +1989 8 4 18 12 KIRK 35.8 263.7 148 74 +1956 12 1 18 25 BERYL 29.8 105.3 72 806 +1996 2 3 18 20 GORDON 35.7 294.5 59 99 +1984 11 26 0 23 NADINE 67.8 88.1 23 388 +1992 4 15 0 9 PATTY 8.8 165.0 145 429 +1954 9 17 12 18 MICHAEL 21.4 71.6 161 757 +1953 4 1 6 15 DEBBY 52.5 142.2 120 10 +1996 5 11 0 22 WILLIAM 64.2 103.8 118 475 +2001 8 4 12 4 SANDY 65.9 221.0 112 785 +2002 8 15 6 4 LESLIE 20.1 231.6 54 782 +1956 11 22 0 5 RAFAEL 66.4 302.8 45 57 +1998 10 26 12 23 KIRK 28.7 54.2 43 805 +1976 4 19 18 18 DEBBY 68.7 58.5 138 642 +1994 1 2 12 28 ISAAC 60.3 356.5 41 538 +1962 8 20 6 9 OSCAR 54.6 161.8 127 818 +1955 11 19 12 17 ERNESTO 42.9 147.4 68 406 +1979 12 13 0 28 DEBBY 44.5 39.6 163 219 +1976 7 11 6 20 JOYCE 15.3 180.2 139 122 +1962 7 4 0 17 PATTY 54.2 84.7 89 53 +2003 11 21 6 4 LESLIE 28.7 55.8 44 576 +1971 10 1 0 16 CHRIS 13.5 90.6 42 564 +1964 9 9 18 11 NADINE 8.2 67.0 107 886 +1959 12 26 12 23 PATTY 39.5 35.6 74 887 +1998 5 21 6 16 HELENE 63.7 57.3 102 552 +1983 10 2 6 3 GORDON 20.3 59.8 130 381 +1973 12 19 12 22 LESLIE 48.0 72.1 161 495 +1965 9 5 0 19 ALBERTO 16.7 73.3 40 383 +1988 2 26 0 10 HELENE 40.1 225.5 162 322 +1954 5 5 0 15 DEBBY 58.2 2.6 89 886 +1976 11 4 6 28 DEBBY 21.0 48.4 113 784 +1988 11 21 0 3 KIRK 59.4 180.9 42 590 +1978 3 8 18 27 CHRIS 14.3 36.0 109 714 +1974 12 27 6 6 SANDY 44.5 239.9 31 859 +1980 5 22 6 20 SANDY 55.0 224.0 133 548 +1973 12 12 6 1 GORDON 28.9 230.5 21 103 +1999 5 4 6 19 ALBERTO 43.7 182.8 15 244 +1992 12 3 18 10 OSCAR 30.9 205.6 28 247 +1994 4 14 18 2 CHRIS 63.2 306.0 118 675 +1997 12 23 12 10 ALBERTO 30.8 339.7 33 830 +1955 1 27 0 12 ERNESTO 44.3 310.0 27 511 +2000 10 5 18 27 FLORENCE 67.7 349.9 128 604 +1970 6 7 6 19 ISAAC 34.6 203.3 86 498 +1982 11 11 12 28 HELENE 45.8 164.5 32 245 +1983 7 2 0 16 FLORENCE 39.8 244.5 98 532 +1992 12 25 0 25 HELENE 50.7 260.8 120 333 +1996 12 10 6 18 CHRIS 36.6 207.3 30 586 +1965 11 21 12 17 NADINE 49.3 9.9 128 771 +1999 1 9 0 19 RAFAEL 57.6 2.6 55 611 +1993 6 14 18 14 FLORENCE 64.0 323.4 154 637 +1984 12 23 0 3 ISAAC 58.6 254.7 12 862 +1964 11 20 0 10 BERYL 23.3 110.8 71 671 +1958 10 22 0 24 ISAAC 35.1 274.6 12 722 +1963 10 27 18 6 WILLIAM 35.1 248.4 45 7 +1976 12 2 0 25 HELENE 59.0 321.9 51 454 +2002 10 19 6 22 PATTY 67.2 80.5 145 193 +1972 1 18 18 5 ERNESTO 46.2 40.0 128 384 +1989 10 1 0 26 HELENE 19.9 187.6 125 122 +1967 1 7 6 23 HELENE 20.0 157.1 143 25 +1957 3 20 6 11 LESLIE 58.4 145.2 108 855 +1951 11 23 18 16 BERYL 42.6 342.2 92 496 +1974 4 13 18 4 PATTY 24.1 265.7 129 639 +1978 3 7 6 24 WILLIAM 24.9 281.9 32 440 +1957 2 9 18 14 NADINE 42.8 323.0 122 648 +2003 3 16 0 7 PATTY 14.7 314.2 18 713 +1986 5 3 6 3 SANDY 22.3 166.3 78 345 +1957 4 1 0 8 DEBBY 52.3 97.9 102 587 +1989 10 2 12 7 TONY 55.4 186.2 25 703 +1953 2 15 0 20 ERNESTO 53.1 266.2 71 71 +1999 8 18 12 20 VALERIE 68.9 322.3 146 251 +1950 10 2 18 4 CHRIS 17.7 188.2 40 801 +1977 3 24 12 25 BERYL 68.7 138.5 97 797 +1995 5 2 18 11 LESLIE 25.4 101.6 158 22 +1960 7 11 18 15 ALBERTO 63.5 218.0 131 712 +1973 2 23 0 1 ERNESTO 56.1 258.0 50 632 +1958 10 2 0 14 ERNESTO 50.0 195.8 52 494 +1950 10 19 18 26 VALERIE 66.5 10.9 37 175 +1973 5 23 6 12 ERNESTO 65.3 113.1 52 836 +1996 4 4 0 9 NADINE 66.7 206.4 134 119 +1960 11 15 0 16 TONY 34.4 339.3 85 568 +1973 2 5 12 25 DEBBY 53.5 64.0 160 873 +1951 11 25 0 24 BERYL 55.8 157.2 158 660 +1964 2 28 0 28 LESLIE 48.9 255.9 26 499 +1950 9 17 12 3 HELENE 42.3 67.1 18 818 +1953 9 4 0 11 SANDY 17.3 227.1 129 224 +1975 6 4 6 11 FLORENCE 55.5 284.4 108 246 +1985 11 7 0 27 VALERIE 57.1 304.9 134 78 +1963 2 27 18 26 JOYCE 54.7 44.4 98 150 +1960 2 27 18 19 CHRIS 11.7 136.9 16 772 +1992 8 10 18 25 HELENE 19.2 292.7 157 677 +1962 3 5 12 10 SANDY 15.3 254.7 103 516 +1954 1 5 6 16 ERNESTO 44.3 135.0 77 748 +1994 3 12 12 7 CHRIS 10.7 281.2 49 684 +1995 4 5 6 7 FLORENCE 18.7 153.3 96 746 +1989 5 10 18 1 BERYL 17.5 26.0 130 307 +1997 8 4 18 23 ISAAC 55.1 48.8 102 312 +1977 6 26 6 8 NADINE 49.4 206.5 30 600 +1988 11 23 0 14 BERYL 9.6 283.7 110 839 +1976 8 13 18 23 FLORENCE 20.2 298.9 27 408 +1953 6 20 18 26 SANDY 16.6 258.4 77 181 +1972 8 20 0 19 BERYL 67.6 53.6 32 505 +1974 10 27 0 2 GORDON 31.2 220.8 129 110 +1971 12 11 0 14 OSCAR 64.6 130.3 21 896 +1961 5 8 18 21 PATTY 51.6 4.3 117 479 +1973 5 13 18 12 FLORENCE 57.4 47.8 88 716 +1995 7 18 6 16 NADINE 46.5 120.3 120 475 +1969 1 12 6 16 ISAAC 23.5 162.0 147 758 +1995 6 22 0 22 SANDY 33.1 15.4 121 358 +1998 3 3 12 20 VALERIE 15.2 29.7 152 148 +1981 12 2 12 8 OSCAR 23.9 157.7 86 24 +1989 5 9 12 3 VALERIE 37.5 268.3 59 317 +1970 1 12 6 21 ISAAC 55.4 296.5 43 576 +1996 10 5 0 8 KIRK 7.5 72.0 117 846 +1953 2 5 18 13 BERYL 64.4 98.5 150 392 +1998 11 21 12 26 PATTY 48.7 205.3 141 526 +1982 11 9 12 11 ALBERTO 34.6 56.3 52 854 +1987 5 12 0 21 PATTY 64.8 339.5 29 880 +1973 10 22 6 20 TONY 9.3 233.4 126 827 +1966 10 8 12 23 GORDON 63.4 50.2 116 474 +1984 5 24 18 26 SANDY 24.1 310.8 120 652 +2003 5 26 0 1 MICHAEL 56.2 132.6 152 252 +1952 3 28 18 11 WILLIAM 12.5 172.6 17 415 +1984 1 11 0 1 BERYL 48.4 92.1 93 280 +1979 5 1 6 16 ALBERTO 60.9 186.7 93 590 +1950 5 5 6 14 HELENE 24.0 177.8 145 554 +1997 5 3 12 7 FLORENCE 52.9 249.9 125 686 +2003 9 20 0 2 FLORENCE 27.4 281.5 108 216 +1956 2 21 12 13 ALBERTO 65.4 277.3 61 251 +1962 7 9 0 13 SANDY 30.2 73.4 56 577 +1971 8 10 18 12 CHRIS 21.9 310.1 24 644 +1975 8 3 12 2 ISAAC 53.9 214.7 98 460 +1986 10 13 0 3 HELENE 39.9 232.8 112 463 +1967 1 28 12 25 PATTY 36.1 119.8 11 586 +1988 9 26 0 3 FLORENCE 43.3 76.9 152 227 +1974 2 10 18 21 GORDON 8.5 239.8 93 547 +1968 12 21 6 18 NADINE 41.4 112.8 109 764 +1975 11 12 0 1 BERYL 63.1 352.9 81 480 +1970 1 11 18 3 SANDY 29.8 150.3 39 319 +2001 7 13 0 12 BERYL 28.9 262.3 83 816 +1972 8 19 18 5 TONY 41.2 248.2 149 554 +1991 3 13 6 22 VALERIE 66.7 77.7 100 412 +1991 12 28 12 12 LESLIE 35.8 146.6 139 58 +1997 1 7 0 18 VALERIE 26.5 180.1 42 393 +1983 12 1 18 16 SANDY 11.3 131.1 54 786 +1989 10 6 0 3 RAFAEL 29.4 117.4 77 124 +1967 2 6 6 17 NADINE 38.0 165.8 59 198 +1978 5 25 12 4 GORDON 62.8 291.6 135 451 +1950 8 6 18 20 HELENE 23.7 280.4 11 718 +1993 2 8 0 1 CHRIS 8.8 348.7 130 291 +1982 3 9 18 24 HELENE 49.2 232.6 60 783 +1953 3 8 12 8 VALERIE 56.7 318.9 126 539 +1971 3 23 18 26 ERNESTO 40.9 111.0 154 173 +1965 1 18 18 16 SANDY 61.6 97.2 150 516 +1987 2 25 12 2 ISAAC 36.7 173.9 17 16 +1954 3 24 6 14 FLORENCE 53.1 181.3 143 608 +1993 11 17 6 9 TONY 11.3 63.5 60 500 +2001 4 13 6 11 NADINE 34.9 209.9 26 652 +1968 11 21 12 3 SANDY 41.8 281.9 49 567 +1985 5 24 18 15 LESLIE 56.1 74.2 156 499 +1987 5 2 18 5 NADINE 42.2 81.6 24 225 +1988 8 12 18 11 SANDY 9.4 167.9 69 25 +1955 3 3 0 19 SANDY 63.8 11.9 63 245 +1988 2 20 0 26 GORDON 29.8 57.5 38 870 +1956 9 18 12 4 TONY 16.1 145.6 134 459 +1974 12 27 0 12 GORDON 64.5 265.8 31 511 +1987 7 6 6 16 DEBBY 13.3 229.5 102 230 +1956 6 14 6 12 KIRK 13.1 301.1 147 151 +1995 6 8 0 12 KIRK 50.4 132.5 128 793 +1998 9 8 6 1 MICHAEL 63.5 69.7 15 557 +2000 6 1 0 13 VALERIE 55.0 73.5 125 492 +1976 6 25 6 10 VALERIE 32.0 320.4 70 651 +1964 2 8 18 6 KIRK 14.8 43.1 139 537 +1988 7 21 6 14 KIRK 43.0 104.5 116 369 +1979 12 6 12 22 TONY 12.5 10.1 35 805 +1984 4 5 6 8 TONY 60.4 79.8 10 575 +1985 2 28 6 16 ERNESTO 12.3 152.1 155 393 +1976 10 13 18 22 DEBBY 69.1 290.9 65 161 +2004 11 26 6 6 ISAAC 49.1 135.7 155 458 +1951 8 9 6 8 PATTY 57.5 312.1 78 416 +1964 12 14 0 25 NADINE 69.3 224.1 51 511 +1999 11 15 18 7 KIRK 14.9 221.4 144 84 +1994 9 28 6 8 MICHAEL 62.5 185.8 139 110 +1958 1 11 12 4 TONY 61.0 323.8 86 473 +1982 2 18 12 12 FLORENCE 57.2 204.8 54 473 +1996 2 4 12 21 OSCAR 32.8 72.7 56 66 +1981 12 11 12 27 ERNESTO 59.7 256.4 61 749 +1998 10 16 0 1 JOYCE 27.7 50.1 115 32 +2000 11 16 12 14 ALBERTO 49.4 324.4 88 390 +1996 6 11 12 11 LESLIE 43.3 128.1 161 234 +1957 8 8 12 5 DEBBY 48.5 80.5 87 669 +1978 7 18 12 17 KIRK 29.2 9.0 78 146 +1970 2 16 18 9 GORDON 34.8 85.6 133 275 +1986 7 4 12 28 CHRIS 58.3 189.2 85 827 +1962 2 12 18 18 CHRIS 13.3 73.8 43 337 +1968 3 16 0 15 SANDY 61.4 23.5 140 465 +1954 6 20 0 22 JOYCE 63.1 105.2 137 798 +1983 11 24 0 8 LESLIE 52.9 92.1 47 448 +1980 4 22 12 15 RAFAEL 53.9 261.1 117 58 +1983 3 4 18 18 LESLIE 25.4 163.3 70 656 +1960 3 21 12 5 WILLIAM 28.2 35.9 10 382 +1996 8 2 0 18 BERYL 39.1 150.6 54 539 +1978 8 16 12 9 RAFAEL 57.4 207.0 104 642 +1986 3 16 6 11 HELENE 18.2 316.4 103 747 +2003 10 24 18 28 NADINE 66.6 99.3 139 105 +1972 1 22 0 7 HELENE 51.2 178.0 138 836 +1992 6 11 18 18 FLORENCE 68.6 67.7 63 680 +1954 8 17 0 18 OSCAR 47.4 46.3 107 32 +1981 12 5 12 14 GORDON 30.3 4.7 84 496 +1973 10 3 6 8 TONY 62.5 146.1 74 844 +1968 6 4 6 5 WILLIAM 22.4 204.2 133 24 +1971 9 28 6 9 MICHAEL 46.4 93.9 42 474 +1985 9 22 6 6 HELENE 44.7 174.9 152 130 +1972 9 2 12 26 ERNESTO 68.7 21.0 17 511 +1964 12 17 12 12 ERNESTO 41.4 277.6 51 24 +1957 4 26 6 17 GORDON 33.2 352.7 107 397 +1960 6 7 12 27 WILLIAM 45.8 293.7 105 238 +1956 1 17 0 3 VALERIE 59.9 294.1 111 86 +2003 2 15 12 6 WILLIAM 57.1 311.7 160 48 +1962 2 18 6 9 ISAAC 16.0 265.7 26 87 +1963 4 13 0 10 PATTY 12.9 264.0 59 684 +1995 7 22 12 5 CHRIS 49.6 324.2 89 814 +1957 9 25 12 5 LESLIE 11.6 65.1 61 530 +1978 6 19 12 27 GORDON 16.0 47.8 156 36 +1965 9 15 18 9 ALBERTO 20.6 276.5 102 58 +1955 5 10 6 18 MICHAEL 37.3 25.2 126 707 +1973 9 11 12 9 LESLIE 69.6 158.7 23 136 +1956 12 14 12 6 HELENE 52.7 98.2 85 285 +1992 10 18 18 4 BERYL 57.6 117.8 21 468 +1985 8 14 6 9 DEBBY 47.5 331.0 13 450 +1967 7 24 12 25 FLORENCE 9.5 281.6 160 506 +1951 8 14 12 21 VALERIE 12.5 289.3 135 850 +1963 8 7 0 18 CHRIS 43.9 38.8 80 186 +2001 1 25 12 5 JOYCE 19.4 234.0 155 627 +1982 1 17 6 21 OSCAR 30.9 177.4 129 882 +1959 2 6 0 16 TONY 55.7 244.6 47 86 +1964 11 10 12 5 VALERIE 45.8 233.0 134 187 +1973 6 6 12 11 PATTY 65.2 257.9 52 808 +1982 7 27 18 18 CHRIS 68.6 337.6 106 689 +1953 9 18 6 12 VALERIE 9.4 0.3 85 338 +2000 8 3 18 23 RAFAEL 58.2 152.0 16 234 +1959 5 11 12 13 HELENE 52.0 211.9 11 548 +1970 1 17 0 27 HELENE 60.7 290.0 162 440 +1982 7 24 6 17 SANDY 53.9 18.8 152 90 +1973 12 13 12 22 LESLIE 61.2 123.4 56 779 +1996 2 21 18 7 OSCAR 47.4 190.0 132 122 +2002 11 4 6 8 OSCAR 46.4 202.8 75 611 +1989 3 21 6 9 CHRIS 36.7 137.8 11 154 +1985 12 21 12 26 OSCAR 21.6 190.9 30 714 +1973 3 24 0 23 GORDON 51.3 332.1 112 325 +1975 2 15 6 19 RAFAEL 9.2 6.9 109 636 +1988 10 14 12 14 GORDON 44.4 71.3 35 551 +1972 7 23 12 22 WILLIAM 25.2 283.1 59 241 +2004 9 20 18 17 ERNESTO 30.9 236.0 94 75 +1951 4 15 6 16 RAFAEL 63.6 335.2 59 700 +1979 12 17 0 26 OSCAR 25.2 277.8 92 278 +1985 6 23 18 26 NADINE 30.3 285.5 121 732 +1976 9 10 0 24 VALERIE 26.1 149.0 153 284 +1990 6 11 18 26 ERNESTO 12.0 163.8 141 858 +1952 8 17 12 20 ALBERTO 8.8 155.5 46 498 +1995 10 19 18 2 LESLIE 12.5 209.1 108 204 +1970 8 12 18 19 ALBERTO 9.2 194.3 84 615 +1961 8 21 18 23 ISAAC 51.9 321.1 161 487 +1994 9 15 0 7 OSCAR 60.8 91.1 164 369 +1975 6 22 0 23 BERYL 48.2 290.1 24 844 +1976 5 27 12 4 LESLIE 9.1 238.6 115 622 +1961 7 16 12 19 OSCAR 54.9 95.7 92 422 +1976 8 26 6 8 ALBERTO 25.9 201.9 88 871 +1973 8 5 18 15 VALERIE 54.5 38.7 130 682 +1973 7 2 18 8 OSCAR 23.1 118.8 48 300 +1952 9 21 6 17 RAFAEL 47.3 255.0 44 219 +1982 6 13 6 15 BERYL 65.4 319.7 138 860 +1994 7 27 18 1 TONY 23.7 252.8 134 530 +1986 7 11 12 19 LESLIE 21.0 275.1 147 686 +1966 6 17 6 8 DEBBY 29.6 117.9 125 391 +1962 4 21 0 7 TONY 33.3 157.9 64 704 +2003 7 13 12 8 GORDON 10.3 37.6 164 574 +1955 4 8 0 8 WILLIAM 26.2 75.6 162 862 +2000 9 26 0 6 NADINE 57.9 355.9 157 505 +1967 12 21 6 12 PATTY 46.8 60.6 146 372 +1968 6 2 12 6 ISAAC 26.3 41.4 124 744 +1957 2 17 12 10 ERNESTO 19.4 161.6 21 429 +1995 12 11 6 15 GORDON 12.3 76.2 147 809 +2003 11 10 6 9 PATTY 45.3 195.0 144 668 +1982 2 9 6 18 NADINE 66.6 212.1 29 306 +1975 2 23 0 21 TONY 39.4 59.3 120 755 +1964 1 5 0 2 ERNESTO 45.3 16.3 101 349 +1957 12 28 6 20 BERYL 67.1 159.6 128 207 +1982 5 26 12 20 WILLIAM 25.5 42.9 161 585 +1989 2 27 0 14 PATTY 29.5 167.4 127 446 +1977 3 14 12 5 RAFAEL 8.6 121.4 148 368 +1986 3 14 0 24 BERYL 23.5 278.4 96 8 +2002 1 7 12 25 KIRK 40.1 278.2 79 328 +1980 7 16 18 14 NADINE 66.6 267.9 115 229 +2002 11 1 6 19 OSCAR 68.1 274.6 148 585 +1990 4 9 12 26 JOYCE 64.2 341.1 51 453 +1966 8 11 12 13 GORDON 23.0 279.8 41 371 +1980 6 9 0 25 LESLIE 17.4 251.2 90 92 +1968 7 1 12 9 SANDY 10.0 177.5 118 196 +1967 4 16 6 28 WILLIAM 60.8 320.2 44 764 +1992 2 19 0 7 VALERIE 14.6 1.6 81 473 +1955 3 19 0 20 BERYL 44.8 186.9 80 139 +1977 6 23 12 28 CHRIS 31.3 149.7 71 509 +1954 1 11 6 22 DEBBY 18.2 273.6 99 638 +1985 4 26 12 24 VALERIE 38.8 217.1 149 454 +1958 4 3 6 1 BERYL 49.2 235.1 56 180 +2000 12 24 12 28 ALBERTO 66.7 151.8 78 668 +1999 9 11 18 1 JOYCE 58.8 177.7 109 665 +1991 7 11 12 20 TONY 41.8 125.5 44 298 +1999 9 20 6 23 ERNESTO 14.4 247.1 31 899 +1988 4 19 12 25 GORDON 30.3 143.7 160 150 +1982 12 1 0 10 VALERIE 58.0 81.1 137 1 +1971 8 20 0 19 ERNESTO 62.4 160.9 78 400 +2003 3 4 12 13 ISAAC 28.4 122.5 147 565 +1966 2 6 0 25 PATTY 52.0 64.1 97 640 +1977 9 13 12 11 NADINE 14.1 23.2 122 862 +1980 1 5 18 18 LESLIE 37.1 18.8 102 172 +1996 12 22 12 5 JOYCE 26.1 58.9 28 572 +1957 9 26 0 23 BERYL 19.4 315.1 138 531 +1976 9 10 0 23 HELENE 36.7 70.2 146 407 +1978 1 14 12 16 GORDON 10.0 84.7 92 41 +1999 1 23 12 27 CHRIS 53.8 205.9 62 353 +1963 12 1 0 26 RAFAEL 14.9 355.5 15 484 +1964 6 23 6 6 GORDON 25.0 322.3 129 426 +1955 11 14 18 9 VALERIE 22.2 321.3 21 660 +1964 10 14 18 10 SANDY 63.1 332.9 156 469 +1991 5 16 18 14 ISAAC 51.8 150.5 106 714 +1951 3 9 12 16 LESLIE 38.7 323.2 56 253 +1981 8 22 18 2 KIRK 54.4 126.7 36 689 +1983 1 8 12 4 DEBBY 34.3 214.4 87 285 +1997 11 7 18 18 HELENE 7.5 211.8 88 359 +1986 6 24 6 6 DEBBY 50.4 314.4 26 657 +1979 10 16 0 16 HELENE 9.7 326.5 149 414 +1957 12 23 12 2 JOYCE 27.0 59.3 19 89 +1987 8 12 6 14 RAFAEL 25.8 189.7 127 200 +1971 1 28 0 4 VALERIE 53.3 244.4 21 553 +1984 9 21 18 5 MICHAEL 36.6 13.8 135 456 +1993 11 28 18 21 BERYL 54.3 60.7 153 637 +1956 12 2 0 1 ISAAC 49.0 309.1 115 508 +1996 7 24 6 10 JOYCE 49.3 159.2 153 9 +1952 12 22 0 26 BERYL 23.4 189.4 115 461 +1970 9 21 6 23 FLORENCE 63.4 325.6 126 583 +1953 3 24 0 15 HELENE 15.3 165.5 93 103 +1967 10 25 12 8 RAFAEL 58.5 16.3 129 776 +1957 11 25 0 27 RAFAEL 20.4 297.8 85 165 +1960 9 14 12 4 MICHAEL 19.0 30.7 131 121 +2002 12 11 18 16 BERYL 34.6 278.2 68 568 +1988 3 19 6 21 HELENE 55.6 176.5 32 591 +1973 2 1 0 20 NADINE 42.9 169.7 23 130 +1972 11 25 6 19 SANDY 44.6 168.2 115 577 +1952 12 27 18 20 ALBERTO 51.5 194.6 55 335 +1954 3 28 12 19 SANDY 33.8 113.2 124 715 +1996 8 20 18 12 RAFAEL 22.3 86.3 97 755 +1950 10 21 6 9 ISAAC 57.9 192.0 100 830 +1964 4 12 0 16 DEBBY 13.9 56.9 129 131 +1975 11 7 18 22 CHRIS 24.6 159.8 59 213 +2001 6 18 6 23 ALBERTO 38.4 113.6 46 870 +2004 9 10 18 8 SANDY 57.1 325.1 103 347 +1992 6 23 12 2 LESLIE 33.4 210.0 95 378 +1954 11 24 0 9 MICHAEL 8.9 252.5 158 124 +1962 2 20 0 27 CHRIS 49.9 79.6 64 500 +1992 6 11 18 11 KIRK 27.0 55.2 138 535 +2000 10 27 6 10 WILLIAM 45.2 54.2 63 624 +1954 3 17 0 28 GORDON 23.5 160.7 89 0 +2001 5 24 12 5 KIRK 48.4 224.8 89 697 +1996 8 9 12 4 OSCAR 36.7 22.4 91 266 +1981 2 26 12 1 JOYCE 50.5 297.1 103 300 +1958 4 18 6 16 RAFAEL 20.7 98.1 77 705 +1988 8 22 6 11 PATTY 33.6 247.0 128 868 +1973 8 24 18 15 SANDY 56.0 337.0 144 392 +2000 3 20 6 1 OSCAR 34.1 211.6 141 609 +1960 4 12 12 28 CHRIS 9.9 193.1 39 481 +1980 1 25 0 17 WILLIAM 21.0 118.9 152 715 +1953 7 3 12 15 ISAAC 12.4 197.8 66 568 +1969 5 23 18 6 MICHAEL 43.1 56.0 151 185 +1976 1 9 0 12 DEBBY 26.6 335.4 84 593 +1984 10 22 0 21 BERYL 46.7 203.3 100 589 +1979 8 18 18 1 FLORENCE 39.9 174.0 83 342 +1997 2 25 6 3 CHRIS 16.2 24.3 151 59 +1998 2 28 18 4 JOYCE 41.1 17.4 160 438 +1977 2 9 12 2 RAFAEL 62.8 49.3 133 765 +1950 3 27 0 11 ERNESTO 48.5 174.8 58 663 +1978 10 14 12 19 HELENE 24.4 269.4 59 769 +1966 9 5 6 5 ERNESTO 48.0 88.4 99 653 +1977 7 20 18 26 FLORENCE 57.8 69.5 126 203 +1963 8 6 12 16 VALERIE 20.2 31.8 105 174 +1993 9 25 6 5 JOYCE 24.1 274.1 84 95 +1978 6 13 12 26 ERNESTO 37.5 17.3 36 11 +1993 5 17 12 8 SANDY 26.1 357.8 163 660 +1973 7 8 12 21 NADINE 36.0 9.3 109 184 +2004 11 24 6 22 KIRK 25.3 283.9 136 641 +1995 6 16 18 11 CHRIS 10.5 50.2 119 354 +1999 12 4 0 26 MICHAEL 56.0 65.9 10 19 +1987 10 18 12 11 GORDON 69.8 8.7 139 154 +1953 10 2 0 5 ERNESTO 66.8 86.3 13 479 +1974 2 15 12 25 ALBERTO 67.8 113.0 61 181 +1984 3 12 6 17 PATTY 25.6 214.5 16 570 +1979 5 16 12 19 NADINE 37.9 261.8 55 509 +1964 4 23 6 11 KIRK 26.2 163.6 83 224 +1986 6 3 6 23 PATTY 9.0 83.5 34 351 +1998 4 15 18 7 RAFAEL 61.4 353.1 56 781 +1961 2 25 0 24 TONY 37.0 86.1 37 713 +1954 1 5 6 15 VALERIE 19.3 166.8 94 255 +1994 10 20 12 6 TONY 11.2 6.8 150 766 +1996 8 10 0 19 MICHAEL 18.2 79.3 96 664 +1998 9 26 18 9 ERNESTO 7.6 223.5 117 744 +1996 10 27 6 6 SANDY 51.8 43.8 151 85 +1990 1 20 18 6 ALBERTO 48.6 39.2 139 219 +1954 5 16 6 3 SANDY 53.1 323.0 44 380 +1985 11 28 18 7 BERYL 28.8 170.8 60 291 +1974 8 23 12 1 HELENE 36.7 73.4 89 495 +1975 5 9 0 12 MICHAEL 42.5 143.5 27 67 +1959 3 25 18 19 RAFAEL 57.3 237.1 19 351 +1972 2 10 18 3 RAFAEL 64.7 210.9 53 47 +1950 6 3 18 18 NADINE 26.3 65.3 94 459 +1961 1 13 12 22 JOYCE 41.0 287.6 67 436 +1982 3 21 0 18 JOYCE 33.6 299.9 67 612 +1975 11 23 18 22 NADINE 62.7 287.2 126 26 +1956 10 7 0 1 BERYL 26.8 138.0 146 116 +2000 10 1 6 8 NADINE 23.3 52.8 110 0 +1996 7 17 6 22 PATTY 49.6 282.4 150 413 +1956 10 2 12 15 CHRIS 12.1 43.3 72 642 +1993 8 8 6 20 HELENE 48.7 108.8 58 5 +2003 10 6 12 24 JOYCE 49.8 305.2 160 497 +1982 12 6 12 23 TONY 51.1 293.6 65 450 +1997 9 3 18 3 PATTY 15.7 231.7 77 9 +1978 1 4 18 26 MICHAEL 60.5 151.6 131 389 +1996 4 11 0 28 FLORENCE 13.0 304.5 91 86 +2002 6 3 12 4 BERYL 22.9 288.4 84 253 +1960 3 6 12 21 ERNESTO 19.1 77.7 120 30 +1991 12 9 12 13 FLORENCE 24.8 340.0 54 894 +1970 8 27 12 23 NADINE 66.7 217.5 143 695 +1993 7 21 12 20 HELENE 56.3 346.6 92 269 +1974 12 19 12 3 DEBBY 28.4 346.3 135 684 +1976 6 19 12 6 FLORENCE 59.4 168.0 91 847 +1992 1 13 12 26 HELENE 61.2 169.9 106 433 +2001 4 10 12 18 CHRIS 66.2 49.0 148 5 +1986 4 18 12 9 BERYL 16.3 206.2 60 278 +1958 1 3 6 15 HELENE 44.7 46.0 39 861 +1995 5 7 12 18 LESLIE 14.8 188.5 18 732 +1951 5 20 6 28 NADINE 33.2 68.2 41 175 +1975 9 15 18 16 RAFAEL 13.4 60.5 76 273 +1986 7 6 18 15 ERNESTO 43.3 296.7 114 299 +1989 9 17 18 3 GORDON 7.4 232.2 57 309 +1975 2 15 18 8 TONY 9.7 201.9 103 806 +1990 10 2 18 12 GORDON 42.9 293.3 137 589 +2003 8 4 0 24 RAFAEL 17.2 14.8 164 528 +1959 4 3 6 22 VALERIE 29.6 85.1 94 312 +1986 10 19 12 21 NADINE 48.3 182.2 107 693 +1991 8 5 12 8 GORDON 7.6 179.9 100 458 +1983 6 11 12 22 DEBBY 9.7 355.8 151 399 +1973 3 10 18 25 LESLIE 19.3 239.1 43 890 +1966 4 28 18 9 VALERIE 33.3 142.7 125 660 +1997 11 17 6 28 LESLIE 47.6 207.1 150 17 +1956 4 21 0 12 NADINE 23.9 70.5 47 709 +1994 4 3 12 13 KIRK 8.1 68.1 77 275 +1998 12 3 18 26 DEBBY 13.7 256.9 85 391 +1982 11 26 12 14 FLORENCE 18.6 74.2 12 622 +1970 11 13 18 18 DEBBY 29.7 107.6 145 716 +1992 7 16 12 4 ISAAC 40.9 303.0 75 870 +1950 10 28 0 8 WILLIAM 68.9 181.4 95 26 +1959 9 14 12 26 LESLIE 21.6 291.5 153 0 +1955 12 2 12 11 RAFAEL 62.4 249.1 128 780 +1965 4 7 0 20 ALBERTO 53.5 11.3 100 190 +1960 11 7 0 21 CHRIS 44.6 60.3 95 436 +1976 10 25 12 10 RAFAEL 55.7 212.9 23 459 +1996 9 22 12 20 ERNESTO 29.5 102.4 57 736 +1988 3 15 0 17 ALBERTO 37.5 95.1 44 860 +1986 10 22 18 26 HELENE 50.7 153.4 87 138 +1992 10 1 6 12 OSCAR 57.8 241.3 58 149 +1957 4 15 6 1 ERNESTO 23.9 182.2 60 559 +1995 9 3 6 17 TONY 43.5 103.1 107 659 +1968 2 5 18 2 BERYL 51.3 241.1 30 242 +1968 7 18 6 22 HELENE 15.4 271.2 128 280 +1962 4 7 6 28 MICHAEL 48.8 55.8 11 376 +1959 11 21 0 13 CHRIS 67.0 4.1 67 831 +1952 3 11 12 2 SANDY 66.0 260.4 23 801 +1994 9 8 0 13 MICHAEL 21.1 275.3 43 219 +1988 7 24 0 15 TONY 24.9 291.0 13 685 +1999 5 17 12 9 FLORENCE 54.6 316.5 100 208 +2000 4 25 18 17 JOYCE 62.1 122.2 108 472 +1977 10 6 18 4 DEBBY 36.6 112.4 103 756 +2003 3 3 0 3 BERYL 35.9 20.2 29 29 +1971 10 24 18 16 GORDON 52.1 196.7 15 446 +1982 3 19 0 19 LESLIE 38.2 331.6 132 625 +1988 3 28 0 24 ALBERTO 55.1 28.3 128 550 +1950 11 22 0 3 JOYCE 7.8 261.1 160 791 +1988 4 18 18 3 WILLIAM 48.6 93.4 47 596 +1988 9 25 0 5 RAFAEL 12.5 272.3 56 763 +1955 5 10 18 17 ERNESTO 45.8 235.2 105 429 +1972 1 20 18 5 FLORENCE 19.5 309.9 164 102 +1965 9 1 18 8 HELENE 7.5 338.1 80 494 +1955 3 3 12 14 VALERIE 34.9 25.9 154 379 +1989 7 2 6 3 CHRIS 15.8 29.5 102 794 +1974 3 12 18 6 SANDY 54.7 1.4 55 238 +1985 8 10 6 22 BERYL 26.1 332.2 113 497 +1958 11 3 6 23 OSCAR 55.9 108.6 89 269 +1992 1 19 0 25 DEBBY 7.7 239.5 101 546 +2003 10 21 18 25 GORDON 67.9 69.3 78 491 +1993 4 20 0 12 RAFAEL 57.5 156.8 87 307 +1952 10 27 18 15 ALBERTO 31.1 320.0 141 596 +1952 6 11 12 28 BERYL 43.3 187.9 91 128 +1989 10 23 6 6 MICHAEL 64.4 0.2 23 88 +1954 12 19 0 2 DEBBY 19.0 267.1 41 169 +1961 6 21 6 26 WILLIAM 35.0 75.8 157 42 +1969 6 2 6 12 DEBBY 58.8 126.5 105 863 +1966 9 14 6 4 ERNESTO 59.0 273.0 150 531 +1996 6 8 0 5 GORDON 61.4 49.1 134 184 +1968 7 24 0 11 ALBERTO 63.9 160.5 94 687 +1980 7 15 18 10 ALBERTO 37.8 265.2 96 47 +1982 11 7 12 18 NADINE 65.9 148.4 139 524 +1977 1 12 6 22 LESLIE 22.4 35.6 107 83 +1994 4 4 18 17 VALERIE 7.9 156.5 160 408 +1993 6 8 12 20 KIRK 57.6 3.7 49 880 +1996 10 24 12 20 ALBERTO 18.8 36.9 10 592 +1974 9 7 18 13 PATTY 45.1 37.8 111 346 +1985 2 19 6 15 GORDON 15.4 68.5 116 199 +1973 7 11 6 7 RAFAEL 14.9 154.8 161 284 +1998 6 6 0 20 FLORENCE 35.7 104.9 133 227 +1973 10 15 18 19 ISAAC 68.5 111.8 35 591 +1982 12 15 0 16 SANDY 19.8 13.8 41 221 +1991 4 15 12 9 OSCAR 48.6 180.7 24 816 +1963 2 5 18 11 ISAAC 29.2 126.8 25 63 +1969 1 16 18 3 GORDON 27.1 197.0 116 688 +1950 11 24 12 26 MICHAEL 47.7 234.6 43 566 +1994 6 9 0 3 LESLIE 25.9 107.2 13 481 +2000 7 10 18 10 KIRK 62.7 283.0 124 602 +1978 7 15 6 22 HELENE 37.8 33.5 96 67 +1971 9 12 12 20 CHRIS 68.3 14.8 68 401 +1964 5 27 0 23 JOYCE 62.4 300.4 83 825 +1961 12 16 18 12 HELENE 22.4 79.0 126 424 +1994 9 3 18 18 FLORENCE 60.2 83.4 64 13 +1968 5 10 18 6 ALBERTO 23.1 41.3 46 48 +1994 5 7 6 15 GORDON 11.5 89.3 73 640 +1983 9 22 6 19 MICHAEL 28.1 285.0 99 269 +1959 7 1 12 11 OSCAR 60.4 222.6 152 336 +1984 10 10 12 2 OSCAR 62.3 75.1 52 143 +1998 5 26 12 17 TONY 27.2 16.4 110 758 +1979 3 17 0 28 WILLIAM 16.8 192.5 162 527 +1959 7 11 6 15 ALBERTO 43.8 197.6 40 631 +2002 12 6 18 12 RAFAEL 28.1 253.5 11 638 +1970 6 9 0 28 LESLIE 41.0 75.2 22 497 +1962 9 20 6 22 KIRK 39.3 334.5 62 277 +1960 7 19 12 21 TONY 24.1 177.0 84 870 +1974 11 2 0 17 KIRK 21.7 286.1 49 774 +1999 4 16 12 22 CHRIS 17.5 185.1 103 309 +1980 7 5 0 24 GORDON 64.5 59.2 106 334 +1952 8 9 0 1 DEBBY 19.0 230.8 129 391 +1964 3 16 12 13 BERYL 25.2 138.4 126 633 +1972 4 7 0 24 DEBBY 7.2 312.8 77 98 +1990 3 27 0 8 JOYCE 27.5 131.7 70 619 +1976 4 13 6 4 KIRK 69.8 97.1 142 392 +1967 6 24 12 8 BERYL 42.5 60.1 22 120 +1990 5 21 6 20 WILLIAM 46.8 294.9 28 25 +1995 7 16 0 24 OSCAR 64.4 169.3 139 230 +1969 2 20 12 28 FLORENCE 39.2 41.0 101 568 +1978 9 7 0 27 TONY 12.9 308.3 91 839 +1970 10 24 12 13 ALBERTO 20.8 124.8 88 237 +1997 10 12 12 21 VALERIE 50.2 109.6 106 393 +1985 9 15 6 23 DEBBY 40.8 182.9 136 544 +1965 10 15 12 10 VALERIE 63.7 331.0 90 579 +2003 3 6 12 27 DEBBY 62.8 18.8 84 786 +1958 3 8 12 19 DEBBY 27.3 26.8 57 98 +1964 2 9 6 10 NADINE 13.1 191.4 114 694 +1998 2 3 0 24 KIRK 7.9 46.3 12 356 +1977 2 12 12 2 TONY 67.0 216.3 33 635 +1962 9 12 6 10 SANDY 20.9 51.2 60 204 +1993 6 10 12 20 GORDON 10.0 200.6 81 198 +1960 11 22 12 12 TONY 45.1 241.0 123 896 +1985 1 15 12 22 VALERIE 10.0 95.1 138 532 +2003 4 26 0 27 JOYCE 27.0 242.9 149 765 +1960 10 26 0 6 MICHAEL 11.7 219.1 161 129 +1951 1 12 0 1 JOYCE 34.0 193.1 148 605 +1958 2 11 18 10 ALBERTO 51.6 167.2 74 892 +1991 11 12 0 13 DEBBY 46.0 103.0 97 568 +1986 4 4 12 14 CHRIS 61.2 186.2 128 381 +2001 2 21 18 23 FLORENCE 43.5 55.7 94 237 +1951 9 1 12 25 CHRIS 7.8 5.7 125 316 +1993 2 27 6 8 OSCAR 50.5 100.8 146 338 +1952 7 10 12 5 TONY 7.7 48.3 120 880 +1952 1 1 18 27 JOYCE 54.6 195.2 23 203 +1986 12 19 0 7 GORDON 17.9 47.7 36 43 +2000 11 3 6 20 ALBERTO 38.8 280.7 44 822 +1970 6 26 18 11 TONY 38.9 117.9 15 491 +1971 5 24 0 25 ALBERTO 38.7 32.4 13 643 +1985 8 3 12 2 ISAAC 17.1 335.2 97 387 +1971 6 14 0 7 WILLIAM 32.9 312.6 112 364 +1978 5 18 6 27 ERNESTO 70.0 115.7 144 52 +1984 9 13 6 26 SANDY 28.0 185.9 117 803 +1982 5 24 12 28 NADINE 24.6 135.6 89 9 +1971 7 3 12 23 ALBERTO 56.9 180.1 133 355 +1958 9 17 0 8 DEBBY 65.6 52.1 12 811 +2001 6 4 6 26 NADINE 14.3 17.5 119 381 +1985 3 28 6 10 RAFAEL 48.0 158.0 161 142 +1980 9 8 6 4 ISAAC 19.3 318.4 50 681 +1998 6 11 6 27 BERYL 38.2 251.0 153 633 +1950 3 14 0 28 MICHAEL 44.4 269.0 148 681 +1954 3 12 6 20 CHRIS 46.2 10.4 112 724 +1995 1 2 18 27 PATTY 15.3 302.1 48 513 +1990 7 10 0 18 ALBERTO 59.5 15.2 138 564 +1951 6 25 6 15 LESLIE 11.6 212.2 113 428 +1987 5 16 0 26 ISAAC 32.9 215.9 42 662 +1967 3 25 12 16 OSCAR 21.3 140.6 59 855 +1966 5 13 12 12 WILLIAM 46.6 85.8 134 291 +1962 1 8 6 3 HELENE 49.9 354.3 11 569 +1976 8 4 12 27 WILLIAM 9.3 47.4 39 490 +1996 12 1 0 2 PATTY 56.1 229.7 138 721 +1982 2 6 0 1 SANDY 35.1 56.3 124 20 +1975 11 15 12 25 HELENE 41.6 69.0 144 144 +1966 8 18 18 26 LESLIE 35.3 95.0 139 640 +1978 2 27 12 7 WILLIAM 59.7 44.7 123 520 +1987 2 4 18 11 JOYCE 9.3 80.2 41 371 +1997 8 4 18 2 WILLIAM 7.9 247.8 154 329 +1992 4 16 0 17 PATTY 19.9 62.1 61 222 +1960 4 2 6 17 HELENE 69.3 149.7 25 409 +2001 3 28 12 21 HELENE 52.8 140.2 94 858 +1989 10 16 6 15 ERNESTO 16.0 332.9 18 830 +1997 1 11 6 9 ALBERTO 48.3 85.9 128 215 +1986 5 28 18 15 CHRIS 7.3 335.8 109 831 +1958 6 6 12 4 ALBERTO 16.2 96.4 131 580 +2001 12 15 18 17 RAFAEL 8.2 17.5 20 617 +1994 6 22 18 7 CHRIS 35.8 258.9 142 275 +2001 9 17 0 11 TONY 16.3 43.6 115 449 +1983 4 11 0 10 LESLIE 46.8 84.6 103 826 +1977 11 4 18 7 ERNESTO 61.2 235.6 144 761 +1987 7 16 6 1 BERYL 23.1 324.1 84 381 +1994 1 6 18 9 BERYL 34.9 186.9 128 172 +1951 1 19 18 3 NADINE 48.2 64.2 114 274 +1988 3 1 0 4 OSCAR 52.3 119.5 76 203 +1995 8 7 6 21 JOYCE 65.0 41.1 160 222 +1965 1 9 6 17 BERYL 59.3 278.2 101 105 +1971 8 4 12 15 LESLIE 36.3 259.9 72 81 +1966 8 23 18 3 TONY 24.6 167.3 134 449 +1971 10 11 18 18 BERYL 49.9 86.4 154 484 +1974 6 18 12 14 JOYCE 41.4 218.1 73 168 +1953 1 2 6 23 ISAAC 52.2 356.2 60 338 +2004 4 15 6 24 HELENE 38.3 199.0 37 31 +1971 12 13 18 10 HELENE 26.8 6.2 159 346 +1961 1 6 0 6 OSCAR 62.7 153.0 162 771 +1964 8 25 0 23 JOYCE 24.6 89.6 138 493 +1968 11 11 18 24 RAFAEL 25.2 30.1 24 209 +1978 9 3 18 14 JOYCE 67.2 133.5 71 781 +1983 4 6 18 28 NADINE 66.2 178.2 11 885 +1959 9 5 12 8 TONY 19.0 99.5 68 700 +1974 2 4 6 17 CHRIS 43.3 304.3 129 229 +1954 4 1 12 6 ERNESTO 43.2 27.9 46 694 +1954 6 1 6 8 ALBERTO 12.7 209.4 127 316 +1991 7 17 6 11 RAFAEL 18.0 338.7 95 835 +1967 9 11 6 25 CHRIS 41.9 207.6 68 736 +1968 3 3 6 19 ALBERTO 43.1 101.4 145 801 +1965 6 24 12 9 NADINE 62.0 232.9 145 348 +1987 3 4 0 26 SANDY 10.6 22.4 92 492 +1988 7 11 12 13 VALERIE 40.3 155.4 19 849 +1989 9 16 12 17 LESLIE 65.1 178.8 61 418 +1988 12 10 0 27 LESLIE 14.9 75.3 47 749 +1990 12 18 0 3 HELENE 18.1 257.5 20 657 +1960 1 25 0 15 DEBBY 12.5 16.3 16 836 +1977 2 3 18 24 CHRIS 36.4 257.2 22 835 +1977 10 4 18 7 RAFAEL 26.8 176.0 129 640 +1974 6 2 12 26 BERYL 16.1 292.6 64 298 +1961 5 25 0 19 TONY 48.9 74.7 112 595 +1983 1 14 12 14 BERYL 66.0 226.9 95 619 +1994 2 16 0 26 PATTY 30.4 307.7 131 41 +1987 12 26 12 11 VALERIE 35.4 14.1 134 31 +1959 1 16 6 13 WILLIAM 56.7 29.8 25 564 +1958 1 13 12 19 PATTY 41.8 42.2 63 330 +1987 1 18 0 4 ERNESTO 47.9 161.2 99 408 +1985 2 19 0 11 KIRK 22.6 216.4 108 170 +1951 8 4 6 13 NADINE 12.1 48.6 162 578 +1997 8 18 0 23 BERYL 46.3 263.6 76 861 +1973 8 15 12 1 HELENE 27.8 170.5 113 798 +1997 12 26 0 26 HELENE 28.4 34.0 18 206 +1981 2 18 18 16 RAFAEL 18.2 243.5 18 888 +1974 1 7 18 16 MICHAEL 42.1 175.2 10 666 +1974 8 20 0 27 GORDON 10.4 152.8 121 242 +1959 7 8 18 10 ALBERTO 22.3 59.3 40 302 +1992 9 12 18 9 NADINE 49.1 269.2 158 145 +1952 12 13 0 28 ALBERTO 43.9 276.5 155 26 +1998 1 26 0 10 CHRIS 61.9 190.3 131 744 +1965 10 9 12 3 ERNESTO 50.8 71.5 118 244 +1970 4 7 12 18 SANDY 37.2 112.5 74 870 +1958 9 23 0 27 VALERIE 54.7 322.8 83 600 +1958 3 5 18 11 NADINE 15.0 228.1 38 717 +1957 2 24 12 7 PATTY 18.0 293.7 84 420 +2004 9 2 12 18 OSCAR 13.6 342.2 24 52 +1996 11 1 0 25 HELENE 45.8 5.6 158 653 +1965 2 21 18 5 GORDON 10.2 346.1 151 537 +1972 6 9 12 14 ERNESTO 9.6 184.8 125 862 +1997 4 18 18 25 PATTY 9.3 235.2 141 763 +1962 12 24 6 24 SANDY 31.1 55.7 159 248 +1961 2 7 0 25 ERNESTO 65.5 113.9 85 539 +1958 2 6 6 7 RAFAEL 25.0 221.8 23 492 +1975 5 21 12 16 ALBERTO 56.5 207.0 89 75 +1952 6 8 0 10 SANDY 37.0 224.2 126 627 +1977 2 28 18 11 CHRIS 45.2 72.7 42 583 +1990 6 2 0 10 FLORENCE 19.3 90.2 89 749 +1966 2 21 18 7 ALBERTO 22.6 218.0 135 232 +1957 7 13 12 3 BERYL 39.2 98.9 45 545 +1961 8 16 6 7 GORDON 45.6 261.5 118 441 +1978 3 6 6 24 KIRK 16.5 162.2 49 628 +1959 9 1 0 19 TONY 45.9 111.1 121 254 +1987 1 8 12 19 DEBBY 33.9 129.2 30 880 +1978 8 17 12 14 GORDON 62.8 199.7 42 697 +1991 1 14 12 6 VALERIE 7.4 141.5 163 61 +1988 2 4 18 10 ISAAC 45.1 15.7 94 514 +1950 12 24 12 19 LESLIE 22.7 3.8 26 75 +1976 9 24 18 24 TONY 15.9 255.9 101 657 +1996 6 11 12 14 JOYCE 38.3 219.1 48 75 +1968 6 1 12 11 CHRIS 17.4 326.8 32 363 +1993 11 18 12 14 RAFAEL 46.2 297.0 109 261 +1999 1 18 12 17 NADINE 41.1 338.9 155 47 +1967 10 6 12 11 PATTY 31.6 173.5 72 486 +1987 8 2 12 2 BERYL 33.6 272.3 21 314 +1954 6 16 18 8 KIRK 67.4 215.1 52 853 +1951 9 3 0 20 MICHAEL 9.9 263.7 96 738 +1958 7 16 18 23 LESLIE 9.5 260.1 94 855 +1961 4 5 12 24 WILLIAM 49.5 233.7 41 443 +1974 2 25 6 17 ERNESTO 24.6 244.7 163 129 +1958 6 11 6 18 ALBERTO 40.3 115.7 88 74 +1989 10 10 18 24 JOYCE 36.0 268.6 53 617 +1950 8 3 6 15 PATTY 54.2 86.5 142 222 +1991 2 23 18 7 TONY 8.2 98.0 105 545 +1967 11 17 12 6 ERNESTO 22.0 166.6 58 78 +1953 3 3 6 24 ERNESTO 39.2 276.2 160 246 +1963 8 13 18 15 KIRK 65.2 59.7 27 340 +1953 11 28 12 25 RAFAEL 31.6 132.1 11 485 +2003 11 5 12 24 PATTY 67.6 16.4 33 848 +1983 1 5 0 5 BERYL 56.0 14.3 134 372 +1963 1 6 12 12 CHRIS 63.7 214.5 108 721 +1977 12 19 0 16 WILLIAM 39.6 148.9 94 311 +2004 9 10 18 9 PATTY 50.7 169.9 117 304 +1963 10 2 18 10 WILLIAM 30.6 54.1 127 298 +1988 5 23 0 2 VALERIE 38.3 347.7 18 447 +1956 4 12 18 18 OSCAR 35.5 175.1 83 478 +1971 8 3 12 27 TONY 49.3 70.7 12 719 +1998 9 24 6 10 JOYCE 7.2 41.0 145 319 +1970 2 19 12 14 VALERIE 14.2 245.2 82 221 +1959 1 11 18 28 DEBBY 24.2 253.8 117 620 +2004 5 28 18 5 VALERIE 55.1 345.8 66 707 +1985 8 21 0 2 VALERIE 24.8 341.1 40 736 +1984 12 15 12 6 JOYCE 69.1 55.9 91 585 +1993 6 18 18 15 ERNESTO 29.3 27.1 129 644 +1962 9 11 0 21 PATTY 18.0 41.8 25 432 +1981 12 8 6 1 HELENE 67.8 65.0 129 620 +1985 9 28 12 4 LESLIE 63.6 338.3 82 34 +2003 9 6 0 20 RAFAEL 13.6 266.1 64 669 +1978 3 8 12 15 NADINE 57.2 58.8 102 617 +1997 12 1 12 17 RAFAEL 48.9 292.8 49 512 +1971 11 24 18 6 JOYCE 44.3 202.7 141 860 +2004 12 19 18 1 HELENE 26.2 211.6 131 85 +1968 11 16 12 1 TONY 34.5 94.2 35 712 +1957 8 11 0 24 BERYL 37.3 335.5 44 746 +1988 6 23 6 11 NADINE 57.4 188.3 95 142 +1973 9 14 6 2 OSCAR 24.1 287.9 141 674 +1991 8 19 18 15 WILLIAM 49.4 217.6 71 258 +1999 4 11 6 10 WILLIAM 45.5 67.0 108 93 +1971 2 21 0 1 LESLIE 52.9 235.4 126 201 +1961 7 24 18 18 ISAAC 19.0 286.2 147 135 +1979 10 16 18 28 WILLIAM 57.6 131.5 40 317 +1961 4 20 18 5 RAFAEL 13.1 208.1 102 11 +1963 5 16 12 16 JOYCE 62.4 62.5 20 473 +2004 6 15 6 3 CHRIS 40.8 94.8 161 151 +1981 4 28 6 8 KIRK 54.8 240.4 51 121 +1953 3 28 18 15 DEBBY 22.8 289.6 23 52 +1955 11 16 0 25 GORDON 54.2 262.1 33 867 +1950 1 19 12 1 KIRK 8.0 332.0 27 123 +1999 6 10 0 25 VALERIE 25.9 71.2 75 403 +1975 3 6 6 2 CHRIS 12.5 237.6 124 165 +1968 8 3 6 27 DEBBY 34.7 312.3 157 36 +1998 5 20 12 4 MICHAEL 61.3 70.9 29 213 +1954 6 24 0 21 LESLIE 23.8 282.8 52 644 +1969 12 26 12 2 OSCAR 52.0 222.9 24 85 +1952 8 14 0 2 GORDON 64.8 204.5 28 612 +1950 4 18 12 19 LESLIE 32.7 220.9 130 231 +1979 6 28 18 27 WILLIAM 31.0 214.1 23 314 +1950 12 12 0 13 FLORENCE 59.5 127.8 134 394 +1980 1 19 18 12 OSCAR 52.7 220.7 97 680 +1985 5 11 0 7 ISAAC 38.3 332.6 27 176 +1976 4 8 6 22 NADINE 63.6 82.0 101 392 +1950 5 10 6 8 MICHAEL 42.1 174.3 28 554 +1994 3 18 0 8 JOYCE 38.4 189.0 150 512 +1959 7 3 12 21 SANDY 16.9 41.0 141 571 +1975 3 15 0 23 SANDY 22.7 294.7 25 539 +1959 12 14 18 8 LESLIE 16.0 146.3 34 383 +1957 10 27 18 13 ERNESTO 47.0 237.8 139 491 +1990 5 14 18 9 ISAAC 22.2 104.1 84 687 +1970 12 13 12 19 MICHAEL 46.4 37.3 163 348 +1977 11 5 18 28 RAFAEL 58.2 181.8 132 693 +1990 8 6 0 20 SANDY 59.3 354.8 12 186 +1996 2 20 12 22 PATTY 16.1 352.8 76 323 +1965 2 26 6 18 CHRIS 10.9 108.9 117 733 +1972 7 4 12 15 BERYL 59.8 159.1 128 262 +1999 2 23 12 8 CHRIS 50.2 224.9 99 48 +2001 1 11 0 5 KIRK 48.1 227.4 127 301 +1985 1 7 12 25 LESLIE 38.5 10.6 164 422 +2001 11 26 12 19 BERYL 48.2 114.2 36 303 +1963 2 22 18 26 CHRIS 42.6 5.4 21 873 +1952 1 4 18 12 BERYL 22.7 228.3 29 52 +1996 11 17 0 15 RAFAEL 30.4 269.4 73 811 +1984 8 14 12 4 WILLIAM 58.3 283.6 116 344 +1997 6 24 12 5 FLORENCE 21.9 44.1 51 108 +1986 5 4 6 1 ALBERTO 26.7 199.4 154 852 +2000 9 5 18 4 SANDY 60.5 95.0 115 753 +1958 8 15 0 19 NADINE 13.6 160.8 12 249 +1967 8 3 0 1 MICHAEL 52.7 282.6 116 78 +1995 2 26 12 5 RAFAEL 33.9 302.0 72 416 +2002 1 3 12 14 ERNESTO 59.4 204.3 39 604 +1963 9 19 12 14 OSCAR 48.9 91.1 99 369 +1992 4 9 6 1 NADINE 15.0 130.5 111 537 +2000 8 2 0 13 RAFAEL 57.7 175.0 78 697 +1978 4 24 12 14 BERYL 67.1 198.6 113 883 +1988 11 6 6 26 TONY 60.4 260.0 39 228 +1967 10 14 0 8 WILLIAM 50.0 13.1 70 808 +1968 4 2 18 9 WILLIAM 59.5 51.3 146 862 +1969 1 5 0 20 VALERIE 67.0 15.8 118 2 +1960 3 20 6 12 BERYL 42.0 68.9 11 470 +1952 7 28 12 7 ISAAC 37.4 40.8 146 888 +1971 9 24 6 3 LESLIE 57.0 213.7 158 693 +1969 12 18 6 11 WILLIAM 59.7 284.9 54 178 +1982 8 9 18 9 RAFAEL 52.8 203.7 72 845 +1997 5 18 0 13 ISAAC 52.1 18.6 104 637 +1951 12 22 0 2 LESLIE 23.2 229.0 139 583 +2001 8 23 6 27 MICHAEL 50.0 247.3 107 851 +1978 7 23 12 21 VALERIE 11.3 34.0 101 106 +1973 7 3 12 10 JOYCE 39.3 50.9 119 304 +1968 4 18 18 9 ERNESTO 13.5 196.7 139 821 +2002 9 22 12 13 ISAAC 28.9 132.1 77 125 +1959 9 13 18 14 MICHAEL 39.8 312.2 81 680 +1954 7 25 0 3 JOYCE 50.5 158.1 29 230 +1988 8 23 6 15 ALBERTO 28.5 187.3 78 538 +1969 4 8 6 2 TONY 33.6 84.4 144 271 +1963 12 28 6 19 MICHAEL 13.9 82.3 68 552 +1952 4 13 0 4 RAFAEL 22.6 141.2 30 712 +2001 3 18 6 24 GORDON 50.2 356.1 88 312 +1994 8 12 0 22 DEBBY 47.6 320.0 13 726 +1960 4 14 0 2 JOYCE 10.7 280.2 40 139 +1993 8 11 18 11 TONY 26.4 36.8 88 25 +1957 6 6 6 27 JOYCE 53.4 147.5 103 67 +1978 5 6 12 8 OSCAR 19.3 201.2 127 125 +1992 8 15 12 11 LESLIE 66.7 151.7 111 797 +1991 1 13 0 9 MICHAEL 41.1 300.4 101 411 +1983 8 1 18 12 ERNESTO 48.1 111.6 87 177 +1982 3 18 6 24 PATTY 52.8 330.4 95 559 +1962 11 7 18 8 NADINE 67.1 248.0 108 714 +1996 5 12 12 20 DEBBY 63.2 175.8 135 744 +1973 5 12 12 28 JOYCE 33.3 194.8 87 251 +1998 9 4 12 24 KIRK 38.7 88.5 95 461 +1960 6 10 18 3 VALERIE 59.4 139.3 117 466 +1961 3 25 6 19 BERYL 45.0 128.1 96 301 +1985 8 5 0 12 FLORENCE 30.9 306.5 48 452 +1989 10 20 0 17 GORDON 43.4 243.0 151 792 +1981 9 14 12 9 NADINE 32.9 168.9 63 107 +1962 4 22 12 14 RAFAEL 55.6 212.9 27 825 +1979 7 27 0 17 BERYL 42.5 313.8 117 101 +1952 11 20 18 18 JOYCE 17.1 44.3 158 530 +1960 8 10 18 14 FLORENCE 18.5 272.8 43 455 +1978 10 16 12 20 ISAAC 49.3 188.7 149 729 +1996 9 20 6 17 TONY 22.9 183.4 112 453 +1984 8 21 18 11 LESLIE 51.2 47.0 150 73 +1961 5 12 18 16 BERYL 59.2 49.2 68 109 +1984 4 25 0 24 LESLIE 23.9 53.7 106 555 +1967 6 7 18 19 OSCAR 15.9 163.0 129 765 +1982 3 22 12 12 JOYCE 26.2 343.5 137 300 +2001 1 21 18 11 RAFAEL 54.2 196.1 69 639 +1950 9 14 6 5 OSCAR 49.3 131.6 97 524 +1988 7 8 12 6 ALBERTO 10.0 101.1 130 365 +1963 1 22 18 9 WILLIAM 65.2 301.6 156 217 +1996 9 27 18 9 LESLIE 35.7 209.3 121 180 +1994 12 11 6 27 WILLIAM 69.0 200.1 91 732 +1968 4 17 12 12 MICHAEL 17.8 187.1 87 369 +2004 8 7 6 6 PATTY 18.6 239.3 33 524 +1957 11 22 18 15 MICHAEL 38.8 208.2 75 125 +1960 9 27 12 6 MICHAEL 47.7 309.9 85 110 +2003 6 2 6 22 NADINE 41.8 274.4 38 670 +1961 5 27 18 1 WILLIAM 26.3 258.3 21 61 +2003 3 22 6 16 TONY 55.9 313.9 106 784 +1990 7 21 18 18 VALERIE 51.1 28.7 49 216 +1999 4 14 18 10 VALERIE 9.7 120.4 136 574 +1954 8 6 12 26 NADINE 64.7 97.3 115 126 +1978 5 26 18 13 VALERIE 13.2 115.9 22 24 +1965 3 23 0 17 ISAAC 62.9 154.0 130 206 +1963 8 23 18 14 JOYCE 31.7 260.9 11 342 +1958 9 2 12 26 JOYCE 36.9 205.6 73 489 +1992 11 9 6 3 PATTY 45.0 126.7 145 222 +1992 11 23 6 7 ISAAC 47.7 146.1 61 244 +1995 8 8 6 21 RAFAEL 9.9 128.8 124 736 +1965 9 22 6 15 VALERIE 27.2 198.3 58 662 +1985 8 9 12 20 WILLIAM 64.5 17.3 101 843 +1969 11 16 18 14 RAFAEL 63.7 277.3 62 491 +1982 11 22 0 12 DEBBY 39.8 140.2 17 843 +1968 5 11 6 3 DEBBY 61.4 103.2 41 790 +1981 4 21 12 14 GORDON 13.8 159.2 48 205 +1958 8 21 0 18 OSCAR 38.1 187.8 163 645 +1996 7 26 6 20 VALERIE 44.2 140.1 128 337 +1979 8 27 6 23 HELENE 48.5 345.5 22 287 +1963 11 12 0 9 BERYL 31.9 201.7 137 390 +1972 11 23 6 27 MICHAEL 20.6 325.5 95 810 +1999 3 21 0 14 BERYL 39.4 117.5 58 581 +1996 2 18 12 6 ALBERTO 41.2 103.3 116 236 +1983 10 23 6 18 LESLIE 52.8 351.5 156 710 +1987 5 10 0 28 GORDON 25.4 268.5 71 451 +1958 5 18 18 15 TONY 21.2 324.7 73 6 +1987 6 19 6 6 LESLIE 64.9 94.4 19 531 +1972 2 2 6 28 CHRIS 36.6 265.2 164 867 +1998 5 16 6 23 NADINE 26.6 215.1 133 590 +1994 9 23 6 27 ALBERTO 64.7 95.7 125 471 +1987 7 17 18 8 FLORENCE 47.8 330.8 131 4 +1970 6 20 18 16 BERYL 56.3 274.5 118 662 +1953 12 12 12 17 ALBERTO 63.1 306.0 31 70 +1987 10 3 6 7 WILLIAM 39.3 74.6 150 545 +1987 11 23 12 7 ALBERTO 53.3 226.7 99 572 +1988 10 20 12 4 RAFAEL 42.6 305.1 113 645 +1966 7 11 6 5 MICHAEL 40.7 276.0 44 490 +1951 9 5 12 28 GORDON 56.1 165.3 154 881 +1977 11 1 18 22 SANDY 26.2 229.4 98 775 +1966 6 17 6 8 SANDY 59.3 204.8 42 475 +1977 8 4 6 2 LESLIE 8.9 1.1 32 545 +1959 11 23 6 6 SANDY 69.6 184.4 63 13 +1968 8 19 6 7 ERNESTO 35.1 19.6 88 799 +1952 6 18 0 20 LESLIE 58.1 353.1 155 86 +1985 4 6 6 13 TONY 17.9 109.9 154 258 +1980 12 13 6 23 SANDY 16.6 302.5 145 323 +1972 5 25 0 16 RAFAEL 17.8 223.4 14 679 +2002 7 10 0 8 ISAAC 24.7 326.3 163 449 +1987 1 21 6 10 TONY 35.7 195.3 161 374 +1985 9 9 18 13 LESLIE 21.8 245.3 147 422 +1956 11 26 6 21 WILLIAM 12.6 255.2 40 182 +1965 11 12 0 28 CHRIS 55.8 34.5 132 340 +2000 5 9 12 25 WILLIAM 17.3 157.5 84 620 +1991 12 2 6 2 PATTY 57.2 52.2 123 677 +1970 11 25 18 26 OSCAR 27.9 145.8 99 475 +1977 5 3 0 27 CHRIS 16.9 235.2 92 35 +1983 1 27 0 20 VALERIE 20.1 185.4 16 352 +1965 9 14 18 24 SANDY 47.0 20.6 83 582 +1966 9 14 0 2 SANDY 53.8 62.0 134 28 +2003 9 15 12 7 SANDY 57.2 23.1 134 246 +1989 2 27 0 22 DEBBY 57.8 282.3 81 588 +1964 5 4 6 7 ALBERTO 20.5 30.1 31 625 +1963 7 17 6 19 OSCAR 48.1 117.3 60 429 +1988 10 22 18 25 KIRK 66.8 331.2 148 41 +1994 1 19 18 24 CHRIS 44.7 316.7 30 414 +1998 12 2 12 7 WILLIAM 22.1 80.1 59 393 +2001 11 19 12 17 CHRIS 20.0 326.6 89 748 +2000 9 3 12 25 BERYL 29.6 71.9 79 347 +1962 8 15 12 21 BERYL 16.0 356.3 86 499 +1966 1 13 12 22 JOYCE 31.1 176.7 132 636 +1964 8 15 12 2 RAFAEL 41.8 242.4 76 358 +1977 8 6 12 17 MICHAEL 22.3 241.0 76 99 +1990 3 28 6 15 PATTY 9.7 196.8 103 805 +1986 8 16 6 24 HELENE 60.5 121.8 103 604 +2001 4 23 12 21 RAFAEL 62.5 172.6 105 446 +1973 6 26 12 14 CHRIS 20.0 239.7 64 606 +1990 10 26 12 16 TONY 20.9 235.1 154 418 +1992 8 25 12 13 HELENE 45.0 74.9 127 149 +1979 12 22 0 11 GORDON 40.1 176.3 117 831 +1984 5 27 18 5 CHRIS 59.9 65.4 121 172 +1989 9 7 6 15 LESLIE 40.1 290.2 136 208 +1980 6 26 18 13 ERNESTO 55.7 304.6 149 820 +1994 6 8 6 23 OSCAR 41.8 142.8 40 402 +1966 3 2 6 7 BERYL 36.1 235.6 77 85 +1950 5 19 12 26 LESLIE 51.5 210.3 103 787 +2001 6 15 6 17 LESLIE 24.3 32.6 152 147 +1963 2 21 6 17 CHRIS 40.4 203.4 130 35 +1991 3 15 0 17 OSCAR 17.6 185.2 37 683 +1951 2 27 18 14 HELENE 53.7 314.6 162 576 +1998 9 15 12 25 WILLIAM 12.6 128.4 161 396 +1974 8 14 0 1 ALBERTO 7.6 144.5 146 93 +1981 10 23 0 1 WILLIAM 8.2 16.8 152 65 +1955 8 2 6 7 JOYCE 44.8 138.3 153 524 +1971 12 8 18 14 MICHAEL 49.9 89.0 40 82 +1966 7 24 0 22 OSCAR 23.1 97.1 117 311 +1958 1 24 18 16 DEBBY 56.1 275.3 80 834 +1951 6 17 12 5 WILLIAM 63.7 336.3 130 581 +1974 9 16 12 19 NADINE 34.8 153.7 63 352 +1951 12 3 0 26 VALERIE 28.4 27.5 20 727 +1998 8 16 6 28 JOYCE 55.7 69.3 124 809 +2002 4 20 6 1 ERNESTO 47.2 67.9 38 132 +1989 11 22 6 2 VALERIE 55.6 66.7 49 319 +1954 4 16 6 10 ERNESTO 39.4 356.9 105 384 +1958 8 28 0 15 DEBBY 53.0 321.0 64 523 +1990 4 22 18 16 OSCAR 7.5 208.5 87 445 +2004 11 3 12 25 FLORENCE 29.8 349.0 23 97 +1970 8 6 18 26 CHRIS 36.3 206.8 132 753 +1975 10 12 6 15 ISAAC 63.5 326.7 80 700 +1971 11 18 18 21 DEBBY 35.8 270.2 38 716 +1978 12 9 18 11 ISAAC 17.7 125.4 115 532 +1953 9 5 12 14 LESLIE 69.5 347.5 151 334 +2004 1 5 6 27 OSCAR 28.4 14.9 163 214 +1977 8 15 18 4 TONY 49.5 94.0 146 332 +1989 10 10 6 22 GORDON 47.2 174.1 120 204 +1985 4 4 0 26 GORDON 47.6 5.3 162 113 +1980 11 23 12 15 JOYCE 62.4 71.8 132 596 +1991 6 9 0 25 OSCAR 60.9 285.5 140 818 +1982 12 26 0 16 ERNESTO 60.9 41.5 84 95 +2003 5 10 6 21 ALBERTO 28.8 69.4 98 5 +1952 4 3 6 25 KIRK 42.2 71.4 41 581 +1973 8 9 6 12 JOYCE 25.7 355.7 88 625 +1971 8 4 12 10 JOYCE 12.8 250.0 71 285 +1996 10 5 6 26 MICHAEL 65.1 244.6 19 389 +2002 11 18 6 8 OSCAR 58.4 184.1 152 115 +1979 3 5 12 20 PATTY 39.3 159.7 15 28 +1956 12 9 0 19 JOYCE 38.1 212.9 64 623 +1996 11 5 18 2 SANDY 57.8 104.1 121 196 +1958 8 20 18 11 SANDY 33.0 306.1 150 834 +1981 10 13 12 17 HELENE 68.4 110.1 144 243 +1958 12 21 0 27 PATTY 68.6 239.8 15 793 +1957 10 23 0 26 CHRIS 57.0 55.0 44 204 +1957 8 22 0 9 CHRIS 10.1 58.7 72 732 +2003 10 19 12 10 KIRK 20.2 48.1 58 303 +1967 6 16 0 8 ISAAC 20.1 289.8 82 872 +1974 1 25 12 5 FLORENCE 41.7 129.8 102 128 +2002 7 15 18 25 RAFAEL 65.0 270.0 97 585 +1997 6 8 12 20 JOYCE 56.6 95.5 48 501 +1985 2 5 18 23 KIRK 22.9 162.3 59 784 +1992 3 10 6 9 SANDY 40.0 281.2 146 407 +1968 7 12 18 22 VALERIE 29.8 98.2 13 104 +1996 12 21 18 2 WILLIAM 42.7 139.4 135 325 +2000 5 28 12 6 OSCAR 21.1 78.6 69 687 +1975 3 21 0 4 WILLIAM 40.1 306.1 162 253 +1986 2 19 6 27 ALBERTO 19.9 329.1 137 869 +1999 6 21 0 7 ALBERTO 44.9 245.5 19 460 +1950 10 23 0 19 ALBERTO 9.5 337.6 135 796 +1952 6 2 18 28 WILLIAM 14.8 293.2 41 433 +1963 1 6 6 9 JOYCE 58.6 147.1 117 553 +1956 12 17 6 28 HELENE 30.3 277.8 15 412 +1996 10 5 18 3 PATTY 63.9 249.2 107 49 +1973 1 2 12 21 DEBBY 31.7 123.2 144 140 +1983 4 28 0 15 KIRK 54.0 208.5 133 896 +1990 7 22 12 17 CHRIS 38.8 92.2 36 832 +1994 9 5 6 17 PATTY 23.2 82.3 19 410 +1993 4 26 18 16 JOYCE 43.1 269.3 112 821 +1980 4 5 0 13 ISAAC 10.8 60.3 154 738 +1992 11 2 12 19 GORDON 55.4 273.3 24 314 +1990 6 8 12 7 BERYL 67.7 328.4 53 874 +1981 8 18 0 5 LESLIE 57.5 250.8 118 850 +1995 10 11 12 4 DEBBY 35.6 282.7 144 284 +2000 8 3 6 16 GORDON 65.6 295.6 62 301 +1960 4 12 12 3 OSCAR 52.3 173.4 133 160 +2003 1 26 6 21 ALBERTO 56.1 7.8 144 626 +1994 8 17 12 8 KIRK 66.2 153.9 103 111 +1984 10 2 18 9 RAFAEL 49.9 58.6 11 104 +1969 8 20 18 20 ALBERTO 32.1 26.0 39 746 +1999 7 5 0 6 DEBBY 41.6 278.1 101 586 +1954 6 3 12 11 PATTY 26.6 147.2 132 546 +1976 1 19 0 15 ALBERTO 32.9 274.2 160 21 +1977 7 9 0 20 GORDON 47.1 119.9 56 833 +1977 2 5 0 16 KIRK 33.6 104.7 57 400 +1967 3 10 12 13 FLORENCE 17.6 131.7 97 872 +1982 7 22 6 17 HELENE 18.4 332.9 85 783 +1994 1 1 12 18 CHRIS 69.3 349.4 57 703 +1962 3 3 6 14 NADINE 68.8 118.1 143 412 +1954 7 27 12 6 JOYCE 44.8 154.3 153 534 +1994 7 15 6 3 FLORENCE 18.6 313.0 79 124 +1994 1 12 12 20 RAFAEL 37.8 319.9 59 90 +1986 2 17 6 4 SANDY 59.8 310.8 74 353 +1982 9 4 0 5 WILLIAM 17.9 216.6 98 673 +1971 11 27 18 23 OSCAR 62.5 303.9 90 267 +1961 12 22 0 13 JOYCE 54.2 213.6 151 773 +1981 2 19 6 9 KIRK 44.5 264.4 62 814 +1994 9 18 12 27 JOYCE 24.1 88.7 94 176 +1951 11 11 0 7 DEBBY 50.3 276.0 46 61 +2001 9 9 6 18 ISAAC 23.8 233.0 146 76 +1979 1 9 18 12 OSCAR 53.6 102.3 73 333 +1994 12 11 12 3 FLORENCE 39.6 106.7 35 370 +2000 2 15 0 24 NADINE 19.6 90.8 34 529 +1952 10 4 18 24 ISAAC 34.2 88.8 60 66 +1973 12 15 12 16 ERNESTO 52.1 315.7 28 800 +1997 10 11 12 18 NADINE 66.3 151.0 109 845 +1993 6 7 18 24 FLORENCE 15.0 23.3 46 212 +1969 9 27 12 4 PATTY 26.7 63.6 83 738 +1993 6 13 12 25 DEBBY 32.0 292.5 111 473 +1977 10 11 0 26 PATTY 64.0 200.1 92 877 +1988 7 13 18 16 JOYCE 20.3 34.3 31 648 +2004 7 4 12 1 CHRIS 66.9 39.4 36 809 +1986 4 12 12 19 DEBBY 56.2 19.8 24 525 +1967 5 22 12 27 LESLIE 30.6 269.2 56 515 +1957 12 19 18 18 LESLIE 39.0 98.4 10 714 +2001 7 11 0 23 WILLIAM 33.8 54.2 91 160 +1975 9 7 12 2 KIRK 48.8 276.2 150 147 +1986 10 2 0 2 DEBBY 28.9 177.3 90 521 +1974 1 6 6 28 SANDY 32.8 257.3 131 340 +1981 2 7 0 17 DEBBY 13.7 190.3 36 601 +1955 12 22 0 14 LESLIE 42.3 79.5 162 700 +1996 11 3 0 23 JOYCE 53.4 61.7 45 151 +1978 6 28 12 15 VALERIE 28.8 145.9 22 600 +1953 7 13 12 21 CHRIS 46.3 93.4 111 170 +1982 4 12 6 15 HELENE 27.6 175.0 95 848 +1971 4 25 12 5 LESLIE 25.0 349.1 97 723 +2000 11 26 12 24 MICHAEL 48.6 119.1 148 866 +1982 4 27 12 4 RAFAEL 39.7 216.1 155 508 +2000 7 23 18 9 NADINE 60.9 338.4 73 723 +1953 11 2 18 18 ISAAC 43.1 117.2 96 754 +1965 3 1 12 18 LESLIE 15.5 32.2 141 34 +1988 11 22 18 15 KIRK 17.6 213.4 48 870 +1951 12 25 18 4 CHRIS 27.8 51.2 93 46 +1978 6 24 6 3 BERYL 10.0 225.0 55 200 +1970 3 24 6 26 BERYL 21.9 125.0 117 0 +1977 6 6 0 16 MICHAEL 12.7 217.6 158 19 +1954 11 9 0 20 LESLIE 54.6 42.0 162 511 +1953 5 21 6 12 MICHAEL 61.0 215.7 13 653 +1997 3 8 18 5 OSCAR 40.4 296.6 119 288 +2004 4 4 0 7 NADINE 27.2 16.7 93 411 +1975 2 7 0 4 MICHAEL 21.2 321.3 48 131 +1970 8 15 18 27 LESLIE 7.3 103.6 94 454 +1995 9 22 12 28 WILLIAM 63.9 320.0 113 117 +1988 9 19 0 9 HELENE 67.3 52.6 142 608 +1956 2 18 6 28 JOYCE 48.1 14.7 126 545 +1979 3 24 18 7 ALBERTO 48.6 32.9 138 354 +1955 4 22 18 21 KIRK 40.8 46.8 74 584 +1988 8 7 12 25 GORDON 43.1 315.1 73 75 +2003 2 28 18 21 DEBBY 19.3 191.7 31 310 +1961 8 17 18 17 KIRK 46.6 182.2 142 148 +1954 6 6 0 25 PATTY 42.1 95.0 97 596 +1958 3 22 18 6 WILLIAM 23.3 6.1 81 677 +1963 6 22 12 19 JOYCE 56.4 292.9 65 477 +1980 11 23 12 10 WILLIAM 50.6 229.7 145 750 +2000 12 2 0 5 KIRK 20.8 333.8 133 408 +2002 3 15 12 27 MICHAEL 67.8 323.5 109 536 +1968 5 20 6 28 FLORENCE 19.6 327.0 14 73 +1956 10 24 12 20 NADINE 47.5 322.6 151 748 +1997 11 5 0 8 DEBBY 22.5 34.0 40 841 +1950 6 17 12 27 LESLIE 59.8 233.6 55 504 +1982 5 22 6 17 RAFAEL 36.7 336.4 150 90 +1964 7 7 18 19 MICHAEL 7.4 6.8 78 654 +1997 9 18 12 24 CHRIS 10.3 23.3 81 755 +2000 9 26 18 19 KIRK 44.7 206.6 111 622 +1950 7 27 18 24 ALBERTO 33.2 280.3 27 215 +1993 9 9 6 17 CHRIS 19.9 193.1 145 509 +2000 2 12 0 25 FLORENCE 51.9 301.7 83 858 +1961 12 19 0 23 GORDON 32.8 162.3 111 741 +1998 2 15 12 12 TONY 31.6 313.4 28 51 +1985 7 6 12 16 PATTY 27.1 302.8 120 328 +1964 1 1 18 17 KIRK 68.5 108.7 29 609 +1962 9 13 18 11 HELENE 15.4 188.6 120 218 +1988 6 23 0 4 ERNESTO 37.8 172.1 16 790 +1952 10 2 0 16 BERYL 30.8 158.9 77 61 +2001 9 12 0 16 LESLIE 64.8 32.2 112 264 +1978 12 11 6 24 PATTY 48.8 214.4 148 763 +1961 7 7 12 21 ERNESTO 32.8 326.2 112 803 +1958 10 18 12 19 KIRK 68.4 2.0 65 318 +1976 11 24 18 9 ALBERTO 46.5 63.6 11 851 +1983 11 19 0 9 WILLIAM 52.6 124.7 160 848 +1963 8 2 0 9 ALBERTO 58.5 180.1 74 814 +1984 2 15 12 11 MICHAEL 21.9 187.1 42 467 +1989 12 23 18 1 CHRIS 29.5 40.0 33 62 +2003 2 19 18 1 KIRK 31.7 42.7 39 144 +1956 3 4 0 7 KIRK 16.5 195.6 83 189 +1952 10 4 18 27 PATTY 65.4 345.4 80 535 +2004 9 19 18 18 CHRIS 55.9 264.0 122 236 +1987 4 11 12 18 NADINE 16.4 229.8 72 899 +1992 12 23 0 24 TONY 12.1 256.0 69 658 +1953 1 13 0 4 DEBBY 10.6 258.7 126 635 +1957 6 18 18 25 ALBERTO 64.2 350.7 76 626 +1987 7 19 12 5 SANDY 35.6 194.1 151 613 +1964 7 14 12 26 DEBBY 22.5 207.4 162 737 +1989 2 24 6 27 PATTY 54.0 299.6 146 483 +1961 10 19 12 14 HELENE 60.6 185.6 79 544 +1982 3 24 12 10 VALERIE 57.6 262.8 100 746 +1996 9 10 12 22 HELENE 20.9 30.0 136 60 +1961 1 15 18 26 GORDON 36.8 102.9 102 721 +1977 1 22 6 3 JOYCE 19.7 26.4 119 256 +1964 11 2 6 23 FLORENCE 51.6 33.2 94 375 +1985 3 26 12 26 CHRIS 9.8 170.4 156 884 +1972 8 7 12 25 PATTY 29.0 39.8 124 516 +1953 7 12 12 23 TONY 30.8 49.6 27 92 +2001 6 28 18 7 ISAAC 58.4 52.2 101 426 +1956 3 26 12 14 KIRK 52.5 169.2 32 645 +1994 3 2 12 22 TONY 48.8 298.0 98 411 +1973 2 2 18 8 WILLIAM 60.0 305.7 127 872 +1979 2 28 18 27 ISAAC 27.3 356.8 60 528 +1990 8 19 0 14 JOYCE 47.7 283.0 101 472 +1999 8 21 0 3 OSCAR 31.2 239.1 154 170 +1976 2 16 12 11 KIRK 15.9 336.8 74 799 +2001 3 14 12 20 GORDON 14.0 6.2 113 197 +1970 11 24 0 15 ALBERTO 68.4 106.2 20 81 +1970 12 20 18 17 HELENE 65.7 324.0 133 456 +1997 2 13 12 12 CHRIS 69.8 307.7 134 45 +1963 6 24 12 16 WILLIAM 33.2 71.6 123 863 +1985 7 25 12 8 PATTY 37.2 31.0 32 755 +1955 4 15 18 3 NADINE 52.4 259.1 58 614 +1956 7 7 18 6 FLORENCE 34.0 220.2 104 807 +1957 11 3 6 21 HELENE 64.4 326.1 72 736 +1981 6 10 0 14 VALERIE 51.7 135.0 144 192 +1965 5 19 0 19 KIRK 25.2 124.7 12 313 +2004 11 6 12 18 ISAAC 41.4 92.2 77 296 +1967 10 15 0 12 TONY 36.1 357.3 54 306 +1955 7 23 12 8 RAFAEL 43.1 349.6 94 26 +1950 4 21 0 4 JOYCE 13.6 0.8 163 895 +1961 1 23 6 12 ISAAC 43.0 264.6 118 254 +1957 10 2 12 3 ISAAC 20.9 138.6 56 225 +1970 2 10 6 24 JOYCE 44.0 324.3 133 759 +1989 3 17 6 1 MICHAEL 56.0 26.7 98 414 +1997 9 19 12 19 GORDON 34.6 237.5 89 391 +1956 5 13 12 27 MICHAEL 10.3 274.6 153 94 +1989 7 8 0 20 MICHAEL 62.3 3.5 85 405 +2004 7 16 12 12 PATTY 59.6 53.9 88 585 +1993 1 4 18 10 ISAAC 21.9 80.3 47 488 +1987 12 20 6 20 CHRIS 38.5 7.6 12 870 +1963 10 28 0 3 NADINE 30.0 35.3 43 447 +1997 8 21 18 12 FLORENCE 37.7 225.4 142 593 +1991 7 20 18 5 VALERIE 49.1 122.7 68 50 +1968 7 3 0 28 GORDON 21.8 170.6 100 603 +1958 8 22 18 11 ALBERTO 23.1 189.3 100 787 +1968 12 5 12 13 PATTY 61.2 91.6 114 706 +1980 11 5 18 6 LESLIE 53.3 274.4 66 862 +1971 11 1 0 10 KIRK 56.8 317.7 26 893 +1995 2 5 18 1 VALERIE 25.9 97.0 154 83 +1983 1 19 12 21 PATTY 13.8 296.7 121 867 +1955 9 11 18 24 PATTY 38.1 244.1 97 356 +1982 6 16 12 8 OSCAR 19.1 190.5 23 674 +1995 1 1 6 26 OSCAR 21.8 324.4 100 61 +1988 11 10 6 8 GORDON 64.8 295.1 151 632 +2003 8 18 6 7 SANDY 30.5 26.9 25 315 +1959 11 23 18 21 ISAAC 43.2 135.0 63 62 +1989 9 7 12 19 RAFAEL 7.6 257.2 81 17 +1963 2 27 18 10 KIRK 51.8 140.4 69 210 +1954 10 24 18 12 TONY 48.4 76.8 12 760 +1994 6 18 12 6 ALBERTO 18.5 50.5 45 49 +1999 2 12 18 18 MICHAEL 7.2 10.8 51 385 +1979 11 22 18 10 DEBBY 32.0 158.4 69 295 +1971 10 27 0 7 DEBBY 21.6 132.8 44 231 +1959 8 6 18 12 ALBERTO 26.2 331.0 74 485 +1955 12 10 0 21 CHRIS 25.4 180.7 19 238 +1962 2 6 6 19 HELENE 18.5 294.1 143 77 +1950 4 11 6 14 ISAAC 54.2 285.4 161 21 +1985 9 6 0 16 MICHAEL 39.5 353.2 39 415 +1984 10 8 12 24 GORDON 47.1 83.5 12 375 +1952 10 28 6 6 LESLIE 32.6 244.6 114 239 +1953 6 2 12 10 NADINE 20.7 202.4 84 850 +1953 1 11 0 3 DEBBY 56.2 229.9 68 219 +1966 3 17 6 7 HELENE 19.4 171.4 23 245 +1970 11 7 12 4 ERNESTO 60.6 196.9 89 644 +2000 1 3 0 15 KIRK 53.5 150.6 108 671 +1966 7 11 12 25 LESLIE 23.4 155.9 144 476 +1998 2 23 12 25 TONY 35.5 92.9 115 322 +1989 8 23 0 4 PATTY 35.9 168.3 72 346 +1994 3 22 6 13 GORDON 37.7 32.5 151 88 +1970 2 20 18 4 GORDON 48.3 202.5 95 577 +1970 2 3 12 23 GORDON 33.2 241.0 156 784 +1986 7 1 6 7 VALERIE 57.0 110.3 154 651 +1974 12 19 12 28 NADINE 11.6 72.9 14 396 +1971 4 12 0 18 HELENE 68.6 279.9 29 223 +1986 2 21 6 4 ISAAC 24.4 172.6 60 112 +1994 2 17 6 20 RAFAEL 53.0 111.3 59 542 +1996 2 9 0 23 BERYL 49.1 355.9 102 772 +1996 9 17 12 20 VALERIE 35.5 93.9 50 123 +1968 5 3 12 2 OSCAR 46.6 45.5 110 326 +1986 5 26 12 17 OSCAR 30.5 329.4 160 196 +1956 3 11 0 1 RAFAEL 12.6 58.1 85 87 +1980 4 16 0 17 FLORENCE 34.7 333.5 85 685 +1982 7 4 6 10 FLORENCE 41.1 125.7 142 241 +1991 11 7 0 25 BERYL 29.7 281.1 72 331 +1956 4 21 0 19 SANDY 27.4 46.8 67 24 +1972 6 7 12 11 VALERIE 55.1 46.6 90 724 +1960 4 22 6 18 RAFAEL 11.6 19.1 156 479 +2004 3 6 0 12 ALBERTO 15.2 36.2 12 480 +1986 10 13 0 11 ALBERTO 14.7 99.9 35 425 +1971 8 18 12 25 HELENE 19.4 238.9 131 291 +1999 7 7 12 5 CHRIS 44.3 93.7 141 239 +1968 10 25 18 24 DEBBY 47.1 295.2 42 437 +1978 4 8 0 3 FLORENCE 32.6 177.7 42 72 +1991 8 21 12 20 JOYCE 16.9 152.6 16 765 +1993 4 9 6 14 SANDY 63.0 167.7 111 827 +1968 9 22 18 18 RAFAEL 18.6 129.7 56 780 +1985 4 8 12 14 RAFAEL 48.5 112.0 28 237 +1978 10 3 18 24 OSCAR 8.1 303.9 37 561 +1972 5 17 6 21 VALERIE 62.2 45.7 34 666 +2002 11 21 12 11 VALERIE 33.5 51.9 154 162 +1964 10 26 6 9 JOYCE 8.6 121.3 69 707 +2003 1 8 6 9 OSCAR 23.9 240.0 71 267 +1978 2 13 6 24 GORDON 15.4 169.5 18 641 +1981 6 3 12 13 FLORENCE 70.0 13.3 99 825 +2002 9 9 0 9 ALBERTO 31.4 156.3 129 5 +1964 8 24 0 17 LESLIE 64.4 157.2 120 843 +1952 9 12 12 6 KIRK 20.7 226.0 78 621 +1994 6 28 18 6 WILLIAM 63.6 218.1 111 146 +1980 4 15 6 20 WILLIAM 55.4 33.4 127 330 +1962 12 7 6 12 ERNESTO 45.2 250.9 23 273 +1963 5 23 12 12 ERNESTO 14.5 42.6 21 633 +1968 7 27 6 23 ERNESTO 38.8 305.2 43 477 +1995 12 14 6 25 FLORENCE 62.7 89.5 65 672 +2004 4 14 12 3 TONY 29.1 64.3 88 648 +1998 11 28 6 12 ERNESTO 25.2 83.0 93 75 +1978 2 13 12 19 FLORENCE 25.6 25.1 124 123 +1975 8 26 6 10 ALBERTO 32.6 152.8 50 722 +1980 2 15 0 6 MICHAEL 14.1 251.8 40 327 +1961 4 6 0 16 PATTY 38.3 189.9 11 270 +1959 7 5 0 16 VALERIE 58.0 8.4 153 2 +1974 6 9 12 26 DEBBY 8.6 151.0 52 95 +2002 12 2 12 9 RAFAEL 48.9 355.8 150 607 +1974 9 5 0 9 OSCAR 39.1 269.6 25 496 +1953 7 25 18 6 CHRIS 60.9 160.7 57 456 +1985 2 11 6 2 ERNESTO 9.0 300.1 67 157 +1981 8 11 0 6 KIRK 57.7 122.3 23 98 +1957 12 9 12 28 RAFAEL 26.0 236.6 138 67 +1990 6 13 12 10 SANDY 62.3 252.9 149 110 +1977 9 24 0 7 PATTY 22.1 272.5 132 769 +1958 1 23 12 2 JOYCE 58.7 13.3 113 598 +1995 9 26 0 14 RAFAEL 22.9 293.1 34 491 +1962 1 12 18 28 ALBERTO 59.1 84.4 97 547 +1953 4 11 18 18 KIRK 45.9 77.1 77 537 +2002 7 19 6 24 GORDON 35.6 257.1 35 804 +1982 8 13 0 23 SANDY 19.5 310.4 58 237 +1968 5 15 6 28 ALBERTO 7.9 331.4 115 672 +1954 3 17 12 11 LESLIE 14.1 143.5 156 353 +1959 1 26 0 19 KIRK 51.9 216.0 118 684 +1989 3 16 18 16 CHRIS 63.8 32.6 63 737 +1977 5 26 18 4 ISAAC 20.6 6.1 100 124 +1968 10 4 6 2 TONY 62.2 254.1 54 769 +1954 12 26 0 25 KIRK 68.8 288.4 158 867 +1993 4 15 12 9 GORDON 35.4 325.3 32 4 +2000 1 5 0 6 ALBERTO 54.3 221.1 118 535 +2000 12 24 18 23 DEBBY 65.7 35.6 106 565 +1970 4 11 0 2 DEBBY 57.1 341.5 157 298 +1972 3 21 6 18 RAFAEL 23.3 26.0 18 584 +2002 2 8 0 2 BERYL 50.0 287.6 98 381 +1992 1 13 12 15 KIRK 13.3 346.5 53 864 +1962 6 5 12 26 WILLIAM 61.2 55.1 74 559 +2003 6 11 6 18 FLORENCE 43.5 238.4 95 460 +1985 1 16 6 5 OSCAR 16.9 313.9 117 218 +1992 9 26 18 15 FLORENCE 50.1 132.7 13 223 +1991 11 14 0 22 KIRK 15.1 83.8 136 465 +1985 12 10 0 18 CHRIS 42.9 295.0 157 394 +2000 2 14 18 26 KIRK 64.3 242.0 74 257 +1975 8 26 6 28 OSCAR 46.3 26.5 34 71 +1960 6 3 18 22 TONY 64.5 213.2 23 71 +1980 12 15 6 15 VALERIE 67.1 231.5 115 656 +1989 7 16 6 27 VALERIE 39.4 141.5 128 85 +1992 7 20 0 2 FLORENCE 63.5 170.7 28 75 +1969 12 22 6 20 PATTY 21.1 157.9 90 309 +1970 2 19 12 8 FLORENCE 57.3 76.8 111 351 +1952 11 15 0 13 FLORENCE 56.6 286.0 140 388 +1964 5 16 6 2 VALERIE 61.7 286.0 146 148 +1950 3 8 12 2 ERNESTO 57.8 344.2 57 433 +1988 10 18 12 23 MICHAEL 24.3 315.7 146 548 +1966 4 1 18 8 RAFAEL 53.6 319.3 67 494 +1986 8 28 6 7 ALBERTO 10.9 353.4 78 387 +1983 2 24 18 1 CHRIS 48.6 37.2 17 263 +1950 7 11 0 10 CHRIS 9.7 55.4 57 757 +1965 3 2 18 23 ISAAC 25.3 209.8 74 857 +1984 5 5 12 5 HELENE 43.0 156.5 147 661 +1977 10 4 12 7 ALBERTO 22.6 198.0 100 322 +1965 2 13 18 24 ERNESTO 36.5 14.2 111 748 +1984 1 20 12 9 MICHAEL 33.7 11.2 40 469 +1954 3 14 6 4 MICHAEL 42.5 36.3 56 327 +1963 9 6 6 2 WILLIAM 38.1 167.9 23 409 +2003 6 1 18 5 CHRIS 55.6 36.5 17 820 +1997 7 6 6 15 WILLIAM 10.5 53.9 148 402 +1989 10 11 18 15 JOYCE 14.4 155.6 69 689 +1953 10 23 0 20 ISAAC 59.3 9.9 53 554 +1989 3 18 18 1 ALBERTO 68.5 72.3 109 773 +1999 8 16 6 17 FLORENCE 42.6 147.3 94 20 +1954 8 17 12 17 PATTY 8.1 310.9 60 81 +1976 5 9 0 13 ALBERTO 23.7 302.0 33 349 +1984 1 6 12 19 OSCAR 40.5 285.0 54 788 +1989 11 16 6 8 JOYCE 46.1 235.8 112 377 +1993 4 6 12 25 RAFAEL 44.5 335.5 153 85 +1982 6 26 6 5 JOYCE 43.0 80.3 69 254 +1974 10 12 18 16 DEBBY 53.6 141.2 96 417 +1956 12 2 12 17 KIRK 65.4 121.4 85 509 +1991 2 27 18 3 VALERIE 33.9 277.7 115 329 +2004 8 10 18 1 CHRIS 50.2 167.0 71 699 +1957 2 6 18 7 PATTY 39.1 347.3 101 267 +1965 5 8 0 18 KIRK 53.1 241.8 37 593 +1965 1 9 12 19 JOYCE 39.5 184.2 74 342 +1967 12 19 0 10 BERYL 45.7 23.5 20 847 +1951 9 28 6 16 OSCAR 9.0 266.5 119 756 +1954 11 5 6 22 CHRIS 60.5 308.1 74 115 +1952 12 16 0 26 RAFAEL 40.6 17.2 154 98 +1976 5 21 18 4 TONY 51.2 287.7 146 664 diff --git a/benchmarks/new_opencl/nearn/clutils.cpp b/benchmarks/new_opencl/nearn/clutils.cpp new file mode 100755 index 000000000..6bc423043 --- /dev/null +++ b/benchmarks/new_opencl/nearn/clutils.cpp @@ -0,0 +1,1443 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#include +#include +#include +#include + +#include + +#include "clutils.h" +#include "utils.h" + + +// The following variables have file scope to simplify +// the utility functions + +//! All discoverable OpenCL platforms +static cl_platform_id* platforms = NULL; +static cl_uint numPlatforms; + +//! All discoverable OpenCL devices (one pointer per platform) +static cl_device_id* devices = NULL; +static cl_uint* numDevices; + +//! The chosen OpenCL platform +static cl_platform_id platform = NULL; + +//! The chosen OpenCL device +static cl_device_id device = NULL; + +//! OpenCL context +static cl_context context = NULL; + +//! OpenCL command queue +static cl_command_queue commandQueue = NULL; +static cl_command_queue commandQueueProf = NULL; +static cl_command_queue commandQueueNoProf = NULL; + +//! Global status of events +static bool eventsEnabled = false; + +//------------------------------------------------------- +// Initialization and Cleanup +//------------------------------------------------------- + +//! Initialize OpenCl environment on one device +/*! + Init function for one device. Looks for supported devices and creates a context + \return returns a context initialized +*/ +/*cl_context cl_init(char devicePreference) +{ + cl_int status; + + // Discover and populate the platforms + status = clGetPlatformIDs(0, NULL, &numPlatforms); + cl_errChk(status, "Getting platform IDs", true); + if (numPlatforms > 0) + { + // Get all the platforms + platforms = (cl_platform_id*)alloc(numPlatforms * + sizeof(cl_platform_id)); + + status = clGetPlatformIDs(numPlatforms, platforms, NULL); + cl_errChk(status, "Getting platform IDs", true); + } + else + { + // If no platforms are available, we shouldn't continue + printf("No OpenCL platforms found\n"); + exit(-1); + } + + // Allocate space for the device lists and lengths + numDevices = (cl_uint*)alloc(sizeof(cl_uint)*numPlatforms); + devices = (cl_device_id**)alloc(sizeof(cl_device_id*)*numPlatforms); + + // If a device preference was supplied, we'll limit the search of devices + // based on type + cl_device_type deviceType = CL_DEVICE_TYPE_ALL; + if(devicePreference == 'c') { + deviceType = CL_DEVICE_TYPE_CPU; + } + if(devicePreference == 'g') { + deviceType = CL_DEVICE_TYPE_GPU; + } + + // Traverse the platforms array printing information and + // populating devices + for(unsigned int i = 0; i < numPlatforms ; i++) + { + // Print out some basic info about the platform + char* platformName = NULL; + char* platformVendor = NULL; + + platformName = cl_getPlatformName(platforms[i]); + platformVendor = cl_getPlatformVendor(platforms[i]); + + status = clGetDeviceIDs(platforms[i], deviceType, 0, NULL, &numDevices[i]); + cl_errChk(status, "Getting device IDs", false); + if(status != CL_SUCCESS) { + printf("This is a known NVIDIA bug (if platform == AMD then die)\n"); + printf("Setting number of devices to 0 and continuing\n"); + numDevices[i] = 0; + } + + printf("Platform %d (%d devices):\n", i, numDevices[i]); + printf("\tName: %s\n", platformName); + printf("\tVendor: %s\n", platformVendor); + + free(platformName); + free(platformVendor); + + // Populate OpenCL devices if any exist + if(numDevices[i] != 0) + { + // Allocate an array of devices of size "numDevices" + devices[i] = (cl_device_id*)alloc(sizeof(cl_device_id)*numDevices[i]); + + // Populate Arrray with devices + status = clGetDeviceIDs(platforms[i], deviceType, numDevices[i], + devices[i], NULL); + cl_errChk(status, "Getting device IDs", true); + } + + // Print some information about each device + for( unsigned int j = 0; j < numDevices[i]; j++) + { + char* deviceName = NULL; + char* deviceVendor = NULL; + + printf("\tDevice %d:\n", j); + + deviceName = cl_getDeviceName(devices[i][j]); + deviceVendor = cl_getDeviceVendor(devices[i][j]); + + printf("\t\tName: %s\n", deviceName); + printf("\t\tVendor: %s\n", deviceVendor); + + free(deviceName); + free(deviceVendor); + } + } + + // Hard-code in the platform/device to use, or uncomment 'scanf' + // to decide at runtime + cl_uint chosen_platform, chosen_device; + // UNCOMMENT the following two lines to manually select device each time + //printf("Enter Platform and Device No (Seperated by Space) \n"); + //scanf("%d %d", &chosen_platform, &chosen_device); + chosen_platform = 0; + chosen_device = 0; + printf("Using Platform %d, Device %d \n", chosen_platform, chosen_device); + + // Do a sanity check of platform/device selection + if(chosen_platform >= numPlatforms || + chosen_device >= numDevices[chosen_platform]) { + printf("Invalid platform/device combination\n"); + exit(-1); + } + + // Set the selected platform and device + platform = platforms[chosen_platform]; + device = devices[chosen_platform][chosen_device]; + + // Create the context + cl_context_properties cps[3] = {CL_CONTEXT_PLATFORM, + (cl_context_properties)(platform), 0}; + context = clCreateContext(cps, 1, &device, NULL, NULL, &status); + cl_errChk(status, "Creating context", true); + + // Create the command queue + commandQueueProf = clCreateCommandQueue(context, device, + CL_QUEUE_PROFILING_ENABLE, &status); + cl_errChk(status, "creating command queue", true); + + commandQueueNoProf = clCreateCommandQueue(context, device, 0, &status); + cl_errChk(status, "creating command queue", true); + + if(eventsEnabled) { + printf("Profiling enabled\n"); + commandQueue = commandQueueProf; + } + else { + printf("Profiling disabled\n"); + commandQueue = commandQueueNoProf; + } + + return context; +}*/ + +static int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + + +cl_context cl_init_context(int platform, int dev,int quiet) { + int printInfo=1; + if (platform >= 0 && dev >= 0) printInfo = 0; + cl_int status; + // Used to iterate through the platforms and devices, respectively + cl_uint numPlatforms; + cl_uint numDevices; + + // These will hold the platform and device we select (can potentially be + // multiple, but we're just doing one for now) + // cl_platform_id platform = NULL; + + /*status = clGetPlatformIDs(0, NULL, &numPlatforms); + if (printInfo) printf("Number of platforms detected:%d\n", numPlatforms); + + // Print some information about the available platforms + cl_platform_id *platforms = NULL; + cl_device_id * devices = NULL; + if (numPlatforms > 0) + { + // get all the platforms + platforms = (cl_platform_id*)malloc(numPlatforms * + sizeof(cl_platform_id)); + status = clGetPlatformIDs(numPlatforms, platforms, NULL); + + // Traverse the platforms array + if (printInfo) printf("Checking For OpenCl Compatible Devices\n"); + for(unsigned int i = 0; i < numPlatforms ; i++) + { + char pbuf[100]; + if (printInfo) printf("Platform %d:\t", i); + status = clGetPlatformInfo(platforms[i], CL_PLATFORM_VENDOR, + sizeof(pbuf), pbuf, NULL); + if (printInfo) printf("Vendor: %s\n", pbuf); + + //unsigned int numDevices; + + status = clGetDeviceIDs(platforms[i], CL_DEVICE_TYPE_ALL, 0, NULL, &numDevices); + if(cl_errChk(status, "checking for devices",true)) + exit(1); + if(numDevices == 0) { + printf("There are no devices for Platform %d\n",i); + exit(0); + } + else + { + if (printInfo) printf("\tNo of devices for Platform %d is %u\n",i, numDevices); + //! Allocate an array of devices of size "numDevices" + devices = (cl_device_id*)malloc(sizeof(cl_device_id)*numDevices); + //! Populate Arrray with devices + status = clGetDeviceIDs(platforms[i], CL_DEVICE_TYPE_ALL, numDevices, + devices, NULL); + if(cl_errChk(status, "getting device IDs",true)) { + exit(1); + } + } + for( unsigned int j = 0; j < numDevices; j++) + { + char dbuf[100]; + char deviceStr[100]; + if (printInfo) printf("\tDevice: %d\t", j); + status = clGetDeviceInfo(devices[j], CL_DEVICE_VENDOR, sizeof(dbuf), + deviceStr, NULL); + cl_errChk(status, "Getting Device Info\n",true); + if (printInfo) printf("Vendor: %s", deviceStr); + status = clGetDeviceInfo(devices[j], CL_DEVICE_NAME, sizeof(dbuf), + dbuf, NULL); + if (printInfo) printf("\n\t\tName: %s\n", dbuf); + } + } + } + else + { + // If no platforms are available, we're sunk! + printf("No OpenCL platforms found\n"); + exit(0); + } + + int platform_touse; + unsigned int device_touse; + if (printInfo) printf("Enter Platform and Device No (Seperated by Space) \n"); + if (printInfo) scanf("%d %d", &platform_touse, &device_touse); + else { + platform_touse = platform; + device_touse = dev; + } + if (!quiet) printf("Using Platform %d \t Device No %d \n",platform_touse, device_touse); + + //! Recheck how many devices does our chosen platform have + status = clGetDeviceIDs(platforms[platform_touse], CL_DEVICE_TYPE_ALL, 0, NULL, &numDevices); + + if(device_touse > numDevices) + { + printf("Invalid Device Number\n"); + exit(1); + } + + //! Populate devices array with all the visible devices of our chosen platform + devices = (cl_device_id *)malloc(sizeof(cl_device_id)*numDevices); + status = clGetDeviceIDs(platforms[platform_touse], + CL_DEVICE_TYPE_ALL, numDevices, + devices, NULL); + if(cl_errChk(status,"Error in Getting Devices\n",true)) exit(1); + + + //!Check if Device requested is a CPU or a GPU + cl_device_type dtype; + device = devices[device_touse]; + status = clGetDeviceInfo(devices[device_touse], + CL_DEVICE_TYPE, + sizeof(dtype), + (void *)&dtype, + NULL); + if(cl_errChk(status,"Error in Getting Device Info\n",true)) exit(1); + if(dtype == CL_DEVICE_TYPE_GPU) { + if (!quiet) printf("Creating GPU Context\n\n"); + } + else if (dtype == CL_DEVICE_TYPE_CPU) { + if (!quiet) printf("Creating CPU Context\n\n"); + } + else perror("This Context Type Not Supported\n"); + + cl_context_properties cps[3] = {CL_CONTEXT_PLATFORM, + (cl_context_properties)(platforms[platform_touse]), 0}; + + cl_context_properties *cprops = cps; + + context = clCreateContextFromType( + cprops, (cl_device_type)dtype, + NULL, NULL, &status); + if(cl_errChk(status, "creating Context",true)) { + exit(1); + }*/ + + // Getting platform and device information + + numPlatforms = 1; + numDevices = 1; + int platform_touse = 0; + int device_touse = 0; + platforms = (cl_platform_id*)malloc(numPlatforms * sizeof(cl_platform_id)); + devices = (cl_device_id*)malloc(sizeof(cl_device_id)*numDevices); + + status = clGetPlatformIDs(1, platforms, NULL); + cl_errChk(status, "Oops!", true); + status = clGetDeviceIDs(platforms[0], CL_DEVICE_TYPE_DEFAULT, 1, devices, NULL); + cl_errChk(status, "Oops!", true); + context = clCreateContext(NULL, 1, devices, NULL, NULL, &status); + cl_errChk(status, "Oops!", true); + + device=devices[device_touse]; + +#define PROFILING + +#ifdef PROFILING + + commandQueue = clCreateCommandQueue(context, + devices[device_touse], CL_QUEUE_PROFILING_ENABLE, &status); + +#else + + clCommandQueue = clCreateCommandQueue(clGPUContext, + devices[device_touse], NULL, &status); + +#endif // PROFILING + + if(cl_errChk(status, "creating command queue",true)) { + exit(1); + } + return context; +} +/*! + Release all resources that the user doesn't have access to. +*/ +void cl_cleanup() +{ + // Free the command queue + if(commandQueue) { + clReleaseCommandQueue(commandQueue); + } + + // Free the context + if(context) { + clReleaseContext(context); + } + + free(devices); + free(numDevices); + + // Free the platforms + free(platforms); +} + +//! Release a kernel object +/*! + \param mem The kernel object to release +*/ +void cl_freeKernel(cl_kernel kernel) +{ + cl_int status; + + if(kernel != NULL) { + status = clReleaseKernel(kernel); + cl_errChk(status, "Releasing kernel object", true); + } +} + +//! Release memory allocated on the device +/*! + \param mem The device pointer to release +*/ +void cl_freeMem(cl_mem mem) +{ + cl_int status; + + if(mem != NULL) { + status = clReleaseMemObject(mem); + cl_errChk(status, "Releasing mem object", true); + } +} + +//! Release a program object +/*! + \param mem The program object to release +*/ +void cl_freeProgram(cl_program program) +{ + cl_int status; + + if(program != NULL) { + status = clReleaseProgram(program); + cl_errChk(status, "Releasing program object", true); + } +} + +//! Returns a reference to the command queue +/*! + Returns a reference to the command queue \n + Used for any OpenCl call that needs the command queue declared in clutils.cpp +*/ +cl_command_queue cl_getCommandQueue() +{ + return commandQueue; +} + +//------------------------------------------------------- +// Synchronization functions +//------------------------------------------------------- + +/*! + Wait till all pending commands in queue are finished +*/ +void cl_sync() +{ + clFinish(commandQueue); +} + + +//------------------------------------------------------- +// Memory allocation +//------------------------------------------------------- + +//! Allocate a buffer on a device +/*! + \param mem_size Size of memory in bytes + \param flags Optional cl_mem_flags + \return Returns a cl_mem object that points to device memory +*/ +cl_mem cl_allocBuffer(size_t mem_size, cl_mem_flags flags) +{ + cl_mem mem; + cl_int status; + + /*! + Logging information for keeping track of device memory + */ + static int allocationCount = 1; + static size_t allocationSize = 0; + + allocationCount++; + allocationSize += mem_size; + + mem = clCreateBuffer(context, flags, mem_size, NULL, &status); + + cl_errChk(status, "creating buffer", true); + + return mem; +} + +//! Allocate constant memory on device +/*! + \param mem_size Size of memory in bytes + \param host_ptr Host pointer that contains the data + \return Returns a cl_mem object that points to device memory +*/ +cl_mem cl_allocBufferConst(size_t mem_size, void* host_ptr) +{ + cl_mem mem; + cl_int status; + + mem = clCreateBuffer(context, CL_MEM_READ_ONLY | CL_MEM_COPY_HOST_PTR, + mem_size, host_ptr, &status); + cl_errChk(status, "Error creating const mem buffer", true); + + return mem; +} + +//! Allocate a buffer on device pinning the host memory at host_ptr +/*! + \param mem_size Size of memory in bytes + \return Returns a cl_mem object that points to pinned memory on the host +*/ +cl_mem cl_allocBufferPinned(size_t mem_size) +{ + cl_mem mem; + cl_int status; + + mem = clCreateBuffer(context, CL_MEM_READ_WRITE | CL_MEM_ALLOC_HOST_PTR, + mem_size, NULL, &status); + cl_errChk(status, "Error allocating pinned memory", true); + + return mem; +} + +//! Allocate an image on a device +/*! + \param height Number of rows in the image + \param width Number of columns in the image + \param elemSize Size of the elements in the image + \param flags Optional cl_mem_flags + \return Returns a cl_mem object that points to device memory +*/ +cl_mem cl_allocImage(size_t height, size_t width, char type, cl_mem_flags flags) +{ + cl_mem mem; + cl_int status; + + size_t elemSize = 0; + + cl_image_format format; + format.image_channel_order = CL_R; + + switch(type) { + case 'f': + elemSize = sizeof(float); + format.image_channel_data_type = CL_FLOAT; + break; + case 'i': + elemSize = sizeof(int); + format.image_channel_data_type = CL_SIGNED_INT32; + break; + default: + printf("Error creating image: Unsupported image type.\n"); + exit(-1); + } + + /*! + Logging information for keeping track of device memory + */ + static int allocationCount = 1; + static size_t allocationSize = 0; + + allocationCount++; + allocationSize += height*width*elemSize; + + // Create the image + mem = clCreateImage2D(context, flags, &format, width, height, 0, NULL, &status); + + //cl_errChk(status, "creating image", true); + if(status != CL_SUCCESS) { + printf("Error creating image: Images may not be supported for this device.\n"); + printSupportedImageFormats(); + getchar(); + exit(-1); + } + + return mem; +} + + +//------------------------------------------------------- +// Data transfers +//------------------------------------------------------- + + +// Copy and map a buffer +void* cl_copyAndMapBuffer(cl_mem dst, cl_mem src, size_t size) { + + void* ptr; // Pointer to the pinned memory that will be returned + + cl_copyBufferToBuffer(dst, src, size); + + ptr = cl_mapBuffer(dst, size, CL_MAP_READ); + + return ptr; +} + +// Copy a buffer +void cl_copyBufferToBuffer(cl_mem dst, cl_mem src, size_t size) +{ + cl_int status; + status = clEnqueueCopyBuffer(commandQueue, src, dst, 0, 0, size, 0, NULL, + NULL); + cl_errChk(status, "Copying buffer", true); + +} + +//! Copy a buffer to the device +/*! + \param dst Valid device pointer + \param src Host pointer that contains the data + \param mem_size Size of data to copy + \param blocking Blocking or non-blocking operation +*/ +void cl_copyBufferToDevice(cl_mem dst, void* src, size_t mem_size, cl_bool blocking) +{ + cl_int status; + status = clEnqueueWriteBuffer(commandQueue, dst, blocking, 0, + mem_size, src, 0, NULL, NULL); + cl_errChk(status, "Writing buffer", true); + +} + +//! Copy a buffer to the host +/*! + \param dst Valid host pointer + \param src Device pointer that contains the data + \param mem_size Size of data to copy + \param blocking Blocking or non-blocking operation +*/ +void cl_copyBufferToHost(void* dst, cl_mem src, size_t mem_size, cl_bool blocking) +{ + cl_int status; + status = clEnqueueReadBuffer(commandQueue, src, blocking, 0, + mem_size, dst, 0, NULL, NULL); + cl_errChk(status, "Reading buffer", true); + +} + +//! Copy a buffer to a 2D image +/*! + \param src Valid device buffer + \param dst Empty device image + \param mem_size Size of data to copy +*/ +void cl_copyBufferToImage(cl_mem buffer, cl_mem image, int height, int width) +{ + size_t origin[3] = {0, 0, 0}; + size_t region[3] = {width, height, 1}; + + cl_int status; + status = clEnqueueCopyBufferToImage(commandQueue, buffer, image, 0, + origin, region, 0, NULL, NULL); + cl_errChk(status, "Copying buffer to image", true); + +} + +// Copy data to an image on the device +/*! + \param dst Valid device pointer + \param src Host pointer that contains the data + \param height Height of the image + \param width Width of the image +*/ +void cl_copyImageToDevice(cl_mem dst, void* src, size_t height, size_t width) +{ + cl_int status; + size_t origin[3] = {0, 0, 0}; + size_t region[3] = {width, height, 1}; + + status = clEnqueueWriteImage(commandQueue, dst, CL_TRUE, origin, + region, 0, 0, src, 0, NULL, NULL); + cl_errChk(status, "Writing image", true); +} + +//! Copy an image to the host +/*! + \param dst Valid host pointer + \param src Device pointer that contains the data + \param height Height of the image + \param width Width of the image +*/ +void cl_copyImageToHost(void* dst, cl_mem src, size_t height, size_t width) +{ + cl_int status; + size_t origin[3] = {0, 0, 0}; + size_t region[3] = {width, height, 1}; + + status = clEnqueueReadImage(commandQueue, src, CL_TRUE, origin, + region, 0, 0, dst, 0, NULL, NULL); + cl_errChk(status, "Reading image", true); +} + +//! Map a buffer into a host address +/*! + \param mem cl_mem object + \param mem_size Size of memory in bytes + \param flags Optional cl_mem_flags + \return Returns a host pointer that points to the mapped region +*/ +void *cl_mapBuffer(cl_mem mem, size_t mem_size, cl_mem_flags flags) +{ + cl_int status; + void *ptr; + + ptr = (void *)clEnqueueMapBuffer(commandQueue, mem, CL_TRUE, flags, + 0, mem_size, 0, NULL, NULL, &status); + + cl_errChk(status, "Error mapping a buffer", true); + + return ptr; +} + +//! Unmap a buffer or image +/*! + \param mem cl_mem object + \param ptr A host pointer that points to the mapped region +*/ +void cl_unmapBuffer(cl_mem mem, void *ptr) +{ + + // TODO It looks like AMD doesn't support profiling unmapping yet. Leaving the + // commented code here until it's supported + + cl_int status; + + status = clEnqueueUnmapMemObject(commandQueue, mem, ptr, 0, NULL, NULL); + + cl_errChk(status, "Error unmapping a buffer or image", true); +} + +void cl_writeToZCBuffer(cl_mem mem, void* data, size_t size) +{ + + void* ptr; + + ptr = cl_mapBuffer(mem, size, CL_MAP_WRITE); + + memcpy(ptr, data, size); + + cl_unmapBuffer(mem, ptr); +} + +//------------------------------------------------------- +// Program and kernels +//------------------------------------------------------- + +//! Convert source code file into cl_program +/*! +Compile Opencl source file into a cl_program. The cl_program will be made into a kernel in PrecompileKernels() + +\param kernelPath Filename of OpenCl code +\param compileoptions Compilation options +\param verbosebuild Switch to enable verbose Output +*/ +cl_program cl_compileProgram(char* kernelPath, char* compileoptions, bool verbosebuild ) +{ + cl_int status; + FILE *fp = NULL; + char *source = NULL; + long int size; + + /*printf("\t%s\n", kernelPath); + + // Determine the size of the source file +#ifdef _WIN32 + fopen_s(&fp, kernelPath, "rb"); +#else + fp = fopen(kernelPath, "rb"); +#endif + if(!fp) { + printf("Could not open kernel file\n"); + exit(-1); + } + status = fseek(fp, 0, SEEK_END); + if(status != 0) { + printf("Error seeking to end of file\n"); + exit(-1); + } + size = ftell(fp); + if(size < 0) { + printf("Error getting file position\n"); + exit(-1); + } + rewind(fp); + + // Allocate enough space for the source code + source = (char *)alloc(size + 1); + + // fill with NULLs (just for fun) + for (int i = 0; i < size+1; i++) { + source[i] = '\0'; + } + + // Read in the source code + fread(source, 1, size, fp); + source[size] = '\0';*/ + + // read kernel binary from file + uint8_t *kernel_bin = NULL; + size_t kernel_size; + cl_int binary_status = 0; + int err = read_kernel_file("kernel.pocl", &kernel_bin, &kernel_size); + cl_errChk(err, "read_kernel_file", true); + + // Create the program object + //cl_program clProgramReturn = clCreateProgramWithSource(context, 1, (const char **)&source, NULL, &status); + cl_program clProgramReturn = clCreateProgramWithBinary( + context, 1, devices, &kernel_size, &kernel_bin, &binary_status, &status); + free(kernel_bin); + cl_errChk(status, "Creating program", true); + + //free(source); + //fclose(fp); + + // Try to compile the program + status = clBuildProgram(clProgramReturn, 0, NULL, compileoptions, NULL, NULL); + if(cl_errChk(status, "Building program", false) || verbosebuild == 1) + { + + cl_build_status build_status; + + clGetProgramBuildInfo(clProgramReturn, device, CL_PROGRAM_BUILD_STATUS, + sizeof(cl_build_status), &build_status, NULL); + + if(build_status == CL_SUCCESS && verbosebuild == 0) { + return clProgramReturn; + } + + //char *build_log; + size_t ret_val_size; + printf("Device: %p",device); + clGetProgramBuildInfo(clProgramReturn, device, CL_PROGRAM_BUILD_LOG, 0, + NULL, &ret_val_size); + + char *build_log = (char*)alloc(ret_val_size+1); + + clGetProgramBuildInfo(clProgramReturn, device, CL_PROGRAM_BUILD_LOG, + ret_val_size+1, build_log, NULL); + + // to be careful, terminate with \0 + // there's no information in the reference whether the string is 0 + // terminated or not + build_log[ret_val_size] = '\0'; + + printf("Build log:\n %s...\n", build_log); + if(build_status != CL_SUCCESS) { + getchar(); + exit(-1); + } + else + return clProgramReturn; + } + + // print the ptx information + // printBinaries(clProgram); + + return clProgramReturn; +} + +//! Create a kernel from compiled source +/*! +Create a kernel from compiled source + +\param program Compiled OpenCL program +\param kernel_name Name of the kernel in the program +\return Returns a cl_kernel object for the specified kernel +*/ +cl_kernel cl_createKernel(cl_program program, const char* kernel_name) { + + cl_kernel kernel; + cl_int status; + + kernel = clCreateKernel(program, kernel_name, &status); + cl_errChk(status, "Creating kernel", true); + + return kernel; +} + +//! Set an argument for a OpenCL kernel +/*! +Set an argument for a OpenCL kernel + +\param kernel The kernel for which the argument is being set +\param index The argument index +\param size The size of the argument +\param data A pointer to the argument +*/ +void cl_setKernelArg(cl_kernel kernel, unsigned int index, size_t size, + void* data) +{ + cl_int status; + status = clSetKernelArg(kernel, index, size, data); + + cl_errChk(status, "Setting kernel arg", true); +} + + +//------------------------------------------------------- +// Profiling/events +//------------------------------------------------------- + + +//! Time kernel execution using cl_event +/*! + Prints out the time taken between the start and end of an event + \param event_time +*/ +double cl_computeExecTime(cl_event event_time) +{ + cl_int status; + cl_ulong starttime; + cl_ulong endtime; + + double elapsed; + + status = clGetEventProfilingInfo(event_time, CL_PROFILING_COMMAND_START, + sizeof(cl_ulong), &starttime, NULL); + cl_errChk(status, "profiling start", true); + + status = clGetEventProfilingInfo(event_time, CL_PROFILING_COMMAND_END, + sizeof(cl_ulong), &endtime, NULL); + cl_errChk(status, "profiling end", true); + + // Convert to ms + elapsed = (double)(endtime-starttime)/1000000.0; + + return elapsed; +} + +//! Compute the elapsed time between two timer values +double cl_computeTime(cl_time start, cl_time end) +{ +#ifdef _WIN32 + __int64 freq; + int status; + + status = QueryPerformanceFrequency((LARGE_INTEGER*)&freq); + if(status == 0) { + perror("QueryPerformanceFrequency"); + exit(-1); + } + + // Return time in ms + return double(end-start)/(double(freq)/1000.0); +#else + + return end-start; +#endif +} + +//! Grab the current time using a system-specific timer +void cl_getTime(cl_time* time) +{ + +#ifdef _WIN32 + int status = QueryPerformanceCounter((LARGE_INTEGER*)time); + if(status == 0) { + perror("QueryPerformanceCounter"); + exit(-1); + } +#else + // Use gettimeofday to get the current time + struct timeval curTime; + gettimeofday(&curTime, NULL); + + // Convert timeval into double + *time = curTime.tv_sec * 1000 + (double)curTime.tv_usec/1000; +#endif +} + + + +//------------------------------------------------------- +// Error handling +//------------------------------------------------------- + +//! OpenCl error code list +/*! + An array of character strings used to give the error corresponding to the error code \n + + The error code is the index within this array +*/ +char *cl_errs[MAX_ERR_VAL] = { + (char *)"CL_SUCCESS", // 0 + (char *)"CL_DEVICE_NOT_FOUND", //-1 + (char *)"CL_DEVICE_NOT_AVAILABLE", //-2 + (char *)"CL_COMPILER_NOT_AVAILABLE", //-3 + (char *)"CL_MEM_OBJECT_ALLOCATION_FAILURE", //-4 + (char *)"CL_OUT_OF_RESOURCES", //-5 + (char *)"CL_OUT_OF_HOST_MEMORY", //-6 + (char *)"CL_PROFILING_INFO_NOT_AVAILABLE", //-7 + (char *)"CL_MEM_COPY_OVERLAP", //-8 + (char *)"CL_IMAGE_FORMAT_MISMATCH", //-9 + (char *)"CL_IMAGE_FORMAT_NOT_SUPPORTED", //-10 + (char *)"CL_BUILD_PROGRAM_FAILURE", //-11 + (char *)"CL_MAP_FAILURE", //-12 + (char *)"", //-13 + (char *)"", //-14 + (char *)"", //-15 + (char *)"", //-16 + (char *)"", //-17 + (char *)"", //-18 + (char *)"", //-19 + (char *)"", //-20 + (char *)"", //-21 + (char *)"", //-22 + (char *)"", //-23 + (char *)"", //-24 + (char *)"", //-25 + (char *)"", //-26 + (char *)"", //-27 + (char *)"", //-28 + (char *)"", //-29 + (char *)"CL_INVALID_VALUE", //-30 + (char *)"CL_INVALID_DEVICE_TYPE", //-31 + (char *)"CL_INVALID_PLATFORM", //-32 + (char *)"CL_INVALID_DEVICE", //-33 + (char *)"CL_INVALID_CONTEXT", //-34 + (char *)"CL_INVALID_QUEUE_PROPERTIES", //-35 + (char *)"CL_INVALID_COMMAND_QUEUE", //-36 + (char *)"CL_INVALID_HOST_PTR", //-37 + (char *)"CL_INVALID_MEM_OBJECT", //-38 + (char *)"CL_INVALID_IMAGE_FORMAT_DESCRIPTOR", //-39 + (char *)"CL_INVALID_IMAGE_SIZE", //-40 + (char *)"CL_INVALID_SAMPLER", //-41 + (char *)"CL_INVALID_BINARY", //-42 + (char *)"CL_INVALID_BUILD_OPTIONS", //-43 + (char *)"CL_INVALID_PROGRAM", //-44 + (char *)"CL_INVALID_PROGRAM_EXECUTABLE", //-45 + (char *)"CL_INVALID_KERNEL_NAME", //-46 + (char *)"CL_INVALID_KERNEL_DEFINITION", //-47 + (char *)"CL_INVALID_KERNEL", //-48 + (char *)"CL_INVALID_ARG_INDEX", //-49 + (char *)"CL_INVALID_ARG_VALUE", //-50 + (char *)"CL_INVALID_ARG_SIZE", //-51 + (char *)"CL_INVALID_KERNEL_ARGS", //-52 + (char *)"CL_INVALID_WORK_DIMENSION ", //-53 + (char *)"CL_INVALID_WORK_GROUP_SIZE", //-54 + (char *)"CL_INVALID_WORK_ITEM_SIZE", //-55 + (char *)"CL_INVALID_GLOBAL_OFFSET", //-56 + (char *)"CL_INVALID_EVENT_WAIT_LIST", //-57 + (char *)"CL_INVALID_EVENT", //-58 + (char *)"CL_INVALID_OPERATION", //-59 + (char *)"CL_INVALID_GL_OBJECT", //-60 + (char *)"CL_INVALID_BUFFER_SIZE", //-61 + (char *)"CL_INVALID_MIP_LEVEL", //-62 + (char *)"CL_INVALID_GLOBAL_WORK_SIZE"}; //-63 + +//! OpenCl Error checker +/*! +Checks for error code as per cl_int returned by OpenCl +\param status Error value as cl_int +\param msg User provided error message +\return True if Error Seen, False if no error +*/ +int cl_errChk(const cl_int status, const char * msg, bool exitOnErr) +{ + + if(status != CL_SUCCESS) { + printf("OpenCL Error: %d %s %s\n", status, cl_errs[-status], msg); + + if(exitOnErr) { + exit(-1); + } + + return true; + } + return false; +} + +// Queries the supported image formats for the device and prints +// them to the screen + void printSupportedImageFormats() +{ + cl_uint numFormats; + cl_int status; + + status = clGetSupportedImageFormats(context, 0, CL_MEM_OBJECT_IMAGE2D, + 0, NULL, &numFormats); + cl_errChk(status, "getting supported image formats", true); + + cl_image_format* imageFormats = NULL; + imageFormats = (cl_image_format*)alloc(sizeof(cl_image_format)*numFormats); + + status = clGetSupportedImageFormats(context, 0, CL_MEM_OBJECT_IMAGE2D, + numFormats, imageFormats, NULL); + + printf("There are %d supported image formats\n", numFormats); + + cl_uint orders[]={CL_R, CL_A, CL_INTENSITY, CL_LUMINANCE, CL_RG, + CL_RA, CL_RGB, CL_RGBA, CL_ARGB, CL_BGRA}; + char *orderstr[]={(char *)"CL_R", (char *)"CL_A",(char *)"CL_INTENSITY", (char *)"CL_LUMINANCE", (char *)"CL_RG", + (char *)"CL_RA", (char *)"CL_RGB", (char *)"CL_RGBA", (char *)"CL_ARGB", (char *)"CL_BGRA"}; + + cl_uint types[]={ + CL_SNORM_INT8 , CL_SNORM_INT16, CL_UNORM_INT8, CL_UNORM_INT16, + CL_UNORM_SHORT_565, CL_UNORM_SHORT_555, CL_UNORM_INT_101010,CL_SIGNED_INT8, + CL_SIGNED_INT16, CL_SIGNED_INT32, CL_UNSIGNED_INT8, CL_UNSIGNED_INT16, + CL_UNSIGNED_INT32, CL_HALF_FLOAT, CL_FLOAT}; + + char * typesstr[]={ + (char *)"CL_SNORM_INT8" ,(char *)"CL_SNORM_INT16",(char *)"CL_UNORM_INT8",(char *)"CL_UNORM_INT16", + (char *)"CL_UNORM_SHORT_565",(char *)"CL_UNORM_SHORT_555",(char *)"CL_UNORM_INT_101010", + (char *)"CL_SIGNED_INT8",(char *)"CL_SIGNED_INT16",(char *)"CL_SIGNED_INT32",(char *)"CL_UNSIGNED_INT8", + (char *)"CL_UNSIGNED_INT16",(char *)"CL_UNSIGNED_INT32",(char *)"CL_HALF_FLOAT",(char *)"CL_FLOAT"}; + + printf("Supported Formats:\n"); + for(int i = 0; i < (int)numFormats; i++) { + printf("\tFormat %d: ", i); + + for(int j = 0; j < (int)(sizeof(orders)/sizeof(cl_int)); j++) { + if(imageFormats[i].image_channel_order == orders[j]) { + printf("%s, ", orderstr[j]); + } + } + for(int j = 0; j < (int)(sizeof(types)/sizeof(cl_int)); j++) { + if(imageFormats[i].image_channel_data_type == types[j]) { + printf("%s, ", typesstr[j]); + } + } + printf("\n"); + } + + free(imageFormats); +} + + +//------------------------------------------------------- +// Platform and device information +//------------------------------------------------------- + +//! Returns true if AMD is the device vendor +bool cl_deviceIsAMD(cl_device_id dev) { + + bool retval = false; + + char* vendor = cl_getDeviceVendor(dev); + + if(strncmp(vendor, "Advanced", 8) == 0) { + retval = true; + } + + free(vendor); + + return retval; +} + +//! Returns true if NVIDIA is the device vendor +bool cl_deviceIsNVIDIA(cl_device_id dev) { + + bool retval = false; + + char* vendor = cl_getDeviceVendor(dev); + + if(strncmp(vendor, "NVIDIA", 6) == 0) { + retval = true; + } + + free(vendor); + + return retval; +} + +//! Returns true if NVIDIA is the device vendor +bool cl_platformIsNVIDIA(cl_platform_id plat) { + + bool retval = false; + + char* vendor = cl_getPlatformVendor(plat); + + if(strncmp(vendor, "NVIDIA", 6) == 0) { + retval = true; + } + + free(vendor); + + return retval; +} + +//! Get the name of the vendor for a device +char* cl_getDeviceDriverVersion(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the vendor + status = clGetDeviceInfo(dev, CL_DRIVER_VERSION, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting vendor name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DRIVER_VERSION, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting vendor name", true); + + return devInfoStr; +} + +//! The the name of the device as supplied by the OpenCL implementation +char* cl_getDeviceName(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the name + status = clGetDeviceInfo(dev, CL_DEVICE_NAME, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting device name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DEVICE_NAME, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting device name", true); + + return(devInfoStr); +} + +//! Get the name of the vendor for a device +char* cl_getDeviceVendor(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the vendor + status = clGetDeviceInfo(dev, CL_DEVICE_VENDOR, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting vendor name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DEVICE_VENDOR, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting vendor name", true); + + return devInfoStr; +} + +//! Get the name of the vendor for a device +char* cl_getDeviceVersion(cl_device_id dev) +{ + cl_int status; + size_t devInfoSize; + char* devInfoStr = NULL; + + // If dev is NULL, set it to the default device + if(dev == NULL) { + dev = device; + } + + // Print the vendor + status = clGetDeviceInfo(dev, CL_DEVICE_VERSION, 0, + NULL, &devInfoSize); + cl_errChk(status, "Getting vendor name", true); + + devInfoStr = (char*)alloc(devInfoSize); + + status = clGetDeviceInfo(dev, CL_DEVICE_VERSION, devInfoSize, + devInfoStr, NULL); + cl_errChk(status, "Getting vendor name", true); + + return devInfoStr; +} + +//! The the name of the device as supplied by the OpenCL implementation +char* cl_getPlatformName(cl_platform_id platform) +{ + cl_int status; + size_t platformInfoSize; + char* platformInfoStr = NULL; + + // Print the name + status = clGetPlatformInfo(platform, CL_PLATFORM_NAME, 0, + NULL, &platformInfoSize); + cl_errChk(status, "Getting platform name", true); + + platformInfoStr = (char*)alloc(platformInfoSize); + + status = clGetPlatformInfo(platform, CL_PLATFORM_NAME, platformInfoSize, + platformInfoStr, NULL); + cl_errChk(status, "Getting platform name", true); + + return(platformInfoStr); +} + +//! The the name of the device as supplied by the OpenCL implementation +char* cl_getPlatformVendor(cl_platform_id platform) +{ + cl_int status; + size_t platformInfoSize; + char* platformInfoStr = NULL; + + // Print the name + status = clGetPlatformInfo(platform, CL_PLATFORM_VENDOR, 0, + NULL, &platformInfoSize); + cl_errChk(status, "Getting platform name", true); + + platformInfoStr = (char*)alloc(platformInfoSize); + + status = clGetPlatformInfo(platform, CL_PLATFORM_VENDOR, platformInfoSize, + platformInfoStr, NULL); + cl_errChk(status, "Getting platform name", true); + + return(platformInfoStr); +} + +//------------------------------------------------------- +// Utility functions +//------------------------------------------------------- + +//! Take a string and an int, and return a string +char* catStringWithInt(const char* string, int integer) { + + if(integer > 99999) { + printf("Can't handle event identifiers with 6 digits\n"); + exit(-1); + } + + // 5 characters for the identifier, 1 for the null terminator + int strLen = strlen(string)+5+1; + char* eventStr = (char*)alloc(sizeof(char)*strLen); + + char tmp[6]; + + strcpy(eventStr, string); + strncat(eventStr, itoa_portable(integer, tmp, 10), 5); + + return eventStr; +} + +/** + ** C++ version 0.4 char* style "itoa": + ** Written by Lukás Chmela + ** Released under GPLv3. + **/ +//portable itoa function +char* itoa_portable(int value, char* result, int base) { + // check that the base if valid + if (base < 2 || base > 36) { *result = '\0'; return result; } + + char* ptr = result, *ptr1 = result, tmp_char; + int tmp_value; + + do { + tmp_value = value; + value /= base; + *ptr++ = "zyxwvutsrqponmlkjihgfedcba9876543210123456789abcdefghijklmnopqrstuvwxyz" [35 + (tmp_value - value * base)]; + } while ( value ); + + //Apply negative sign + if (tmp_value < 0) *ptr++ = '-'; + *ptr-- = '\0'; + + while(ptr1 < ptr) { + tmp_char = *ptr; + *ptr--= *ptr1; + *ptr1++ = tmp_char; + } + + return result; +} \ No newline at end of file diff --git a/benchmarks/new_opencl/nearn/clutils.h b/benchmarks/new_opencl/nearn/clutils.h new file mode 100755 index 000000000..51177d078 --- /dev/null +++ b/benchmarks/new_opencl/nearn/clutils.h @@ -0,0 +1,281 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#ifndef __CL_UTILS_H__ +#define __CL_UTILS_H__ + +#include + +// The cl_time type is OS specific +#ifdef _WIN32 +#include +#include +typedef __int64 cl_time; +#else +#include +typedef double cl_time; +#endif + +//------------------------------------------------------- +// Initialization and Cleanup +//------------------------------------------------------- + +// Detects platforms and devices, creates context and command queue +cl_context cl_init(char devicePreference='\0'); + +// Creates a context given a platform and a device +cl_context cl_init_context(int platform,int dev,int quiet=0); + +// Releases resources used by clutils +void cl_cleanup(); + +// Releases a kernel object +void cl_freeKernel(cl_kernel kernel); + +// Releases a memory object +void cl_freeMem(cl_mem mem); + +// Releases a program object +void cl_freeProgram(cl_program program); + +// Returns the global command queue +cl_command_queue cl_getCommandQueue(); + + +//------------------------------------------------------- +// Synchronization functions +//------------------------------------------------------- + +// Performs a clFinish on the command queue +void cl_sync(); + + +//------------------------------------------------------- +// Memory allocation +//------------------------------------------------------- + +// Allocates a regular buffer on the device +cl_mem cl_allocBuffer(size_t mem_size, + cl_mem_flags flags = CL_MEM_READ_WRITE); + +// XXX I don't think this does exactly what we want it to do +// Allocates a read-only buffer and transfers the data +cl_mem cl_allocBufferConst(size_t mem_size, void* host_ptr); + +// Allocates pinned memory on the host +cl_mem cl_allocBufferPinned(size_t mem_size); + +// Allocates an image on the device +cl_mem cl_allocImage(size_t height, size_t width, char type, + cl_mem_flags flags = CL_MEM_READ_WRITE); + + + +//------------------------------------------------------- +// Data transfers +//------------------------------------------------------- + +// Copies a buffer from the device to pinned memory on the host and +// maps it so it can be read +void* cl_copyAndMapBuffer(cl_mem dst, cl_mem src, size_t size); + +// Copies from one buffer to another +void cl_copyBufferToBuffer(cl_mem dst, cl_mem src, size_t size); + +// Copies data to a buffer on the device +void cl_copyBufferToDevice(cl_mem dst, void *src, size_t mem_size, + cl_bool blocking = CL_TRUE); + +// Copies data to an image on the device +void cl_copyImageToDevice(cl_mem dst, void* src, size_t height, size_t width); + +// Copies an image from the device to the host +void cl_copyImageToHost(void* dst, cl_mem src, size_t height, size_t width); + +// Copies data from a device buffer to the host +void cl_copyBufferToHost(void *dst, cl_mem src, size_t mem_size, + cl_bool blocking = CL_TRUE); + +// Copies data from a buffer on the device to an image on the device +void cl_copyBufferToImage(cl_mem src, cl_mem dst, int height, int width); + +// Maps a buffer +void* cl_mapBuffer(cl_mem mem, size_t mem_size, cl_mem_flags flags); + +// Unmaps a buffer +void cl_unmapBuffer(cl_mem mem, void *ptr); + +// Writes data to a zero-copy buffer on the device +void cl_writeToZCBuffer(cl_mem mem, void* data, size_t size); + +//------------------------------------------------------- +// Program and kernels +//------------------------------------------------------- + +// Compiles a program +cl_program cl_compileProgram(char* kernelPath, char* compileoptions, + bool verboseoptions = 0); + +// Creates a kernel +cl_kernel cl_createKernel(cl_program program, const char* kernelName); + + +// Sets a kernel argument +void cl_setKernelArg(cl_kernel kernel, unsigned int index, size_t size, + void* data); + + +//------------------------------------------------------- +// Profiling/events +//------------------------------------------------------- + +// Computes the execution time (start to end) for an event +double cl_computeExecTime(cl_event); + +// Compute the elapsed time between two CPU timer values +double cl_computeTime(cl_time start, cl_time end); + +// Creates an event from CPU timers +void cl_createUserEvent(cl_time start, cl_time end, char* desc); + +// Disable logging of events +void cl_disableEvents(); + +// Enable logging of events +void cl_enableEvents(); + +// Query the current system time +void cl_getTime(cl_time* time); + +// Calls a function which prints events to the terminal +void cl_printEvents(); + +// Calls a function which writes the events to a file +void cl_writeEventsToFile(char* path); + + +//------------------------------------------------------- +// Error handling +//------------------------------------------------------- + +// Compare a status value to CL_SUCCESS and optionally exit on error +int cl_errChk(const cl_int status, const char *msg, bool exitOnErr); + +// Queries the supported image formats for the device and prints +// them to the screen +void printSupportedImageFormats(); + +//------------------------------------------------------- +// Platform and device information +//------------------------------------------------------- + +bool cl_deviceIsAMD(cl_device_id dev=NULL); +bool cl_deviceIsNVIDIA(cl_device_id dev=NULL); +bool cl_platformIsNVIDIA(cl_platform_id plat=NULL); +char* cl_getDeviceDriverVersion(cl_device_id dev=NULL); +char* cl_getDeviceName(cl_device_id dev=NULL); +char* cl_getDeviceVendor(cl_device_id dev=NULL); +char* cl_getDeviceVersion(cl_device_id dev=NULL); +char* cl_getPlatformName(cl_platform_id platform); +char* cl_getPlatformVendor(cl_platform_id platform); + +//------------------------------------------------------- +// Utility functions +//------------------------------------------------------- + +char* catStringWithInt(const char* str, int integer); + +char* itoa_portable(int value, char* result, int base); + +//------------------------------------------------------- +// Data types +//------------------------------------------------------- +typedef struct{ + int x; + int y; +} int2; + +typedef struct{ + float x; + float y; +}float2; + +typedef struct{ + float x; + float y; + float z; + float w; +}float4; + +//------------------------------------------------------- +// Defines +//------------------------------------------------------- + +#define MAX_ERR_VAL 64 + +#define NUM_PROGRAMS 7 + +#define NUM_KERNELS 13 +#define KERNEL_INIT_DET 0 +#define KERNEL_BUILD_DET 1 +#define KERNEL_SURF_DESC 2 +#define KERNEL_NORM_DESC 3 +#define KERNEL_NON_MAX_SUP 4 +#define KERNEL_GET_ORIENT1 5 +#define KERNEL_GET_ORIENT2 6 +#define KERNEL_NN 7 +#define KERNEL_SCAN 8 +#define KERNEL_SCAN4 9 +#define KERNEL_TRANSPOSE 10 +#define KERNEL_SCANIMAGE 11 +#define KERNEL_TRANSPOSEIMAGE 12 + +#endif diff --git a/benchmarks/new_opencl/nearn/filelist.txt b/benchmarks/new_opencl/nearn/filelist.txt new file mode 100755 index 000000000..393d440b4 --- /dev/null +++ b/benchmarks/new_opencl/nearn/filelist.txt @@ -0,0 +1,4 @@ +cane4_0.db +cane4_1.db +cane4_2.db +cane4_3.db \ No newline at end of file diff --git a/benchmarks/new_opencl/nearn/ipoint.h b/benchmarks/new_opencl/nearn/ipoint.h new file mode 100755 index 000000000..3a56adaea --- /dev/null +++ b/benchmarks/new_opencl/nearn/ipoint.h @@ -0,0 +1,29 @@ +/*********************************************************** +* --- OpenSURF --- * +* This library is distributed under the GNU GPL. Please * +* contact chris.evans@irisys.co.uk for more information. * +* * +* C. Evans, Research Into Robust Visual Features, * +* MSc University of Bristol, 2008. * +* * +************************************************************/ + +#ifndef IPOINT_H +#define IPOINT_H + +#include +#include + + + +//------------------------------------------------------- +typedef struct{ + int x; + int y; + float descriptor[64]; + } Ipoint; + +//------------------------------------------------------- + + typedef std::vector IpVec; +#endif diff --git a/benchmarks/new_opencl/nearn/kernel.cl b/benchmarks/new_opencl/nearn/kernel.cl new file mode 100755 index 000000000..42a70388b --- /dev/null +++ b/benchmarks/new_opencl/nearn/kernel.cl @@ -0,0 +1,22 @@ +//#pragma OPENCL EXTENSION cl_khr_byte_addressable_store : enable + +typedef struct latLong + { + float lat; + float lng; + } LatLong; + +__kernel void NearestNeighbor(__global LatLong *d_locations, + __global float *d_distances, + const int numRecords, + const float lat, + const float lng) { + int globalId = get_global_id(0); + + if (globalId < numRecords) { + __global LatLong *latLong = d_locations+globalId; + + __global float *dist=d_distances+globalId; + *dist = (float)sqrt((lat-latLong->lat)*(lat-latLong->lat)+(lng-latLong->lng)*(lng-latLong->lng)); + } +} \ No newline at end of file diff --git a/benchmarks/new_opencl/nearn/kernel.pocl b/benchmarks/new_opencl/nearn/kernel.pocl new file mode 100644 index 0000000000000000000000000000000000000000..72ca8cba4647f34e40938ba5d7c83d2a8aafaaf3 GIT binary patch literal 193922 zcmeFae|S~Zndraw*=O&4@`EI@LxPATutS2BQtYv&x6j<$a~yuCbp$&I+WHjfw$j?U z7wxprb~?9-J3jzHq8o`?Zv%ovosOe(rlxb-nIRR3wYG!~Bvc)N9!Rh{CbT4x06E{! zyUsZw0qpJle&@OOpKqRLKPPAJwby#r`}=*@yVkjXwf7fa{K|jRp56V=|M}ThqMx-j zP1Cs|T=IAG{Eyvq;|({=zvUcI%==@tbeB zamlS(9*sYC|JPQp`r69>I`@m-(QoNmv#Du8y=ggSO#5ol54Ao`Gaa)?`>JMYPJQjw zPD9*{TDxKa?fQyp!ljcm%`x|$?$er9_i3Hq;tH+Sn(hw(%3Eh^)swUuo)2;Fzpkp0 zwpvK~YJNc1f?ECCzppF`1zUINe_5%8f=RnHr=(J=K9yP>)nAH5^#fe(nzZp6EO}SK zA^rH3z_YwT3zl2Db4P>j*6h=E)bwa>?Vz@!mV0)Q7Bno>yjy!TqtVn{o&^mhnqv*7 zg8E5Xvcwt*2Cml<^@lXK{D+$KY0#%{U9TC7Dm8ymiDukrX~EV8-6^&8pt(^?^xAr~ zw^vKt+pS6ejz#~!q<`C@{}X&KVT?@wTj~EB(*NGk{$-5nnVRN4{fwGR8XrY!Y)98q zZsiqPo25PA*f9m~jvD%{wPZe8V9(Rs2btT0-1E7|<<|9RJL>qX=R23%unTRi z-bX(T+Kz{5bGxOxo8_}X-@&KxOnhoD^lUS=uNn29(2RXEHGkhE&FFwWlj?P+#L~f` zmgwoxqCNYx=$ClcD$=}L_J$lwcx{0eShZTzei=O4TB4D$z@uB!3yt=U7&B`%zr0-o zKf0p(nE$glb1P~!Cv0ie;4ZMhoz|u8(12S9el9n}_Yj{3-?`i{-)ZlfeCKj4U=qAp zJ$!--n@_=;y-RZ=w2javhqhd9ZU%3;yd!walTX_6>E_cmpEeU{%jFg{&`+JVqkuNI zhjq75KI`-yd}i_Hm|@KT{;_lXW9Q}AOPE{F|8j1@GMQVK7A%vwb&bwVU<;Oob!T~< z?nWAzCv(W*Ir6?Er$_T{*co!ng}P&fHNOEF(hl)#67;zsLw{%<{xh{xgJlEpsCh_> zF5s$vMss&=pX$_`X`V-(S8K7-?0i3*_wWDzd0%wiSl(B-t1(ti%EOEDFiWM#Hqb{}cMod+ySm%a<0-fN3eBDIlIAZku@feCX16Z9wYtA7<(KQ4KQoTZw<~y> zzlN=N@P=l0+uy72S$;8|X`j*8zvB1)Gwsuv_w?Qx`srVB+WEw!QCzL=UvVniUi5@J z;}P`nblrWSz1;o5fpT}(OEb`2HRz%H`ufY>Pxo2&{`fx2`lL_e8sMskkCRvWfA`}4 zjc0V=I`R(vz2}4lrm}ba*7$T|S9}ICF(crfgWeIj3L3)L5>4x^u{BTrhpx2m5gEL6 ztd`@9HR8?%cXOD_Z00hHxl~@O2bQTBGwbQAT=VWbp{04^OHcP{MN^@@Z9IcM+O~a$ zyYs*d_xYE~-KX1U7`w1vf6=2=%lyp+egBhEx-1! zX&1cd%tc4f>F}`59MXK0v3cSnF#gVJGxc4^T42a0@17D|(0)|+kHxbS*7ash@K$s4 zW%TD+Y-t#JhoN^EdYkUtYYlf!+;C^#X1KFf8gAtS2G0$i8*ce_!=3w*PM@apKzqu` zv9$mj>Ev=j8~<+_bz@hD=I`pz-8l#Nv_o#i0WHyxXGABUFH1g}w)3-J(t=63a(>{94ct9f5*&2=k}X%4>EYTPy_?<%>kC;$83oOALSYYw>jXk3@~ zocyU;u<6gVVBl?zusIZsz~k-)8XmTX);vB7a9daP;t5|D)}?@lieG&xwbOIkh4G zBkdvMBf8wxu>&n0;j-tI=KEQMOpLg5GMR!Vj zHP?FNX3RUHOC5qY1((3IycGYr=DPx$;K^Ilk?-Z&xnftmg=Rr?jl>q;h8Db<4J63v@p zMVvcIV}aF#%}2(#s%=e=Hk?rLllN)|a@<2K&=HH8+-ojX@Fkn95wF1pC-|V>IFWL0 zpA^G(e>#D_4NAYMC+geA;0XE4#0-7&9$6u{?VA_>!YEFdqu?pqCTOk{-8a}5i|5Py z!QZqGYwJKWnIr8_N!x%pMq(M6%j)u?HTV$9_Yxc{nh5Q)bT4oEYwYV(WXkaBY-RTq zjr$kxm-a%BwzYaq_1EUv0!VZ)*RAkzl?kPG}mq;?x8)`4wFx=9brDX zb{Kqe?FjM7wL|BVYlp@sm)NmjV4yJATCXKe93l^3>hdW(5^Sv+9Vd8Dd=P6ms0l$U zI~BEuVo_^QOMdf}LE8SFIi7PX+ObUsLhh_%A$RtvkUK}m-?+kXh^hRU^%DPvluuWA zK>T16Jc*AK78r~j?Ni6~G2^96`tfJ_^bseXhM!GCyU>@G^|w@C^m7b4Pjkzsm%$5H z((OJ3KUW^w_|mBgF2?!9J*s;eaD za*Gx1?a?I1($cR_l|bA0zY9<9{dA*6)!-sml^czgT4&&XLgU%~ymH%6q7@G*mHF5mxZ zw&vA=iw(0i=UX>N{Hpc>uLQlZ?#_5}q4t2k@PT}9gB9{CYYQCffqZw{s|pvA=aHP7 z`}C{K`4l)g!1D}FZs_`~w=pmCPHpC$D)eaihrhG53m>iXo9Np}vf$T$lGyY=;>nv| zyeU|dTaR0Ky@QLIx{h9cT<^=45Ham#47dd&@Q+O%)efuqi4|MtJ zq9q^qm%pwBLv~nn`|5I1b>;2T4CKnd*Yqpm)6jd<@SU$VX4hVgFMTyW_0{;+Q;j*b zQ~f#dsrcMe@%OGmR?CzQGV_sX#x39dZ4cYLx_tN5hKvE<3;!pJyE~22_q1fp?({3; zQ)ki^dA{gecWAhm0z_WEw`1Y!hJQnCk5zTc@_XKJR9!2J9ee`-R|5| zA+IjXoOVwXzM_wA`E>5f4Xy4k>lPVE7Tt3|c$ygaUmYu6FgotG&Oc91HQyIJt?qB> z4AyQy4&XV3Bl@cXPE*fwXFZ|^jcdVIBR({K<*r5WO(VMK6nH*x7x>TfXF-Emr;xLF z9`{Aw^6>ZhY|jJ60{SU*XI-JAlWgXi9}xe_J6`dCz`hv2NK5}8yNCZRZ_8dMbZSdyv(1~j=bdHgPI{^V1oDG ztI(^O5r_=UJ(cfj-69(kl3%V$CG1skxBQf}1K0P5-MPnj77u&HL(H-BPvw7wgY@@R z(23ZMduCBMXdEKPeJVc~JY6XMk~f(cL2}iDgM2R{w|XEyuwD##Z@>$@C$tN!&XD+8 zJJho#&D%2w&UeppBG*EDbfd^Y!8~k82mUXIJUYHOx1Z*mw@VsWue6b}kjXF&uY>hyDe*DM}3ACHiDk zuhIs76TQ(?9oU_r9R|2T_XZoLh`c>JUZ$F+B`2E}{THO=YQ+!yO5FfY*!}y-?Hndv zL;nG%`}E1Q4$bIXWrLP>(Qd4aHeH!4GDH5%_I&A6-j#m6gXox|C3ndDl)WR5R`>5e4e#oryTnfVe7ir0Yyw8blQF^6FD{>mpwZsXIi61ii5%kvX&%5M_ z{8@2ymf*hgTJ)ao&Yq6!>RMvss<^-Cf&45ljpZNVC*hZ5jopyunU?yrj=mZG*?&&# zL9jMAB=8%p7Cf;~`FYr^>$#5)CA_!WV`1=tPY}i*Mz;G` zJfi#yd$*OWJADiMtgr6hJSg~SYfPnr4%>H&;a`QHQ{?+t>8(*6=Y6?pY}-Z-iHYbL+f*p~LGHg$u?3qBQn^a$Oholjmw;x(~fURTcX zM9#dpbDz+n@OXMmk?X}tfnUBO`@acnP02mjaxJR zeZT56&@vCcmvN7gi?p@mJ{vmYujb`q)6a(z&<=QpyzkqZ_vnO>vjJaG^ceIO`oTNL zlaJPq^@iz8a^1jpj7C8A9 zvFU#TzqS$m3ij!(Khbs+o*hwqV*y{@*;I0>eJ&WhO2Zem&^?CN&}}(Z4|-g`YDeLl zBZ-Y2F&WdzvzT|;n=+QS1-)I=SuEqp_{qn6KR|8_88=va2D%TjmWeFic@w_%>w5GZ z8T%H-es@IXt;T(daT!BoRr+VXhlm?2@2#zK(9wl~spqEsoddb_UFgoZ!o-G#vCDj~ zEgZGUbL4wFzDC+MyK@iZ_Vq8Bi9W!_V`C?qH;bNAdbDBRbE5N=y~1{;{oTXk^qlDZ z-D0;gZ%qP^>^~KPh8JjggzM^4lEbz@VDN(NQcL+^`@DV$LeozcQ(2nzx=c1 zuQ-e+cF9{>X*&gsmzN$7{z-YCn`;8Q8ZT|9PD-4Su^9)50h#+-Z(cm!Y_G(eD1AvE zGH%xHC2yX4R{BdcLSKnlwn=|k8#E?vS=RNV@wP*o=}k424NcqS{V$Kg{n?l8AWz21 z;Azu@ly~^Ymx246k}>!6N2 z;Cf=+WrLp-pU8ds19Qr zJG!4lkM>EvAxrz9pkudpmzCp|*QzxrCyd<>qu+&(L-;=V_^zAM{*RNN4?jO_itME6 z_$)rlMZEm>uhKk09#`cHo0|R_8h%^xGVhP&3+X?3^TTJI2zH@>wTO+pGePBl!v;By z#OOHU8$~YVm-)om{revr#}iFWYw$nczQ8m4nQQz)%-7WPb)KtOI3ox3KE9=Q*NgWW z`0}EEf-v^sr#+uUm#Da3d^E*7Do2p@(JshZ@`kRD2m4N^h?j zwz#t%JG~TNk~Kl^j&l5M(U(=^7=D!2bE7)q*oA!0!5-;rsScU<7s zRv%sQL6G%}4{!bA#d_5H!V`BRU;lf0w5jv1

v{&_>`(1L|XBJxp|t_l35rMP`vX?0-J| zkIa@MyBYZwnN8mHz41D$sp-#&FMi_BLC02*7s?@L!Wh`yv)DlKadfAV_2)Xi3g4NlW*IkbkoSMb z|H6+sHO0vj|D~`C{2Lj+j$Yo@juAZbq^9pV|QoZTOVzC z(|8X3l$m>a+{M2%#IGC^b7XTQ{x0(VtsUeFULxPxPQLX3`PNef@K&Gnqx`hY9D(!1 z*uL>s-wNt1@h?9MFgPwu0}6ZB6_ukGzWD*$tn{-w}Q!-?@+Y zLHWMr(*IA={oBvVm=a?^pW!rp_Wyi5%uTb=PmhX>q~&At?h0A)lJD0T}^55=^Yw?A#BVqE2>#<+Mc`@EIg53T>XCpRjJ#wMN@B_AC zWAXQ~PXX)ReVt1_lH8CpTh<)m^Q`x1&dm}tc<(pg7>F&y$9D3?e_}`2Fhv2W8 ze1l_&pZrJUqvvPH z*j};0$l|+7AJy2!7wa$LxX$r3s`)PYjguGnbw9d8{8MbELIT`#TtsT?DlXF z#-Eg&8glBb%a3@E=R`!Ff{o|!oy1>Rguik}#$UNHql1pA7|HwP@dAG#>rxFrjyu%+ z3jaFPuzJgCh!f@AM&;|MIX%5@RDXFtJ*eIjK6e(LOF7F1@pE3+q8oc+-YqqHV$;8A z zne-`oGI`7TOf1wmCKeh4@9u;5$~>h{fekw~n5ILv-Cd^Djsr z7uvM+;}eDbneAcluSLVBV~)x{Q)_T{XT;w-byV*~Kl$RQZcN}q^>xPX1cny`uR)|y z`IqqDKaS^(*r$n)chUct`0~gP(>&Ml4DWYb)c#M1Z+BjRZ}ICv{@pUyE#v&!NXD;S z@_3h%6XE&hL%fsLt3@KKn}0Qq|DO|?F8lSU%dy`J@liesU`o@a@UkTuHWo;Jf^O-9yjWX;B9{lyrw zHiM5);gEAzexM(tZZFd7mAK6ExkZlR#fHezb6a&)Z<+>~!;&M)N=r?55^ z7d%AV*<5o3XGOCWEwlrFrRaiRA>aLLSR<^6=NTWV%`?DRUy+`N{>)=6)|{YC`LT#0 zv=Z9Px;Dq1A>V6(^AY-8nFDX}y&d@DId0{#NMC=a3vH#=G1tE)o*QL7(pbd$@5gF$ zjmq|1cXqAmYVXn41E%CarAE`40KPWiAJrAAn$cv_+4ucfx*ZtYe=tX@&Kddi1)N<0 zEo(JkHG$hQDs=ez0r2&J<<7p1^|{-uG(JyJv&wkFPvh`8J+JTR?)w9Dz5mg6%lK&A zHlUyJk#-yVU@>mUyJ6dnz8kmv8M+1DO@)gro@Fc+6p6dfm1^FT z`8UA-CjPDwG*DO2@TTUyiVk?_Ds9K+pN}M;T0=e5SL3oSi0=4j@E;N$f+p}sZHT$* z2}^929tNk_ta!*f{xY%P{<>fj{#F0tI%vRpUuVjjPyNpu{|+vXr-C7o?^9uXi61jQ zxubU7iw(_2)>unm{2^dN-vTE!pF@0df5?ty?&;nQ{dZ;8P1IUR_)If zGU~GwzPGxw<&^R_dzueo?|Bh`1o$4<7f2ZA6kPh@4WZJ#+S9xxjVZi3Qm3~`UHKgt?oSX7P6K~gkOw#zuIeEk|Vm~iLh}=YuXrQ<67fF26yCnn45cBlQDH zpYA)G^7kU!MK80bh`h<>T_b)aH4u%1*rQYV#=gN+vVpaElRSdiWJv9u$G)r`n_nIQ zPybW;@b|W6zyISHKf%U-p?>3j#dpHz!fW8LFQ~s-<*l)4dS7g}swI&+2~RVxcUf~q z|D0v55#A{x!O&hf&WHF4HAM@J-Ite_G4d*FIsdDgjq=VY{lm9$Y&ASHTDK7o3p}Dz zTznYOFU)mMFae*f^T^>p=&KZ6akKJOmMobv&Q~eAjT(giNMEJqDUDw$>l5_5IMr`8iCbc=r1BJ+0Z>jM#{u;t-ehuj*hV)`>Q^2>ud{Y2>y!;WF}H^L7Q1T#b|NHlJ+3~7 z+Mxdx?~J$o)a!IExkq?uJRj+1GGsoFpNkx~#l`1SdbYFWU;L~skUEpjBVFjmF7HWf zr_}s07pePW9uH^d7O<`(a~p?~{v#WmM&g8{NB#-?>HgpHKI`1xL6M#Ql;q9ufyv>> z7>fsdsZZ;*!*0nrzL#yz>T7h($$)j%G%m_sm)bL_m6o*`#l!XT{{BOL_g>9-VS#1* z>j}+&cCT(cyC6h8+>e5xZt8k8>ThZnx>FdBd<{7vJ1}b*|B;36tm%uWd0Ob?nB=D0 zZTG5`&>nw_+zqvDGA@1_{2)9*?Pp(S;eJ(ne-~?E%j4JzJFIH`mhZ{He_HCv7a0|` zi;QdZMUva{E8>fQ<;GP~AI!M2?}PgLJCd4tE(rHaoO{-9RW-ZgYW`IXKJbcfVzf3I zo@N&qrHmtci>Yf3x~Yk+vBG|3SUxRh5c?grB1YwW^oFeY5MNrmnfo64up+>PKUF)f zrau^@k2;GSIkeeoN2D)j6@4>?TXI~Dk=$YpJA?KtWT!k?@bU*z7ccYbo7Y(-@W?v~ zCg2eml10`B&R|tq)~L1iX9_(3px{Y<-x>sGk>mz@&{-w)KF)o?0kJi-?9-MAZ=LdI zh7Ipwp;Z|C4y4D^nkLD3?yN9tLBP4T4PTGEUim?J=d}1??zYp~_uia87*NS!Aa^*ca~o1R{U#GfUo6+ z4~5d}<-N!Y?RQd74t^C)?T%u%VyKuo471;Ba<-l9U3U3?aeJAsya!L5cjv@)<9U6m zTRt70yCP&g<*N4;}(HB9A%9QpHX1_34y% z12z6zDp>D&0=_ioN1SJsCdp0ktI`sC;k#kpaKzXOsPB=0~E^ek%15)HBID(C(Z&V?CcO!?M33 zeGi#v-Yam7AiKyWxR5=Jnf;5wAo&lGW#ra-(kiMh1)sKEWlIRCi~0wFrPZ= z-Do?wko5(4xpF@93mfcD&cqCR_u&0{ zx~Z=S>(xWluiHc4O$-5Z$!T;?nxBRL-EGj3T6-k}4I%@gH)3BB{ukbZmO8Xd?~|1M zlI)uVUuvJE@tmnA3v$l+JMk5ok;~;=YpaounR=qGA|8;lm-Q*Xbpd>JTJvsf5;_b= zbDqD*alXvC)v2#~C1US)Y@v(StnydBX=j`|0Sv!fDj=b-K&t#q5{Z|PTXD{~Wk3f_4B-Y8$eQ?h>vJpf(n4X?%y3E!a$Ls|UFJ6XJv{}A1M zy+5;ElXlcxos+e7HTU!$41AE5gOYEMKE+ls-!rm*r)_~&!=B-ftk#wo(x=E*+X=a6 zu3xPciM<+WTcp(}J{O)Jvsc%xh_i-@E+}6K%@-h#9Z`4g0Q28Vyf#mBD^ARHXAI1B z%T2z=$PJ1;Ll>$&Rjkj<2wQGBye0gFZGea9pKA{EHTRf(yUTuF{XMP5uUN0OJ*m}H zbG1F8)i`-S|MOr&?&qA`4POk_=X|Bj*3q}P6El_?ZpD0b)^+42>VStehFxREdz$gK z(Lega-O2SV*YjLIV7z)Y-X5dkXT-%Jc#{5}roWx^w~PK>*g}6*=sYD4e-Q5M{DA*o z6dzs&HldC9IqsY@qW5(4o{|;x9(xnxvaLB>?) zQwZJNS<`jnIqX12&!Jz*<1r3Apmbb=O&&34uJ{Y0lMU#kbn;>RANB=0;X2FP*ldY? zl>5oa_DGvejU~0om(X7DI+p$!9!c{-%k!dN;0oy~TcZxBNLJYt;Eu`?edp6W3!im28a4LCtMS4p|ct`9N-e zDW796k*SO-AUq<~3bsw8HOVJL-l; z{E9{77XVlzcolAV)lla$*AcH3Jn^!bX`0rvJ zC_E-SmBm%Utcj)jXu3t&naBV&%RR&?<$`mC#q1;>klqfxbUpWX_d8m0f)AKpLq zE5pCSZ<+oV%G`*7s6RRpOTImL+TW#L9rOx63~6G&++EwJf&bI2VNT@zQQuS9Rdk~8 zc;CDwGmKe#uu*lXVC~6N)S{-nUT0l#A-b)eI{bYp&)Pf1oxvK=Hz(6?m*!22L=3ks zWz1SYoV6PCKueXRa6uV*k9 z8=ndq8W;zg-f)P$9{Bu+mtzl!S+Es}-d6f;Apg-yKlJzX0J!P_?jF&{YF}r@|5mcL zdS2%w*^lgeV-o&{Nle$R;PNXQwBTf^7LDMS)a@!UD#dT(s$f6aj8b&&2f~Mg!h;IV zxd%tGa3)6vPX}Gp`dBf|`*K%7(8V0rZDpTcgW*j6B>SCA>X=ybj;u?0;lmT<`~+tW zI2-JM-VIuE^5GHVS;nfwH>qIHL7tj> zv3nJ4qGtq;@-8v1(lst?snAzv{-)4K=Is?lLdMKG_8zvz{K^jcYvew7tYpqR_h}CF z@OQ=8!v|h>p3ssfyMOCHQ#(!iMV4PfwtvfeCtn& zZ1>Aps_nXcrql4UnZAGIefRkj*aR*`JH{QwbIWm|x3jD=CUlN&?a-q4?t->^g$C3u z#3p%v(WM1l%xPVN?KG^h(|xwQ!?WAd&#H81T^G;xWu6`Bbs{yNcC4f1ead>r@*1}K z-@uL1ze@Ltp2*nQv3A%|{c;9e{TUI|SAOWL5OhGkW#9REm8Ysvh2L>Y*+gU$3Du9m5tJ3K`wV zHhaB^Ux}kj)!uE1AroJ+^`vE;lf8*<)63)DOO_lur)1Kq*Bv#^Ol;w9&fb!?gK8gW z(9k3MLv`Y}urFf}131g9xb){O<@>7Abf4YaUqj4#g7{Ul&ZYZ!d0ZdKqV#yeFVzLQ z=3dP;O-CQr<6n?pia@V|k(AL54H|nnGmJe$lkJe(Vvi)Z+9^5vE3l5~MmI4=V--51 zQgh3CMv{+rkI<*`+mXkRf4E9B{?*nJYdZ8qLy7X;gTt1NE=8tG_23S4#Utp-re4i$ zDNV8O=#1D=$DXPC%b~qFQ}Z6Tsfjr;GV0H8wu<12ag<-+;L&83`g zfzMh(3{F0Rb!$!bXe9RFD_prn=~AVeD&k6)jP^wzhV&)&RW|~=J(QAI(|u%s zJQVW`o4WU4FZT0Gn)|5C`$WoE4j+eE2LiutOYcZ>a{Y+=6u$jsc$cvipBe(kdTbSO zf;V+Yoe2W`?~0F4&YFGmnf~kdT(*C~eX#x+YPPR|o;j>-U31wuJ3F4thyTwq?{Lt; z{x&&phO=jc25Me)Svr&@sn?D8%PVwgC(pgGjZX=mrSk z7!K_1;A7h?#A4lP7!+STNv?M)ykc3YFXY~dC7Ki$~v;WG{avr<27wBSACc5VNyR7Iq%X z(Vg4zcP`@xXXoym;k*NCWwWp*JFCtjKLdf-)Xe+X=yMV?P?N-YfTrq`d+!Rb@X6ks zCbjQ9d2nDbu;z?(cL8{k<+3#?R=Uuk-}A=MVNHycb}j9r!|UpzLH4rgMiec}>(g|Umo&vHgqkSjLg$Z>cvhny3#&|1=l zju(FS-l!_`>T;(V&yZ_t#fQoru$;!7$i;m2RG`<(u%}apMyU5O$kTn?>ufEdpD_QE z`zW%#$phEXS4`qy$KFQX;k2@4?#|+6v0mbbol>)Z zmH$i~c$kk(?~gcJCy__rgKS#Z&Pkf55 z+d42J??^wQzg3Q}Xprys=+U8WjeLICtLtVhu7b5Hc&ToWqJb~(9HL+GUD%7p`V8>( zY7TPgK7wDf79VBW)^oslrN4Z>#vZzff;-V?3V*Lw<+xW=TviUVIghM7dP{Q(z}=8{ z*O^FQS3o1dGdLEX<*7#2QIDJj&pL4~I9_6SQwFA06EC-c@4&j7@rJ%Dc~`-)yYnFU z1;@J%f?w7n`&ax{U`p@x#LitIIu@P`*XeFk21ip*Ge*n6<;N~N9S~cmb?b_4vVJUj z!rPdepXH5Y@xa@_pW}rGa+RJ!R?<4^4E$AiSlR(cGFg+$Ielq-FAEDn?Vss@r@$oZ{%h2%6^-u1TR&_F?MD6s-)xsPYp_bvg~bXml^MPn_VNgEp+Ud;$QkM z8qdEmY^p}>eAz+K`R-15+}3M^=YY5JBD25m~{=_A0hzKI9S0P&=vJz&LlY#3vzEV&==dI;hizZeg~hAy%wW<+B@Rid|ghEcQgCL=c8lJ zq=NkCb!Q{|Y*SCtNL>*=i0Ef|NBJjHx^k0Sn~|>#>=S{ecWuey>&^t0(%X_p z{?K(6e@>jU;)o$^_?$k-3##}E+A<#cFr7Ox;GO3j>W-4f_kFf(!x;u)RmcaQPK@f%#mRIxy#c}d&0j?{PEXEV=reF%En#^-11S@_@uJ372d zcc$b@EJEEQ^>yXbbBJ+mc!oN@+xUFI=9$ei+xZ;(mC*r`gO#&;<-A32;&2gkc#*x1 zv;5CpqbJsWj+kK4M+BFB{fpo93e34}UqUYG7wlDevHr!cl9#_;+GgQM3@_J%C+YhQ z_P1{to|A63xtm)0kNe#ftRD>Hi!7%eQ2H$FlGrHI*C8+8jAX|8I|ZBM0{b_=AnPQF z>zcHHvqL0)a_kD7b)50I#IG0HsklaPd8LYxBG~!}zIX&)h_I$(qqA+|Z0hxNoAo)% zm{V)PHx{y%Be?h9n29}EuFjlRv2N~ASYW~*2bTTM39Nxx8v^#{{H4b< ziL>63nw!&dCP+YSN?^B#0!t5j6YY@q;NaBp{VP~bsQz=Gi}}lIL(WF>-QRmBS4`(hb0P92|4-TKO~al63q4l%ZwZ*=qA&eam{QZqQtZ*EMv zPvwM?Q=T~QG~UG78?~JIF+D7CNmduQEgi(*10!wwhYgIcK#i6yEf&q};n%-L4`EB2vdlTPD&-S37Db?Biio*q9gHuYGV ze+ui_gZJAs|7?CS88N%S;UUM0hZVh!wwwvbsfZqM>~_;QEHV$=$ZuLl**fRlX^!Y9 zVq#|vH7wIsou`jJ4-c*&NSIGxYW z=!80SLJ7Vl``X}(Px{>#=I0gIk?Q-<1?J5eU9h7Z|k5>VxR;B0VuoE?~-V zBu%INTfK-`j*YlMAB;M&eT6Ub8kU{tqzCni8Uo(bpM83*~y@oVQ$hJ;<;{RG? zQ{E+C@%inBapy7Jdo+);7Kp_s=%)AZ`Rgu{J?!Y>zfIWNV#cy##Lv_YYP)k3PSX7h zCMTQkJN6%8 zA2Zax&SsG{c;e)+mgsIYg6|PWzKKj(aZ_mFpfBk6pB=%wU2FP>Y;CNL@tXEd6n`VY zuG5|zIp^Hr=Zj2>UqP-u7Pakouw49&9_7P|oF}K z<@My(Uw%JmJevw02d{@C%vbOfH>g*%{y02cfBr*p|M*^FF>wEo>{}^2nf%VnBSGW4 zsS7mBjAgfz>ws=T6XgST#HihgEB%TODEc)`lWKHqOLCn2D?M4Z+W-&PGXvjCt8O4B zyK>K^d@p{~W%<7BCr&0GitYYjKAkj)U_yQ zUwZk2R$!mdT!;J_IXb^9okvQ?&fVDRff11eOEe^dNa^q15jr1SU04CK$q z`@}Wun#ec%f6LP6o=rTZWyh5ZQxC zC=DW-*pg}37tX5Wd`mgQvV3|Oc4zz>=vIwdg0dk-ORn?dNI9&`@z;$5@j(1&-}6_{*o;Mpe8-*-G}jtDNv;@~cYc zmepX>W5^CR@>B`(U@QN{iraoynkJ(>@OTG&bS&b|f(Np^^fvvJl<35ftiP4ObHtLR z?X)?iReu$`IpH&VosEB{VkPuOj)RZpOlT*MMb0SWPq{5ZZ*m`xx2C*^Rh;FKq&2wA zr?2E;`*Y|&+cEP+Uv6e!PjT`V&O%v!ERuZ6{-U%A!s6%YCFJOkflXJS18h0}E|Bx@ zg%A1{|5&wStu6U0{ME2NA;{yr0P(MxGdb^^%gt9}ye!-w26M1+Q^?u$i-~Q+)J|;L zbcLKX#`)cPu<@3ReiOLM>J+al*L+utc)vwIl3Lj3VYX)O8FPtIIu2X58b`i1WYx$%kOgUj3k>sTZ!Uz}a^TczK@ zm$VU_WpSa#H*0hHj_!ZQfmR}am*QkCIeXFB?KZZAeY}O_Fxu_(8cM|lTQm9V=m6-g zXh7R3?5*nGTx;CkK81aiQ-l0?juSq{9aZV3a)l}`Xdqvx z?3UyUvvC3WLKPP@o*zp?WbcH~Pu8o6>5fzXFXye$-#jfF%TPNF57;3$bV|-%V9lt9 zew%5t4Y@?eEyX5=`JY^>7dmmP?9F%VqoEz4?Gs(&*u>;d?P-Diz(mm>j+|4$`2gxX zoBSNfb8v14G@B47Zv*d4SeYld6TfJbM&wFWJ{{7h) ze_|Y!Ly|pX@QOixOL#?m&NQ#ILen-aI3#{2wKoI&&egQm^Nt0Ml%5tHa^$D@cT=MF z6U4H`(8xAit%Gyhw;XpC$XPqsz1s)k(QoWzjiQ}+jC^@cl^)G+Wz3l7eSv(0y#$){ zat_}+jQbAz^oDG2e)yY#b(A&A8Uq-q_a-O)*}-r6i?BOd8}AOOcKBK&axb7=@-#5o zMe01L9fjC^ayt$+s^qxPJLuK&CVWw4Bgrp5lD3mGj+H1oIgNQMJGuWKiQi^q{@+OM zsNAo_-(3`+7&I2M2EZ8P7pWU2?l{VNYWGy5qm+B_)f9Uqu$F|qo?-Zu`j>Ufb)y5= z8mYOP!WvzT*jaLumd3m~LvjwB7iL~19qN7jr<7C2ekbgTJadRwUF|sSi_`s=uvUW{ z26j2{P)pJVoaxE{O28OM&E zKD$`-Oroxty`teI*%(C5tWL;zqH-4ZDE!q5{^W1EStC$&f~+BEMzUz=cY>F|D$~2fbZnyNIMHt+3*KG*vt?Y)iJTbNwG##JqVMSACDCtLUGrf+3H82=(Hn6c z_FOlr@nmnChCiE9HXYwao!8}W%2zQ!MV#8(+YIrMxaIa^W^|Bx3-%~RP2z|p+dc(v<;ZXMW#$pS z)V@}yyrsK|H&}N^uX=BFz>iicShkSwU+Xr;a{n*Ge8ws}{U(1ZQ^D5P2JQPiO<~@TI}G zRhxe)4m$98p$B7&92(2Bb7h|SbCfUaY}yp6o=O|#Q);?%*HqU3{^=U!TjCEo^_^v{lU)k5W;@^@tZ#$>xiM`K0!+yVh!B-T&nD>t!Sx^0_>=7Ln-bv@@ z_Wo4XD%vWjLoVNaE%yF?c=H7(|AC3gN9`ZDvudS%LjZ3GZbdHz)-f}=VesU|cut19 zh+C%@ARFY_hgf&)1#Wb1XUmV+yWErhpB&ay^LSvkbJnsvJJKGQwMCg{?Z&e90^|1W zMgASf3Y>-f)(5}!M?Dt%2igk~_0PuCZ-;#0Ma!>@<5$!ck^7nG-!A&73f)%;|GZ56 zIy6Gv6>`XPi}QB{=hQPu8@H@uMA}IkbVO>*F@*7*f55V_FU*AHX+?d%X;w(@cW599+*h`+Vptln6@>!neiIn zgB=5=oYQKjKg!XH(MfrA3)^A`B z?m2-`>_70=-pOeizYAYSElZEuJ>(8DJik`fBfbQ$i+x^kz-dIj9dFSS{Fk+XM^74o3Tb%VeBqhqoHYgp<-f$uD`uJi_LPZ96Ij)>$BrPdN&Nf~4G zijmPP={n3^7xapSz7m}wa(+iz_oUlUD>k_me=4n4f=F7gWZMMmW}%-VwCSK$OJ{BV z$Uiyt)PqdgE@#~R)ZjM~uZ^l+GkQ^2D2ReRsob^xgN95wkQ&Injtj{xHt=F@iA2!$DU(+E`z?pY8}Be3)AZfwG+pE>iQYCqSlg}qW>7V zC^^fee7dZ&T5@iq)aiJynPF^-#_u`&sW;yYqvy4@A=Vem+byYcsP1Kb3>#_CPtXS6 za^_!Pv$vK#m;4@|_;D6-9Xivj0Ule%mzrp|oZJn2KFL4JTDYa&NAJmd(q7(^IgY+_ zp^fVMvTeHeO8fNQK&b`H)E)&xRcc=#ekp5rpI{931h58;j$=Il-{zv)H2O%_n|B8S2XV&6d5nJM)_Gz)a^d3GlT)jv`53%>^h2!M+sL3L3U=LhG?O7||NlhZx z?BlE#Tj4}+m8!wHcyC;GOlQb0C#T1L*p5`t3*7c~?1#LVFRt0LPIlz9Kk0ZH zmb+u$mUDUp9{73K0Kd-Kj+BalMSjThBLh;Cb)Hve=)9hoV`)-0)EjC z8UJo-5ve`B2VEd%d;X?v8vAkCzmC6Rm4m|?>SXm-680JD-WTEDHIm~yUd~=Y@IY-$ zWgT?xNJ(vs?6V!+ORx&rChl^d?gf`Mf9;rc5<6WPoaX)A_GIt zJU{5(BKz{m9T7X;J@hAm)WtP4v(Cl3OUd={Dlk~T28M`UK|B+8;M6 z_E00#fUM0|@I;>k>V*KV#%${dVxh{)Ez2>g3w+%NnU${Rd){gUHr9 zDi(6t-w`aYjLDiy;xYE4ez~nEF?2%ep+muAlgP{LqbBSGe78_+629&v>WR|d*&jVZ z?V08{*K1MZE6BW|bca)boJI!bsC~@jVB}0sp?|{SjN2Oz&q@B&8j0G+p~oUc55c>t zNt3-bm4oD|yrS^H~I@AvS)sU9hFpO?O9}N$Kd;E3gNsPKy+6Q^?ur)Bt`{IIY zoQ>_%{F(Xu*5?025B-F9c~eu{^9|FL*t!{?C`>iFt!k4J~mDQ0%u-JGvOU%3OHikjbuCvq3CznJ=kif`$` zZ#fgvOpon8{dwIBReVBt&imcHpD=_!?az?oXiSNZ6J0d~?;z*a5-o}hsl7K99jrMH zUhhBu8T1-;Os(;0-q+iZrI!Rh)4VlpQ`~JYO+%ihIK9Q{{X}>RW0R}t&C1y}|Ow;A7fU`rGlGT=GpKJfgRR$VB4>UpIX98Bb<}Yn*TQe! zniJe_B(`C#a90Owg*U-p@O}kw?`)tZtP%aR0$+hX*9_r+Vjjr%!`3 za0egA8M(~2Iy2v2IbGL5cXSSZbkyF795DylW%t6N->*xzE$bYI!^}7ic)T#qarU^O z`TQi%bDn+JCY~c zUQ0HZuSJK@HTVf~PyTlbZk0R-`j4~2`^$dJeqLg}Bd;6iv*6H7WKQ&08~oQwU+>VS zC7G!Iyq+*$j0KM^(499H=offhiwmzOrw(+=UMSigP_(^!C=x8+%lxq&LfiEG-{RRM zba*7_X8pgR(RS+B+UdC+*`Z{!3fXK-%N{W8b4qX4lN0TIg0n;}@`n7d*BE$PI^Aa< zxElEPi5&2Kzq091^E*lWo`o9ci;TS-xn!I`#!)bo9pu^Vo;;&A#cAjUhK>vjE#C_^ zZcHUMJc)k!c04nmJpuMf(Pj7Mw2nfSMNdJ`S5;s4P*=wuj)1-b=}V2%@(c2l>@n+S zZA=dAxgvLf%$e>1^?_5;nhEMcgj$CmZljpH}=8rRVA=vEI0%M`~-SR}34K z_(0~*Xunt4YQb0ElBNelr}(Wu3p-OfmCE7fN%j~|LEDi;K>+p}{%of2XdoH6leh^Z>p{;NVUS*#^7(O}NO+Ay; zBG7MhDfS*df8B-;(ZBtid1W%MT-p1Bk3S24fA(XlU$1LXPLR6=oaK_ErWS8snE7r~ zcnTV<^SpaZ@G0*nAFU&2zJcFI-N1V8r;NnvVtN#qYGdEqgZ7@2r=aFCBgz zcLs;hg4`jvXAT)!IN_blr%80ku*`$c)0g7xXnq=JyQfy$sZ@0B3Fbi`w3nJT*)z%i zdNq50qUe3OCpJ^|XmGFp!o3CDTO{{(U${4udsXTTM&d}`o5cEEdXGJQruhX~bQmuf zBu7$bg@Qq8$JpQJw{Zq}x5h$`V++fNxS!rn7;LrGIV!5%R_Fzv3ycB3o96Ld!PgDn z4w5fa-+`@r5#Osb-+`;UitnYG_msS!fo-eOZwf9MPxOnlOOIWil~JW@RGkd)h`xcQ zZJgC6aVC6FuXKr{_~L}nLhU2F6z^Jb8t*Yv@oI)gZ{rx0IlWT3d( z(v@EzF^}jP$M{pt`}V6j{>LI(;`DxEjBtK%q)<;jSctE{dO(P)fiqc=r@%m0i%<9K zupV98LCnF`IAr)cZ{X}vu7-tL^voXaRim@kY5~cgXm66=`}qy~n8?pSW9rq6s9hzW zTJY!OYEHg9oUoJ3rB~@bfhT$2t3%$6HP|L>8|xxoB+R(4rh;{^rq~0eWXGMQXmpAE zWaTC>fcrt#G}z;2w1zbQ@A&TjsqBqKe{RJN1Iy5UP39`Fvp$WEWu3aAQg^y7Ju$dX z=EgjL3;9Xh+s!=wb;S9#!2I_an2FQD8@|QAM>jtfa|-`u#QE<2lvB8vdoAx=$}gRh z6u-old{Oa>=r*wnSsoF7frpg82A?P!0nZTk`omm3Zn&xC2i@Aru92EpYMRKfBoy~if)7z56NKOe4kJVEj zW-rp~sOYy-91V(ZqRzXt8&9R}!4PLNz*p#f-rFd42E6e* z*F{yCzV^C1p9{-eq(AyNrSJy6jOUTD)_R4%;Lc^S=!TodpW7jO@v#q1&J-nQQupsa zvw(UR@OijO?ZrvkgQ}F|r>R38Le7eu$O7G4I?o8!-^sp5c&$eb&E8&ByEZvlC$=DSI6Mc&g5Fa8r*fD&`z4trLVQqD%1o%%K>+%^4Qj(yz|m3FQ0(fWQa2(QpPTPL7UtaK4G$9 z-$>%+eZ1QlQ@^v0eG#24m-K5?SvoYo;2Yu>ioO=zDKw3)?~Qp|dZz^7U&eFng~;q7 z=*IaGGUmB~$ugddt9($#mA%B?-CZT3YpJn1OfA_I=%JZf zv@r>6@JY{u%Aau_pQ$A_7wQS$(7ll(roif~L)X;*1=bmN=?p`GNJ;6G(2 z-C27@4-3DI+DcXLi*Fr-(F^38;G=hv3v<{TtYW{D$OyRKyc^sLAFF;Y_+j|-7xi~3 z4{8-@9&DO$>9cY-!=HI=cK>%118rKHz5ATlQ}2!!72V{_K;bbJs~%bqr_Mq5UX^y( z!9#5^^cFZ^f46lMpBFmg3-J4P=h|$oCee*e$h@!g5qjINFqQss!r1986`IO7pv#Tt z_K@F8>v-`yWgn~PCFXttx>AeL8k0O)KrNKBVNc5IY-R7j?J4j6`6m8A3ZEpLuv%l$ z?r!3g=d|co-XR{YOI6$bpN_72hZ@mVaxi;B#;*D3k}mdh3E#)iCGbqKX=U4il zsn~j;?b~AFe-dp)7Fv}5|6b43JIbm;6Q>m0vZx7fxO@K?3rW| z?BzXuKfgbIf6QlQ_T{;(XFcowtkrAJejXmUE(YAbX3uy_*_KpWvyp=tkHNoO02h^`P{}+ZW69aRBJ9YSwq)>R};Uomi`_VK6i|q$!e^?_sHq^y&BsQI#O)o|59)Uu1cpASY+DmfwjmG z$hJF#hl;$ba8QkN)%L{LY0>w;Rjg0t|-P2^W$pGAwp*cE=Mm4px7C-!WakF&cW=p9%`uH&qr-MJaw!P;Vv za0~0Dn`Sm3#8On?+nn)6{sKSx_!A9dQ#I?H|DB$vIPJ?)jQGQ{(WmrL?X#{-h^>%w`Tg5St#M+#9u>J()-_s$&xm$p zUDG(gcc+_A{5iEUN(`-B^4VES=;Q03K#R9!fg43GdqwmbXfd@A4nqToX`i5THf%8Q z{q@jtJ`-=|I-gP7bnL=kQ88rY=xIgua{eku!8@OJ=}&UWx%n0S`;`7hGmccBD(|r9 zQnF9~?taTJ`qX|?GLh_Q<(CC^?XhJ)c4FwTV}l`qjo8bg<2r%@AI7M3Sn;c-a$G7K zu!5nVgR}RUG%oeaqngr9(snF-kOk7W&=u)l&StA~wIj?xX8XN9O!$vmjSfP5nUY7( z(>eQuUWa_j*aCOQi1!E=aUZ!Lw)Lyxrxf2MyyOJ;d-e5*bA!IMBAZW9vX&K|sttTTS#I_u8lfSL_}&}FXi<&5PrmOpTB|yb9o3uA^}=c& zuhIQ)mwjjOj>N49ZBns@XPSzhNWbt3YA;^o@8w6$V0x@_{>CVVRzRn`ImiWS+>L77 z$;!G@iK)opQ=5AdrESE^tdB`PXeTEeurE4+cfJH z&WUxIy4OXXqQ^|+1c7Y>a%QQ5W%4_(cl&f1TTc+W)NllQM`zEPrOl@#x2{#g_`>K~ zigpi^Jkkn2b{KsuhwH#@%~H|b8rAg_o!kq(@z3taSsl*lSmez0a|~=lrr?Z^ob8cw zJ#yA({I@;>E#S=0J^r~K&h%J=;H_Inev}x?d(bxGH{}d(n?X+U=s2BviF_vTnW*Pm zbMW;SKOP{TFLv@g^7tYX7i^sF5^EzmF|no8$bv?iW>Ebnf4G&4yl6I_qvBUfsc%qB zTci0D>EzkuGl37ac(@kio_xgrJxXfnx#4L6a)eAslwu>m?wEYUJmm#%i95kt&P@~( zyF;xmsfUU!M8U_eTTgAr(TpQJ3*CYGy03MPGmv2tr9tF_S|vBp{&2~`uIj0aJ(Ha5 zE;7CNK&a&JC5N=DstX(Ku7Shv(#bSi^Gqu~dJO8+X4wW{e|KNNT~7S0Nc1|FjnT&UeR zp67wZg7KR5!^@;jQod7|O>V{O;>jyCCo%P&JnDC&lM?S0rryWjQ@ihqrYoEUxv6(5 zQtylf|B4the#Ixq|E=m;XVjLxqUla#(X6EQ)+OHJpT?8leEy%^+0=Y^Bs>AQ8Q+?Y@?JTW0YoHNP8Ig@-2bb6%PThiy& zRn*a$;_r*%!$D-w7loF|cwG7g&w|A8{feBe@WR93YLKzS3I!LC7vGU@sh5c!_O{?{ zvhbE2f``z%ot&NRs|7r5V<1@&>X7-tD|WbZ7J$2gCo;EkV$I<%A)We8600%?+Emk% zc#=K14%$*vgN%JxYkz*@kAa)2*})!WZxF{fUcM`G$>Yy=J?F{~6W66jqEg>u>&W%d z;>YnV6ln?S7dk`Hg9^%T6&=z4JBvGZem4|3(X;o{BK4L9)+h8_rN+t|5h!LjgfZ7Gob!MT;-9sv&V1_NAIg8 zHgPcVFTiR1Hej|Fc->4~x!%7v*Q{w@ON^@r&$C5GBMz#5kTZ9zoC`Eu_zN)3i)zh+ z(}R+?k0rKdW;{x4I{$(7tYDN{3I?@aurUeT2Fq_(V4(hQrEVHym205_{9l{}p*Drv z*%1+$!a1{!{Rv&^?BqW>S&r1tcs}6l5_*hm!rHlRKLgL_<7W!wepAWZif(NjDQE7) zHl}K=j(-5U*m5K~?r~^h9GZx1TCnloSquDN=RzBFYQ4-Pui!m-XEmQsC3{&HS}x?`x$S!&o3?mm$w{E_%*)+@~$ENs_LoG`EA1Y#!+)s z_j$v{A^awfn&J~*v3^9I*P)!RDu@jR2FPAPQ?SZ*rWNpzR$kBV&SPFu%M zbU7o;5!8n&#+NhP?LLS8^>dQT@`lS<9cMc=a;QC~YLWrROt>M}eaLtYOJ6cJ<`hUS zGQ+Zd)?cc5t!i2;J8YLfxAJguUdII94+6h^(w2J{ZFS{JTfxk>TytS+p2V|HxSTmj zez1|B`0!sK@ZTfP%m0Mb?yT|Q;_S-a?PX7jTX)JDE#2)uFSKXNpJiV1-&twCgRN1~ zCgRZ3GC&s3zpb7(IXAWZUh2kAEA!<;+6QNxgS&RheYf@kaK6r$As08Z?!l8v_WgJl z@%~GjUGw>XJSVh|_M0+c=9HU1%A99nEP0R5qsE?^?_TP@nVQpJ{z&j&@_IOxCj(M5 zociEh=q%`pg96q9WKE%|thcNmc)2gRA}=Pf3dwiJ#>v5HtTE3$M?I(HR;lGa@?B}q z0*=WAobLcfoJDP6dEcsihxPoSW?lD1;Ak559q`I`zO1c@oH(WMCd~M9PY_c@ZpqGb z&?WJ=!%Lm&W^~e4c#3|`n#kLyyhV*M>C?)UnkggSmcE?j@Q{LnVbY)UpIq4{`-b}4 z`Z?~LW5BV~KWBK|H-PJFy8WK?eFc4ga7yN_`hAps>7%a}Hu6_^S~$6D#iNO0J>rJ+ z9AqYBuWdQB9TE8ieja2m^1q_5M)U;vUys~_yim+%;<1bb_Ag%L1^3;gtjT# zSuHiTclx{&KIF^HJ5w^ZPuoOZPQKPU*+6b!906xq^KAw^h(4eR^Qa|(?@h_w&G_MV zo>FZnncaWid98Ie&lA5)EY;P^UIC_xh9}=xaf#4vH*Xd;_KnD~!Jg!`5675`tWSz= zGnRpL`yFz>_2aMQGdM?jb|%dRS5DaTk?p4y{m!C4;kVA!#ipF$b94RvB!{bW{lpMs zSN$n{WAy>iIgtMkqq}YM`NTVK5A1ImIgN&>^MJG={Yv|aS6nvngtX_?u)d^@8(6pON;YEob`kUhmki+F+7*3;S*gR*MJqI6Hs+DP#XZ|FPfj z)_}2ZoXk1fu*>`X#{Jr_Q{!g8_m2Ce-nOi?4tqn>Q~k%i@oVHp#zy9k8M?53!2Pd~ z`|X(oHI2|6pL10{FZ7vZWrun8=gNPbS|2yR@oeXYFgc|vki8!WT0!_>5IQVt7J#?s zAu}%a`Mat?ef^S<;E6xpr{Kv0)@}D2{@k&PsTj1Fb`j^}3e~y|s6j35Cofxa!peeH z=W|AT5$_Cw=8leI@6&@`Z$HBOvrdQ0RZYwK&pS3TSr|Ih2bU|C47fjm`v?2(N8H4i z`aI``=jR&8&$7={%+>E(zwAt3ru1WxKa`xP^y0Mq(T7vXE1F_%gPa3L{%-1wdmY>H zo7KjgZ!Wu3U7MW~^9Fq&zQ=DSQZ+E0hJDzfIEQ(En0==kJcm3wi+*+zyDqwP8~fn^ zv>#l~+!K?V?vydw=G*AdD`K`;7q{labFM~4BKF3aUPN2+{#bPJm;Ak)xsOx$lzg@I zPOFrh#xuI&_8k0gmHT4OnIc~y&kYs6Dm*bt-WlU9{?GdI zMdYJ?Z9ixhsJ7>sO21d_K8!4I!?C!WMN95BE4`8;-OF1RSG7=6`i|s}Rynzp%n~`! z55Ff(n+tw#%$yf6>`(J175q3kH1u-+XSV#(lJyXK-kz5I=u!`hT!CD399af_fB0-G zSNQzWB>V>+jGWTkiCzou8HIegXJnfp^3qsjY$ZePB~FDGu}>t{)nF@YL{EPKTVg%7 zMC^0eA@1I@s>^s9e-7|W&zZ4y%C$6{eYh=sEAtv-KsKv4l&mGX;GU5UiAHc={Vv;# z{1;;mo7~Ev>VF1Bug zNt8P4Yl08tQ9B(Q-SOYK$RNP$^#SW~Yf|db_tNQ+HGco*Q!*xztHHUWDOhh6n#--O8o$oLx*rOy z7x`QC7b~~)X<$R`H|OM8`E^3I?8@25#yrxTC7 zQs$ZF$s!Ag{E?Ut$G#iaeg5(}>ZpIqNSs%Jz4vCr%Ozjs=z7B#UlB}Jh4 z)arKTR-pLMPX=S6TFM> z>E*~w@@|cyiHfE^F|T(%&c^K*%6rN_IkmgTn%)Ubd`+_#wZ@z)%5|wjoqRN}(^-`L zJ;|-h-sqC{oJ;5H*g-;yCtf@MQ)Gg1y?yvF+n$0MGOXAZCoTIrGU4^|ekRokk*7X4PacugdK8MT=4-ZOg2(rWR+!$>eZqA{I zwFvoPKKoRQ!Q)nApF*z?|GUK5P1C;YlxJTd{x^v!IsJZ&^Kv0$O=K-GH)qio`Fiqm zjwc$Rk7vJiJehUf3FndQOGGzuT5B(HMUFt0mm1_s_nr8Fkr)kMKQE4dUk3-zHTvZI zEh_{DCD=0X(;$z(0?rR(4A{^VuRq-e?p)Cnc2VD_{uVoh2HtRFgl=-mWzOW(S*bc(DevXjVAqQ44n zq2}kPfxL))iF_+-%sMGNEI0=|e5bFi$ghA4vNUp!*jtcEPSY(mwKBGm3FiII0DLJ# zE}ba&+1Fmns|jpkeR|Yk;dgy{(|Gxh9+lRcCdhyEsELPj+HYExt!&emgsu|(8n^_V z8*9fY`8C&EC-xZL>-CjbKRVv!=1hWr@DZI_>>_(swcWjE%LlaS<3y40s|aV0!P}w- zLYx_YN!fEQBX(lf@wm{*f$cJepqq!E??=}t`;Oo4$anAFb7kw_yY8~)POND{a(ieG z^jFm?Pj25_JW$3;UjOju)9LR(S~8$k`J|0=*{2%?=7Q6`GFCPG#N?cz=tk5ij*j{j zdmt?vp=W_-$=^4>Ecotb)hHQ~b^R^4Q^a|d;r(#uzk%hURZG=9&Zo*fC9|-%d-?Ys z;`_UDi}2eN4t!Vf@;%gZ_S=@cK7I0(w7q9!Qr6vh#XPfjt#7EE>aTUpI`DR{z(w#< z?N6oSoi2|%)0&c$;{oHnPW)-?lRu{I?8qr?{hv|#|0BRr(V;Znf#3hhvv0UklYP(97NK)OkB#%; z`4cMw#`t>O3k%=ZgV1I8emvlGZ1{z{7&>|=@|>ak7+ZUs$z7VW>m%$F)HCYpIEkzj zWWS-i=>d6$`^Bsyc1h;eg-@L|1Xcm5HLk9AnX%M0J$c!oA!&Q9ve8l_Jxw>D4ae2~ z@1qxMxt7wm(|mB_rO4HLGU=MndzYRP|CE*Cn{p-BSQz?~_D}si_3l@06J5tjE&+ZEpOm-{#1VrA@)Hbi1N^vo9Z%@fm_k;D*;$rzfx86Xe|B zkC01<*Ssm*izAPjvodjmy}R4r$EDDmk&mSC4ZFasu(zm9a7<5La;RJBWQ&Gt{oifb zmG+H*`yFXL!8MT+XO7p_pff~A@8#^{q_FT9E4O~A^LkwzI7h^%D*n_czELB?Z;F0V z;9O#Sfju2|mULXrH}-yfvU`?3t8foI!=D?94)9yHFDv*b$*+fhdZCKh!q=zH2rQch z?Z&4H{*Wt$+~S9cDTwEiqbp0!SFncsuOQz)Izv%?5Z@jD>vN+;IyDOTOgx-bXLf%L zStW}-l+}JydDIxE=NJ>?If;oCImTz}`~0cn^jzKvMaT30sCx1*;8!gohwQ|?_*VDA ziw5{sr!BjK_w@wvAhZ`Su$#hrLk>9~n77zM^6Hdd!hDKYlYm=&l6+xtbczSU(c%}( z==jIY=!6PvcEZ~$*yEowfsHBpKy>2GjBx!5(Z z2gk7=1H18jCJ4-8M;lCdp&xKaz8o;c*JjS_1J(s?MYHf=4 zW*M?pvNq#BN1j{xU%@yZpxv8^`IGU zkz*9sGe_{P`~&3oijeXNEW~Fs2pY4Pxl~5fnXY6(_d(XcbPcZGpRJW-pZZ$wJc|ai zmK7Rf4KZ$Vo9N*6J;3W8;t{`v@BCX~AD)Lp3Z{Zj{or|SZu_)?8Ho$(!^Q=1(_rn4 z^XpCY!!UgYoY?A|L^K{wjMBrvJfz^j+y!>3AL%2#UZ=IYb+T&XOk(?l4s;8x$`e0O z5c$h+tDy_kZ>uwbF7sZq^pC_=;b*A1D=z+ecwbd?blix_KIOcM#LU}&m(I062e~z!lGiTJXz1ju2>$dC`c`O} zK|E~439qB?4fu9e9hEi`o7boRcez!cQ8GF>thYbk@}JBH-8dv?qrMu&N69nuhY`lZ9Xks zx}iTUEuZrLl$K80`c32i871+O&Y+*g*V(uD>rl2VZPl_>R=O_SIoJpon~VPwd*s{cu}W-- zjJ4mq4lRAls!_81dSIX@evZ6~KiSzX`-eKZ*!_M7u|EySf0S82ml_jM&Td<^ zW1%Um@3mrwCU4OBtO2$dIdg&ihP)@l4?l|^c`dT=^%Eq1k8_#$-SHigQ<5|FkDyCo zcaWT$V$&(u8Wekca>zBDQD=VeRf97p(b3S!QP@>SvxlY5(|N#re1)FKsG)02sF3(= zbq*ylcA;kd_%(8d)WoBsPpCXJ#0HWJpS};Z9B(fuh%oo#24hw+e}`5bijETe={-a6 z5pl1BqZ8tTqs8~|89apf4nbBJ5-mE{fFrHQAS#HyXA7?blE&#Lw7FUENp1fx5B8Y_I!9*qhmfkJ;2EPneuPvrKaMb+u~I z6`YIWdi06+$iabswW~%W7hX)xtT=h*SKY4l*fUq7zqG}C*`{&0$~PZ`SArKMcj)EB zE7;Z|k!h%vir$1wgk$IsXd8siX^zi?FKa-3gpq&1@0}y#lrb8gg$7c0#bT@icW7~z z6aL-x-hyZ1&Lz|C@J#BktzJm`KVuCu#>H8kLbtr z%a6lFPJTX;=#9fgHf!HlM*J!KKSaz4Ha_8B?ayy1mpEL(DP%2jpa#bazQ8wjDZIHW zS9si8)?K;y_i~BV6&sbVr{ifQx09i0GxH;dvDg)y%S`G>5G#XB?96+gbA&(D-JhK3 zA|EI9^YXa2OiO-y{V?k7h>tAaT8Qqi@QnC#^5{C-pTWi@F;6cTDz7|oj*MYv@BW#! zbSp86(*<5a1B4bNA8UI#QTv{jeARq8Q4A1}FMFTYmR%by7P+tG{oZ+y=d|BEm_y>( zQg}>i9zQwpI}3Zg+6QvhqjFcCciT^O>%_?}XIc1q=h2)=)PS99okZ3Q>XBq{kkVV# ze1iDt4z2pcgH|MmSN_(IU0(Hj85b~AeoxlDkl(@NJo5``9O5G#w^uX%tmFuMq#4gH z7oR83-fwkBN6n=Qa$++a-OswK7H zDB;t|dB=xX)#QhP_o$eM=a(L)mes}fpsHAO+zaHR6`nOxY+>qsksEq_rND|kE14!>I-mpvf=lPk^Dz?^keGUsal8TI@siEWer{=HR? z$!|A2ocvvlqlE{E9xt#=-hT2?b#KLZa^X#_4&vVZ>Q+`wqWx5mTr94h5c!IWDhjuDd88=t{-y*Z-Yh) z&yaqSue^Lh)sv|rw;!>Fe!J+5ieJ0{uY!+VUw0Mu)4BcIzH%3F6HiFn!f%A$XY!)t zRns1pIXPRe`QMzsO!<`u%xOUmHIAy2a4+&R*(et?onQaD3l-hTOd6N#YJal(v}b`)Z!}#bqw6_YosQ=1M@5 z(1DP-q^?5bJ@&?h{o9H>&iD?<_|({xY>3>c@cPP@w0y?94eS=2IC%; zIqtrF6M2a7zjNBYN$qWH`L8gZSQHyjw3s*pVp-g5SHa*BRb^xDjk z72tUi@ATJY#@WPSb0$^fMB=fT6E?K`ZGkoUdjr;8=WEEo$dn>;Y}wT-lcd^CE;o-G zDVfg=PZd2d$G9Lp)@{5~M&3evts5ita`v=OK8pOwKt>>U=b6dJBG0P*pQ4Sa`H&AZ z9!QMA?#|lx^V@EWY)(u;eoe)f*d@;^TZG_%J?JCwp!^JQutn$*__RUEJ5nRFxFU!h zH547qXVhNB%b3$IL@p2>)QA7uQu6GogGMR-;k$B+L=UB{<*ENlkLABB`_-?R5}7A) zSk_|nfDdQnmV6gI9$i4@YX!ggG;3ZCEwln77-v^(5=T?baTeevFuHP-$BK!07JstTEOMJM8 zR-q5Pkm6bByBR!-aXg^rt9;Ys`^X#%awPD0Y2dZg`09G+#dCf8fEvxcZ2$|^Mp<(v z-Dux4@(t$jh`_ZEcdmTepHmC+N1?!Py^s4#*NJ@Y)6S)}7V{Rlhc!MV{Un~DZ)J-U zzR6j0)>d>sku!-K=~U;|B_~1{UA`LLR>hq5tlE_z|2nl5!^WHYHR>(u##2+IZsbdD zS91?|%XznosnN4(M{*08_)bC<*!Uip8Xb3TX>{V?sTTAoT3l~N&$$`d86QfqE;59Y z<%KRp#deBKr+rV$n-Ux4+(4}Nj5sp883bQcUU~AatNZ|oqWV(w>r(XU(o`;jQpR$r z=+4rwA%h z4hv&_{c!2aMFz35pn0^L7}p3rffm!h#9cUAR=}qrH5d8yGpa7Os)5WaoDb=2=2mDgnYd_R-%&Xcg@b~j;$+gvy)iNLaBmtv%GiyTZ8TrQL zJic>NW7rK(;+!1!-)#s;{=w)Ie9-BDCG*FYm62;ga<)ZB$vQr-JBJ#vzscIk{}8!) zTe~H9t7}%_ZxMQ5hCD#-he;!=KdmjE6Wo-%LjG7sJpj)E4pq`-8s;s_{t=j~wxzAq z+D41c)g|X^I?qL~J#!JXgZvcahfw(<)trfkNg#U&&VWz7xinmn2dkjjH*LZ1zbPR2 zD{-)iF`HEWi?(vs@rn@jV>gN2CyTR0VQj(%_A+1-3_8_EQty|&7ad1_*D;g9W0|+K zIr2bsR4~|n)2eOJLitZ!Y}P@>^J!z@`PXIK$!fC$T<(-Ut!!*G^vkuQ^sB~1d+$Qm zx|Dpz9_HDDz^2amql<0fpd&w$goz2qS{EO`jnK+=bM;U|U^av^&l%F4^ zTk@k=Mg_KPzYRqbXvYny94vpKtyQ)C+Dg~B6Tmcn|%ZjEYYsg)h(!Vjv&r%T1>6>K^o(3RT}C zTJ*T`JE)w(_%?(VIAN6+hMfH9d|hMNYse{iCU)@2?9WYlNv>AzN=^*wbp3j;0Tutr$Ygdm;j9s94^U3X&{dCN(T93T`jN~OQO1GDOKRG13JMlC$ z_UXysS|#vXMNVL$FUafDR`n38_a(st8IO!hYJ^zg^BZc8PE4rOj4?q?jqUOedMuHt z)%Z@3OFTQ@qNWIQ7;P=a_wntAKg<71@dIQf&Li)e&;|1>d?-F9f%d4J3xF(wykqN*Ld=RsSV%p!fzSsrJIeacdj@*{6G5 zb?I6tcGa`GSMzk7oNVaWYvKJDqDP6Y-hti)9C=UTZ+Z7$4fdAWgXGZl@@nJcJ7V4B zJ)UK5M)3m8&ik_NWnUbRj{Xv~JUcH92kAFin0?52wjVs(6Tnuk34D_a$XBT7!fNoB zK38v9k8huPMrUYtZdKeh(a#q$?~W4PU5GIh_%a^OLQU44qwC=VmE@-^)}2d!nC-ms zHnBrKen^c>Su=~jse)MwZ`64w;MIQ9(*Gpid8hD)G#wEiq~f`0zaYAU zj6rZm@EiDi$Qg};{b989A#7;WWGChvnD9Pvhe2w>tVhQNF5f){y%m`Gd%$bxgg*6$ z3wbjGZ5lWPuS{OMy3@}7VjTG(De>{ny_~s~oXKik1P@~WBsA857Lz|FZc8o{a3xx_ z4}Utg>eM`$-&*W%ANy@lFAtm&d}4fW_dRpv6WFc}K$qH;y-49%jQm@)U(7z6pGzNe z;&vEYh3aFI?7@9%|7o$y1;3g5_Jrc2;QURIg91-W)k=AvJ<-+0Jl>c8ay`2Gecms7 z&xiA>cZC*0ca&Utx@>c0Un>Tr>xMPR35B7?3tF6UgMLjcM18rH;bI; z=fOJFh~4}m@BunVZY-;loYrRel=GdgEa%6K#N>(02OW6|U8|-P*h+lTq%q0Quv&rtcr3&A^QK%cwt zUAG~ZH6w>L5(_vJ8PS9mOWwrNfLk>ski5O?IQ!+W)A=OvEWqV^M>vnkcTPAz(css@ z72m()fJv@AqH=Yy=G3tPHq6hJdM0^cVsi0alb7+dyl5-^+7;;>WaLuFakIib?$IoA zhiB<_)*0C81jfv%7}{%^y0Yg!)l95eX;1VS`L5}SJ}cjiJ<;cyPbG&R?Y8DL0Bhd; z0r}eU&7f)nT~czG8BuAcRP(|IVw^`|Tst@qb*YxTWjE)_U!guvBXVacHJdJmcC^vX zexVtksQtivWGv7i^4A z&AFf2$Cbbjy3Bd#O>Xd4!15;D>8{OpJ1QA7=V@OH<~#3?j-cxxCv)w1V~@S?GTpiU z{WIN#`X7vS3xWOR!11=I)!Eu(hyMs|ovLb$J43@~yPXYO+eO~d*3YNnl>)|u${u^s z0(>S{u&2nGEjc+CPSu@VA6)3=9@m^VKFE_8PZdM6Eb>dmQ>j-WW3WtWaCNn@cX;mp zHvA8-0`pkFX>FWjk@GhJU3b3Ih%Zz2M-X}dP8XM=*MeVTYtU`mkT*oe5?esz0l$xy zU!pe<3#}m+;fFdt`ml;UT768(9hp3Op!|-%UQ@9;zMj}C$0x?VMt_TtZx~-VhMv`> z`nIwcA#40tclI?POHI;+&q@7H=i|++*@wJ?EQo#`E&dRlym6AqM6Q{Kovf0%w5j_l z-vqve=o9k45?YTu#k>;hFVk%Ekr+N=;EJ61VJopX)YN(6IC9M8sX9j|QgB`Mq@`?t zWgpA4_#zJsTo-6Y8~j3a;!n+!Yn+eWC^dhD)4Fcly)5#u*H)|g^s14lL_LU*%hy0f{tb!JlHB)1VHdoLlHI3Q?HnKM0HTWp&A#+#lPF#M9J-Lu~h$Uf9 zNemcuQ0#@TVjt;J>kXaRORXLD1A8*(IQK5Y&y8(Y`1qj~V)j>U>wR|s9tv&>4Ac0S zZqqr?5EPqG8ZVQb4Lb+orNE8+@=eikg0GvH8~8n5ezShTPI%)Y@HHrLiaXR^V{b5? zp9-F)ahG}RkanV@_p3Id#o$OW?*}@A>|3!(iO+shLY^5XIk7gOJ9Mi)k_Ao2qZ8C# z;ki7{2v?HZRrN3Q_D|7qY8}|i=;q2ECI2g>z29YwXF210_tV;UvYMDvdJe00|CPSp zu_d>tfo%ak>=c*_q)|p76jl-^<{6F8c?Y@lc7Erk)G3E8lCON$@~?W`jhB z?A4Re`IOu*dh&9SPqu6BcJ$<&7h_(f{2m&!%~JGa_)X_NY)QxsX+60bIYne}u|1Vj z%T4rT>Jq8;$obfQ=*qWG)00D2==Ori@#y&F?1{<9UEp-lT6K#;L%#>Zwo(UZ}U)}o(|jYrp_Uk0fO@-%gvekOYkx-y^K4_Vo#l5-zEBxjSQ zP4)`#l{S}l%NWu9I@K8E+O)D&iU$^*P&RhaY0`RRbS?gdCy0I5HmYw~k4zr<*YsG{ z%a3XGZ8slbxA9|7CFXQsT&l#ZN^GjctfpgAiA%*6Avu_RKPGEw4PsrfpG$oS;s$S2 zHuRkOAaP$`s=G@s7ad6aq@2MBMMvv?%;9|NBv9T|!5(R?JGUOFvLn}G2Gap>6 zLBF`YJ7|b+NX3T=-}m#c%6r^DX%xrVhm4u$Epm?AW+UJ5735WkNs?!hr@E<~9X^%( zG4Y`b!b76rZ7M$0A|6X(vQ&Ji)G@p7Jai|{zvNBO+_~StCRPFMA--T`KD0Qld4p== z&SlU4Z$sij@i)0)=1GkME0l^2E&4h80AJatp95ow!F+*x#7e|o%yA>d+{4#i%^EI7 zN0J&BVfIR1gcyNTjA+rH!2KfL|5M-la#r_}x}3iEpf|*pf`?hoqUWym7O#vulb?6I znr_y2G4K8qSf~1yc%Dn@zGHp=8-4Mec{TCmrOUDn?ETKwmGG`S=2jtVM4zk?aZ-W{ zz&9v(fviNn)rw&9F>`ui#;fpbGl*^CdiFpnzLPcnAH{bHy@>4ZjqfCOvwiiIE$~7` zOI-Y(avrC>d<%RFx(QxL%v*Bhpb@g(@Uz|WZQYSA@tuDb{7eqHusId0_?Ge=hOi~7 zJzw@L_LB~o4|Jd@F&29`b(#9b^aX>QwIil)$KX+gH3-#rCCfi}CGp=VX)D5&F5r%vb%V^SQ1oC2rFM zPk}$N9x8{$rf`A8KDyX=oOwIRH?WBK(_>xu=4U5|=YcoNsC&7By_aXGcuk1|Qg}=( zDtQ;2?JIR_5wUvs)g}Ja&QtNHZ%F^Zix|#qi2=N~-#8e9k&Yi_9Q5}){Qv&kBz|l z0?8Gx5W~fHWy3+)J5G5+ktMNu!^l6^`D0CT&QbTy@II{7ts5l&-1bvm8}MXp`^AJV zF-OSwodumE+^YS(F`?d~x|jug72lLI7tF=|H|8L5l=IAQD;UzhHGd9yn&+dRaqfNs zezXbSQ0obv67NGz@oLToG=vlWT9>MOy)hIc@Jq?ssMyZ)ko|x^a{+G-q;Q-V%#puP zzp+gw7i<_MbWPc-@#`c%?0#0=8#Wr7O$~J}&!i69QAPWNE+#+jCN8qa3TZAw8JgN$r0eNTI^Q&Yo&W$gAU;1;i zB<^%0@p{CX?%MD-#{Eia+-Z7xWpncE&||6{mD?_3+_al~bp!rz#t45reT?u#pD%r0 zWEqj2{}S(ViDOJ&Rkyr9Enm7NIXtvNVC52f4Q@O*;QpVm-=go7JtR26_scR)XZMC& zi`XAG!rBdz^9y?oOZjHD8B&MNS^%8UNv%p*S71POa()~@1M|j-&(tz(NVJ2&IUh@HO24XSqAc$A#+6D{SEP{mFY3S$5g-4 zzt0b^{3H{8{omd467jd?f|K%o=DQL%q}EvR)Ghtnp!_p+N9cRYFM&gM-}~5CILq1p z-KD&%p@So5O;)x9@T;I$3gC95U8-SZje~vG) zgPd?a+-XDPJPvG0uRZbh#&WgTvfTOds75*W6GmYbsr-@G_UPxj!uly+aNY*^z z1H$(u{!VBNxHUgT(-cp`R!-iyZ0Z}D7bl=~LeCXndq??_7OH27w-o+|oK*l1Ax1*Z zPxOCQ_!@L}-hlC}b_zlV5@Y=R4};mDDbC-t;j)~ zi)kd@q|$sD`XA$Ya@m;*zG<0(xU>)DS{m-edwt^bSn>u4Pfg2UD#vg={BaKQEIIX` z?JxUSv(LiDi~R|C2m3yB3{!c2J#mo8A9tLWl8uBvUwKf;1B%W>dgM3P-tXn>8GXVZ zkEU_OlJ#GJf4X<9^}N@Du8%Ei*~njrFRoX{1hmMa!S-2YZ$yipL2tvqo);PoujQQJ zao&rpPDmb%G4 zo{5WsOT^=Ku|Hn!+uNt@>kWwpbh10Li$n%toNH45sdudYKOy{#HTo~sn7u7Hu!XbS zH!0fdbPU6Hev>BglOoFsJW}zKPcqIT_6;$T8RL9S_SmX@>fREGXGq^eu2lCTZ>oEh z65pAUHy8EEoAfR5#uArFY)t=meOz3+Mf`fm2b6|grX}Am`4h0i=9uy9v&iuZZ@0YG z+XvS&@bmWrp9g3EyYCwC=6U+S4hl?`2~3t&XTrXH&#D%#trz~(ha+1y`n)dkJBf7^ zT@gIQ??wzH@rC?;QuiL zB5p@V#JMq?CH7Z|JMcJH?(A+RpK>L84tig-3E7<-3bYf|O5hQbb+I=%SzUR)O^M&w zLR>jVyQCv0LF^Vbd>xyNsvDY$i&Qn5#IEMcUD#(Qt=fs)l_g`9amcvR<5Xi~T*;|n z^6O0wmlU(7W;SXit(?ok=OwjuqlNp>nb8eLZ4al%oos0C=xsl?^l92BHbCZ8$@tI@ zn2(wZbIP1ga(LIreeXrq5y$YcAFo;VNqcO|ZgAlP(TNB6o?H8^3vo}0^%a9v{86Ry zbp<3oL)Os=Q)4Oxm&9mfW8#{WjS=0>4aHR)hdh@YailxCIw-KrlIK&k$)x67-#eMO zAbk>Z<@ZaUIr!bdJ2y-lA@HIq*xR;~^XX%d_*xkQ^tIpf$+HVQd(VgWSfQK1d*VfjHI?Vvwa7ET z1>dn4XE!Dp`QfXL$&z44OL7c}7%eu@hO zFXb75HFAQ)ik(t>2)Gd^ENg=g4*Sphbt*%wP) zQnOX;Xa+Vn;;WF`3ixg&juyPiY7VOS)zzjZ@h+M0U_5;=0S|!laETcdSTXiq{764X zJmOHfF84F$M4RlJRLny9zIsP&GpXz8=Y80dQx&*6t#$r96uvS~V6I?IJ%E05%8@zA z9+5dQw@;rFdjJ_KwQm@Q|KA^*+Dp)3f%iMex`D*{O&7q6f%B?kea}vk@%sBNByxk; zq6JsLixhtL#jBRJp1$A9-jV%(I$pJG?|X06A^Pa&dsp;8+2%z~0`H_gp%z&RjQ+>5 z-FItC7rI&O^BVR7vE7Q_B(OOx50CS6+)thDbZ*WsF?H%lwvxN?P{b~2#3oy!+1Iq< zTUdvkf%m90ZP)NWyg%mT?bp0o{GH7QH2cgZ&AYWrvt9D!Uz??S&1V=^VU})959`(p zgWBf&uR@Zjn#PIl%gM z4&!$#aS^8OvPNFbu(-E>zwEgWoYoy@s&(jS4GQjg7d2B0^&+igre1FE*uYwq&XzTh zd{_zx%{p)i94y>V?xq4Qd9d@K{o*T{HAV8#jBFQpu>N{-Pb=|w0*_TE?0*4oS8Tx7 z37%X7PLAMrQycZO*9E*p7EH9OiZV zOlpj`=~g~*C0w)3wzxNYeSo^Z{(IGJ)Lix9?6q~mXTgV4jnLb4mTOj zy{uWdL3gK{x?SBso?Y@tJlgnr9s3%eZ;w3(AF8bL_dn6Rl`rug<6xg8PaW7Lb)}$j zugQO4EbFq1SSGcWiYIXfz#&<3M1)pwX zK3O5dIS!6d>(y!H)8YU2s>5-+tASd<;8QctHZ=g39RceMe$PCjImerU9rYbs`E>Zd zrM{KDW2Tz3+BZguHr#ewhff>IIuvbyuXxuM8YN-o_wg&_;gIS}&X2bf>O>GM0Y zF`JmJxYf)&QggAGi`Th7;O*G2@Ih)qQ`G}A-y{ZkYGtZ^x!#nb<^$_z_ z^8x33=i~pzP8Sn;Rw6b|p>gkGS64Ky<%qZPc5oV417m@?wF9~gZmKo-?#t{i@RC~b z)^u{ zXMJRR?aB9d94Haq(#`(P7v2Is!&~ZZ*URlUTP1#Nwm0u~V4Bq*XGSy{&b|$rb0_VY zn`2(pj+lMX5$Y*E857#-QX>sKP1bSFT+RPL;R%2KtB%_<8gy?3cy>2y4;-u+5#2jV zE-S&oYd7om?JsHW84(2ocRDy&$g^kM%Cqd*mB6YM`niO29K_u@r<%aOR%%1Zo^6Ge zHSwE0%QdgMDej$uC!NW7A0dO67#uzDcuo9l`%k3%ORa?>(&9L+68^TQ_-jW#>+kdxzv1IRozIihYqd zarIyBE1C45*M5u6dG0Ld{@(GOFY={ZlN#eU8Dn^>?k;7F)txc>p$^@fPEC@1>ojlV z3HHs_jdn=Uk%P((9xE8j`;2pBw^hYBhyD!vx8esURbTf<0&XN19&zYCE3C%&zRQ?| zXIRRP((<1FU+$|h9&lPO)SX8kzR z052Y<6H^egZ(JX+hVuT^JU@ru;e2Sv>nT}hAG`}*!?PB=)_>pR_d);tpc!{pMf8#^ z_GSAP`2Eoyt0|(}U0Zak4Bk8U54vX@40yo?!#3LkUZB;m!?l{#%ssP_a|5-+R0W`~ z^bww})dk?e_kRpepP>n@@ILt$c-s#&=k`t5WFo{OZ3;Rcn<0?{>^eR&hu}W$_4GKc z^N`i&1)O(h2AtbAY0ijhXes+Y<5{<|y+@w6=b3RkxGCmA5X7$NM$j87=MC}7)*>@N z=kDdZIsz{_We9BSTWWwq2R6u|#5vH{?L&bh=UJ+yy`icNdj{_?W}$ELzH6F7&lGI! zTNvBi?L0S>ST>R6<^ApaM#hykkrD0Nphv@?XU)KO2LH3#19otG+>Z1Fyd{l>o!@A< z&G3}S7Ut9jeQeZ)U)Wg(fTyexx!PCFeS|j72H()?*hw0J$CaA*wNBlu1aIlfs=b%IE7aaIHO|a-^>`f}ydR1|SMGCS zT{&*c*LViF%KTIuEJ6$~!_g;h&x2 zyN52FtP5uGF4x7jqxLp50QuSu9bsPOhI7UG97}Zd$?L=T+v8sCFOe~Rp?MGe4!R1T zxafJl>oj}ETH@5^q+r<92g90D%`54W_UM~A46D+dOUa?kjrQDtkfJ6MDC&m%n{VYv#O-ez6BNweT>)HmqkZQ7ASxA`sj;f0#L zuST_D-$EOqGd8-lU-aj*0^Ziz3T&a**k7%zJ(@lBdh{UT9KW-3XvwX5xx1p6cc#o# z`uw^ub}sn7@SeO({-%{$@HbcZ+iS=|Cj2cM-ci#Ae;ciPGddW5t!AG!nzOI)j@+Nc z;7iy&%fH@_zYSfkV#I{MsXhYmH}O^F_VG5wCxo}Bc$@z}gSX9uZ(w_Nv|v#ASz@uT zxZ%{;UT+S-yCi-VdW~E^v;$mx4}MaEUE&xp5x%ybv6UTEG4!!=&JU&d8vNH@4u4j7 zw(6uO{oHRNtBEg|J<7fTA3m_se2x9X|M&g>a$oq`$oEPj&?J)>k?GmG^`@!YKYUN~ zy4VL~)?6*V)HJsV+q3^?!uur%*X6xRP-C7-bio3M4$Nu3l-TuK* z-D-yCoyENlczvRlcKCh&DCn*mU_S-CND`gwsAlaH9rAW~)ltn`+Jfwa97(k@+O zRoY@c=!(X2MgK+aw1YM9yj8pp&#SCd{LTy3a=xw-d(4~Qrmt&yozO#MPh6ON;=7pR<3QUCVyeOgR`W3Yg~O-^1#Lm*5ISXD zJ(N8nw0tmaXUB+} z{>2o|l2uXLY_nEULmTMgvUb)=Q+FQ==~feX*U27gCJudE2xsvW&6rpv@a_>gM`Tag z%kBXV3)qHY*WsP=76*OfZ+`J z#Bd633^*fpLf1D0h$VpU)8?(4u~&w4IS)wR{@FUk%gTBZpJiO*{Bap$kQ>%**6Nsx zvIQY?SZFxu=-#b5)u>8(9naNamsR`)iK)HdJ&$Y910t51iZBZ|oQ0)%Z^H zEY1>QD-AnmcX4kB*PHpwl=G~ooU_9@na{g*!({H7-9ALO?{499H+UEc6BCAvx=wS* zT}u7}=ry)->i)^MgMUleoKy32rngcPDkORTPA2d4x}C>v4J2D%Kk1CvZ6x2#I_V5O zQ%@fJ_(bx%XZpY2FH4>6^yLxO`2@as-d}Yr`Qfdc@hjup;qDWvJ*}~W`n2SOQ8;wu z|2r7M6aIe+LvX1BKUhv;t>9n?zvUGCp^xL=WWUq~u>qW$OAJe{abANuw-BW+LUh!( zsEa_3>hV*xl4@dVCRF5DGuS)Ob@9nYiysK%g>S+Zn zvpy&>lm_QKEt7GrxR`z1gq;cBH0N5A5AHu@P=7c*CK+?IXm5}l1DXXctGsf=Te}jw zFZsKMA@4PeW}miUciF~u&a;O1VCU%^uWYo~GTiF$U77ir?bc?^zHJ>J>_J)KA?P?^ zD`etZ-#XR}Pazlf^qAWTjaU*6W8dhpYuW<1U<{$z?n7hI$9BRy8sWo9^rmE%W$Ia8 zs1Cn6FsFa75coc|<2dwM_I?gIeQ3L2R7&n5jvszjrTQ!48ZlbL&k*}S|0DS?xk0+D zF#T3HBHIyP4{!5AjnHspY>{b!TXa;NmTc-gCH=|%7kDPdL67q5G#i_lw-S5!ZH4$) zUk7fD^2`zPlAwd==;^J%)Ksuau4q10vWfLqI_}yS&+UyZhOne4f{!TI+CcKBexp0~yDsWnKhubb47zXe zyNh>bH?f!bUDK$!S7WQWuLZwdJLkm@%O`ACw;Nu~zJOiDefXyAEqmdr0QLs01i9DF z{iFJJL&&~I>NNKaQ%{VI8(!xIXaaOV`r*1)^IrjM4=RU>SH0P=jsG_6c{5XO^31&P zjBh5g{41LHNUZQDJ=QK$w~sbz5{qKzoUJPx(7gS=|0evN;o=AJYJQ2GmuHB9_LhvM z4Sp~Au996uZY~Mx8u6z=E8M|8*cR{@w|Ddv&3!XpSF-l0*EKtVO$yt+y{N_T<|S!w z9{LCVu6fAr)%T%)%+qaRblqlT{XFO<{aMxB5_1&rx?UmYSA}7J{|^d|)^_xc&R5W9 z8i3nO^7Cyn+&^_@Mm%?+^TtX)Rtd3 z2w&0;;xj71r4z_{^+7KiI4q=%U(Ak@Vv7UPo_(YZJZyz#?lT0h z1((^=jAc_m`4yxc!NCQUsvU2^kMz1hjAhA5VyPN+_ie^%0;@SZ_tG%k&UwbiKXg*t zJR5(&UdBSdUwTG2E&%2)@jJJcapb}ex%Y+70Nb;XyX&bD6X#iA^b&aWPyeCYFY?=D z?Bv8&>zucq_4`-G1H3oz$@wvQ^e)XVq0Nh)Mc(HA#k<*4ck14M{fMyuqxZQ#?{)lv z*V7;SK4-gOT|@gde_-886+FCo3GlX*eesemyxO|PB=`669*>+2_P@_SkG|HoGv?f( z@XF2tzE%IJY^Ywe>@S|Im-1pZ9H>JO8>@Q5V}UO zx_8mbjO_xY*Lw!`z$Fg*y56+EiXOH2b@cl}-FwkvPyQS`z-RH%(8e9V(!7_ytJ^RC z2^<7o2Vd9ic^|RQcVG)(uAwT4HPP$~t2Dccb;x=J+c-FOF*K>V8sANWX8)#Iw>RK> z8n2u7%ze-XG$kpCq*ZRsv?FVP7vAio|qy|xk^IVg;bXOhDM z`3@W~OQG2pWBYExCWm}Ck-Q$hd`IkIMOf*%$QaaEyod27+m-ygqLAMaS z?459tTiYdVBR|%MeA%&7^V=>2?w!8u*xPn}NZCVXARp2W^9U)Kn05`~vFl3Ffkj3$ zyEJFop%HT5ojnJ?Q#k0(?t(|f@N+eA&LpP3R!RC2|D!(^zklib)8}(B^8xqLuo_Z#O3B~hwjSs8&I0Mj zno*K!t3Kq`4#UPUOpg}s4RcTI>D0cc$hC%b`Fg;x!(6Y>63j7yE@DutP4c|L%R|uU z9Aew4dnW%YLW%M59P&iukWYsHti}2D*@oyM#r&TT&o(a5vlAE8XIaR}=s|fBH-PRU zZDR*M9HdQyICZ`&sF^3<^_=ZK9CWb}I$0;ixyV0G;KX~i$Hrk1-iL-E1)8%r|;>+ z$pt8Ca8po^7331I7hgS7iEVw4Nd-b%k3@xpS}V|j1gnRHN)m1+@BdkQPi|Q4dC&R2 z^L@Wx!*8)>-`3?>&uu;HdDdb-TOIpB^@#AOi_kN4pViNld5DxL=gCN!a;Be@32q!0 zefc!M+3QC9gAe?%Z&{8hI`QyhVhcfrMIAG0+P`TSYVAX>S9+JG*@L(GM8|gqjnwRC z0_YO>xq)MmKxH%%M3*nZ=E8nA3J1or7tr+j4$F)}i(8|jx7kxSpx0tg(&DByxR5nY zzAf7c-ZRHH^O2*q(za8S85ACA6q+A9%pb6SMkD#?h~Ry?&ZNJJH60BeRvDGJdwbIE z49W{ygGZsOFxMQ(xJ%vU)@ZVRAFv??A3SBA?X#>R)o$Z?KX%6j_&e_Gj0_v5Tfre( zWCl39pq4p6ing$4o!3s;D<{0cZD(q5Eqm@jBiIl{?-#f`ZP3HR&_f$E@@ONzz%jp5 zF0{Zi2#EQRTE7U77Wyjo%XN6nAzx&?!X1AE{1$v?jQrNRTK1G-Jo}8d-~sSK57zy} z5c}PED*S?a1jn7V_3k|N`KAtco(jG<2<{6`iA`g8o#3$nZbuflIPB&%>RR4O<(RMc ztrs}FUR4h6_H7SzN_&3eK7$AKz)hjy@F-o{yBpj;o!D9mEH3gJ&oMUJuuX{_VDxIi zQO^JJ1B>I}Pk^~;rf!U!1V1gqrUw5TQ|wb~e*^JdAA6DP-RZ+#;Le?84jr1~4;K-C zH2P2mIXc}T>95#nb(vFJxjO3@H9GP0YMj}7p;PAU){F*)PvMQN%rRb-`7?H6bm3vc zlz)lT3605Fme>uT%MiZ{_$~YPj((Q?he)Gn`+MNcw>rQbowf2B{6PbnQMs$rDI-Ze zGEWDu!^FI#2J9ExL5A2g#EzkC2h1<*iZ?P0^h;wDdMI;jV`PoWW$lyLTg{p>3_RT% zOAQ;FYkzb01mn_}Zj3D!9f-B@oGfExjBksHwW`TT;=h`; z=%4lGfrqt4d0OFkll6J7#SR1R&_?PH)OdEFPo*!TO2$&r*r5zZe00t~A>(LBA7tDa z7nKX|Vm}1R2ZzQ0i_xSaopmkz%B6h+!B=oLJovbh57v37&~aA4bMS+w-{Jg=#QyIO zZfcLoWHecnClA7ZBOQdl}=|w@cxp;4b5n z`qhF)$8TuPd$+oLJ|KL)-p`qp_)d()KJE88e)v51@kxqBO6P;0ey#_@>nE}2TV+S8 zerJd9)?`k7%tC(Hx7C?eS&rbDX$^uOGxth=BX$q?5OyBjC%%4(%0LI}#;kX}8cnWk z(=F-}p6dGN!r%S-VwQ0&{Jj$TqEE=4NNFYfp$2;${5?<~OYYl_ZRVv8$1nW7+DMFg ztuqm(PXk7=F0oxpIv+7NoQXcQ6nMU++74fWxAQ!(-{tG{CpBcd@bwIrueVX=apJ6W zMVCo`75EQr1orR^dP~uZ8Obj+>Oyy-SBgI>dJgl3H<%Yw=Sx+>(**Z2lG~x5M()YH z4Lw+JK#e(kp0kkZ`r@C$e{dAO1>Uynf{%$x6Taf&qEp{Phm(EZ9&d%O)Zhn^ukbfH z{vfgw{Da2}O{ZxsHSol5;NiXS51Y%c(c2XN5WZvkjH1Y+k4EkDeOZa3m~IroXE+Bp zl41^Ie?-E5H_TAwN`K)i@W*GNf5y9I{SM2(A7+SwodQ9o%wYm zu!UboOmuOxLCwvSPuT*-z4U*HzMxxs&O0RbIuYH1 zaUYz1&wl3Ex$WaDU@6N$2O1v?BIDuj?7uGMrEaJ_V;MR8W_@W&3SS|yGeHM8)cT!Z z4)IetDh||I_7S!hXoB?{CkUP{-yMr2{JH_IiT)ybNcj1A(8Ezz@5!l+N!#qH)tQzl z^nl(oq)>|lbAfA6eUog?6(3`C9_qHK8+)(wL=j~V(i2;PAIrN=26SL9h$eq<1b?De z!3*jx-5F!Abj{wyyfVl)KNtxQe;FBCqthqeuZ=~%emgeRd1o!!s_3FQe zlKXGR&x|!=<9qlHE{6v2ZFzWr$m`S2phC)em3z=R{YuR|+5sIfuWE5+0x}T%Vh^Dh z=f&X{1>beY8anJT)-uNMW#(`0n2YUC##_eP7*)f4e#@BqclH_cS#MN5h8*$3rpU8LQVvWQ_K9K}xU0HZQl_9b)x&4SP_aaTq9m}9?Xy2VjqHE3PxBq$3L6w{o zS?J{NVoZe3*9h)9Rmc`KpJ8oH?kPFhGW>CS*tzYr@YjMKD`YMcIa0}dMA}MOT{1k~ z*7YAFr)WF0oR%Y|0e@%RBE3d{?jh?IN2(X)69M?F=<0hQ z-FN9H9xxbxqxASa%z1#m%`VX%YdUZGhwYOdhUBK7X`ias@KkBIR zbOd`9u}p>Ce9PN&BYrNATHj%RYxd}b@FT$i_>sLPCp&@t$3SmNh`!XwILzNpLT4Hp z@L+~ssPvvgjPGMDVoODz3eeYRvTT1Wauws9#OA*|5OYNCF_%TJi8;Vxu0`v2o&wPZt8x6E!@#(RI(oJWVbylE|M^JKR4C-sDyiy^aTqc=cfBD2x4 z;Z5kR?a@ft%Zwr8{1Wz`Kz+1(oCkU1Ec$jax`puA=cAFUdXKlmcnf|d8w2RNhw!UM zPr;X5jr(Y1A7d^!?CF<#$D6{}yLCz6In%)AoG1cM>A#&}jEN+WuLFG9i4pL$OTlMi zxg(8#hM!b|zpSt21ys2Tr^bN8=nJB^TbUxmZh9k)$MD@~(myjANkWTXeHr`Jyr|;` z7uw)g%QIrm7nsi;WiC*9GM21*8C>wkY|;Og506>kIC>O#+$Q{&{yYmFgUh4m$Q%c~ zRO*`b_IPMj`jZN#hz|gdud5wq8ThGmplJDj+GBN0z-emo+QyiL70Fsgkv#6XN%Wti@K)SJqBHqGW9{w>{>{ z+LlbKJlB=A%-uy_>#{HW@mKVzx2$#b$t{er|6>PQ>XNg6{1<3o54s|C2ijuF#<1%( zC7*lP7<6F5H|?>=+w-8``NRylvewwLv(tDE`MD-c9Nav7-taH;J%-Q5NNfw6H78*y zd=@*1v@slFo+q+)KWn+z5+mcM0<%Wp2};%)$Xa*2w?!lH-bh5ob0>7>jd#!wZyF-F zj4Yf_yP?B!-c6!&5_hJ?Jvc~>yTZ5m^A*n)T1}SiV*JtNMl=30_Kcl7{_Ed5N6%^* z-aa1LYz3M-ot%MMl69j5bu#Bsc+7lsXv7|(Cl|ttiI++qgD=AylE;pTe9nV!pNrez znmyRqVEHH)*(7@$*yoFmd5AVaW8fv@hUFQ)Z3JS;s~3RpOR>j(6ti;a|B?lo^{R!P zbx=(FN|L|0Rg0|rW6Yr}+276i`2gl7`=YY8n0)lBG5QLg#>Dm}{+Joo`Zv(gas%+M zsK7W9fmgf?i~|95EXp|1q**UptS8+L%;6_5zpM%0RrG|Pk(C_a(vr=(lraxjLPLs{ zXghtN?K@oE`C9bnx6$`z9d}Rx?Pqd)=+el|L$pU18N&NIJhJA~eGne+l>MC&!h3r0 z2;gY>M2E;-We@EQKcR2F;mr2`o4Ou5o6G_BnZW+?20e21mDshv5fwezUecgDub!tR z6N6&O=4L(e%lldTVO)qikh7PqUjVnadZz0ffy64Q@A zLR-5YsjZbg(}NB^11v4FmIWQMcXu+-z`8N|KpFaC;B9#E+gUR2q#m*H8;ZAM|7Rao zWS96V#QPHcT2C=R#u;*UPyH_!gd)Q=$w;LmM zqdBj_;u;@@;cbq;x-;po5?c~B2zXEFc5m*Cy$G99cw?oOn%)S{Q})`Ei7m*@Z2>Lv zDEi{Qoy6v`c6ekEJcc+S-lxnHo(V;mk*4Tlt*2A2=kALhnY)w`otSs zeOAEF+y@>8FL9QgV9x|}iwgWcXqU2)z_-Qznk;Qlx`LVmiOuu*st&h}@J9dEsN&1h z(zahMC_WXy6njl0yv>mB6_tbL~Y3XYG~Pi9}(I zF}{yoi8IB7pTZkR9$$k0*top>wqqF#)w!<=&HO)<|du+D{xuYQ-NSx#J?d5O|-G*1^wkQ*UQ5P z2VDdmi2Jf1i)MqS*H5A$^=hB$Q1Q%|-Tmzz#+nL8rBDl;D}(<@bUwQfjUE zkku%EUZbEmLu`Id{we0BRlrHt!}%}Dxm+2Z?N1jwo@e*d)Al}P=PQVH?a6LI2f*!w z^ilOy`dp-Y@^qFui_DsFKe||)_TWPox=6PkY|phC?k7&L5+91y_`qyO?`qE_77yH` z57SzSaU_Wyd+?R;!}p{1nx759z8AEI;=}3-Tp_+ytOa2=t_Aj)%=Ko-`eUBr1rqz3 z-EetTK>H`FP39i(Fl_O(21Yc3oV4BiQ7^Z`Fx3?*#CH z)_gL*kDMq64@{}Ya{Mg{=BccoBWwOD*hZxe`h2?U+w7nJIXynyKZ6_%Ln|-R4{NfSymghelT6NB{ji+G8M<(T+aBj|37}f zN9CRFHXUe)l<93tN4+;=y{g;Qm5F=>?wt;EfmIF90yPENk^6uM?!u@ z9NY%pw1a*hN)7Oxl{UH8>)RMx_9kY1+kBsWDo*guf%jba&U#PbWy|_KGUlouLQC%j z_!im`+|?Qa;Obc!YoQ^zC+mCmkOs9cQWdgJ`kp{Wz*mq1@$Xsr%b?$N_;PFof7TH% zd4gCm*8U1&>g>PBk*y*ll<&CIsbK5vUE@rr3-Vfk&@CNuB{;Rs| z=Op{bIlp@n|5be5OMF2`@HKuS%X#vPg2%q(`==Q1fhScQ>WqhoZFov}aIQ6Njb?q5 zI3@N)Q#fAMlqE4r3a{oK7MTc6!I-x?gTS9Z zuNdHzoIp0vhrND1xqk(|4agtHKH1)?^XsSj(< zrtWN0dsIoe5@RAcyiMXH&ZKf0PNoK%r&G&KiFa`Krh=}mZ$RIpSEEmQ^gXaQeOnKn za_M{T8qE><4rIFYopCBl;_VfE9|kuv&-=^ty~?BS<>%73z|eudCH63B!Y4#O@I&7_ zuLtMzHT&2;kH*XIRW`&j(YMkx4vnH0HEVTO3yoTt(B~S)nR5y0V>@S4x-?z}jVcMtc$*n?h<&$~3{*I5nQf*${a~;sE`KHso6L99G`yejNYj%R z%~EHy5F=*Ep0(2NjP?#ihdJ%oh4DWc(tgr?U&&T8O@DH>ufV$}-KB19$JeIOqq`k{ zHQlA&GEcj80Au2RdeYs01;42h-B)6pz%vWFb@rqCw!6Bo_-QMhcxhX%(tA&y?9zjw z!CX00O6kF@Zz!FZ7z}&OHWK%D4q{DjaLj(@2ZQa~v`qWypby`;D(t8K9pja5T&r|r%hQc5bmK^1yQdr1x^hKy zW3!%bMK>Po>c$f1HXtBptQXjE(M{1=1P1>>-8fZdwxu2o90Movh5y@+iatzPUHb5> zv-Wi0{zMRcIKY1Cfzt~10~G9|N*}HZoIY0{jt=b7X|?Ux9@6^or@|B2A~5Qq4`*zL zAE4_nCcX_$*`cUM%}Vwu2P^x?1Qg}Uf#+X zLxcAzSu8P+(r(co>@`R5V{TFM*_km3Ij~Fg;qQsP!exHNAHHnQCo2!nkF~b^+eJJ>H z6kBE5-inOE=2Qlb5BeE!!;i3NJNB1qgYsgoEfsv1Sg$}W^GW;x0^_g^Vkg`JJVb{m zC)R6Y6|r8fos{wD^lW%~w+&Bhpv*ld1Gn;8;HGr@V~MS4dn)Z|Fo_An-b~DRIMhMe z%AVR*Rn9na=DY)Zo%)G9ZveLV9L|`m$uog>ggI6k)?xfiM306}+0PsQN6+2|?C>|j z7s0dhIqUrFk0fKn`_yf%8w8vg`w(MSL=wNo8Tm?=#ODLvz?c;Vup92eX65=DcCY!1 zzu|82XVM+!kJb;a)T+t^M;d|Wv&sg;o}WYA_$xCSG24;*>_4)rDP+$)7%=erG4Rn4 zTyUoEW?gxbnuj@SC!?=b(!N@JVXh;7qK^Ipr$+34qrnT>DRs(sR@+iJn{=qv)&?D* zXXjL&Z(*lRUWpAy_;&!B(&FbkX7yS7Hh~v-iLN1bLd#UT_8D+ZAD@fN4b&NtMV;7w z8l%9I80+cqVea#u#hSA*(geoz)10hD7Cz6qa7TyL-bQT6SZw&gs*gsl#LOVVmCGA#(wFE^V;Cb4sej-t~QQrA(&)@^r+^FXmD>&fxe zYJLu`G#ewq#Y>S7>xx)IJfbDnFG9wiWDT)Z4{zA2Im?H@i-r?_7X#jm7rsBq`E86( zfbporE?}zhk$%IonuyKJa;EQ6<7P3AGKS-&eU0F{jA2s~yrm3VyX@^U>#%(11b{Es z*4lDl;@TpRQ{Q7xz5upF@J?(Iwan8SpoJ@yPl!BMc8OuoFnA&5aE7f`CF4bT4t&tc zclWe$*3~k9td+K~uUxC@d!z|oN^GYC4}nHp`?cx=b9b*z((fvCaQh+lS%bGGtF|ir zOzp+x*>oefGS8O%A4|7#ZmyrTl**{gi;&gO1bg1yd4&0*?D2Tu?8IAcx#^ZKg=XEq z@a{Vn-W6K7Xi4b7c}qffd}s09^S(20;gWfCv$XU(pZUh(MfTmZ?pr)(*}~A=Mf2`k zShyr~-~EdhFS>V0=$oOrcTnDacPv^c6>B_hIs%@$P4(bRD0RPaEu(n@71Ps7J2Tsqgzod$jSpJ$}o3U*>zs zf7avv3q{?1=v!9$x@Y|bh23?_{c8Fr_lA0H9P0J^b?Vwd+2goQKa=_g^4^3Vbx!qu z%l%NFzj1y3LR02U2{9(2ORiry|Bi)sEO{`bg}Q%gJk}ZS7@zu|`X z)q`&Q^;7FYD>H7Hy<_D4m*08I8&6&SM$y-{9{z`~?EHuS@%?8W|K{DdyfO9r$BVww ze_np}{l#VXFa7m(Uz`8*$(d7MnReT}*LSVXseFFJd8tj4hLu+RspE+YDt`HgN8UU2 z!!sZJ(~oYx_6r%~^%t+aJF;lkfmQFve?9pZ&bHXesb@ysReR4}uYP%7<(|&#hd=PE zx1;G6hgyF4(&Eo=`{~Xf-qCeWJ@dW#>kA&eqW3*O@yfrR*Y}Em^Tmlj9rog$HCNyBYVx@i58nQ-V{iQXLtoqR zuWNqL`0J~`_LZ-E_ZL@wzW=X2KKsU;lFu!B{l|x|zO`oC<|$EY&TDUfe%^V1oS9$s z=JBhu8a6HdO0WM;Uj1*c_x(F_b!TTs=h@Daoh_XQJF7e6om!{Xp`F!EYAxD9ty+s~ z{9QBW?zu{~EwyPH ztXw;f^grdI>#yZ%_h&)W&A;L1(Z}3;`D<=|=Sh{vOUA}D{^|zUDlchRr}BCK_HUAh zW^Ks0hWzN#>&8pIan1SPA&(w;dfZ0xieWomsVBc;RO?;Y*J|;D;~#u2cCEHyf9Z@X zmR_svp0eOOH9x*q`^xIshi4wTHoEGi2QK_ygCe)-k=zW0Zl2HhXr z43BxrO=mZC|CW3E|GE2mKhG9>@7}mki;wYshsZVF{j8L(z4Z^lqL6udTrcB8|Ay1aO$C z-j!!R#FO=^1R-EzOFy-eoXzbJbBKa`@%KqFXI#btBUwZ(hx5{+~ZoFNs@c={NRA@6yo~zA#Q3RulCXl=jrkEwfvU*a{Wq=B=?^l z?WQNlZ}ig8OZ0g9T7JuYxxTJPlKUlpr^mBz)Z-OiezwQ8Jd-5%2`8Si@o#!xum$4mXk}W@X{0Hk~Vm08@Z$xy!0}; zq-rniCYMy_rTyfR4tnV*xug~^og|l}-S4I#xuiTV4I!5l@=_7Gq;X!lid<6EOV^Q0 zn(C#Q2`8Si@o#!xum$4mXk}W@X{0Hk~Vm08@Z$xy!0};q-rniCYMy_rTyfR z4tnV*xug~^og|l}Ep=0nTvDEwhLB4Nd8vq8(l{?&MJ_4orR&HgP4&`Da!IqjbUV4E z#a?=VTvFUi%gH5GcFE-CJ%<>Zno zyz~UQqzzu$MlR_EFTG4IsoG1s$tBf!X+OE7gI+pHE~&*!C&?vg54b5vE-BATL&znC zyi`OkX`GkDPWfqn|9^kJT*uDyM^{I>VxAt~Y@-|f6{)k=A5NcI?CaNFfBmO`>a(8r z{Iu^n)YNP97BhaDwwRPBzomLj%g`qMqpK+mc*xBU@j@d;e;hG3g@otmy*HZrdQvS}a@}=G5M`}b0Zc%-4;o(LC;6=l3 ze4o>*0@^*68CC7w6sO$cfb<71nQ>b9Vfywk_Y&MAg-aT>O(o=|T$93OmB6D^+f;@} z&kQ`(h<>zgE!8)bS8Czqyo-lVcm?m0!Yj9H);``{3C#EL?)N3Oy5LqY>5qRVmzz-+Py~U7c^tnNKO+T#Ob<>&!AGg(gJvR zmEmR7s73H%ip=9%@lw8VCp(V_uHgw8D8|!jJ8(4dz@jeT7>+kFMmsxTR+Kpmpq)!yF`bC`|~a-oKr?QCEBJO-XQ`Z zoGW>WzKJ{yH}THJi?dfB|Jr_8=2ZID_?dlkrc2-I@i>*f)pzwx+U896>DG)AJsd2f zpY$P<=g|A6%tp<=W-}h8lkj5XttbO5i^ zpVSOh{6;;SxPkYA!q4TN@L!cjz3Y&7Jx){i?;Dw?Jo@e%sd@QqFQ2M%B^xNi<=t)C zf0TDydW`7i&k>>cqL!FQ#Q1VflF+Jk!7aQ7I};(D9ZMt%twyzH-Mz?)hp$-|-taH# zI*l)!z&@h6W!6WDEj1U>?~9t^ltYBI^@TxQu)<67c=~S&v4vCJTMoQWc^_It#FKxJ z*c!XYsEmz(CPqkRQi2Bs&kJBRnNC z%!~eX0)9MZODQYFiMmHL7boUzB*JniV{aN;;71#t9TDFA=7{i*UJQjd){HPJkzaQ= z5`{|t{S)t#Hqq`scQHGsOisl&MQTMQ0Y_Y*}c8-0P9h_cdmk8Z&-BUxPn#oD6j87Jcu}S>6oZTnz zUazc`-BigleLeM0KR#<^Q`Z<^bxBN@@2u>hn)ArlG-uT+E%n{+ejM>X zqNk=$>`ZKVy;GvW)wQ!}1J7F`Uk|F~3V2PZtf zcQPhKNNn-5+wY6)2=&{HWH#{s!5G=u1dsJ+`Tb?_EaoJ_iSBq5;F-_vo$Nfrcx=uJ z7lBW}UX2GZcgN!=XJv;R=aGJzksgn%^EInK|5+tQZe}*LV!-9-HVIZ#>=%hurb_?-&b9#sPiUkTEC(&IOFY z$caP;%NQ^gjDs43t$%3@s_+( z=+FO)#()!8JlTVeFSxLw zE13qZDOwiU0KF-ln6l0zB7*NVWLqoGDSzC}mqU|EeyF}e`#rzmTTaeGPmp#=n`Y#r zKNBrZTLez_(%Ni0i&MOjEA}LRPGqUfE$(OM4o(2@$F<0bE$rgx%W>8YCbFOm{zluO zsnpCsheUVFE+%r)j>Rqk61gc64A#?*H|#IHOSA=%`%O{aCz29)F^`eCjPzwu zC~qk`gwnkP#%fH`FqUx-Rs2un>riOQAi77%eKp1{H|>%4Wjv~u>GAPca#f^%`DEZw z9z{;6adP$+M(wzdsKMIKNJ%-g2_In>1IxFgQ*?;b6TXggyAwTdBwcnG-ABqE|DYas zWXv)%iO4<`6&#Q{tZ!T#wKaBU@KLVt098Iw&Ath$t*IeTcJ%)KdiDKZ^Igw&i7u5M zAIoR~f55YUV)s6FDqshzEcTwh2<=MdvO3>+8^o?VFEbD_10Z)9FO`c2^l!@z-I=t>uc$HXpV z2e=E-l`ceAx{&)9q9a{k^#_M%YcmpsLO-TNf@L(vqc?{~Jxjav93sSW=wD8Fte#^B z`XfIcF;YP@D>3+WBC4-Akx%Gw2`S-HF9Fx3^OJ+l;qNS zEj;)`bw51f-GF@KEG5Eed0=c}R5m-A1jbs+wh~#mL1WjIagJG&Cy_;*DwyLeM}ITT zAE|4J`s>H86=rRXMDZmi_XEzfuYeTN-Ce$9w=dcCt3a0>U?ri8nY#8Q*AdlHlG$!3Qkc26zNu>$vG%dTv0h*5SP$Kx*_^nWEcr&vo>DQ*-un&RS&;><)-XQf`Q{LO)SRMr z`T|eb^#eLZXY}_+E@-2jU`?LY4|xhMrJgb0Rq%k9FUp&Gd1A)vL<x-*NN5{EYk z4W#nsz9~4(9QbW35XC{MPl@u zoU-~RbJjy#A3|Qt$s%dZ(Y%{z4?Ao#HyazvpiMsd>$mz5J()RDce3>?rxcu_Jmdhd zqdX}~%8@ccoQ5=lR6rV~XEkfF;R&@PeI`1npWWbV`rH3h2w&CMO%ECxdptX=H3)6= zPu*VFnKT!~!tCJ8HLzYRr&{qW=CcR3)5p4N<+p-?d%b{hV(!L0f1Xbwtf9wjr;S~y z(3k8X%n`&^Ej#jH6Aov+$!>oyWXR4&luraG{3B3DWN%Y+lX_Ocu7+*Eyt>E=UdGtN z8-)&X#vwz9G#6b=>4&XE$ePBc$BuL)*+n#U&3o`Z)3Dmwb2sJg?5l2jiW< zoc`F&uv;^J=nTTQgl9qj>|}K_{G=*snREIl&32Ko{fQ8cf`9ZM_;YfIv@x08K)cw* zBNV-u{$?asokedd&TxKnw$pj%bj&*4{wj2nmppx&-jlEL4)`W~qhh>c)@5umfDOFY zS$V$D+qT{`RWU3z#2=vi9G9+U{Uk9;H-Hmze=)Y5{mj?kf3!2a@uq(;(=cV%evNL#=bbm-mzBtc(XdW_R(F{?DC^qS&KF6`*Pw!HN3~yX-|I={4iaa3^g{-&Lq`OEH|(b@k;&b1Aho}7L+`TK zsqcLMVkrk+w%+Bp6;~{3*>eKi)rEJ7oRr=BC3-zf^nW;Td|*$x37=b);9Vt8dEfXk z@2;54X-)mUDZEATl{_uaDudTDPq6&xA){Brx2pd>jGZXK&iVF0fqmU&@I7{Yx(M3U zIZbjwEHU|>>@+U*#2>*Y!IxCrEOT*YeW}Z%ZvcPxoE3TCEX&G}_8VIR;KU@Q=OMGM z$sMtG?QLC@W+S%;J932RzUT*x6Epwp(VcR{Dim9Y~d&xDk9ND*LfE zflpOAC&bp8iSR)1SHb5vHa~VJLmy+FQYrO|-s@mvaqb!ok3`1%;-=Dl1U6;=9*Qh@ zQnyZ@X=itoVfLE-qnHB>bWW)3}Dj}7O4ueh4!?% zZHxy}D^FZQ8-47?{-I;OkRkVlzPSFtg;7?J_y44Rn*-SyV~Gyl-|Om2=v2VfZhYsc zDsLV3z49tor+TCTICkq)l+h^TF7G9T9&kY9Fv=HX=KxR`aPj79EYw@#t!n^Mw# zxrX-t=&*Z>+pax_1Wp218MD-*CisS&{Qfe{`p55g8W;D&Hu!hI?)w5ubh6X0@-5{Z z_&~mM?&7=lei`f_*6X|U``zEG9n)05tUFgqd*10)Z@l;SiNe2QEc=1?Qtu&bk*1c~ zWirm_tNpT&=^tk#89Un3Za-sc_T&9Ctd;1B!p9hI89#96aO&~wFF9s~mO57WMdqBw zCi9{T)O$+rJbpep#UG_S3x1kf*~G4#Ax>jr7dyVU*1Zc%9%OF%Mc`{1kvou2?|xa^ zlzXN_;Z1<{^*hta$?#{LwhP#iE)anC7w4sUsryFi{-8tpt?GT6 zdZ|NbRm!Ko`$em%?8cizmR?A-8(&-sy|avCJp#kpNFd5m1wpli6Y znH^!Wnp>uhgdZT|k+DPkHwd3oe6(!WbHekLyh3)m`YtE=^x$*C_fxwXzhoe*X-9^A zTf@EZbxvQZfhR0yjp!&lT8Pfvz>d)S*Xs+3q%Sf)9uKE2eR=r1ANJwl(aY>%{vkd2H?TVsS%F@DOXxL=`a~|- z(?*%>Afh|{-1@+uPWzi<{j^=x=gKK|>e#s3lNoO^2c+-s{=RR0qwT@|0aBKfA@!!^ zUh0OiXQaGjIpZsHmJL!~S_bu)w@j~pxwq`lM%qLD&|Hltm-lS%YWFSMO{bsK<-zHZ z{+;&zUw%TnAL!lg+kV%l-POa8PXUMTd$sxXUwUnZH+8l7<*u^2=2}zhpYPq~>htUY z$o{T2=l-P6^L%u{7ZRUGk6zyIUz}2CM$JK@--MU_i-Oszd~_Uk7ZSY^krFde6#Sq1nHYclBJW zjCn7#gZ`fdFJyNn9UZnt_#f9j=|--5(#>(`rurU3?T&*U6I_ArhWvX3JkIiuv&;*q z{}o-;J>uuUOWMi!NjqP!o$8pGz_Wn<1ev#>i=yXQW~{%wpETF91JJKMIsq@_o0$zy z!AG0L-jE)9C#SaBzAca$4i&34D9eZ3_rc!PV!itLj$rwJQaGCFW;)Rr-y5Z3?RuH+6!&<~DzUi;*zdi#SN3yFP z(T#%V;>!ftC2r5dy>OzUVk!FPd)+v*hrY(T=X@0vOL(s4!mfV0r!;|XY2Wt3d;?ux z_>bd5KK!cjDtL*S_lu6Ea7Wn)(mGlf2(;6MMC!Z(Y=$P;s5Q zw=^r7?Ee8gJCL0ys8PJi-gQ`WmY&bfv4L@)s2`U%ft#PGA0r3i`O?ma`Fm^wYoqMw z8nad)r>CJyvLuyDfFTe}{~Xw9lI#oN<-`ho{V4uKh)D+@r_VB?OsE67dj=UOI*x9Yv;O?JIoQ)bZx?+> zbOzBgjNhS~w(L2s-fi#azWWS%M|(dXJBQEi`>yaDc+>BDmHm{NqslIG-&f^6iH>&L zshCraKKY*c=j8Yhr>|GU;78H)94wLBl-+^8i<@?u=z33m<=^EOYM+MKjtYN!uVG1VTCe*;YJmS4@H9up*9pcx zCwxoBm@r$p)-h1V>pAZ_+XP4WmyM(vP97N`2R=>&5F=jta^Ll&Q+9+ekT+Ea6GM|0t>90bIee{zjx_GUt zpZuW@%}foY2K$#w8wIv$I_`zjadT+z@tpPVz5AIrG&Rs)(v$9(n=bGDPThHo-^0D% z8NNd4yv!-WtcQokvKEB>J3QhtbYbL(54++DVxawm+_^n z4@8F&*()*_TKquqqqsS+FaKg5SJ!)t;@`u*akLv>_rNh}`ZPg7C#kC|O+T}; z@4VZ3=ybd6-t1(PWX>!$g@d!&7ahd@khUL$gvZT#MA5(eN1So zZ@IL==Vbe}WVa6Qn#%e>cXYGlDdxxz03G?>*D9? zG~maH-s7yzVg9hYdz>Hpv*2F>znkz_+Nku$%lzRHH{)|t%o^1kBiZi**7a+|5671n zUE_0n=#yeogHG+`*+Kh>%%ISdv+69mljtjx(N|`A`pR`44?3jgNcJ0ta}twSmn!>Z z%);ka@YlkJ)t(+_o+#gzD_uwRY2)%P{$>Aauli2#+?sTj-J09bb6(dXs~V&Bjd4Bs z$R9N9Hf`+m|1D?5DR$Oe-!A3Z*R8;}DehM~@5~jSFc&K7s>9`xdp#cM%|R-(DcH&8 z%l85^DO31l>c$n`T&TRqT&PETtM|^AeoC3rHslmLD#y@Y)8)pmV}6ZZQRDI51IPM2 zpTzTTNg3VmtZzXl@+C&r_<+BA+KsY5<7+UxE|}Okw2SXWu6m)1Hzv`cn(Lz9pbgIp zT%BOK(l5ckpZCU%=+(@RD=ELn{PMs{F3#0%;rrS??|+&3?dI9TOZ`Fq-vx)*lKEv4iBefba-eqfG6nG7Q#aWZfpr znoXGX7o*483_3!dJ;j(nI|8XkIq5>ydP-RLIe1f}tiPzWkSPDCP$bl>SPc*;UF8Yi?t09Gm{f zo#C-D_{r)(c+`s~{&8k_OtA@{34{wSGg+@PMaDYcM~@sk$F%x02ky_wq;le5mO8c3 z*R{SBs)0ux7ymn%morbF!&=j2((gWP)E-RGMnmR+-#^odZ6T-1G@@#)vTLmh*o2;? z&oB04p2FHxOyCd8bcv3|zqi~AYCtT|8b2mkCx zU934VHlag7L&i$Rrs%TF@Cdmsrk$%PcTOg_#dQtsk7b5O9SSzL)P)&a@pa5fTpY`a zupVhlX8rfl;w)oSO;&hxu|KSRNLlyz#Re)qnpS_{^$7ZroTi7*XsY7Ou|$z>ItKS2 z&eX#Tsq()9!##po7=<0Iz!j;n6peqa* zda(nqPh3(HFfNIi2IFUZzQ#m81gJN|zGlO9iEu2C7@-G%yI;W~4QHuK>Pe5;Ib}z` zrOFtBZ;H&j&*p3PQ`y&m|9?vveOYMP|h^?8Doc3+^d#9znlqxv7LPV7;%d)1H9;&pZDP zEDv`&?LyzjedrRuq<(BiHM$*b9}TUsmO%aOv<-esJMsDC#2mTbZbrR(x_wQ{ZRvFr zwN~O=436vUn61;!n|3Q5q)TVX{cus;`r}I9Y+Sb&dH=hF=x+1_J#J69Aco&C{3k0@ zt1`8CW~%T`#wi} zUQS@s;Tu;Ti%u)+KB6o3^i5$sNakqWy60ni7S#<$o`H9QQ@|nFRHbtg$5hsoCM3pi z&o?{Jg)5JnU+Re?%pc!#h1NQgz89kQTRQ{i*obC6 z=`+rmTlUqP-t9fNj8}ZgZTo?Z(&mJxH;Fw)%K9|D)&6Gvzx0Mf-51=lhCkD*tb=== z7P|?3`6E2k@02lr#D75X(_Lpe6FZ^pyzRskVK;fKlAX`-fhgysJKiyNaq3pz z8$(uuGhKcgF`u+YcuE)@M)(VT-Qn~{XRAA~|5J69ywBaBbd{-7*|EKcu99~%J_!Gn zx=Q?VGfNsHif zDe;=j5z$Qs!B6quSkpk9Obznq5H<{aCJUa09~48cG4UtCzoZyDcoV)F>-1hUS$6(KS=fXEuLm2vNv4*nyYA|kdABi!#z{8#RQud{Y2>y)w+ zejNW6v%WuaB17o9mwyhvL7#;?y=6cCI(1X$3NH1=Bi+wQQ$Iq_g^sIYqVp*}Tetqz zL|PVzpGn<;dU#{K{S>lOeE#T*`2Eq3N7H?CSl5xh^@2&ufmK#H^Mr#3{x|T`6F=qq z^2w~n3+=RYirowy7#oh%aZ_tT{L`9DUwH6Yu7^LC=GX9=qYmq=E-Z$>F1}~tD=lj? z3WrPO`#t*-4LddC`H2DJ?IT)Z+fLosHc?Koc-d)hkW*&yzbT#+KA-xq*I*Me9e*+P zubvbxx@a;!Pm|>2jqs=%Gkn1u#vXkO+YP>LQZITN_#ile?`Lye?jGfPe;aFIGh)aJ z)2Dp>X6*3Ve?t7pCmRLDlZ}h@$zt0|6vQUemg^RXe=zk*+z0;mGgF%XtmEUfVNQNb zY*jwHy?p+a4?gV`-Ne{hZrGZcW8_m0ey`%!DyK=<@qjNe$|re1PUGZchM+NO0(?W( ze3)Mbs_FX<$_NB$7y49jFQ0y=jWS9C*vJ{1wPsMtvKCM_b%X~WR&{V{%xSC5EP{48 z%~DR(p=|oqJg%-#+9ThnHqjnwLn<$D(rPPoX$@cN#7Jq+&s2L-&u{`wyBSQaG~28N zGTw)|FEC(k%~&>TQw6t;%x@zxo540Ft#dp4ZpuNiU8CxggN7tg;Q;r5KP7AgJ{)|Y1z81U|Ol&J3BKE$> zVB!dl;(xk1|GaR)+j$PUmhIcei8-uwZ)}2Icz<)1>W>;zvvy#(pnV{HI8D6Qko0>J zyBy}a@B7kU`3{`;I6Nk%8$Z&AhC>&DbDzsFE?!Al=)faZ!-qJ*ZS>99x#nQsxQ8|t z>-gC#{_lJr*a$slLQ4hLgV!fI?Q8JyUsJ$(*OTzIe!j%k33+yWnP1s;N_KEE$`!;( z)?oXDrxnDg3%PP}jc$xC))S*?2fAzG^WexBa8!3sfJqcyPi)l$XqR=+N6UTTjXqf? zJDn(8F(k5XCGTFZCB^^#aWey-c6cQ37p@#=4=mSHzEdahEjg*|y_}|)DE#%1#Fhy` zIa!dCW`SQn@q?GKI~yLcf_(jHiLY?)K@;nCN;^8BU1$?nh>yA#zv#4K{}5V+ZtbT6 zdE@her)kC$l@rn3Sg%{#ie0L1h*4OQK}|Dx>HA&Kcb=uZj$ZoJzziS-3=dDH~@=QD^;_T~&b_}$99l(zIO zV_0jRu{BdmfU|axHLE}!r|sbj6qt?QRs;SOK7D*U{_AG@_mb_jc`zsUc=#;%AKt(? z;%l#HpiF2$_(pV|;J?r|W2rNiy-s5UUMfz~c+Rh1)TPK27Cp7!I3K@sfoV2H}Z5XeT@^))Nlay77AIo93}_(PrjM z2RO-xlW|MTM#}`2%bTD{U|G@xFYe~Q;L)*k*;1~+R{AFJ6u9yH!!Eo6rzCy}KESw^ z8gkOD;2pd$BMo2qCJk5YAHut@OpL72bo0*fex~Muh<);Op#Ue_msr% zR87?4#0-Cakv7$kGKB|J9g%zf6^pbykymnZQC#7<;Jky zm;leZ0^39h?O~0fvPXRzsc!@2!!N>{N!v(2BK?H=N>zP3jDlY?FU|lbDQ_d?ZKk|R z%6ondcJ2zqCQ>RIzw6?%|?~bmKYXfXCwmCV;3KnD4UhxRG@ z?!xgN@T1)>uPML}JezUNRDS(!O25IsAKk6h@q>%Pw}@vChn`ckhMzyaZ`F)D^Lk{a zqKz&(h~KQ}kToHp59sy{$$KWDDUX&StRqh7zTSBw*Vig|3LJaVkkF6N`bzjAwBfAK zUuzy$afPuJd>7eK(%z9Mn2bGsLd-d_qch@L!>O@5XfJVc1L5COPAGjw^(|UAHNkf> z|FwhApr8JY%Nv*G7kzO|a4HR}q(2^Y%c!_f$(dj)KFl@4jIHqEO3ExLi8_Zl4b)ez z-V1MB3!KWO{Lz28eB_rm7J_eH`IDq?%z^MfIucF2*LEUNsbA%Cr(*_-6+*MQe-3)eVw(Wb6Jx=pTM{`j@mTF{(^+j^=Y>9ePPgkwj!*fpx9<~V%s&E*_Gj7y zf&&vHcWDM~R(__67j1{f?o@3PJ|l3HZ<*sNUK3_5mGRZ-zhA~kd^hd9V1_ZWgxJHa z(Zr})$}8tSa2!RSH}BFc#v@S~BZd#SZa$)=jyC)$v88yJlnX8Y2HO4;eb((I5+e}x z+jHv!PJJzXZwpvujp)`_32nDXUFzNCyZlz!cE5Z7z_IX;jvy0AYV4@D3(o5g%XnMU zM@40vBWr6pp{|m#-6>;$-$HbdeRn-4ZPTaaWu{fO)O5>S|31%db)OaL*7ACu?ed-- zXtILwtF6F6>^{Srdg2Ytod!H`&*!Vm#YkB>oy&2$Vuek&5?V2}uZI(Dxb$X-|mbir`a_SYMaHemXMYQH*1^odu}83Otx1eVatFG?d219eRlKPnrg(|KU6n-{hLk1c zOm@qWlTn-1>Cw^_<{8+LtXq{`MDaJibNA(%F;Z~lW5Hdgp&cH;oL6u;bP+n`WqQ(V ziH0j$wFK{tFQ-1Uy;J6z;nkb~NLy(yr=&I^Kj&%T$EB_#oyH9G5FhJ6z&E_UsUtO{ zv?Kg9y8S2ME_EwBHKZLYkX6hR?4j*yPY~Mwf#~?ytcjcV$}ipViRBCIofTW~*}j^&o6p!!vk9*5y6{B?tg83nq$C(mu4iB1Wg zxqSFT$4?BmUwAU@y_K=JHO2|^FZmqvS>QDX-pm}o-o-cO$-%^Q#=^wzu_h2qt*KS` z0c?DXtuIl4Z8^Okiz;*Y?^9X+la~J=_L&18tNo0oKdU9C&&i1RH$*M!aHNj=M*@5N za{Sm_1+S(2Vf9+-;i}UH^-2A5PoAX$^&P3ByH3jY@LtN!(^7G%L)pRFsULZc-huu| zyELhjd)<0+TBk#o6P;OjwgbEr?(XojZr`Hpg0h#66DZLge+xFpb@&1>7i)0apzz`; zY`sIll|Y~~^()p8PN3h3-{Sst%&FwN0>!&rcr|s(eig|kWZ~z~e;Sb61FJfml9En) zrBCrxcMR57rJnMoVX6buPn?@0*E%Datkb;PI>7P6J0uo6Fp;*jXvPfcF86E6_ur?z z@DTV&cy$v#xwLlx?Ii{wHDwfQ7aBTQ9&4!UqT)wl?>L+#_FL75`a-|+WTx)?E|WMg z>C0w4`99Cwd+;hvGwR{9@L$;vQT8Wv^2-z-46kqO5W8ZkVmm%|E3kuP1`@Q%%ET`j zoKS0H?~m6RpN*-3nH|nL>S4~#I+@S>eCigxNTp=emr$WWOr}nnCIe`hH7aUK_0Dc~C6a0a;&?PTY z^khG6W*al+fg`{$2j-^2)9^F!j;Gq-9l}q1x}Civ zU^L9tQrX1qEHhhFe@lUFsf#BT`1KcYWzCTe`4;)F$+y_V&N60M%vIpI1Htv-YwR0W zP&e<;KH1Me=sR_bsrKa%xPwdQ&Kuj!7U`2Z2{*H)%`NXlC;WSP%7>oOFhTJNWY4FT zBe>@vqb=}4V4&n=eYqA{Pzz3!BWD_w4avn97dKSdzPQ+~+55?}(S3rw!eUP>6(=rp zFCTJ|`Lp;tI9Vbi4jcv-GqE{A3tIoX%A_=g0iAA54Ow=*d0zNSr*=WSPMUm zJgYnmoovv?tNP06BkLFC-2Xun^M}pivwuNiO9^n8fJ|=*T5AVkN8bT$29U|^ntj8z z3@i8od)&MXU!gDl5@b3&&j;=_4n{t?IFwr3+9BUaIl{k{jW4f_>$!TQy@54F(`T17 zuohRqS{1ldvO|qQLcZBYxuUxei^lp4?Q7C3=rX(-y=ECY%CNO(Y3F%~851;O=mrSv zgr6z+?Jmp=f3DyY=}>e^(J>h_rEl2NGIM}kyM5cKpko#=MgnJGEIP~6<*cI~I0Kw@ z=DEOls$rksI&3`i zeS4X4fmn1U^+izY7VT-(YIntME8T8u6DJq0CVCLi+9n7Rbz6%&)wJ7N(n3P5E#N|e zXiee);;klF$Vdpu`~5v(A6FE0gcq};Suh2uaNf=E(!5nob&pmhE2?yKS_+lk=2gDC9&*_Pev(t1 zf}z_|bkhAo`kSGN;?t;m%ifrt6>9(o+Bqk|7ZZI3ULj}d)|yA$tMj|nI)W#Y>)qQX zXTx{>GNyZVdOxr{X6#7f)s!hCIMpZq$-GzPx#WCDk~h)kSY|}wFJtG9#oq`rRU>yk z?||_9&~|X#)XN0tc`xkAHJ&{68vR&HIkO zbM*MX2d{|9c(DtS%ds0~iH_;nC;8}g*e+i07<`$d;u^ezoxPPBZ+gY_uGnTAC}0op zib_8{NlrzajL#bIi>+b*_K0P^fzC&*#W0?B588__O?NUEQy;zn9&>8Q$$Cn+)`QO` z`6Sik6`_L&e;(FPrnP0bn>IsVYpE0AO!3{vmh;SYLEh z4Y@O@M(pjkCn&M(GuY z4Pk=kjDcTJ*;kw`^T7|rpQGyrxbvh%-jRExVjd5lgh$PS z-h_`5ukq6#%=|L;2{IHt!$`_Du}eY^VAF4D7*zHX&xnnd`X2q==RVRfXjRYBMHd-{ zGdwreK1vryZwN7`vIVLGXFba;^xq9Vk^ElQ6P&kce14XmS|7MzhWl^Rt!Wuzi;(w7 zeqH`W>FE9@I71%a=lFfM$#*8-nbwWeE5ifC2P?gMrQf1GsXrI`-AS$E?AVPL>+w}L zViS~HBCzb~UGlP>9hlenW$2=E;cn#@>s_)3zx?I$Y-%0x{^h#!2xA|nzI|i=T<^J> zc5>>7dP1HALX~gGDc(w`8CSOlCiO&U%x#dCdCJ0`n z3+!WuXCY6PtDe)!*3C%xWliYgtYz<$vQ{Th?qlsw#+G(z#tmD2_M?73ad7ZHN^MPh ze(LPq3={oT-phH*SiaR4_oJtyE=pXE&mM7ufwwL5v)Gy7EB21%FF+ojz|ML@a&Aso z;ITt)ieonWoS^QvCz(F`zP{;W$5(4Ps>aWFG7?)}?z7h8@BZE!zR)A5d{#B|ZIXLA zEF%(w*4p3ssf%UKD0zH3dF!|Mjq79$Z(w5wvW#}VH#4;OTjUIm(VMG>LXW2V+-VP= zv8q2y?~QVLeq7`iyClU6LUpa!;3o$gTd;R4mU?~(Rlmx!)y#pci&q^`^axHJH&}0M zc{4Eeg9qi38xn~Zi=P#HbuzuJdRMf-V_mCyfgWq;94g>LDL8F8A~Lnh!=Ic=YVh9l z@TX>{n-*vTh6k-+)UVE~v+k6GA4vFsWi|(lLqhYc8~XKll&RD2PP2qZVG~>Tki#;W4v52jNjqY(Ab64!+k!J-an^bP0sfuH9rSp%P$_bE!`<5wQ6-QIwpFLS}(ny zJRP8I%x9j=J~&KQl|mPLAEZtends4rd;jvJPr^SI?;q_RRD5AJxz)&{q%C|B8qY}D z!sM@BL~bp4w}lhQIVHz-&gaOVzJ>qqCik|SeB1}9k=+WPc*Yc)*z@Nnc|rv|VG6n> zb#36q$71ax`?IsnwBkG91%bs$UQknwPLXTC3k;r1@q=)Gi5~CA7ci|q%{!;Po9whe zuDxZk?!_|pJ_K(-ZyE(BU;>}dfOa#W-3(}#T;S$(Xg3|&O^0^Vq1`lSHx1fNgXgEk zKHO|tx}K@{C-zQHXWkKVLi)f{@CF)MbMYv=5&FvOx2wE0LEAddWB->!oANI9|L3tl)|9Sb;*Hbio*#I}GXL7S z)g5<#usGqt0vt|b&F~o3)1i2vH_of^YIq=Y;cUid18+Nv2Z9UY+ZfLSMc`6hNUDh{e?0$el?~?ks`D8@$b&Mk5v7rJwr!Ue|M|{?rBj^fAeRldO zcw4#A(^>bNannV<;tb?2Fmk44>G8E|qgLit!^-GKcjyW_>9+)+al=^NWvIH&%|dJ7 z#OwW9yuI3RzK0$8GBg#82IMR(_yyzs$`ZJn<$>5iQya}=?AqOvMBi|b>pYK-oIZEx z`9jm8SKzCUgiSN*EEj#_n9^Z|&fOWeo(biC2N;CYft^zg&r|&HSRl9SN-AKzbY)V<(-{keCX z>eEBc7T|g)jr|Ioq6Ybj!4C$f%g?+o?71de!G4|8t>nG#e*3vWr~0j-kuyxrWj5pM z;N0X)ln&S$A$KRL_$xY~@K^6lis7+!?il)4d@`@e01n7A1Kmq2uEHj}VAt7rFM8BD z@jmYtR?;5|@AFyc&yI<$W_IbrI1J4g%Z}p52zqDwycujv>U*I{x)!GQrJdCmbj+h# z$ijbyk1p2c`H?)^xgA-3a!_a~G#UI?XBHLN{<7$)@Gr?j@cj4K4EWFR`$RS5n$S1( zzjx(1B0VpF-@KPLGG@ts_5N z3tv``?`k_|KVNfwiOG%`A@}1rFgCvsTYiyg6h)^fSxL>i@(G`he^v3^yfS2Z1lmDH z9-jg|m`Z;MM$K58cP7I)u%#6|>PidE1_x5O^eW>_nWAGy5`UWl&S6VVZRVNdTJfF8 z%?VfSw$}fHvX$T)=@vSgHK7?l7Cxh-J{78y^TyA+rD4cU3rzV9cO)r$?U1{#4<`?A|$1i%GJ_R2gG_YYJJiwIxcaHSG7d+@)@^keZ zu{QTb^i{t;!O5gwfaurk8J~Chx%MkIUTWR%w`OPk2A{Rz50e`E$(`7+VWRXJqkp&V ztiL+R-(=l+6$;lC?NIBztk>Vu*>RtT|C}jypQ0=3XY3|Z_}@+|Se`z-2R?`1eynuO z_I~6F_Q&C=5%y@ zl&AM}?tR1Jtc3o~hRG^?_QJE9O=JmmygB$VnoTc;QZOQG&i^_*fb&*oz_Zh+t?I2Q zH?C`*MqTA}Co7t6`ManAyCu^JfFq2@yvMP#rdh(rtLT03esQ^qD*xXcm#eL!_fs@e z)_(RLHxGrT=+q>$4(jy&$~pr71M5Hr{ePNAa2dE-)B}mHP}v1l_zRWX5`SUJF2G-? z?1Jhuqt6i9J1XZV@oH?kBjo=}zZJ%tucd4ma;L!o(--m`m);A+jE*txW}c~sF5z)Y zk%@l(#+PdQj$R|R`Igz~+veLkDP(j_a(`paaLl_W3IDL9PX+w}R6m=nbn$c0w}UgA z5XEl;?o7BPQ(z~0(eN4JD^>n*^dm2Zdk;DpcK<`@2nPdR4EF%CdQ=S8`9_guNes6v z;KgurcZ?as&AkUZQ2GLZ=VN%H*nsF%NnC;FjNr;y>)7!>u#O!HSN?y@qkKqGGX}00 z__qXCMCbHyrGYbT)SQE&canQ^l6$CTG@P-5z)10F;UOKrLBE?8HXp{8oy-}TMo4R= zZ~Mk0)6#!8SoS|jf!)N|-sgYucfbM6V&Xy&SZP}_2l`}lS& za#Zng!FS-R`L*bxN=D*ee87{FGrOiJIXQ!UD>=FMXV`BulYg(rca$G1MBgomPI9Uz z69Zrl{EOrbV|R2CPi>!Wv`*zS@M@Yl=mbms_OX8Ol>C>~%XOobwN;aIH;ouwnaEju zlR=Gr?ej?=INQ&@rnIW}(VvE_3hJGZEApL%y&BSv@cd+N{3*n0phL&ZXFcSSRP`y^ zbE+8Uz-DNky`rMpQxd+oxio6O*vC8?clwlR_AAGL?~$QxIm96SC$K4&M%^mpJUWHw z61FcvJzY6*cJz-b#xhsx_Pg!yM)W++N&MPYRS9yAb(!q-y_Uhy%>VRDUPn)EbUe1m0sM#>n~R8cVBBKMxgQpi6Ll)o11u7;Nk>xHFsCvJHY#ROk#mE;XUvz zd*9}_MVGp(x8^N@KmM-QQfIrZ2D^&$<(=0I?4QOWcx-iIrQ}bccfJOkjBUQINy6iV zzX>haH}%eudFjVE$uY|(3EYL>F~&2(-%`Bh{d5xYeVL;>Eo4!1U9IMm+BOY+c1X!| zbQ{&LE4Cp^*#HGma&JFph>j$^WklvXB01N%RopKIf%$Ci;s& z|9;kZ4&A7MoKozT>$(ErKJqQ7Q49yLBTDN(0dA$s-F?Y@_|KNF#Y6VeChQI3?(kLn zl~(XEIOHr_#Q*rrr;=A!{Wg1qpI{Ssai#7-#!XXq0&ZGF9HcFR-l>JF{-AhMY*{cA zNA`qr6DeCYYBvRlKcRy#j_~c2zA3t8r*!J{lzQ9SA!i={f9}`jr6$6 zJ@HO#`7OkSyV9%*#+*|wemt#M;JzN1nMDcwHtI7u8(@bn4ZMTpS!ctb6`hy!U~Zv9 zV|i+?>@#bw(uJ)J8+^snc}D4!nr_`Ro%r7`FIKuG`k+-wukC$x#ImrV$q9A^+_inLkB77CZ^LfAC>z?q&z>Oe*v-1( zx%=vVO6~G7?{9oq(*sAGKr_9T<-3k%Cs3Z7{I1zp)|_ozw>39*eOI=%h`TyLaa z>Ia&$v{W{hAmg?+QBP`yl;y1?J>4 z$TOk5)uBYY8@6=Q_98vaPxCz7Vtq|Ugw>y{JrEgF@5G>cwf{b)8&nsav5JvH$osJQHZqX2Uxh5nGRsnW12wn{$KZ;G5PQ84_MH8I$Zx7*@$#xQ}s24J!XR#N~Z4+_6nW6_$>vux_?%7xK z7x$+7aq?b-cPQU8c%pn^1G?(J9jd2e|d8jxhljK zZppM?9{~SF)<-kX#@nJHd^g#^6@Oys8F%A}cO9jxiI1e_S0WSc>RnO;-V6QY#HbM% z?c=kz?14w$k}LUN#`5ND;F61O5Ii?Nbv$9;I%NXmD7**n_9=Wfy0Q&5u7~e}*WqAL z51YsE9VBsnmBb^y46ciOUa{Y*hQ1yQ24hpZa_v8we&R!7*ZRC%P0oC(N$f>>??Nm1 zLEY7TfBe8US$42r@o*`H4{08(XkFPjs9$!g4xaH069$Uo50v!ld6@gL!f$4^E6BeXtqKWDk(*Wdy;1MZgvcgyo$ZJ^`= zCUcLRM3JfsL@y|a9!ER?-DX^F8e^zD803h^c2BqMUGeAGta5ZKY)kah z9xamT)$j%U#U&bih}x^CkKo@Umj=6m8n`r7vzEV|oJ4-+93fsD^vAo4R1VJg+PKu5 zR>I83r$;?(>yXpUy3I?ehfU^gT#)GM_&o%1H&@%WcA<1%~RyPm!RKO_;NfCjI-4tP+*MAR)YcUC;e&2fHKg7dX!zxu zSnDaqS9GrY|Ip)KtqmrADYTc&@z?U<@8~eS671(y5P~U zaKGL7j?}Ql<}A>x)6Wmtw|@`VelKESN7z>U;BCJggm~= z>!RU)cuF8OZxj7>7%SuCC&GbmMB^C?sV^pfq2OP2=S_M-2E4gLk9}UZeFc{Z&e`wY zdYK{kXQxp?5{UMOV0>= zX4v;MP7BpPGXr{>W_3?i@5lWcnHyhCcZ%*#NX_aZb>`$Aq-d4%+U+cV2t0<)zLuEG zZ{5BlG1IAP4LFsJ0cX?Yn$TIS0iT=D*=q7QpliW5`<|nGUX5)-tZ+vwvBJ-SU*LWL z>)u{PPFOYkX$86hW8RZM2d75pj>HV79e!1n2wIihL7`=y`K^^bgS^;JgM*dQ!!=iA zBs_1w;wMLCPd^#PUA4D455pT}f5_Gyll9PcejYmk%zzzuAU$%~Z*g+JFIsJva_;aP z^ysj;9XetUJeR74gTG(uJ)5_03=EU=Sio^)o+H$_!Q<7PiQt@8Hs5=9#S0EO#`skX zaK;eWG2h#EcEQ9Ho;&@|BR?OB*vB3L=DnJGW#Wj!TP;G)k?2Tydn{mY3G5YY>Yj-e z#lVNYA-ndr>CP&6pAj39d|pLQt<Ft!1Lh*yaqi%K9j$#>}$l& z0so_ScyHd%sprM!>v+L{pE(C-L36^#8o|E?#(INi>fCtc=k<7CXT*7Ep>7>ssE^>f z78P7~r=Q#>wNN~}U!CpEi8N>VZuXDtkhAsn{|euo2M+43E^DOOcGYiLcbq3(Om`t?KcwU5AGz7fC zv%~1cf7xUAA>&l?5MNq zGI9w|=3tv)V{)$9L3D9yA2ehux}3%L+=0nQ?E!_G#ei>6&cG#+8T6k3>c?RZt4tGdefrXm;&wm+kH=2@* zn2>qo2fCH|k>NU0bPwp{BK$4^>{$5j{n$Sb6>#1S)O6y{42q5!K>mQkv-w?!UW4w7 zet34SdrMf(}TkoP~RWCff!~XyqO%$0J>Xg*)?u< zfc_E>!JB3Y9-UIL*@lJQdZ!k#9&}oKjG=n;;`&Cl7xpnIIwk!R^kPFNwp-2`FG8O} zrxJaWdSb~#6n#m2xYlZDBn=(b_zS)?srS5+zM<4w_T8q%t6HdUGWB?Mt1n(vrCF~A z$=AD;&s)hQ=5OW?&3k>&IuO*|-)tGMebna_p`+|O;diQ$5t~^fdPnFnWDz#H@V?P{ zEO|y=tzf{5tFU&Z(~7>L_*~_A#2Z%}liXVJ75zpbI#A$uz-PCT)dH`c(%QR)r^Fh5 z=eI_~BwuuyBIMoz^kMvK=U5ALk&vEb#rWcp6V!zwqXVUxjQ>_osWxB1GF7*ef}y}~ zHTseCY!P_U+aP@9dp>oCwt^{el{x`Gcyg$nd?v|7VBF19k@w*F3nq97|LvvcRe*hE zNbL_g{%rL9IS;9E?Y5G1Cu1Wp%fLrXE?$eD{noQ@cz;#!4DWUcJmr1&!3uolYq=kF zE%Dq>81XfqjmFb2)nkjszfA=YucN%dxLy56QEz{M*@2t?-b3 z*$2N*oDH+iEDvT)(~Hfaq427s?1M3QUUJ%`W|F`4VrqZF@O}AAWTw<;@LBK3XAAkP zL_TX8`D_-S6{#MK*pa+<9`QS`#-1@fe1R4%=5zY+kyHeIPM%3H9FjzX7j&VUpsi)hrdw$&)V8c_`f*$KkI5Q;{U0d z{iwX3T-zqa-_*KfKH)F&oHuuVibfT$QF$_~NB9kA+DNZ9u`|JgO2tbog%?NVEL0uY z*>Kk;dvK2g6s{(5RC=hyF2*-0wk7zg@Dx0j9s*)B+gE>Fx2nMqWxa#irbkWHx zxLbUI7OuEhkE0{SE6MwyZ!fer%`)H<=feZjEZs2;&HkpPi$70#W4Uin9kSBMF`RL+ z=G>33`k{-paP}TOUimA{{`MaHNK17q2VHIO@Xv6@!Q3IuDCp24~(OLA9q8rCx-S-jGpvKK;@M*Ch^MCB` zr8XA+xd}PUS`vFT*{iIb_%uA0ICWK_ZnX#XcwdX`jeW2#=qG+_JNx*rLF*-1^M54Q zjGYeL&@KKPu6Zb8<@|ck`tIH#D`yFx)xB{xzU(_s;Y+0Siwa+aw~1Ux;fUZ1IHdG7 z@I=W7a0a_C%UW6x5k3kmg0byuxR-)+T18A%KC4AOE}a~)GyHzzaX-&+e~-vczIQ9o z-z4@2?^3!+Z{0yVEj>8Qvw81ad|P}N?lgb@Xg>9R^1_Rw!tW}Duh#9AS}6L-D4&SP zc^L~B^@(nx`dymU$31zFpf>|}1>fhr^&)408~0r27A42p9oqh+U-ly7F~)HPH{fMF z1&uXSD)>1!EQ^HKes*l%4(WM=e6Z4|DLRw9|JdUT$#(&shl*4!jwcU_hQvQj9&!RY z%eB%L>h{w4hO_1d>K>sZ^D^j-zQoX3=xLL@=I%jf&F==oX&=*#2EUGc)2)9wp<7dm zv~X1`F>TT%Df=k?o)qwrI4E?CHl^7KH<0dqE z2RLYMia6DcgUY`n@1(uQ{tpRng;yY}8x}wh&->+U#+=>kg-WJ`>hb^7Z&tYyF<_NC zH{w#maz(9)v4sbS4&nB-_Mi3HJFNrAn^R}waqWjZJl=;bD(4V@m!SIv5)tm^^vl^Y zu1@U)bp9T6Bzk5U_q@OEqi4jBu>)Pu#CL^G=vK81#-D59-F*>D?pa5^2+x)u8P_NZ z>YVwAZirqe{91UYoN0JXcf{V`?WyhScs5Iq-`}Cfeb;MNjiFhw z9AqrAW%YaHG2mmFh3*F3$M2b{$GK-To;Xi_ME5M0^vyiQtW*@l^J~T@USG%kYJUMos(r2tKv$S|kjvkL0 zx;@wtkhNN?;dPa)PuA7|oJ6OrTu6@RR9)^1ca{ZJzA&{)s<&DA9@cWK1w1$;HM~*x z*gJ0x>b1CHLyxzVRU&QAPH;GdF{q1n5I4-38x%Sx5+i*D`s;S2bi zz@sQl*9)>=FdT?QsJO|z0BhMiR4>m^NTfl(&?qDZ6FXxOd!2Nau zjiy!>Z$~C1-&gzyz8xzFDE?#lk<%LsH05uAmm5#+!oTP7c%?tCftSIT*!xk=m0XO5 zi1^VQa-poXyN2w24b%=?H)O9|5I`RoLMQRZgAI{zdpmZ@lUn#IZ(t8s3>BNbp9$ag z205Y)_+WPVj2#Q$C2iDm3EoHGCE(2DKrr`)8^iwWoyfo)5#aWj@bZ_G zZ%K`H4|*``iL(yYr-#pbk~?#tYkKd=-`+e&6>NoP02kGxQ0Y9PV@YjaK7QoUzGZq8 ziazA&?o!uVQ-?mD>rnSv47{x%`7xW8D?2cJ&nC_3PkdK+VEEQ!&|@=lE(2JVD;*2| z-EI(f5WN?i$}$Seh4&)UcSPb-+PPl^9<#gw-R=PIc4_fTJK-VNM04Slv&Cob z{)PAltw5;}s=qG2S+FYRgO~x!!cdEO8A!pCpcR3rElE1Q@`5qQIcZ#0LYOTQcDqVFmkRO_re5GzVYhNY9g*UXv{5y_FUrY_gu3z4|! zXZXGCFZBAb3G{G9HF$^osYI8kLbeC6kyqw^Okgt}F2nHPy?EtOXo-4R;o(W%9l}lr zAAre9RfA)lenhM=un-RQa&zE>h>me>tIwHQ1gy!IdAH9mx`2|0s*WycBTX$--@B#92Jye>?)t!h=u2Wlg_l34 z#m_@`@I9w`*;w!>;b#iYz_!t^l_@xjtlUL^73eIKAH=Wl6RkMd`(5#8%YN)vTm13Y zchKuN%^&XDjqKoT@kiLXL0@b~^M%Ha@(Y2#DbLDx^1hQsUP;@cXrq~1CORE?6HVc7 zBIoHj(`a^nCVrdfD+k8-WUK&i8+A@4KlTH3PcV!eCTAB2-v+ie(zyAxocz+tWk?%k&V%NZn zxeMVqJb;|`xjMCB6UpyyhL`g*?-oAiXXbt#zwoD24p|v?T7I+CUu7tGXEQGINiR7k zyL{}NGG77fNY1JH4vQ@%boznkExYWT^Cop7p=lMD1$I4=+HcztY}g`yKwu;Ove4`k zet{2bR5q-{Rg*m~l@D0K(CfiD{7ed$#`IB5+9oMK7BR?NnOpdZ%rCXss;+j1=^H)% za10aq<5XdTkYA?s(TjCzpRnuDPg$Gqt_b-a!F;|)FNo~>w8SYTc8M%G&G*Ch`l!81 zoQ+!LljJ?HC*m$@&)wbZdAo{-{@?Cf#=a|}O^e_5Eb%}53=f)VqCYiOefPW6G9}`x z;05SRQZp@bR{XE_4_bo~A0*}me>;Y5zEJ5}R&bFv{&}~|9E!EVLt2Twx6IN)8njQp z?`_&KRgWFg+pzV5ijKGG-fsuGGk8bxR)jaHT*LEC#ZP2jWCeFGUgpvAqozN#R;j-+ z3gH#-srV}N0=4c|wQjefaWJ+BJ$zC7P^_eze3^!b^nPSC ziHyVi_Y@PiF$d*&E0FMd5eM3nzgyMsc=zK9c8a%bF^LD`cRtY?EH>~LuP!UY&)LIW zkZD%-eBz<-lj>VFYY%l|2~&?J=u`9q6Fos-+k&21qG0KM^^XG{U&hzthcC69#NW|3 zw5_InP0fNb5D_vcs<@4?&1-;^5OZiAlW z)W>jNB0qEanWtx4tBCa%ZuQa67e9FxeSFc0bDNeqS7Z0EL`A&W${ykIf(sP2PK6-@Ajg{ad!0+fj zVh+arUy9oPFI^NXBzK3qy5v4od?5-x-o5qQ?O4D%f-A8dxL@~$zS#ykOsvF@ey~~T zO^iQXda$ee)Wx4kPj&~LUSc3tdVRpbSLtNd`<(UIL-KvKf6)HYDZfF^ud?~8yRqv; z_hzrWM_%$7xyRDkd@;CCtnBjm8f=tN_nylb?#fdGa@V3=;h!7|J}_D4SADG2o!-rp zfj4WRR-gcQaOVne;qJycJP$0^&e5!YT`cz`W!qEI>8*G})V*4>V~g(2;{I-IQu4im z-1qT8?(Vy~?P_~%X7Zi#5BMHh zcK(BN4|hI15}XTMvhh9P|GHiG`hTbHZR}F#U^gARQO?5p)?#=Bb?Oz|SG}nAM*F}G zy0eB}f1FR)EXF48;tn+PTFK!P93hVdn-m@^`JMH|Yq_^f?lO#lNAwnXRl!2+vfR>3 z1z*8Wzx1KGE5GbB@X;CY&Kbrm&Ym1=?7A87><<}p%0Gk;;X~9Ue~6mo>Bijh>9M)d z>C_}orzZJA_;jA4Etzv)9rx%g^ys4SxE~$#S>a`}9*23sGe0?eKciSryH zDS`{=i?7IUxi1qt>}A1QcgmLz3Le7m4pBQhau;xElh4f!oRIw?D-JrV)`Gi&C$hIP za?O!10iFAuBv)k>ys3I9_Bb@S1Kv_yjgEa>>)F}#ZQ!Qv?0|-$4f6Qr$nWw@`uOv^ znY!}hy`cxcPSX$^@5K{;5JeIb_53M z|9#w>##-gGKrZnwYC*W0!s$DaBRYkBek1e=U+L@Pe{8Z0xj$p4&ps^t7~O=kbEvz( zKNH!ezN@7-S|2cvM|2p~@amUQj!FX${&%NSR zYJ^S0B95^w2me7ey&R92I%oTFhx8OXM05_ zh#dz0v436o4KN}fD65@yb+aywyTh8fQ)B=;v)q@uL&NG%{2IE+l{ zw(<9{yG@%H%Nkk#e|rzR`@-fc8T)U$hka$~1x8^rHlIG`9(Fg2o~D289`*yRz@-cv zAih~uK%N*n1N_qf=GI@ii``w;zGE!hazBC31zwVZTgP^A8=Nf9ws&n3*iRB$-nqYJ z8h$Wpepk|W$h()_k$ZfXJq+HO&`dh8W~H}re=B39?gwQoQM0bf0*CqE!KKtCO*pu`J%lnH5|Fr`DPI+GbkICJg)gD~z!|AWa)8|L6JLHUN zUiF?A-m~{lvM>4H-eSIjuTk+P^3YQ{KpM}#te!Wio7(tw?u{>9;pvBr56;-{96lu9 zJDWcY&Nq5GX%cA5FD(ff=Q(f9ab?$}fN z?c&}yQ?pylZwdZOUk|(Dj8EN%yi%3bbxugQ28aCFyF-vN%;>$`*UzP0%k&huY2>xPd5N7D#@1zGvZ zC$()krw0|@1X*9^X>zLQE!lS=wj}X(WT{=%j!k+DnWA5~E$8LI`1;m}%xPuHohf-Q z%Ut$GWJqrARGClaceiv4-EjZ5exbAK6mabG>I`rAEO32655FOEU(MWa4a&aNypJ+3 zbBx@Djs6uZ4Z4RnJsK<2bDV&lfzE{PwLgQgb3{Kup8KIi{x2W7BYLj<-;CaazEH@| zy!{zHE6Y9!@9@J*{P4Js32#%pvr6vRKIF+xuPx>i zd225%G^K{m$@J!v9t6E?Y7^O6R*5He!fzA8ckC5fQ%vY z%J@oFES`5-#*0^TzGA}5OS!)w3xIg`Fy z_;-`A+Av|rKJ@c*to@r~*M8GWxSNk*ZtGsv8t_Ed~Jjj$b`c2qwv?3vmX$9eWgDt?_jA1AwYntfA{ zo>JxL-VgXKKl0EIAC@!oA=|Ui88>+HUEM)_Kn$BE5q&a!XXd9Q)~EOe%Fu6}dullHP&Wgm}CR}p`!I1;fJ)WTPDs#1Kyes$Bm?jn-9=aY$bI#o0m!rBmOmXlqCo3 z(wzq_smEB*h|Daz(}IskyiIa{gr3|lG*Z(y8J(VWaZkA9@JhTZROpRKA7{3iep zF%z8pV{9%wqyWE3I(`M_K(3sI$BX`Gx!pl@g?G)!w+#+XI;X0l6VgAOzoMq_Q_`{ID2rbd1>@#tO4Du z*-*Nc*n*vTEwNT`U;VveH~L?MJ?wHSf|?tt-GxkQQMw@d0poX^rPrcsqBqSQtv89z z7@EO`>xaQAXjHebK#4q%PVGNKFEuReM^bUIEp zZyDyjN-ufkPh;t6{=Q}8BX5y?rew0{0-}G!=0@>jMs-iVe3X0CKWD@)F2~<{ixJPH zUu8kFVazG_$L2Kq9Y69$Y)bCxwpVAR(F2{kov8C%KLCFfzE%QXyFSU+u1v0}OX&;r zfS)Y+s^O}iN3Hcicw!r}i{H!2(3#}jYQ+;3Pkn6l@P6#31DDEs%0IbiV8~k52Ty!K z3$H&Gv9B)E_3k=wPinp19oZ}T#_*L2Gk+|-tT-?hCv!gu z&d_J0JX?5xlNOyQFcBUI?$G-rieECd#?p_Yx0H^;-#3+ROwGar>IK#exrN44DTXNlMTZTa4-9M83$Y9BPlj+x!Ot)QtaB`EwSNJ8`%_DtvPMxU9kNWD)24)^*CizDwowRDDLvpUa1b6bOSD8KrcYX&f zd+Tb{chslKcS>i0wuj|+C;9z}%zWZDQ^vomWO*m|IeTMEU!M_uO2+QYbLHIaJ?8nt zXMNM=Mc!Fg?*MPR1TKP?iawQ%ceXxmFKgQ~7QeNB0>7UY*m!W++X6iNv02T2U{7tt ziHN0q;N<9Z*3`##PlpG1d@B60ox{8;j&9i#$-SF(JgaC89)mvdl=6#oZvF|M|I}M+ z>*K(wZR{GFzQp;A(gSjS>15r^`zxx(=<{hweg4`lEmpeZWbfTQjJLUhw>A65!0kze z-+RY@=D`}hD0N0WH*!XKo#UTJmwk8KdT)?;8g%mel%E|vrF-l%%Km=@I4VAr!aMN$ zKX~>#jNFg<>=V!Z*x3Ld?ag`GP;rcX zL-vA%W*`1D{t50gN}M=@uH=W_u-$Z@Jj3^eoFjfo_Ld-~&KUwLpWHRBK2MmDxH*8}NpHMgJrGVmqHD?d_BtY`PM?x^pyN^JH($pv0%FQL!mUdW{9) zKdJcC2f6RQzGIgWYCdO-N@7Fd^q=eYBd5O@TZC^8-P5h=%aynl`}OWE@60(ca!wsT zQZX}=-bt*>qVJivru>mL{dY05dhTh5*S_DH=r`2o!5Fx2F|Sw867TZPC};mzJkc(b zyDN}mi5T`TYfjQ(jU#YZ{B{%%7ay^+o}ZEPSjTzPj2*M-Bi@*K@5-2hW2te)_J%GW zl=T^cOW;PlyHR(ScKWFs{1$o%`IG8H}&* z797*vD|!c%O}2izHul|)!>QN^xPKyLCpad0;%#%ZZP*NC|~D}0)FNlPir&>K7+231`Vb4+*}qiX6qToyl6&jUU`P`k>-(j>TErecLJd~ zyg##<{tLua^XVZwuZ!4f7qVzvY_+uZE4;7A$OmCOpMl>L*&DFw`M|!#7n0Se;u7W) zlU!6^USDGPbC1*4Hqx89z|I1nD1B`nMIe+qhGwYAiFTN|SXQc*@ z0FIZyD=v;^gvk|?@QN;(+58@ywCiJoMpMjS_7<`-X=PDeK+vBn|#F25j+1}(1YjkfP$&u(-?SO zl-X07yFB*c=AiN6sA+I^#wE=r_F<4YeRiZiBNmDVV>9(2Fb^m=uy=u-nn&hHo!438 z?pvV7IG@};;R6H0tFj~x)~@JEIb>NA^{Q3Zh0x z=#+XD$(axT_BQZvA300NqTak#=qTV+fosJ46`Wg655;yPw{oA8zBRFD6F-m|mgKiChp zaX@OLJ{=-P$veLo#bc!I`e(w6MP3Lm78<{6-wNV6CkaBEBd1aR+feXek#*NOR5@e)Sb{f)*HWpIa`Dl0hFxE-v{m#wQ0LY8W5UeKFw) z^K=zY5je=X$oYienViRF!C`oW#-5uT@)Ydz@g;HAp-jn>xEonWTu5S2RmUQsGV&haQ==f?8VvW5SnytH)RHOBuKFWoo!gYnYV9l}e|MgLvlI{q#G z-Y@bF9$`4a-kewoew5Yi=Nr(GN+H`%|X%U#CG9)D`TSX ziLNKUzI}Or;@n;tlfUx;aB3|7q3?5PsZVfhJbuB~-xQfcEH)}~NAB#qYhT?jRj-;1 zd<3k`A^wR!^5xW8CAUP@I%Z$JH7{A!N|$c{272sA=&Qt&?E^wT+@p)n4_ZYA$exy* zQax$%&w!gLT&{CO7k6$m{Z_Tf9ZLhUjt4?Xor$wzo%zs!;Bm+AVhs!BZk#ir*|*?- z8rT0w>Q++s=W@qHh}vyya}hj+^SxgD(DV(ugfpPNM`|wc-_ZAj{NW!GN8XMueB)fn z-=i**xI3{!dP-7L{|L4eeh2BfDL$RteSY!ByOXY?4vzgHR}E@TLIv>2nfO%;pkcY^ z>0)3$r(BPXx*IU;cnko1*Tto0@@?Iyh--)5ZyZMvYv`-jA#VFN(jSmyxd!w$_VI!(FM^P3TnUWjb<)AITGyTw3yG$w$P7UvsIm4*yGu z_)yJ4OJaFusn5Q@`-(Anv{@BVJFQ}t&?9(BudsEx*Q<0YexL7w8(qwU43U@-J%H?e zeLniA&=VD1gmYGXaeG(1gk762^BMS8k~y~EU6x=YFPPUY9iJA8j8HY4*5^ov(>OP&I<%JS1+pB!K3yO+STs4ZMSmvy5LeD^BRdD$!e zKk9?*^K-~MjcV}?4@KQ8cBaP`K7+mh4AuvzpW$!(grWMkfGaxbW9U!hfre%_`z`U? zMOyE*Oytfwa1nk;PY8N{ z#z)|R+`D42R)IUbIL!|J`o{R$r=s>1rC*7g+{0GCj`9DUGaR)pYIWTE&5Y6G_w=s# zCFiHcCC@~&{^crk3qxR{#WOcY-32Q%4Qf*Cr5knCCzdk~o7L#yobML%tAb;Ki?R-A z^N_5MT%Iq*+M$z#>8Ho)?a==u=zo$i3;ZaEPJ;F)LHiS-{fW^2MB@^DV(gOUTxdTx zHaD7Ud|1zoeYiOXK49G@wLk&%AjxPnvY zTJ%8m&k=kll$|s_^wuSzt}L9`*tKomTj%W_E&gD{yBYg?E_EYo;*j^aA=r*R@UqzM{$|JOL&0r0`~{qzlv>sLvx=ue-$eP2v(f`AM`mLvk&$V`(X((Cb^IAoc^_izh2RS)Ou7L&Whjqecd{JCSlhGZ?qrHn9m)s z_gZJrHT`;y>z|CwjIS7tR3_)^!5+9=1nOW%IPqDKT1(IvDE$74_@q?W3hSrEWONlen52|NnM+@gx zFKZS!8rxa(h7!%y{4+&PyQ}*rSyl9hLH4Mehn+RYxy$PE@Px`pX!bMoqZOHzC%!QC zzUU3Zu~J|~E?`=UKk?jiGEZ?e^ZVJ`ss0YXQt@MPdfhu4J0NA4cshq2~3CSU>yFi|5uXqLk`=D z$K^cbf3GjvBGyHniQLcfiuqVv?ttTO>&~kLZjX8IUC7@ZTZIPXe|L*n56n4NrE}JM z!?B)3ie_r9z9f&A?Rr_;ZywLoNm*zp2O_x3Z7s_!<mV?k^-3L(Qvt2KnHA z?F{x*$0JGH^9|=uvR3rBI|O&Z0l&h)fwbYGPmp>|G~>GQ@AF%Wl3C1;hDmxYK2d%=~uCoT)BSd*X9F+u+e6Gh`lj&#z9a`(!HV?MJSmH!e1#k{8b)tB_+iHeQSW^xm;!FF8!! z#A7nH$Q$AJqh*nMZRx|ZCwt#@|3>{~GOj#sPir%{`8*X$v$qE^-@Ys2>P#m32MYz6Wen+@y*%91+wi|Vm(*Rrr9&?caNcQZZvk*czt!^T)3Q&iM);rD46+W^BR-^bo~uB9p+_6a2LY}<2A-ii zjls1m8fS=(X;ooER?GS1(63*3DQCo7@T?P}XDI!|XJoS;rJvY0t(>6r7IJrt!l>WA zcGWG`gi&}GC{+5F^e1=LWs-OJoj_{;mMO7U_W#(9B(8J;gFg?Tw~oWToPS6EdRNAm zF%;gZ{bTDc{tk46qety+6??mfy`l4urlZovx6#9iee0w2=Dm-Tclfr9#a`c3`y?(d zd*QrK8l!Zs7(59Z2%SsrRmgb*+PHM=SUFo+-|MnIwKk<2qIW91Ueb}$&v>^5S${(0 zn($EIq~tv~c~bGo!~`YJ(d)=#n&5}8d_rV{%Ed{_bCDA~f7+w@(e$&o-7d>H|18`V zxsn+TKwmGi?wO<4Jwi7*53&AN&Z3(nZR5+|!+Ihid_bW>@(jpjanjMVup^8QH+%e; zyymg_1>m0G?|kiYJ3C{(*s{{YAr;pXJJtI>vT8WLAQRuG@a+4u`eTbGP-}SWX=Hnj z8$8`lPCa|8)$Gn<>Y#LD(_6)M6&uzU-jL$$IbDLkPOwtpu=HUie%GROoF74hl27fy zuiM~%`8=if0MnN^XC+s`m8VrcQ-%37@;bt~Jsl(GYD~UW?~=!4jMUjMPnY6l>g<8J zI{TVmCHY7Bu+JP_0huTHPJdlzyoWq&YEnf{Bp;hS;X}*b@7tE$<+JX*L_-HgrxcxI z@8MycBssRb(d;*pI-e62 z^Fu}dN#2;;5B)%+zSu1M?wtLYxNTF;?$|=~*HqlLc&GA3$Qg$x{24qbI}aS}5Pk$c zJ*V^?xg)c%+>akM5GvqjW|xv>?CHm%7l;fR!T(r{Lk3&*aEU&%YV(2ocU(v$>+Su7fjI^^ZY^d=DgR{ zclVq4m6Lk%h7moPcb|hM@>wH3o7B5q>Rk`VmNX~F9<>MWd+_PkPNnFzD>kUu^=rj@ zg+9-w>74Ib62tAS!yb4hDYLM5N69SK@qpT|icQn+WAs|kBZ0?H$A6YwU*qt;cy5Fa zxTAS^3}B(gSkXS3Z}fELy~rLO5x9=v&XOm+J$0aeOcD4sc(`A)L-ccxch+pS*th6C zoN=$r6MKrel`l@@Cbj0At=N8|XOcJ4r|QfOp0g{Co%^cFCQodnA9@jb98H2b2G(7cI@Ecj8Vu-Ob< zcni8SF_c1GbO@!(3ttF{?-ZX-PiMz}Np6&V6S>~Yqv-6WAAC`L<>|Yw`UAxBn@g~- zOR%d;lD!B@Sj&}SJIlP%E2Zb=yk_i?0mo0TRkVo=f>C1A3) ziCzzj{JQ4_e*dpMER2Tc=`xpt4q~Oj^B6ZayA^%{FJ^wpyRfx1pT|S0FZ1rtsJz^& zOry7O)9jx7eY1*hhi=R(FQ@<0<(%uF8c)sxc`4)d^md$zSMC=0d;PWKv-+HR*$;6N zpHaA*Ga>hke&bS)Z{OS+bb|A#ljHl>T71%fF!UHd*mS^>{o~6T)oVg}wuNTOIquZ$ z-d6l?a(426fL^`F2BdeZV^$Jx5q`e{eSqE%^Yg0CH5ShaZc1MvZ>=*QK;{64N*OZ+ z^N!lT1?FmO87q0Vq5O+<>G_)Kb204CTo3P{KL!0ERKG~IXYyfU=pKSI;L~s~4M+6B zN_h6odx`t+@(KP*9&BvZF4g~{yNq+ZI>7z0yTtF4MlDegpRj?y4A}VncGbz``zziE z&8ENWtOek)>|4gndp$JM@9(*}ZhvTs{Lj7EoP(_ATx;R^Kgzn@D)R)m+$VEd>G)`v zm(Si~UbQC1dkwypQ2H4(%(L$Rn*qyc##hQ6H$ekB15UHM=tFQ^`VbJy6k5E6JfIbi zvIgnt;a5H=uRqFw^hdFba(vm|7>Xw_juTKlSl(x>y3J$8O5JfQzW9`nKGjz$>6@3> zmdc03|InkAebYg2uyapxrvo|rW@iq)#5F5%DhK~2dq*eJn%K{-fJJW-?n2@GxQ{u% z>a6@HGpGkiGfL1S@ZDSRIwzxE>`me_*b-IPmh`#_m30&MrQdYi%frW?l2vQ6;{ws%!CHT4!}3ItDXMH%TM>@H+{;@U3f;$gFX8=L)B|4 zHqO_d#INDIcC9t(LKoZ_1O6oW8vhT(rnb;8u1L2drq3u^6l^Ti$mM9)+eHg~}=IL^-sW_d@8d<-A&g1`}<0W~3y1#;P@f&s=K}S%$Ayi0zteZO? zH~1Z!RnEFQ`gvvyKNXr*_VpNETJv9j3tdZWhMc^=6WPOjXF?KF8II*lBnR?=k=|qq zukOaKDOdMfgz~qlxP$5`Ol(7VfgMzRVd%+^&6g;G*3eV3O#I*rpwC@;ai&)0NKXv; zxhk_sa7*Iuwl5t$iQ4zCUqa1$rlx5B`uf?iqP1Fl4ZYpcpNxbn8_?IElD@?Gsqs?p zyOYufVo$Pe8zNWl6M_e_9$A;%5n@TqZ?ahsn_Hn7v;3M` z+f{E3S)x;`^_`}dczU+Qog(a^z}i6UU#tGLU0@1Kyt_`%z2)#g!R?d{io!C5*wVa#tV|i ztu_6F$M+JAsk>0Zl|R(u)lWw0$%c)+9oc^=c9huaC$PJKBkxK6E$@EbfVLD3(nB|% zwK+<^BhF3U<5~7*6t306S)bJ7>6b@C1)qSIr)Q<$AoIFY(tC{`j)7-S4A{zN0$+D6 z{R$Ods0V+Uvwm*_v3>3{I!_B{Rz@8Y`+ObyK2fYY>u`nwU)Dn{)B@dpuK_twL4V3Z z-M->q)9pPklRM<$huo1VXC~*bc+9f;v~cDnQL$z1jLa$Cy65WgH5W(2>4mI)j&4sQ zpC9=+nl~V4-ZvIVJOCR{$srXJR5{DwUXK>JQo$^VH>%zVc=g;|^B?p(?-Tiu;v*7+ zR5CXe7sPguH3;qqegmJksnK|6ER1R%!iUD4?BtvS6W%B9(9fMP4cOSg<)2T%Zv|!^ z4a8ge;7?=WLf=eZw+0R&E8Xks`@-oTkD?#Ak{@q>otj(enXJx5@F4QPgvT22V*1BK z!_o@{TnXhLA)bz}I=N5ww;li6yWUvbmj_M>KC!-+N1j>o7{065;Y&TrU!?FXLjNts zFNDt4WHQI9XgG+kLd~&DXz+-lKP_^V;5U0e5L0p#oWD8e9f7B%?n-$Rnn)zr$D8uM zd>*QQllND=;la7?HQ|Nu9i>;Et=lZA_*;0O8hey(V`mY6{jy%>RPO$`gOGGV;)UR%OMy*^ljt@zC^10Udn^v1IK=xJ>R2koyW((G@yl9Pw*GvOmoU~5&Epj#}G zp2PGQY$dirk1TqV4EF@4KcnhrdizvwJ&fso`#jZOOll5PuQ2Ypb8nxj))i|3rt z9RXzLa`l9Nm9n!b!@^+ns_-CdFDK}Iiy z3@0r(D4%r$mbfUyo3a!nzJp4|S#He(6=}%J*O4thH}kmy9B z2aV2i8KnzJUo`tZ?mn&ne(+`Lp?5j{p8?C8b$eiQwsWF_HB(Rff>lW+wjc=astkZvM>{tivuL6#@hOEA0L*d}>;jN3*UE}uT;54VNh0hMtcl6lb zCG(Yh#@vdb@cOmHOs=)n4k{nO*P4?90{6xuA?yHbB zSSELHCAy&(Qs!SFB;N7B(M=5F-Yz=!tJ1BNvA|bsp0?)Ads3v!jg|@$ygk6GtT*}>|KpJ zZ{r{|xsG?pC4r_S2aJ1A!t0*LKax=A4WH@at{vzBn#}0uyT!!0@$HHn@9iLGzi$8V zyW{Xsa8qEI!pGE@_UkQv@d>5y((P+GG#)PnZuFOL3(XdM-NoL(?>X`}=jZQ3Hm(O> z{gS76P|+H+!Fs+gc$&gp_H|Ik2^AbwV}uI9kwV`0_4%P&@kvR{epgJMnJqoBc40dV zs5#u+w*JsuMJqg)MU8L;yy@zd7B%oKAcyT-Gq(jz^pBBIGT`m6F7ttNQ zmTOOGOEU@=B5N0({rwNVmc{qvn^y9D<=NkFQQ!OVTY)132SPQJhPx~&%lqeoWHp%L;C(Z-yk2mHsDh_(Q@P}`Fg{jZwz?4 z(yBxbI&hABF3%Z@0`x(J?_y7hKEi$6*kr-MaG*_n&%AuT5dTP<(k0c{fl5BBBu8@e zXS?}qH}-Cuy4T5jF2I`cGtOvxFQu!AA3(`G`i_s0c_IT-a&MH(bD$r5#*@XT#CAGWQax@#y*3)4Sy5v+U%+)p~gCf@o;YMrdLIdKWmIzg^W4 zOK!Ktd(yjvcez6m`(+lkX#;)+YJ9?+Cw4M6(su0AqG)J4_NAXYL7wCur+*ikgRiWi z_d{CxpnLDby;7SjV?ryySH`RvkTqia^{F+=XQeCZlnl&2t$gfa)1>Uk(01YtkCFSX zHL2fn9;0RCU$bL5FE6Ln^WFRzzm1oBDmka)^HL>eRdQ1$XEl|ZN?t0y2#W6Wc zYXawr|6J~uAaC#s%7>oO>?iN*6ODK3Wnu$KoRk`jK&U|Xaz^Lq#2EO=*U^#qUBHjz zx~;qFb56yAYbB-)r|tJ~*{&KnJ9T^hBsF3pP-miuGmI)w5+rE{+r zIw*X7<_6AW|8U-@HDQBhH%b3he7PllWJ@*QcggtE9C{7M7U645_wqxDQ!Bm0A~)2@ zszqNRH?)F!oi*TMHTK2r1AaqdLn=R1(KGe%()I%Lf}Z_#r+Y_{?nxq`kb zIZ5)2J2=4I*}*~g+vJC?4NeLL_pAI+i+n7}$x`{Da*x?}FUEGF{v~U!=G^;Pd}8JB z9`XyeWW$T2T6{uv)Ly*v-weqMCEnx&*(Y}#Sb=12X#S6&17c+}e*}yr2lE-eBUd8w zY=)Cl$alort2o0A*hq56MG#ua${|M}nIoG22XH^1_x~{RzSQbo(U>vv9{h&fQt&X% zUjOvc_=YV}d%;dSUOmA1Zs6VT1MB46lFxHR<5#VJ`Rqu3XI6F8y|Omlz~65#tw45V zvA1$LBj)6c$deLW0KR^~3v?y=t(N=UADGKx%b!PPn|^!~H$nr+{7%mJ|0%yy_(jgq z;rvc=H+$-rbRY{AFL8)_NTK{lEv>Vnz7FxtD27PM_aTtsOai_rF(YRe^udxrJ^4 zo$Bzl6S3LNni~vU8PBSWgbK;+17F~y=>}uTID$*VxqXFQDz`6%TdeP}vnrjwj_}X> z&1^M)s-NqQ67n`p@D%uy>!EsB>e?xTZ`$6kGiego^tKRuNoHh+&hJqx_4<=)Fp z&|a3I@--z7NZ~QLsPtX14{Xt`_2lXiSC{$gCjRg3P4Y+Y-;)+*9o8xGM<)jt+YhGArnk{QI|Ir5(f`xln}BCk-U;95Jm=XG zG0AX30+xYD*oGo99wge0=NSaFW0hLAI*w=$Az&3~*|e9h2D3sfP!C%>RB&PGX6+my zjN_}M0-=rt6tdK|EIyC~rvoV2*plz}zt4G+0FL&XdB6AlzV9;Eb>}|MS?=Y3|M&ZU z-*<73Hisg*#gDco>ymmozw?a1in{S16GQn-1b;$Gkhz<|(hlKqMeC6(f4mrX@G&M1 zgwMF6R}vFi7`L829CO&;^o48RfmY6R$lf>t8;3Z7#G1XtaFG}9IVH4X7w*Y1B~~w+ z{pVU9*JRH*&b>3-Kd;rz`(*#QL+x$@@MLT+iwRvG=_l>Cm$meBN=|gfgt|{x#7y9; z0j~;vXADI@=A~q8RBY$pp!)%T`U2iG`Z!JuX8&KQYkZT5W!nb`UsL{S=5-Q(zW59E zEPEh6n=;P1?9DlBZHo5^Urc;-k+{g|KljSIL&|0SI{OJiKYl#sPi1W6_hmTj#dmIY zlkuIi<=YLLy>j{pEo~AUli%=(7lB_gzVk)7=R^uwyV~*uco3xhFGwANd!GM7U;w{a zAGu#}g1N&d6h06uY|c-~vBSm_@Ezu+*vIzF^fg|2JEgqu30_g&v;Mee$hZqWBv$P? zTEtocHU6y^kpW(;rtJOaWWTL@IN-->f&-o7Pi&9-beS4w%6&V@>l639{B!uMo|v+Y zHJuWFkIfuJH%Xg^JoaPV*l$&I12a|P8^^2oMu|Dh&G`vD^iMt?uMCPzOdQ_FUNCyl z)5}E{+5bNAU~^Qtd@p=f}cY8k5)`C!MjoR@N^ZGfXu%^Nu0s z(3#7CGd8JNEaM7~g^#Ln4YIfGd!^`C5n^;@To)_fp(kSn-ervIejh%_BPDO{-c9`E zSJk-kedoBAF|O2~c&qfhabuiyjo=jfL3!)mrEY11j5Fn=^nf40cdm^n7XrIEd3g8P?(n<(+xeo?3$l=xQYKacO!Eb&u{l}{TSm9e%ycj3fx@rOXd=r*b~l!JLL+VB=-H}0(0BC z+S5jrv!<&Y;Aq#?BR_;TlQ^FKQ{oee7m`@byZeaQEEEi(9e)guQdTT?U>tuav6A@9)P79Na}^C=qWGc28=3!Eyrh*l$;Ld^#t<(#{D*qc&^UX4Fz&=m zcJbK#%?7;PVIM*jH~YNs`1BnLR>RQ&L=Gw#+}#HJIm1@;AlAiH5pPl)c^&>A<9+tB zizxUebq3mT&Io+XwgN3r{_(7H#g^J*lod-c9H@ECAh?Q5g0G^qEeXCL4F8-=?nwlIm0=mZ|_p6-r|f=k5XwL%}S zchUA$biKW34>sBClpN7PXy*(5Z_Ybbzn>R*#u&ZI7(?5F1N&La{ea@Vc2hQU=MQKS zKPkGbz{8K9+(bKbpc`T&yS4Lep|K6e)wAUi&yakEUa6j?A5_nZCBDq3V zjU_IV*qF<|^>A^;ewo)pKj3KCm0IG1yx##ke2(4T{RMiw!rT3Cch) zOOm`@>Q3 zze?PJ%er#=a4q{O7ejOK`FwBkO3FH>DO4 zyM+&5$0wuC4fW$9)fr9VSM&5P{IerB)S-7prLEEqX;-qHs%^9@F+R-xdbfr1218Q? zRa#y>>#~^hlCyPlvyNjkV;c@Q6i&7~v8T4Fv;5eKpHe=t0n)Ex+J}8We^g)SQ}_NP z`nG=5^i;x(tAJssPB7+m;wv5Bvkd#=B1T!?!r+Op)YRQyq~n(GQke1?pp z9p;QFA1*}$(T#~~Qa(m(J0}!ZaUAkqqF?jH+>JqjWmMkx&nA;I=eoY>jtf#JF;`x_ z)S1e>J9y`WDI)}4lmt7=wo^a84H91~ZGgXC_I~0z+L8n8{B}#5ayN1&VYjvzFD&uq z#EV1BubxR~o)ueRH~N=<{+xW1)6DNP{@ixw`tS}j^Z~OTUmi;>F>_&Bz=s<wXQV!M?npQqq|5(eG-p1ddXm(oY| zjJlI!n>(0THRfeKUkYRUY~*~=rd>A}+y|dj+*XS2sp9iFfG^58BfO6Yu~y_m!AIffa4<#E;~A#3N?P zeRe%IxmA3i0xMLrU;+Ys_;;6kNfe9?3UeWc@dp+BG3-Q$Gju4TTnwo$Ws*Jy5jt7bXulRqu0yS2RxGb^f_Q^LAA)!=M% zewSbqg<1m6nlNXy*K76@75Fc)&q~e>eCF4QsKqJnUyv=FA`7 z{E-qp?0oCVujjGS~0@@QQ)U2sn>2p2t9=ykyuEZNky)iqhKGiLY zV58%Mrk|JhG23u!X{X;mE3Ji?CffN#75(Yn&no(y>}OT{zuQmYReAJ}vC$JV8k=ap z^s&k6jSsTAL5)droTSaxOvb6Tm*Heb)ts3*IjpfUM`n(Bjsd+yD(oP~O`mRFz`TGiVbs|kx_3}inng@cg_ za0wjDI>Fve8Cv30%PDKyUdN*g$H)q}I!(1M%=AKI(n&jnnAw~c(?QpL5zi%@2-G(9g( z*R1?%?zMMVk5=<;Rm^QUteI0nx^p;9w~V@g8?FfmU0KmOgQUjE3fCC+0>&)7M|Y+~ zbgOg^`|Prh#QLgz70@+vz8%(L=1^sv=bzQwbvyWuc0ebI_Qv<*Tq$_m+wvP2%ecHp zERz~bC6ibKV6P7k=;Rj{clXFM;1^HKOf?N;`GPkYCum3DTsl)T_F;>qo#Gz!V^lE@ zRi(SD^EK=K-MZU*Ca`{8bF=d`vj#XX-WxDiLr;_GV-4+_#&5x=ne-xLHd-{Jxm< z#ceqeaH~%!e2_DtIomg2pWjXy$^LlPZpn7WnfH?Y;Tw6^Tupyff57?9{&?5;>0-jq z^2Em}Jnnt`>War5X?EAm0;hpBFcz4b)$nC-Q;or#*P$=)lC$E?Dd3ckujg3Tfk>zOT{d`&^lNz@oS6R@>#$%dO6PYt1|54+co*i+8Q`{62 z-s*5h8hDzhV4b<@e`D4Kum2m)TT}Pw?i%oHE@KZI%&F(;Ctn$s&? z!N8dU4rcLguYBHxX4e6$didvZ)^QMbXSdgYfAyRVB{W+PFRS4in&qBbS`&BMkx9K7 zclfJYQy*}iP1D^|q>^gZfe0@I*O#Bt9mNYz@jdVtUdTPSq9q5(OQ51jk|4qfGv zQ#%fSroVnc)-*``0=ky7YPaYsUR2Q~xi@B?cHTRph>h8%OX;$V^@bkbKjd#(;@B!UfK^se3V%F*=-JQajB*!;vZvP9=&4Ha(Nb!+V$`2mP7{d3o zv;Rf2gmz~B9RIhH2bWY`Po)Q(^lOk2XPz{}s*N8wv`J)!sr)EMPI|xPxoTshT|Y{< z*Z*jg{ZP}*=9+WRVkUC7iFF-)G;2n^X09&QoVpdd+qf0kjQ&>lQ)meOguFAS26fX} zp}9@mONT#{rWwwUg6JxFI=U5jo2@(PZOCHy{36CSy%AZQtrJrav+my#Ff;jn67MhO zI-CaY*yrmy$B|vg8s0ULwchs;u1|U22P1K3L%N<9g)UF;N8Yz}m^JCT)w*9drz3lx zcvE+cQvo-)$FL%&18$(+u)=FKvzBL(D%K6GC8jC>f2EG_6s;nF41Ve(WcpN1c!hiR z8_2dtHG9@Bd@||8BJB#=A4Ni<2Ury(=|k{1&pJBn`f_yj@__w*LBO85OSAix!b_q5 zZtpt9r#s|*t2`37g1cfa3_<*gPC9l&ad}U7`itlc@VN!#rRm6$c0*ue%_sv7P52-) ziF2T?S((6*^(>`QUZyI;n#wn{S@@fL??fWP&lGH}8MN(*L%f$sESu=^^8F#M(Q&0r zbVO?={3siKRttQm@;iDuUD zR~zGCM%y~9X3FRl(%p964^`{-EXFb8_&_HeK1*DORa_1ZpqtIeH0+t`*#LXq3+Q2{ zX1N9MLlb;KUz4^K!P_%=Cz!7}r~5-Q&139oXEMyzCn+luFk3so=@@>JD&TRq=8kF6 z-D2>Ty3Dl;*ms4q_aYi=W?MVlrY61*#o#MX+OgJD=g1h|0WJlt$X@u;+6j7I7@Fus zT%5n&&TXaK$-CjPTj1A}T|gWlbA_K6pA?E{4zdqk?5(pYVK4lM;v@g&NDP+DN2Ld8 zFKdM&Be9QL*gGCOIZg5$VyIfqC|czk;hV_M7MZ(;FI}n#M){We;@eTQ4G%!SwnEMH ztI)9T+>&aFt$y25-kl83SN-Y#AJ}N^;$IXS%&i3{xo?Me)Bh7=E$VjX zw(9PfGrHRVjFrxMq%9AfK;DzSNk8acW3sT8wz1Ts-IX+yMnU}8@I%@{=&A0+(&ug2{Q=4f2TFvS+ki9689oPIkhFrq$SvdAG`Ifm##fXV~Q*{K8Z!%YP zO_yv_azbRgFWbD|-DFzo+TFhvJ>{(wy!pT?2`Cd_%(WcW)rx05_wXF zU*a4v5xKU7woN~!V(4RqtRM2_8uHg#g?v_cw&9X1^*j|pSChG5XcW2uAO77;$~EYR z-ye9t<+;eU{wMR&;Ykrrr^zbbQ(Cgts0?mm@DLMaNqjuu^U`+_aON$H={u--`}v*o#hL z?<14&PdN9d=j?T#L;nT?1NiqX!t`~D1cxZJO<8tOnYjG|0?NV(rvsz@Ga=^MW_;=Ye89zu??MH&63a_=osO)nG z&$}f0Ao%*B$IGi}ccGEEbIZlqRp>jA0T zTU)1O+4PR08)?^2uU*C<^oGs5wF>&8d_m|OrW1zN!@62Cx0U|BRH9jn(6!Kyq#w$@ zpWN*EP_YF$^N=`t;M@ScK`$b!nLF)avX&5EY1qE5m1jMG^{nmob>YiOQ z(s#`|-BY*b9wE&I57WcMgrTEu)@=4JW&Z;BHNJAr{gd2geoOhB{r=fg>NyiCB>Vnd zN<8FVw4conB}C=VY()fVE&3x>l2J zM}s}>@UDR(bA;cpmn=4(Za9%jL*~DVHdmpWvmO#(lT(9yDX3*VKm%(zTA1(CjlA8= z52GJBYx5+w9-9PSCu`1orRa)hOhv9^j|$(&9ejNxH-|GS27En2|KwWE#|v>C${qY% zPHHgg+=6?um^PHbW8S)(;GE!sFNrdrHh{fk!M4hw*Q8 zSY-_XreF-=+0N=A*kg6bjw@H6wb|4OK9&4$P_F%>uq#tIxx)h4xd~(}%J% z2Kagxas0@$5>;Og_lVIVeumfw>hI5Q*&C$Q3{!7u6}lbq^~g3iR0R)5#}=IyxaAJ0 z&=NH*?NXo6zreF-DEug`LbLFhx$E$U&&*i!1>Zw$_e$P1w}hOuL(Bb)Cg{{)lhFf+#V3qJ3xhb?|eSbp$e}k5X-fLa+Zz^{}=)TPrn)7Z%FB%dz+?MU| z1o(i|!+p2x)d0Q+wTFsZy4$df-x^kVfnO%?lngts1wSwE5CiQlA4nNoFQ21y7tx#Zg1SchY0wNeK?m;y zT-xon?bV!vX}Z$2+xKZ!5k4t=_tw)#47WT%dF9w2%y*TeyO%zR{ZX!4#OOM;==we2 zo788PUX+-lfZMv4J-?P1*8DdW9L+=69W8sYXZ8TM0`}+IWjKH0UeR0V|1Xd~!r7b4 z0`>x~d#u-;`>-dTe%dQ{=@)hL2Nyf6Z9mnl`7dC9Jg>=ouG_X%x4!=^UHmXsQ3^JI zAwFby;Dcey_ATDmrJ@`CTywYoUb8}5f%!RfqIke6>Z7~2nE09b zcHo0yBbR%jkZufJ5^%3&Y_|W2Jr?pcw}P|fzke-rN!7$>ECH7;pzBoz-4x*PeaiS( z%Fe^iX}F-dw|q{wUR$b*t>WB6J09xGTp4YTU@t8juUlpB2b?;_w{$LZ!tjdnMr@_& zz_gBhgTyZx72uIs0N*v#L#)dkVeF3hEJdT=|5@}*HBj3g$}bWRd;)=1AjhH_NBs|(%02IfR*-p!>krub_I86EAu0f z$7oZPZbcU3djjtwCsbL)76+s}tGNL@tcPbFHw3Q*m!WCevMZqG6{H-&!DYp&9Cz9G z^ona~%koRaQdQ~BA82a?SS{wg9of2-`ZEvzut}}Rb<77Gqb=0?g`erh4ZwT{*VnA2 z9oHZad3MWR1KaD+yDK>(CeFLSXa{)p#edSRZCpoaJ9}cQaqh8&@%snb1H8ABQXj{T zeowRVDD&oDpl|bh^kHb~A>DoTd$a`@eZcebear{mOMTFN>LJ6NO!;MRGHw$TJlygk z@OA=pu|pSGZBCA`_xJe@mpvP-g;TMkr+I$H)Y%HJtSIm;`Df)rbxS5w2J$B5o0P%3 zDR<}Xb}wr(+~QHBb zq!Z-(V3}qu=Khl#)V!}-d=?oU1E7x--^QAou%cmT z8XS2EpCWX8^Gn$9@RdcB_XBhY%Cyp6*OY%ZT(7$~zfRk3Pnqq% zKiG$TpQXFoTxjx__yKNYj)pR3|BL3nK1a7+{~b68yiV=Yt@8hX&a3eS(AQ9j#F}W< zs1nU8VH~1+@r{FHqv1)VrOe&z(X7`>b!$6wPs8+xRd5{sHy0cR)-&IRSKMT{OJ_ry z9~tgbqoJ#BDLQpq@Dqq{Vgd6*@4{z_*WjyvpSVArctxFYUah&WkY>xC3~_kZZ+QL; zzw>m;(jDSna)?GNk+=Q_&A8hkk^VPE5H5@z@m;uz^KKi?nL?#54WmxwB|7^G@NQv#1pr6=R-j z59@s5A*myG=&_)gHv#z9Dws>VXnRP0OS|3e#K#PwPG~-Ngz85~wfg}3{1B@ob;`WNr6{ zK?fh99lbErLI1G>7lt|LJc+&+>T}U|aybJFd}Tjd9sj}30l9;(N6*lM<~0$qL!?YO zPe#g=GySAYaN|SKm(O#}UN;gSeB}Kr%W@*36ZbzQz7S+s%!$Mz_FJ`m&1&>|rFUtX zowX$>I=<6ukY+y{MwcMY4IHz=n`2fKU4AG&7xueRI52{}fTq;6n~@l_xFsffn>}$O zdM*AWtuSI{4PlOxZ%cQB_t^N6Y~*N_wCx;aMukTjLn4

<9dxF)JG#5xh^<>4qwp z)6w8zn`5%>-X6aeo*<0DRDc^$=@_L!O-qzn~t$ac519XQ#f@(C*o(;Crp$zTlMjH2NP9JT|~>tH8ry zFRxU;<())Y;6dGRQ)oDMurBT03+|sU+EM~6t`8YM zWo)+Mn-V|3upbJJa{gBcSbPZngt1LibYswX_-QFVHTd7~B|$a!mr3mUi0ftV&LI8* z&vq6YI_JSq?oier4LcSfN2fa`{S`m0E;hB9t}~BOsk44wjWc^MbcoGvPOVk=l>2-$ zHpUxbKjSAx7w*?j#g|B((3qTMiQfRa%;9<<*RpT#u;XXPx*C0^-vUKZf!hU|-lP_XP~}OJgv4C^oh+s8Z!J z_sQB@&Ah80czP(F=r_KmBP`uo&s8Voq%ln~M!ABK*@SOy~O>O5*f(tVCiQrjcbKxO}Dy{<$i0vQ^07int zTH&zddv;b+)|G7pMuzgO2O~kVwH5mg`I1&EG-dcS6}W@EPK>}m9SS-j_&oQCNs3z~Gr`Xg zzq8==pc_su!ONe-Bm1O=B$leKYh$pO8IP$!7RNCH^}2d$=ac>67RvmPbym8fOE**j{zDt#{d|MoGW6F0_vU(C=uY%XiAP1x!EV@xT}++ZDukyA z?giZK&`&-0#BM_m793Dx4xi^NqytytpTd7|6ut%Cw(5e9MVlk=6%QAknr=Fr?ECgi zGkm3zIEZY8zi!@9WGDCsj~ALw(pn<3=`DEpW%!5B<+tcG>C`kZQ$oYpF*~|CBPRR zWR*30zqeyw*8^MlwG}}ZkJKvLOvRKHFzzM45`96zPRgasR~5}dpNa6PHe`ClzOMkC zM&}(8d!2}G!MJB7-?N`Rb#?nV3s}lB(1G%zQDi*)o&DFPyu??lE|^9d*UT^7l^|9~ z{7lfnSF1u!G>!FBX=)v)x%e!;7ifa{8z%~$mhX*QMIqe)*F=92JtX&~eCVOk(|gjY z;?g#I;?5K^BJ_aX(|d?!Mbm+6RDI)~PL~*CbRO!qsT+TfZrv>6%$PGao+Ng#92y|D<*A+`ug^QZhEUcU+=I^PS7KUYJ9L0ub;gqk z$UyLmJ%r+%7e`zaeAgRm=&;*Z%NWC#vERHg7vG_f@Pw zj)dUT<-`)T0b^ud5i-vhQK>so-7t+x!_JCkTC9f__rlk3n+ zqJt_qDYDSX-ouy(pRW|$bt;f8%AR3vP3|cPWD~;$+&K>SM%yFeOJ0b8TYqS?slHdtnvC^LjU1)Laz>IpkA(*LKpCLHGiV? z(zERqzK&o&&03}*U3|;mbEEKT9<`y}zW;$?MZ%8+2jEBcsKTWb z+ezq5eZxM?&U7eit5A##ktsUDJ`MX=c4Be4=Cva$jWj#<0Zdi_Dh!8sQ~s?u2hF+x292 z1esmNoXVC+m&_LV6duVtXQ2c9$!XMCx;bWs$3q8)Wlk+9u|upo5SkaA1NpcmW=(-t zY}}*t2F06(>_KLu|35QAb89QQWOl=1-up;%miO~`(;C|5%WUaSVx6+Zkl7EQH$Y<| zv(d5PP3WzyF{^Y3W5_sf!~YYmiFJ*0FMpgx-(G@lAw2e_n03qL%ms&i{qj&=MEH7_E(tuR8Tg!whJvT`-wqhVts>-W&tQ+D0r0dN z!DrTTTlF8oPd0)`TZ^b*m)vr>zK>MmuTfp1ZMTZ6O^nqkhR`;Z;e^-Udxj4+zp-i;~fpbn|cc_ zBMWEJZs@R#cU^Q&)}5(wkM>gIuJCQR^8G)j6b^EFvefTp0V@Bf5W?1=~-v` zx8@<6&G6|CCoNNRnKvq;PHY~9$JnENExWhw&Vd)RUdlZMUxqihr%s7{&VX-UjoaXw zon>q^gOrPGl06RW>qN&qMw_59@RD)E^9mAY13bkl%+3b4PaR=38C#;^U&nCQv&f?C~qqmSkm^@_Wv zPwUpdE@bY9abewooV{$m3f$7GW7b0t5wCYQu$TJ34(z{9U*9pD{`)y|I=x2{_U>0c z4)&A}+^t!4%o+K7Ou?P9;bHDfQ)m5_hr`|AE;tOX8?03ipU#;0*G^;{Yx;>tXld20 zsw&wtJ?aoMz|Y7l9d4*Xd`b8q;5{YVecKs-5k95d=QnGKDfRF?<*#ik+JxNP8rH1k=!@06 zS)0e);fY@G7}g2#K4mufK1`#_hY3H&hiSiEmT482#XNrq_Q$y6*b=@zv2RPz42Q6N z;9>9*XK@pICZJoaB<_QDDIW=ZTl}wXNv+Zqlno?4&zCCNy*9!dLtA2sFHcJPezmB? zQ~*=_HTCeeh|)_$e;2qpvQBu$E8>F*dVCgrV@MePjL=(2OvM<^VhvM7r$4pi*h1(N zqSx5tWxa|X^!AQy5g!5LCHxtE<4`HKP+7Y}+r=BTrD@!46Xt9YLn@8DEBs2F zDJJ|B-aztco%cBtumm`aqrJ$t!N6PAu@5-L-1LXSqcx^d(AV*|RO4^Usbmh}9e86U zeP_+G$os<;T-WMm9q~7Rtk77uTxgDK?y&H?P?lPUE^}5D5?@;r#uw4T`>kPF18CMx z6}lnLwN)n`B}jSfO~?9+P;<RQwJB2+*1P-jN1uwjIN9tK;DtdV<{fQYK zt~JEKG1giR0q?-EEfHd;a`=|Gs)&?{&qelozz@bcf(~=-V8JuJGwy|0q{JGDA*)pJ zyvD#K0rB}c+2^oLD}a-(=Vt#}&gBaDzCT_3c)s6HPx||mpKoBib5C{?Isk4>(nr-- z>GM$Cm#5R!S!Cwah3I01w1*hFoa=S-vDS36b|LEoHxongLts3&KX%q=_~FTzz3P?T`1hiAUt(B;;ZYJ>#as}6<0@dEf~_}I<{vW@FOapbJ!)^N z2y0K6En<7X!|+i_yp5Xc(X@2ph|}$U#Ho{`Q>ICtNIlt}$9_km3p=5g(Xp^k_EmEY zZt(_pWwyzm{ko^Uct+J9lll|yg^7XIf@0rW zO=aLgMCvh}&>02uMC!jFYyKkG#-tAVe7^JB9{=)Da(uXd0XZ7B1n#|odtrpwW#HZ$ zxJO!IvS*OUIR*QSTKGCPp1L>vw1R)M8~me5_;2;$k9|_Nr5pUwWg|5)X^WikWG-$< z2L?gAFZB`=o#+W1BJe0+$oTe1M8l14X;sWzJw>%8aa*V@QHZ~}jPYmxf8u}}<(;lJ z9jUd7c|Us+axW6Jf?oU8`jL!SA2YJ8LwsiTT|<~#7!vnz96TW=9a+XY67o@n;5P84 z9rXKHqG#};w8{Iup@p$!Z(`=RBPZFXqKS8o9QNQl{jkEzGaL5Hn5%vWEgcT?Ewm%J ztJQ|V)r&ILLPK&-=J)L0wQ66a3S^!1y$BfrUqKEOK51qzhJM!)%drLgS<8CKCf15E z_ct)E&i=DbY!MluV#lRU1zUgb8fVf%^qlK;=G%zNiR(_E)$loZ1N@EnRbBRTlKtbH z-~WjCRbt(XgHcEDwXiAG`O&R{$3gexImSD)O|3(n`aRY*{8)H!x;bf;X5Py>CH6&A zI9}S2Dr=M!Ud=czIzbyWsF|Gou6!-U@Ot=`!`fSG+#R}osw!Ghpcgu2RdbY{5QY~I zk#$MLk}qSuki;}<8BaKjnG@XD=Kcu&TKr-R+G%CKrF18i^=#!SEl#TNO?U%vb5b*; z|IK!45FA$L1$|Gxm-RvHNz^8K2G8;5@O_x?13`F-e1D`_jTgLwF>iKyfj=KD?dcRZ zAsgt!p^)y@EhV-A`NP<|t<6dfTdhl3Pl;_@p6#tGZNTq;v$vsBilR^XG0=w_*` zOGVyAB5`Y4S-@J%I-1f5`*83Ke}ml)e=6e}^bNa_Z(3@(Ze-mR_cD?9WwkL2mi(F$}!i$1~YCkDtncAPr7`8;_rn>1Q=hQl0V8;4k z)~3k%L)M*$oV80^s1IJeOmbkorlrYbj09JN*GpV0<8~=87*qZNZyYv2YZ<_zA!f=s zo_Dqeo#NvuvhK{1c0!xh@iO|`)o&A;v5Oo0eovww^zU7Gn5s+0bHj(K4(dfWlHY1w zwdv8yX=lnpfrA=Xk)^~(q?=LpDQJPNkfm-bW57BJwH9O@YwlBtj}GO4ry6s+5k2u^ z)=4}Q4s$K>z(LLo;{G=5(b@p}{(*--N!0{SbZ9r|-DzqloJZe> zR%wpVcR0nP@4&fKS#PiC`#89f(&s;?@27qGF29<-1%?jvEo%?m2z)~HgAnw+`wnnE zTeDA9`!rtmUFAb86@4p7$a5H;H+&S~FRL79mcsw=V0_%*+DTBm{NAPjl)PV#*Go z*T4f;%>ZAIvrYnfye|@USo>}+$W%CNMp`2Fl%vt^xcsR!9KqgA(r_K)k)$Urma5KZ zVU3t6d)7+71Fh|f4%1rk3lo3TyS2@GU&+=;lK$juUx9abx=Y;IN~}%2Pj|ciV!BJb z8#(XQ0gPGy)1B`ASMZw((S2oY6L@Aqw@w|pZ>y*KN}RURi5In`E4{a^ty2$%2GiwC zDWwN9zoB$u)?nDHwvxENyBBkMS#kT>)mip^T8h0s8YDJul&m>to(J6+zAAdpe@Qp4 z_jq2XZd|W)W1$(RQ-2E~2hc-^<+Y-bxGV9AqYp4=RV}VHmtCzkDP&r`Z_mC}t(UpF?(T6FkQy-pw(Vha_ z*G18X!|azHKCfWkQ^7u_^x*^H^H=M`vCK}LR@;v6A*m04B0P~T0;6vFa9}(909}VM z5q@i@M#GlCx;L;cg#U$neYmWpQy*6FUP;Uv@b>iKBgYiX%e%oGeb|FJcD#c5W?vsp z!d!g2dt%ZSGXl&-AMOXtGl2OzH8xHASm z7=FOJsmP?p#Hz5;iQw@`TO`FQ=KJhPo^D+1eedZ>g$1V4lm0up@$@$8`WW3oMiO5Zm5q zsee+fhsTa`CgJmWDjcmC&-j&wcPd^}J&rwoCJ@I~#k?!+TeVwaPSD#a1078K0uHj$ zZNRsYt>TS{UH1GDxjE<1qvH}EEBYJlkl#v=Ll;S*ZSuPkoq@F!_$X+%+DpR9NAFw} zNlOGn=h-_lC^4_6HEle52pDGVWcYv=`GmhuAz?PW9sS`ALCh)efv68UPCC)_jXy}yvyorDG{e8fWcq3vFd_SMF zHpKo&GDf^l-R9a}z?renVeE#IB(8C4w$deu`G7YtW<$dG4fo))^5PA<=KLkzaIeHO z=??azxq7r#Q7Sl64?Le!J{b1=?CY(+io~o)D{`OxNA@)2*wc=N4dQ+bVl)I7oGE*m zS01nIFlWsK^tH{juZmch+gU$xfc^ugdi;LFzzfeUA@md zb?yja*rv3$i!C6}r49D|M}x9{A3s7!@KM?;zCPxCSih8rxoZpXeb>>hk<K z&r8jF@2XRC-r`4eDANt&tD1UR`W(;QT8|GphE6wxx*8cF*hOJg1<3)K6e9+AH_Ox-< zR$)I@Nn6-gu37cnYJiurw$p)!KqH?2TJ-_j-EWihy8<2D{vP|R!CT#mElNLAdvWkK@@bvlf9$Y*pXU5$8`E!OW$eF)z z-n_ZrU66Bc&WzcVH-GlrIa0y(Op|GM+%;aCJ!htNSNtw*&VzHbk$-!WHR|TkdAHp9 z;J54<`7?Q?+r9YTjlFH$c)2%p&ccTu{u`cgJ>##n!sDiwrggiPdv(3Ko{i$!p}yYl zouAVR&-mBJ$zS2!PfN)<&~R3xlPm~zmw_8_Zz#l@$qig^4^#DUh-GE-G6y# zS0An{EBU*7{R4+|)h+jT(m%P^*KcEAzu#|D*DlH)$?xPdsXvqVZtGU(ME_du=UnkO z@`}HlyB@qNhcU^y;f^^oXV00v;ISMnr|VMVu{Lhd4Bq$){t7$BEXsf8t6wb~)9cRP z{&;Q9vcNqL>>9N2ribp?_v4%P4gK1dAw88_xvzz^Gh51BwiTbucYFG_I00I`LBQa!Qpd1x$y5# z{Os#vZw}VZExu6dm96>kvSLl zzxiD5lEe*%H|%?NZ}3l>>r!Vt^>6Qf@X?{3gYGzf!{||Wf8(Wn-ySrkd0orFZ%@-V zm;KM3Q{UV4)aK{6-SoE&hk_p^ZaR3=cly4Y`-huO-LdlCTfg#r^cxr2C;!zC&)?eT zd-kBQf4lP+UwY*I!+-e411;|3zu0*EwHc3(Kk%o?U&$FZ@LTSL+I6{OHl4h6(~u9I z+&XN`KV0+CSC?%1kKTt1UR`zTxL@}B_5M|3zVn9r)1{BidVR#5|8VSUyIx~}{X+4Jp1Hx$fSc*BCZb04;6Jve*L zO*ejP;p~TJ+&E%{|8!3N;)iE{>qbB0(!O#2f*Eq_k$K3VaqU`_=l||^lIKj{82CH##znX1 zN&ft*>mDJGomfBedGeM0cD+_ZK5B6Dw|k7$3XkSJ_GWynwy~~c>ZnCywY_&0JW~1d zvD%k^_`vaL$HvB<-uCEU|Ekw*6HmWibNsr$zwMdouZ8!YDZH)atH-JWH*CA@m7o0n zv9XVSaNBE3>(>7ByX(JXZP{9#+j7UPU*6Jm+okkPH~nPo)BB^Z{==ZLbAK^oXn1dG zvcBv&p>5lCIOChojX7!DeaqKw`sl|{8cRwv9>-e~Q(t59+9Lg=EJo%kWSHAytw>I9{?ONVj z|D0FOy6*R1>gvOlWhH-iufOgWU3JU-V#<6WrH%64H1gXh`!(|9GpRq5_g?&E zSDi2W*K+>~_MCGCdmcIT-?YMYyS>!6+qK+#psMTHnYXmUJN@S|^85Vjm%RU3vEC-mdn^??3<6`~3>#B)@&}-LC88z5gti`d_)C{*C^<7ybKB z`(>}E|BqAuBz`BKN&V}2Z+*8qwS!*$a{trDVtCHXg>&o$s6$`Kfwr{==g-gouKdgy zFlhdOoS6^KemH-|7jkBjsXN1S(7y6>2F(~Wf6#oCr?Gr9@$PTjF^zl#DcY-|7DTmDf`L$Sca^_y~2c*e`pY53f<=nHS+V^gsDb>VKRR<$kj4zW%k` z|Fp5yI$sznf1STNFUm76{|k2h`S;`MkLk&){=66dPW=Tw=1-pIfDiwt|JbUcu#ME) z&yRQeEzcy$J$Zh#XIIMM_uIX_bcTGVpI+{x7bbtpwcMBAuXRgufBi5ots}qFPknFD z3zNU)TJFp5+q)&XU;OuaVUIiY!j*o0vDRhJVj{^4b5-An+LkK7+t#5cmuNpF!Y%B?NBQu)Wov-dXI$ z@9Kp!=A)yLI&c2J>>}CPH0yUiAJy}b`ad5IX>3#Z+23an_zVL78zFGZ0=-aD%ulzI zOPc7XY2=a~@Y5`EN%Q>lD7mCUKb4b9TIr{ClD7mCUKb4b9TIr{ClD7mCUKb4b9 zTIr{ClD7mCUKb4b9TIr{C records; + float *recordDistances; + // LatLong locations[REC_WINDOW]; + std::vector locations; + int i; + // args + char filename[100]; + int resultsCount = 5, quiet = 0, timing = 0, platform = -1, device = -1; + float lat = 30, lng = 90; + + // parse command line + if (parseCommandline(argc, argv, filename, &resultsCount, &lat, &lng, &quiet, + &timing, &platform, &device)) { + printUsage(); + return 0; + } + + int numRecords = loadData(filename, records, locations); + + // for(i=0;i numRecords) + resultsCount = numRecords; + + context = cl_init_context(platform, device, quiet); + + recordDistances = OpenClFindNearestNeighbors(context, numRecords, locations, + lat, lng, timing); + + // find the resultsCount least distances + findLowest(records, recordDistances, numRecords, resultsCount); + + // print out results + if (!quiet) + for (i = 0; i < resultsCount; i++) { + printf("%s --> Distance=%f\n", records[i].recString, records[i].distance); + } + free(recordDistances); + return 0; +} + +float *OpenClFindNearestNeighbors(cl_context context, int numRecords, + std::vector &locations, float lat, + float lng, int timing) { + + // 1. set up kernel + cl_kernel NN_kernel; + cl_int status; + cl_program cl_NN_program; + cl_NN_program = cl_compileProgram((char *)"nearestNeighbor_kernel.cl", NULL); + + NN_kernel = clCreateKernel(cl_NN_program, "NearestNeighbor", &status); + status = + cl_errChk(status, (char *)"Error Creating Nearest Neighbor kernel", true); + if (status) + exit(1); + // 2. set up memory on device and send ipts data to device + // copy ipts(1,2) to device + // also need to alloate memory for the distancePoints + cl_mem d_locations; + cl_mem d_distances; + + cl_int error = 0; + + d_locations = clCreateBuffer(context, CL_MEM_READ_ONLY, + sizeof(LatLong) * numRecords, NULL, &error); + + d_distances = clCreateBuffer(context, CL_MEM_READ_WRITE, + sizeof(float) * numRecords, NULL, &error); + + cl_command_queue command_queue = cl_getCommandQueue(); + cl_event writeEvent, kernelEvent, readEvent; + error = clEnqueueWriteBuffer(command_queue, d_locations, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(LatLong) * numRecords, &locations[0], 0, + NULL, &writeEvent); + + // 3. send arguments to device + cl_int argchk; + argchk = clSetKernelArg(NN_kernel, 0, sizeof(cl_mem), (void *)&d_locations); + argchk |= clSetKernelArg(NN_kernel, 1, sizeof(cl_mem), (void *)&d_distances); + argchk |= clSetKernelArg(NN_kernel, 2, sizeof(int), (void *)&numRecords); + argchk |= clSetKernelArg(NN_kernel, 3, sizeof(float), (void *)&lat); + argchk |= clSetKernelArg(NN_kernel, 4, sizeof(float), (void *)&lng); + + cl_errChk(argchk, "ERROR in Setting Nearest Neighbor kernel args", true); + + // 4. enqueue kernel + size_t globalWorkSize[1]; + globalWorkSize[0] = numRecords; + if (numRecords % 64) + globalWorkSize[0] += 64 - (numRecords % 64); + // printf("Global Work Size: %zu\n",globalWorkSize[0]); + + error = clEnqueueNDRangeKernel(command_queue, NN_kernel, 1, 0, globalWorkSize, + NULL, 0, NULL, &kernelEvent); + + cl_errChk(error, "ERROR in Executing Kernel NearestNeighbor", true); + + // 5. transfer data off of device + + // create distances std::vector + float *distances = (float *)malloc(sizeof(float) * numRecords); + + error = clEnqueueReadBuffer(command_queue, d_distances, + 1, // change to 0 for nonblocking write + 0, // offset + sizeof(float) * numRecords, distances, 0, NULL, + &readEvent); + + cl_errChk(error, "ERROR with clEnqueueReadBuffer", true); + if (timing) { + clFinish(command_queue); + cl_ulong eventStart, eventEnd, totalTime = 0; + printf("# Records\tWrite(s) [size]\t\tKernel(s)\tRead(s) " + "[size]\t\tTotal(s)\n"); + printf("%d \t", numRecords); + // Write Buffer + error = clGetEventProfilingInfo(writeEvent, CL_PROFILING_COMMAND_START, + sizeof(cl_ulong), &eventStart, NULL); + cl_errChk(error, "ERROR in Event Profiling (Write Start)", true); + error = clGetEventProfilingInfo(writeEvent, CL_PROFILING_COMMAND_END, + sizeof(cl_ulong), &eventEnd, NULL); + cl_errChk(error, "ERROR in Event Profiling (Write End)", true); + + printf("%f [%.2fMB]\t", (float)((eventEnd - eventStart) / 1e9), + (float)((sizeof(LatLong) * numRecords) / 1e6)); + totalTime += eventEnd - eventStart; + // Kernel + error = clGetEventProfilingInfo(kernelEvent, CL_PROFILING_COMMAND_START, + sizeof(cl_ulong), &eventStart, NULL); + cl_errChk(error, "ERROR in Event Profiling (Kernel Start)", true); + error = clGetEventProfilingInfo(kernelEvent, CL_PROFILING_COMMAND_END, + sizeof(cl_ulong), &eventEnd, NULL); + cl_errChk(error, "ERROR in Event Profiling (Kernel End)", true); + + printf("%f\t", (float)((eventEnd - eventStart) / 1e9)); + totalTime += eventEnd - eventStart; + // Read Buffer + error = clGetEventProfilingInfo(readEvent, CL_PROFILING_COMMAND_START, + sizeof(cl_ulong), &eventStart, NULL); + cl_errChk(error, "ERROR in Event Profiling (Read Start)", true); + error = clGetEventProfilingInfo(readEvent, CL_PROFILING_COMMAND_END, + sizeof(cl_ulong), &eventEnd, NULL); + cl_errChk(error, "ERROR in Event Profiling (Read End)", true); + + printf("%f [%.2fMB]\t", (float)((eventEnd - eventStart) / 1e9), + (float)((sizeof(float) * numRecords) / 1e6)); + totalTime += eventEnd - eventStart; + + printf("%f\n\n", (float)(totalTime / 1e9)); + } + // 6. return finalized data and release buffers + clReleaseMemObject(d_locations); + clReleaseMemObject(d_distances); + return distances; +} + +int loadData(char *filename, std::vector &records, + std::vector &locations) { + FILE *flist, *fp; + int i = 0; + char dbname[64]; + int recNum = 0; + + /**Main processing **/ + + int q = 0; + + flist = fopen(filename, "r"); + while (!feof(flist)) { + /** + * Read in REC_WINDOW records of length REC_LENGTH + * If this is the last file in the filelist, then done + * else open next file to be read next iteration + */ + if (fscanf(flist, "%s\n", dbname) != 1) { + printf("error reading filelist\n"); + exit(0); + } + printf("loading db: %s\n", dbname); + fp = fopen(dbname, "r"); + if (!fp) { + printf("error opening a db\n"); + exit(1); + } + // read each record + while (!feof(fp)) { + Record record; + LatLong latLong; + fgets(record.recString, 49, fp); + fgetc(fp); // newline + if (feof(fp)) + break; + + // parse for lat and long + char substr[6]; + + for (i = 0; i < 5; i++) + substr[i] = *(record.recString + i + 28); + substr[5] = '\0'; + latLong.lat = atof(substr); + + for (i = 0; i < 5; i++) + substr[i] = *(record.recString + i + 33); + substr[5] = '\0'; + latLong.lng = atof(substr); + + locations.push_back(latLong); + records.push_back(record); + recNum++; + if (0 == (recNum % 500)) + break; + } + + if (++q == 3) + break; + fclose(fp); + } + fclose(flist); + return recNum; +} + +void findLowest(std::vector &records, float *distances, int numRecords, + int topN) { + int i, j; + float val; + int minLoc; + Record *tempRec; + float tempDist; + + for (i = 0; i < topN; i++) { + minLoc = i; + for (j = i; j < numRecords; j++) { + val = distances[j]; + if (val < distances[minLoc]) + minLoc = j; + } + // swap locations and distances + tempRec = &records[i]; + records[i] = records[minLoc]; + records[minLoc] = *tempRec; + + tempDist = distances[i]; + distances[i] = distances[minLoc]; + distances[minLoc] = tempDist; + + // add distance to the min we just found + records[i].distance = distances[i]; + } +} + +int parseCommandline(int argc, char *argv[], char *filename, int *r, float *lat, + float *lng, int *q, int *t, int *p, int *d) { + int i; + // if (argc < 2) return 1; // error + strncpy(filename, "filelist.txt", 100); + char flag; + + for (i = 1; i < argc; i++) { + if (argv[i][0] == '-') { // flag + flag = argv[i][1]; + switch (flag) { + case 'r': // number of results + i++; + *r = atoi(argv[i]); + break; + case 'l': // lat or lng + if (argv[i][2] == 'a') { // lat + *lat = atof(argv[i + 1]); + } else { // lng + *lng = atof(argv[i + 1]); + } + i++; + break; + case 'h': // help + return 1; + break; + case 'q': // quiet + *q = 1; + break; + case 't': // timing + *t = 1; + break; + case 'p': // platform + i++; + *p = atoi(argv[i]); + break; + case 'd': // device + i++; + *d = atoi(argv[i]); + break; + } + } + } + if ((*d >= 0 && *p < 0) || + (*p >= 0 && + *d < 0)) // both p and d must be specified if either are specified + return 1; + return 0; +} + +void printUsage() { + printf("Nearest Neighbor Usage\n"); + printf("\n"); + printf("nearestNeighbor [filename] -r [int] -lat [float] -lng [float] [-hqt] " + "[-p [int] -d [int]]\n"); + printf("\n"); + printf("example:\n"); + printf("$ ./nearestNeighbor filelist.txt -r 5 -lat 30 -lng 90\n"); + printf("\n"); + printf("filename the filename that lists the data input files\n"); + printf("-r [int] the number of records to return (default: 10)\n"); + printf("-lat [float] the latitude for nearest neighbors (default: 0)\n"); + printf("-lng [float] the longitude for nearest neighbors (default: 0)\n"); + printf("\n"); + printf("-h, --help Display the help file\n"); + printf("-q Quiet mode. Suppress all text output.\n"); + printf("-t Print timing information.\n"); + printf("\n"); + printf("-p [int] Choose the platform (must choose both platform and " + "device)\n"); + printf("-d [int] Choose the device (must choose both platform and " + "device)\n"); + printf("\n"); + printf("\n"); + printf("Notes: 1. The filename is required as the first parameter.\n"); + printf(" 2. If you declare either the device or the platform,\n"); + printf(" you must declare both.\n\n"); +} + +#endif diff --git a/benchmarks/new_opencl/nearn/nearestNeighbor.h b/benchmarks/new_opencl/nearn/nearestNeighbor.h new file mode 100755 index 000000000..3954a7508 --- /dev/null +++ b/benchmarks/new_opencl/nearn/nearestNeighbor.h @@ -0,0 +1,50 @@ +#ifndef _NEARESTNEIGHBOR +#define _NEARESTNEIGHBOR + +#include +#include +#include +#include +#include +#include + +// All OpenCL headers +#if defined (__APPLE__) || defined(MACOSX) + #include +#else + #include +#endif + +#include "clutils.h" +//#include "utils.h" + +#include + + + +#define REC_LENGTH 49 // size of a record in db + +typedef struct latLong +{ + float lat; + float lng; +} LatLong; + +typedef struct record +{ + char recString[REC_LENGTH]; + float distance; +} Record; + +float *OpenClFindNearestNeighbors( + cl_context context, + int numRecords, + std::vector &locations,float lat,float lng, + int timing); + +int loadData(char *filename,std::vector &records,std::vector &locations); +void findLowest(std::vector &records,float *distances,int numRecords,int topN); +void printUsage(); +int parseCommandline(int argc, char *argv[], char* filename,int *r,float *lat,float *lng, + int *q, int *t, int *p, int *d); +#endif diff --git a/benchmarks/new_opencl/nearn/run b/benchmarks/new_opencl/nearn/run new file mode 100755 index 000000000..e4d2f27e9 --- /dev/null +++ b/benchmarks/new_opencl/nearn/run @@ -0,0 +1 @@ +./nn filelist.txt -r 5 -lat 30 -lng 90 \ No newline at end of file diff --git a/benchmarks/new_opencl/nearn/utils.cpp b/benchmarks/new_opencl/nearn/utils.cpp new file mode 100755 index 000000000..b0f9115f8 --- /dev/null +++ b/benchmarks/new_opencl/nearn/utils.cpp @@ -0,0 +1,204 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#include +#include +#include +#include + +#include "utils.h" + +static bool usingImages = true; + +//! A wrapper for malloc that checks the return value +void* alloc(size_t size) { + + void* ptr = NULL; + ptr = malloc(size); + if(ptr == NULL) { + perror("malloc"); + exit(-1); + } + + return ptr; +} + +// This function checks to make sure a file exists before we open it +void checkFile(char* filename) +{ + + struct stat fileStatus; + if(stat(filename, &fileStatus) != 0) { + printf("Error opening file: %s\n", filename); + exit(-1); + } + else { + if(!(S_IFREG & fileStatus.st_mode)) { + printf("File %s is not a regular file\n", filename); + exit(-1); + } + } +} + + +// This function checks to make sure a directory exists +void checkDir(char* dirpath) +{ + + struct stat fileStatus; + if(stat(dirpath, &fileStatus) != 0) { + printf("Directory does not exist: %s\n", dirpath); + exit(-1); + } + else { + if(!(S_IFDIR & fileStatus.st_mode)) { + printf("Directory was not provided: %s\n", dirpath); + exit(-1); + } + } +} + +// Parse the command line arguments +void parseArguments(int argc, char** argv, char** input, char** events, + char** ipts, char* devicePref, bool* verifyResults) +{ + + for(int i = 2; i < argc; i++) { + if(strcmp(argv[i], "-d") == 0) { // Event dump found + if(i == argc-1) { + printf("Usage: -e Needs directory path\n"); + exit(-1); + } + devicePref[0] = argv[i+1][0]; + i++; + continue; + } + if(strcmp(argv[i], "-e") == 0) { // Event dump found + if(i == argc-1) { + printf("Usage: -e Needs directory path\n"); + exit(-1); + } + *events = argv[i+1]; + i++; + continue; + } + if(strcmp(argv[i], "-i") == 0) { // Input found + if(i == argc-1) { + printf("Usage: -i Needs directory path\n"); + exit(-1); + } + *input = argv[i+1]; + i++; + continue; + } + if(strcmp(argv[i], "-l") == 0) { // Ipts dump found + if(i == argc-1) { + printf("Usage: -l Needs directory path\n"); + exit(-1); + } + *ipts = argv[i+1]; + i++; + continue; + } + if(strcmp(argv[i], "-n") == 0) { // Don't use OpenCL images + setUsingImages(false); + continue; + } + if(strcmp(argv[i], "-v") == 0) { // Verify results + *verifyResults = true; + continue; + } + } +} + + +// This function that takes a positive integer 'value' and returns +// the nearest multiple of 'multiple' (used for padding columns) +unsigned int roundUp(unsigned int value, unsigned int multiple) { + + unsigned int remainder = value % multiple; + + // Make the value a multiple of multiple + if(remainder != 0) { + value += (multiple-remainder); + } + + return value; +} + + +// Concatenate two strings and return a pointer to the new string +char* smartStrcat(char* str1, char* str2) +{ + char* newStr = NULL; + + newStr = (char*)alloc((strlen(str1)+strlen(str2)+1)*sizeof(char)); + + strcpy(newStr, str1); + strcat(newStr, str2); + + return newStr; +} + + +// Set the value of using images to true if they are being +// used, or false if they are not +void setUsingImages(bool val) +{ + usingImages = val; +} + + +// Return whether or not images are being used +bool isUsingImages() +{ + return usingImages; +} diff --git a/benchmarks/new_opencl/nearn/utils.h b/benchmarks/new_opencl/nearn/utils.h new file mode 100755 index 000000000..1e901cedc --- /dev/null +++ b/benchmarks/new_opencl/nearn/utils.h @@ -0,0 +1,84 @@ +/****************************************************************************\ + * Copyright (c) 2011, Advanced Micro Devices, Inc. * + * All rights reserved. * + * * + * Redistribution and use in source and binary forms, with or without * + * modification, are permitted provided that the following conditions * + * are met: * + * * + * Redistributions of source code must retain the above copyright notice, * + * this list of conditions and the following disclaimer. * + * * + * Redistributions in binary form must reproduce the above copyright notice, * + * this list of conditions and the following disclaimer in the documentation * + * and/or other materials provided with the distribution. * + * * + * Neither the name of the copyright holder nor the names of its contributors * + * may be used to endorse or promote products derived from this software * + * without specific prior written permission. * + * * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS * + * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED * + * TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR * + * PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR * + * CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, * + * EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, * + * PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR * + * PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF * + * LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING * + * NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS * + * SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * + * * + * If you use the software (in whole or in part), you shall adhere to all * + * applicable U.S., European, and other export laws, including but not * + * limited to the U.S. Export Administration Regulations (“EAR”), (15 C.F.R. * + * Sections 730 through 774), and E.U. Council Regulation (EC) No 1334/2000 * + * of 22 June 2000. Further, pursuant to Section 740.6 of the EAR, you * + * hereby certify that, except pursuant to a license granted by the United * + * States Department of Commerce Bureau of Industry and Security or as * + * otherwise permitted pursuant to a License Exception under the U.S. Export * + * Administration Regulations ("EAR"), you will not (1) export, re-export or * + * release to a national of a country in Country Groups D:1, E:1 or E:2 any * + * restricted technology, software, or source code you receive hereunder, * + * or (2) export to Country Groups D:1, E:1 or E:2 the direct product of such * + * technology or software, if such foreign produced direct product is subject * + * to national security controls as identified on the Commerce Control List * + *(currently found in Supplement 1 to Part 774 of EAR). For the most current * + * Country Group listings, or for additional information about the EAR or * + * your obligations under those regulations, please refer to the U.S. Bureau * + * of Industry and Security’s website at http://www.bis.doc.gov/. * + \****************************************************************************/ + +#ifndef _UTILS_ +#define _UTILS_ + +// Wrapper for malloc +void* alloc(size_t size); + +// Checks for existence of directory +void checkDir(char* dirpath); + +// Check for existence of file +void checkFile(char* filename); + +// Parse the input command line options to the program +void parseArguments(int argc, char** argv, char** input, char** events, + char** ipts, char* devicePref, bool* verifyResults); + + +// Print the program usage information +void printUsage(); + +// Rounds up size to the nearest multiple of multiple +unsigned int roundUp(unsigned int value, unsigned int multiple); + +// Concatenate two strings, creating a new one +char* smartStrcat(char* str1, char* str2); + +// Set the value of usingImages +void setUsingImages(bool val); + +// Return whether or not images are being used +bool isUsingImages(); + +#endif diff --git a/benchmarks/new_opencl/results.txt b/benchmarks/new_opencl/results.txt new file mode 100644 index 000000000..54e40eceb --- /dev/null +++ b/benchmarks/new_opencl/results.txt @@ -0,0 +1,7 @@ +# Dynamic Instructions: -1 +# of total cycles: 2519 +# of forwarding stalls: 0 +# of branch stalls: 0 +# CPI: -2519 +# time to simulate: 4.94066e-323 milliseconds +# GRADE: Failed on test: 0 diff --git a/benchmarks/new_opencl/saxpy/Makefile b/benchmarks/new_opencl/saxpy/Makefile new file mode 100644 index 000000000..6a90cbf8a --- /dev/null +++ b/benchmarks/new_opencl/saxpy/Makefile @@ -0,0 +1,44 @@ +RISCV_TOOL_PATH ?= $(wildcard ~/dev/riscv-gnu-toolchain/drops) +POCLCC_PATH ?= $(wildcard ~/dev/pocl/drops_vortex_cc) +POCLRT_PATH ?= $(wildcard ..) +DRIVER_PATH ?= $(wildcard ../../../driver/sw) + +CXXFLAGS += -std=c++11 -O0 -g -fpermissive -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I$(POCLRT_PATH)/include + +LDFLAGS += -L$(POCLRT_PATH)/lib -L$(DRIVER_PATH)/simx -lOpenCL -lvortex + +PROJECT = saxpy + +SRCS = main.cc + +all: $(PROJECT) + +kernel.pocl: kernel.cl + POCL_DEBUG=all POCL_DEBUG_LLVM_PASSES=1 LD_LIBRARY_PATH=$(RISCV_TOOL_PATH)/lib:$(POCLCC_PATH)/lib:$(DRIVER_PATH)/simx $(POCLCC_PATH)/bin/poclcc -o kernel.pocl kernel.cl + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -o $@ + +run-fpga: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-ase: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-simx: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-rtlsim: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/saxpy/README b/benchmarks/new_opencl/saxpy/README new file mode 100644 index 000000000..e69de29bb diff --git a/benchmarks/new_opencl/saxpy/kernel.cl b/benchmarks/new_opencl/saxpy/kernel.cl new file mode 100644 index 000000000..97c903c56 --- /dev/null +++ b/benchmarks/new_opencl/saxpy/kernel.cl @@ -0,0 +1,5 @@ +__kernel void saxpy(__global float *src, __global float *dst, float factor) +{ + long i = get_global_id(0); + dst[i] += src[i] * factor; +} diff --git a/benchmarks/new_opencl/saxpy/kernel.pocl b/benchmarks/new_opencl/saxpy/kernel.pocl new file mode 100644 index 0000000000000000000000000000000000000000..4883291ea924dcfd5c757c420286ba2743286952 GIT binary patch literal 185600 zcmeFaeS8$xmFHd6)m_zkL6RvUFvdtwdclMcoOY~iKC_!{hZo!N7?K5KCw_uSIVLZ& zi#?M-CNr~8nN4PbVnB|^6XXm?#P%3SfdsL~5($!w z0QG*)?QS6f&Salwo_+p#^!fCs)zww^o_p>&zw>g>y?x(W_m95(wg0L;yXPPNbK`=6}U zmVIJPt=y}Hq^*|Ky5`sE-}!B2QOMu6TmO?vE#yzxt=T1&TJ`DF+L-=QJf^?Ft3#7} z+(uKLRdh%@UM2L@G-`g0soQrzRPBCkXKk$qkWX@28k&Aq)>Gnyir!*_mT ziDsKaDZgQg7L=Hy{@{&Tvf+^Cl>I=HHVxYJ%o{ahNu}m3Dbb9ZP0iodsM}L5-EV2q zl6{sQ>+92!_x5PgzHQR}&uQN>Y5xSDOXwrh{x;hGmbAZbtbOUDxOr=L+{N%Nyf z^=<2V%Bj3cYd5v~Z7Z(m-C0Y!b*79*^Q{G%^8jOefNLJtcscdG+Rl338~Du2Y1|Dz z8nm5_L%c_Hr)fyDS2XB5n|5o?2JYR!JsY`~m$T_*%|67vo8b8&?tM_+xtDimGxu)h zo`<-Xm-Fy`+G*5wKFmEUOx@Wc?~VFS-i>DxGy0g%_K5b!M#CpGV}FI_?Vq9(JnjuI7E*|5=_n<#n1JHnnPa=bP|O>(+K^(5*v1FDJz35bp+`c{yP|bH5Ye zGcU)4CgGde%R9WVco)7|yEP}uy;1JT;a*-&Zia8UJR^L|lXvdr-O1h!%6q-OllLs&>_}KMpnv=rf8TN0)-uM{`)`iTUnyhj*8G(+w(ha9 z32pw$ux{7X>rS+haWaM+zDM78=JaarO}j#NWU+3WVa;nqhqOa{H--7Rs6)SN9Nsf^ zGyIiGhnniMRWeLV}`;T_1(Us+!qx*murH*>OG$MT$cH~KvUQMq@pM! z-~UO4mU+KB^S(^IE89RDY3v@-{&%t4))OhG>?+Nf{gUP_ieM)q*pfZE$ky6{(v(-G zYhFbHo$pZew0s#`@xVZ_@v! z(BD7M`b+P+4&j^5SafhsM}~FAkd~v2%@ZGi{-3Olq`qsLiwt?^+0()c?jOT`o;%Oj zLySGd*h7rnVC;rdo-mx*pEI1Y)rK?wex2`izSo^OJK)Vrx*gWT_Wd0x@3}_ZYum5c z;JBTuX|cvrp;%+5<~_e(_ja2hr~D1&f5aZ#r^WL3X?{NTX%eq+zUJrUf!Sd7U*&0j zBu8tB>&#j0D}H2B=6|i$+5SH?|DkI&|H02{e*Ho%IQbth_?u^F!TWcevva32KXqDg z@AD(J9zO4V6ux{EzRZI!(CdAqLpMI6hrGFokTJI|1m8mN&46zPd^6yK!T7_Xm;ZP9 zpnRqrc)HuvjH*RSUcBcT;K@EdTbeg70!IHV6l-YHjOX|1-t&==J*7g6<+W)^`~o}6 zkNQ09ZH6BoMTQ&lv;6oQ#g7HT8*QyqwoCED4lf+zhn){U{_Ab$?3}B$;H!6@`~QLu zw(tO7&!}q9#>QW0mJ{#RIsKdJP$tobjDXY?6f)jq?mw-nZh-+_K_ko)D{_BDEK^*z`TnX9HH z_+d?Pg_X47AFPVIwc(K8)R~IaH)&oKb6$TYWrz2s1h<06!=s|7$f?3C+El(;wr#;% zX}kJsdaZAPaXC3!Eaz-o{9Wn8zVo`ctL233Fztq!8`XX>v@cY&2GgDxo%sAM>iOU2 zx$$(NPZzPo7yv7=J^$^MkiULdbDOPP_t8kyUuUN5bwgURu@^hh4t>1Jc~!P^zoiHF z_Kdp4rm{mx^Od;2tx{_VY23e(Yx_0FYRA{&eqK8xyz|-_=AGA0gLhs#L%j3asq@Zj zr^Y)k(QSWlu+ZPupe0WnBKC;r^1gQ9$dJFSYOEjs0kIe6h+i9GuB=qd8ji=zAuag! zD?{A-Tljw7Denk5m2ZHN$H2US-($aUOO8pF6~7#`T8S-UxgWTn-Bj#?Foz z6WW;l(q-*<6&`IQ@ZrUl8QR6Rv@FJ}wrJ-V^E?w7C`Hb$b;@>JYj5Tm@ula!A#yqs z8JOwJzy4ZhUgBD3?&q#`=B&Qfsl5MMu3ziSf9V=~5;pX1t;Vb3xdscHcRJKx8~>bB zxdXcN0%tC-dA#Q91+F;>y?)3DqE@a~^15cX5P!5(;^SJn`hrwQnw^)!=Ox&b)5MZ_@EQ8bd6ntR2d{a&=JP7o!+a+%?7uZz zaMd@Lh1^X&3%n(w1I1eKq&1oh-;;37duDl+9R*%hU4gUxt9r6=8}mPvc=BY(p1u~iG-ACvZHcngb>Lp@*G^(PIae6Ub#p`Eim;^#JF=eCFZ z%~92_-xMBo?>QSbs_Nij6`0Vcd2JSQ%2;_0-{pe05S?wgj_<0uXR4OmNbJdVMfY#s z9F;bL`_7*CH{^?dQ|y?J6ifepF7bIjF?3!>F>|QwEW8Lgvw6+u^PkPtT8(+(s-wUy0XH_>nFtnZ_j`-)&v!SOA+NHoz&7vCcecN(cp~Zc-))= zZwiu4ExOEyZK=7y=a7Dnskv}p?OiVOVSndV%{6niWc{59_x9%H?!h~Ce`7AP+QIx( z^UN`D)VkfP(shv&$(?u=IzI6vt$%?w*N=7-*?Gia$Wriq>utpkuzYRNvX6T;uWSCW z6&7q?Tc$ba@=OE0GAfw=^2AJVZzlf4wZ`1KYw<6x#m~4Fe`AI*uWp7nFEIl@WCnKl z8g#W(VNfI=ou=QiJ>PNhRo9m7xz>7M5oH>u^e$#sR)s*s{u{2}%66B@{EIJLJJ66E|JZ}zjFy}OSmdN9}=v$sMPu@H7 zps|2<3Y|Gu>0pw@So3{+Zh6Kn9u(S_`s9Yv|Htp)f79K11|G&|@PAnSUsQCpf9}i$ z-x^3cv+s|%TQA7G&GD`K`2L*yKhtnJ3Z3$VVa(SHz4?hk<70J&{+iq(cex?o|0nPx zY;O`QL|3f4)6W$(f6cd+g`q9t*3(W=^WFAF{Lx%J&u5P8XvZYq(kIzgN4}EzhFmod z&K$;7))4`Z^PJgl7|y(7d_IP~Se?sD>yH;Lg&y7b82;(}LAC22E^?jgRO-3?TB6jO(}BL^Xl^JHLI)B%HF-av!|DFYL@e#&?OZTRhAdyFMfTD;}gjuVJ2abuCg9 z_M3*utDVmGht3p=pX5$!N7uoqp&>pOk*h9mGI}7*m z|A~})+|rSQYTsNviCCRn8S{tFAQ&!~h5n~}>vP~qd)&5GPfA+DVq+&In|k8NALEDr zm38o_NK5ATKvy4h#jj($q2%WC_)T>o|F!ce|ILwvJu>_g^rk2|a+l!A7_M>;@+P>^ zOpdlIw9|k$660)|F8cQDM4f7$8BB|qv|o_ct5tvLRq6(O!tURvIol88M}vRR={$Wh zjiDLLRW@jOH}{R#(dMgzqDaWA=*X8gaQhP@enB2Kumv9x`Q3h)w!|i1 zv;)E6-t80H>WaG4Blk%=U|DM#Z<}veJ8<9(va1VriJkO_%WP{2_;d`P@^tZdy9v&1 z_PAHsQ|>qZ2lsAVq6GzcUl43jxKgAQ*^OW=F@YVy4L9d!)D#cb$lq1y*&~BPur`aJ)gF}lUwE%=W;zBh9CF@VfPqcD*l`%&tPfo_+!K`ebT@9y()(P##}HG8y~KoAJB| znymro8BEhyYX+On+ysr`wF67v^Q{*{a$WR`&+n#rlpE##U#tJEV$I$9O&z)aZh0G?{3)^Ne}KQ15&IhU z>Fqzzb{3u+RdQoOU*5S?FvB|U4_%|-i<)4M;WqY|w%H4g>(}fod}}nhxic<(+Ic49 zE`3Y-a<_upMP0?xpY$I*-uD4|V@SXL`m@aY5bG!C@}0NfTfeTyPD$Ul(f7NfGH%uH zQ}jz8qN~zA<2^*&V7hN_n+HZ0`Vl=h?eDyiOWTFc?5iT!&@gtH&vk`kHhG?W?!ebb z+h%9}8@c@h%PPPFY&jT7J0qfOXxc6xczKNO&%bQ>dD2&gPY+E>xrcvr1-idI zk?y;Gc?sQnW@4XApXVpg{Q8eFG=oiJH2-j{t+7~ZS@#PQY2I_SI~m*0_|r5O{^cd# zPsJB}(fbH~^oG2@@f*+?6@$dT0G9oY;@Re@_&7Q~1%4a$+OIFc-Vy7r9QvgAM9$M6 zAd8P6%a0V`C$cu%@=f{^zsd0Hi?M6x$>*FUuXAd`eRj@9&3#So)eG%L;$n0M|34Qj zEL1u)zeDhk&*Sw*K9AR%)9B5f`;E#DU1;>98}Z$+e-9zYxse67bq)RhSXX_|{yX?3 zbixav^YzYUzLf(%%Nb9UxCLJnKhL%jljQ!SwM}Tq4Ww{30zA z4YDplzOcFZFPOvcC|TzD@p9qQesJr<=jiHiHhM^mz+as*i)?V_#)H+6s9-+v}0&->j&iR77sS~A=ccNaF_tgfxgjVC9) z3uc@7UU`SYDtG^J&0jZN3pSVw=<{;_n7qk{_{Z3R+NnZk%zBI5z_xhIYERf3vD3@( zC3B$tjxyR4ysRR}@WV9DjbX&Gi}{{|z0%eUd&ybyS;L9gdgP}5xX`VwJ-X@xKkFAC z-uC57an$|N6L+Iu|9d#v+;vwlJ+fEkM(9iPf7LmEJ$!i5+Mmw9h>T}+@wJxOeq$xF z9mR)R^^0KHi~np}n(i)a#|NriZG*EuYw~L;Wf9DAztn!M=qx&i{m)1K(b+O|H>2O8 zv%y{8n}}J>&HtJB;>X@RFt(h$P!2g0`oQj0vquWiac|B^CWc<&sioYX1gZS6R zFY!$W4xCo^4(Fxs{XTxja9-Gb*t+}Ddj)gArne@v{iIc(+HOqWuiAYAAMLKQ3BL({ z@*V3p$?7sanctiMkK)-FES6K25MLnEX15I=-D2oD+WI#1Zx(+Eeg9YdFZ`I()9pO* zUkbb7zmf6lxcA6j55GahcSlS2;@8D_{uOL5{s(!wo+09B?CxxQ>!Yo28P9=FnX#w) zUHWrF{K|1LM>a>|?I!Qv)=9qMCGxEuedM%2=GTuVt`gjmIi}6O zQvN9XgC{+g#Q^xW;)jpSdM7uGt8Wxk%vd@jp;ewI82@;*s( z-*5khV7tU15|`O|ou`kDzPyRjf z(F?n8cI%11j~@9oK1xVzh}22BTfxDjrL=biTXKb5iz_+mqphc8erfAn@u9@_iVa2= z-&J^2YZYILU&L`;6X&S*yW}@cUX<4ZV2Ajp*i6Z1;QL?due*D(J4Z_&rGH}5@o|Uv zM~RDZM%I7I#u@W6{?mN?rlZTgOFmH#;*m;;Q}J)Y?j0?kRK87KQ}3m5xBZ=wVLx{P z*u_g?X2~fWT{XP+DEWtM{-8`SZq-97=TY`Zr2p<^^Homc)!WU1$jV`4KJo zofRs_k#6_M>9t3f4#UGT_W|ou6L|PDu@|jB#y*sRFE?KLox;?krDxT%o2`$__sSk1 zzZbD`%cGgL7hL*0ShJDw6tZr0LzXYWT`e=xe6jlI`+d=OnV*Zk_~kwg@^NP3JZr0o zA6~sU&s%;Y^5@MW6DNy(|9 zr|yRQsQY+MRP@Q;d>-FP{FNp6D|cl4m76mdbWFua?$3`Gc#Bz=YWz{crp{30*QRdH zU0F+P%0J_u-`y4U_RSc>z1SyT9K*&WK2(2K{7z_iLHO!No0NZvZT`E7 zvJw9@@$qij9~WO9`9WIdmh|#`=Oy?50rBmwi}Wph-Os;U#=3QaUmMN%waXswwsWF< zzvU3mq;a)Kbal%wCdmJDqSK|n9Mc&-kLk?ORYxwpH?3={etPM3WZ;sRB7XhaqlN#J z^}E2p*RrYgNM)L@=kt}b)Tb4du9Dat&Pkj2Xo2VNPp2Ae`uQ>`K%>X$lAQDC&<11MOS?k zJk67}6+1`IAs&q~wmjR^qf&o_-@)fP+QmO8>j<+xz~>IuZS<%!o7eo)(RSvD~cbzEGtnWM8VrnCOT^Rqix|6%Q>jCG*-$5_8P1|AR( zk6*tzy3F8t-DCZl_CmB9@#Z8V$bs@-=`+7w zuX)0=qPc1=v^V}t%?o~oeD(JkNL`U5#ybDj#Ib zXDNJdZCC4QqqSRFZS0jb=vNoDBhWX{n!)Xi zEvfBt@vqO1w-srdQ@Ta1|H`^A>%X%0>$4tYOU=XYyZ&2Nw3e7GY&huC71n^==5vBY z@B!Xzv*xRNS-Va1ap^9Ff8*Ak)BWSSjrE_w#ox6CUDkE)hxIROzpF)Q>ReFn& ze_}VcacG%fC-am~tr`3*+;$Q33*Vez!)l9`sXB+FtA6N_)8UzP93(!itow+sIBpG9 z)`KKQyXbpvIk2{?6nlo;iA=$VWM8}Pt~|PoHKm2#Jg$ZBHpgV;QR`FVWrX$=ZOZthb$6x=%SfyysXe>$FtTJWo`4P(zG9WT4?q% zev{;5q^;lOx4K{G{+o$>==wyutKrzCH}SbhbDM*K$NN9qR@p6)-F^7f(I zMK80DfxO9<-J@P5H4sfh*rU_=#{QvH(8$_+ggio|*^t^hm%Si6x4b-x|MEYj4R2pt z_VeG3^Ajxm7wR|eQ*tMAF0uv>`~8M%Ro)t#ruWD9s9F-KlW?`jjSg$B;LkbM8j+nM z5)2)M6MTrTQB$&sSN}$2^kDSvn|s zgxxiKbD+>$LR_+`XS(RM)Y!^8y8BL_7Nh1;_J|Q9;+x2x80t5+_E4MOf&Do~4uhJ> z@*Uto9r~J(dJ^hO>d1rlQLE9SPteJ(Bj5}*>1zMOk#h?3$wA?pZb#P9>$^>{HIggi z+K+tdN8D-T?y!wcp46}053aLzDC?Asy>X|O`WCBu5_TdadOe{&huWZjOLivOe(H6) zmfa(=G*OQ9NDzuVkDrSkwqs})*zG=v?Ub57#v*lpjN{?# z*nHM?WNZ_7GH_(G-9(&l^vK`CKi&H)o@brgJt(>}kdnL^J}@~P>0{}jC-rH4R@f;y z&*#!DS-b{oPWr5~rg>5Ny40SrzhQ=~%_tdekmnB^@_P1Z#tVx~fwIq5BE^lqfviTx7e9Zf8=Y(30Z!mj{YB6?97?9gqo+tc20!cbcf|!vzocb-y(NI zZJYFq--bMhOi=sT-&J@(@>A|ztcBGiuoYHV)%w-!&Cq{F_B<>x%IlUG*Xc_nx8;>5 zmO#tRYotDyer^1J_GR7?Xp!@NctGOZb6%UO*;TdN_}S1x-M09v&?~-)(biR!8j* z(MG*Vj-0vKW<{kfdkt;Vhf{J~^$~0}N9-YM4!Tno6ukU_&svg`k!@POEwxnv)z$ku7EB5b%1 z%Up%w?_jz=t$B*{=gbMS76hH^+wt|t>y;gpXU>Qp=4?NsO>FnbfYBzk^G(I>W-Dy8 zEuoHXKzDCHY1-4OsOgM^Vjg2Hek&mzCh7X0( z>*amu3-|A$o*e$FIkh^Ao$}#g#xUaT?wpprPxebXe7^L48LvEtOk8ke|H$+D45w@s zGItewMmEtFKJe^Ez@h8OH+_yg*J|<`_d{cyPMy8NfB!*vBl?(wE|uScoSaFyH&Nri zwVd^?C&0D5u-CS*$gN!;QF)#Ey{Vw&2KHukkoyGF$`i6bMfR!a#@ssHn+qNIuHHwG zk$K3dt{Xz5S9J^fO%|fNtb;z>6n35t%R1SJSG93ktYs7T-l8R?{{B%bL`^#w$^BKE zirwNSJqVxufZCD|RK9oM$e>sCvuWNl3!^c)e+Kmt)HBI5%-wls_D0@YM`Ukd`WiaX zvQOw3MR(B$cp>%fnLShf5cv<$W%Sm4(k!Z;3O_BY*4w=Z-;MRUZG+^cx~3Ub^&vNx z`jlP7THBbn?bK0jtJb}Wy{r$Kx_h%(;!7=r%0uU9eHu6=^+oxE)4Y{?8Baa+ZrnSx znDqr@xpE=n3mfc-%)|_P`G1hO6k0BAW9DFy(Uzlm$gCS>&C2Z3U6WcM^KR<4dZ@1m z>(#^5uUo_4O%6kI$r-RGEzctV&UWUJT6?7fjiLjB8}YA*{EO@{mpXHq-kT+RwAiZ! zztr9=J9^AhDyjQbV>8)V4&>^&cC@pRKjNrDT_{K-h7!~0N zdh)J3KYr{AL!c8(ON{4(-BPUwU$EYH=IkP7I`X~;KZAO!*S6s0hCXx>Ue@=4#TW4x zIeI_awzMm}m9Yswg>QWS-k4k=Q?kbf9AI7>47b(_iQIvOp)7ypnJiz)e+YKp=v8!R zavwEU=VfhOjXk{w10SUIpyV5*O|eys_pI#SXKhv)tOeO30hQMguW?jm`hAR8q zu+ZvXP+g27aF9`>^`eeXU;6$ zcn&*|!8!1iJRbcZ0}A6BE%Jyt^Tl5fOg5M&g~^BUe>ghA4%eIR<`z@zqg)TBS)=V1 zHI~#SUpDu`*YWe8k&(0Gzn7kK|ZiuwE*(Idsj@j4{>Bf7o`JVZDAjruG73z}~*+K_j#9reSbUilL8@e33F znZ2o4c&lbF-wVC$lPd{7CKIiFe@Tp1KpZnqMW4|)EFY=aYf3b{> z7>N3#6Y=1kp)=lY{aU|IJ-19kZOQ?9vhx-*+Kpl?s3-EPgD8I2lFeae`#h&XFa+?#`~ zZm4@D*|bL8<39ZCWW%8rVfRzM>nM0@Zrg_XWL!}&xSgg??x?{ zc6iiymcA<(+G%&*S)BQi%aZnr2JGAioXd)OBDDm!VfiRbvui4Cpw>!ZeKKQ!p z1bd--e(gPzn5q2!fzsE|?O!uy-TkKQ5r{|Jd%8`(yOXgGnRa6@zV#F=s^dqX$h?azF7q|c7le%dyVlJ_a?8!v0v>VJVZ3V$!P zv*Ycst^DoCeA#Q~=b&%mckjAYGj_30Nqq19#3bT_$p7ch;`1F>c<(k=>3tm36Z`t} zU`f3he82pH_dGSjkLI4gl0J{wqX>J;uuoaN=KX?-5hNxcW|$Bc5I10xRLtP#f^E=B zj%XsS<)1~i9!%5s>Nr|Q)3l~zI%>0p-r8~WK2?6f_y~K}it>cs5b`-8c7WcoxWP`- zEc;MZ?BJV=&{e^sXY}d~sTBJ*R6V@Y+)G_FzFuQxI)*Jc6f%0y?R8TnekG2c$~i8) zh#`|-vGl++&&%FKr}^ax&jlri&MTcX8+2RsQ-Lkq!+Ae)?~vLD>Nobv{!pFxE$m4j z!~ph6Ga>D{%lW)!YP!uHuCF6zJwg1cndj4OygZ?epeWs+$V+vBt~uB8nyG__4fq%2 zm!iy9!D!0pVGf%5IH!m`L({B~(`tAIV zemnXY@(x#N#y?wHa$TpMY%Ec}yMNf!!BTX3s_x$bRy+b$Huq^x>(mtcj?Ri5wXF)> zt6}aV6`K3FMNQ0!(J_C9^Bja%^rQS5Z+QiHQ-}VMXXwPnwM^xl1$@>LVsP>itXpfc zMjmsUVwrInUwxpdU>2}=d(#ERc^gco24Dv|!3Y8a8_{MYTvw&(U zL{=_{?D{>!U;r_%$a2{%e9G(fq%{zCng=zH`>LCu-5O3wtm!;5n9hxaBf9%QANKPr zn)9fP`$Wp9!4CeZC%a-V$fhAn(b{~nF*J`kt z7{5C$Z^X$_ZzXeKk@whYMuV-LNZ!iJ)-19wORUBkQ@2HjvOUFbL4_L zLuKU-&0D!T6pL(++w|c}AK$8g_tfP?$hnGKOZzjswcvsF5rh7uf4L^#1!nhXaB}|# z@;ul}+eKPXD}AUu*f9NL&+$9(AE8T=KDl<$PtN*u_;TVi3ugQ9OUdru2al4E+%Wam(qj7+Gtu~XGL^Ye62oHOdT&<`;?>ttd3u^ioAfxlDM@u6e4 z&vM=YwX#`SgRZJ`=+B_CshQ`o(dQ**peBhk$ResuuDvU=!aI9&n$^Dd;NakpZ!Q$S z;Ivl*|1#Jn@`G;SOU_dIQ?2gd8*UI%xbk@{z1*83@d!$YQo?A*z zo$rGkPY!_{f+u0!&EIPpJ@;rqKKpjoTLWsm4e+)hEhjee^`Ww|^~9(=i~ZN+S#o0M znX_DC6)?A0^mwa#+eZ549_W+v3`DK*)Cu@Og(Ba1oYoX~}~l6Ej&|JI%9a0dLM&4-N)Uowi4P2^FO(dBFmjNcmr+4B@VW& z?c^QKC|l<2I<7fSVb69SM^CnE)vCWH#>o0b6W1T>BYxN=HT&0i&(y<*h1m3gsJ(3p zdGx*Lrio1+*4$g44cXBbHFxn3!4<|5smG>+d0}Lyw*>pdyI|e6!BKfe+7bL#IliJH zKHsCqhI=&f`C+%dhqbtJ)~b-D`n_rnJbC62?TYWhUNqKcps!D}(M#tM{F?RnC^NU6 zht8|LnuQvB=q3yA1kV)zUaiV;t}4Hx9%geM%$f2x+~qk1@NU?>>ul7w%8^mwGdvcb z<*6ptQIDL1&pL4~JYHtF(+6i(6EC;JZ{NI|{)WFRc~{}Fv+E%Ig~z)O!e7=S2Uh)B zXiD$(#LitM7>i7X>vg9&!=o8z=%aP;%6*rf@rkX|*t%-FtRD+bxSMnHv$7Es555Ea zIc|6`SK$=8lE$dB$XDTExeq#mpf;DY!P4>+OdotRh?fQQt8#b!)UpZxq^3B_!(e~a zVDP1!UprSxPNVf*cWX|**Mc7XA!aA#)luTgQXj%K8)?=3s{21Klz=d^aL( z2Djymz{_4^cSf#CN-oOOkmM&z-;w(={T*+&YK6CjwiQ?MOWQ>gJt&y( z>_WyZy;fvi<^nxXvM%$W@^XBJ4{rpyxrZ6g+ZWsCdv*V%?cbek|K}=K^P%m(IKKUV zM6OtqNv~aqUH0y*5FaxgpOoR(5xb<%jv<#hZe4?Ch_iRF$D6Za23GAf4wf?p?0Q3( zGfB?I{oGp&@M1?Syen>7r||jMYcVFLeWUKJH{|$vx3WKcA-SEiDL?;t-QJ8mThx;@ zQCEZyBKR!NDF0-7cW$t)1^wE@J`v`W+`U)BJu6JU??dN$;DI(K9sJI~qF9RmU; zn(#3A^cCV0Y$$$)k+E$OmpG3Q(?8xes^TZ^kr*xeJ^p(rc(QHOZmQ757a8L-nCtb7 z^TqKS9QsuLVpHU@d)qrx-*ukNe6Q=n%(rEHu|m(%hb&mJku|zKJy&88>K>`DE1Q*r z?{6V9)bV|e_xmlrv-r-kzrcQFFhFv!a$cy9ZE+`$6rsN_ve$8r_l4{9053=WPbY!^rB%O=io{na_Ot&#XBU zippYxU<(~LWJgxsgXVb;Ns`viMyddjkMALLs2 zuku{syGG59P%@04j=L!9a$I{dFeC5T)-A-D$Sd)V9WKWnpCQgVB{er^V^HfeKnEu2CyXh9r-l*fu zk6B@fOR`wtw007M5019)C*G}Jp3Y0C{j1#DL?76?WaB}lkI2ty1A4uh4tN?y4(g;f zB%Z96JS*{PF=ty1tlAI826odz-Rof<>cOEbpB_IhHuYFqehM4dgZJyS{A_tKh(@~M z;UU{hgw=c7iW)UeE4bAdMcjkn6j z(!uUhLbd<(xv(aYISX&<0_!nL%` zJ~XCR4WbtZ9%Y{_HZiR)!NWC~oJ4q{+&|Sfs&HWrwbj_8Oe{Qzj^}1#Vd`0DQCmyh zZRJ(eoKj;u_jA-wujcdp)ZW%nkNXIFWOslQFIf^3r}Oz4OsEGFO7Jb&*M?kt((Ac6 zKDWS%R(}C3h`g4;f-Oz>6h#JDU~pd+4`L%r^yCP+faxRA^gJEd=0+n$?&G)W>9vdl zkAV&NP2>0kPr&(HbT=2>%|&;q1@6c}cXQC)9CSAa-HoEVQFJ#7=10Acc38Hq=PCSb z0Gp1M9;YT`2suS=(4mdjjguSEuhJ2>F-;S?t#d!|e;v9h&l3NCafe~tc}#a7&Eu>E zV)03O#C`a}4VUO1c68}qC9UmoW92d8XKDwvJvoXe>2`*KX_5DB>vzpt>*?V8w+^Ry zfec5X8H|CRBMJl4?Yxt00t3+tzlEF)*mfBPA`6n+xD*4$-;#OWu!QtuGsQ z%?efLVt3(@KRsVhZrYTv^L7|^?g+laG1Jdk9YMzpqvn{Q_I0+1t|1dAN3>*5li`1l zIPxuY%1lILE^P3EcK^W^zFTz>?~tX9#~8PH-(>MOeC#^+lOyMxJN$gnY4Iz_)yHF& zmGEoC-{@67tmt_#^WF0HWx9KIfoGG5_R z!k}K!{QdZJbuGr(mu~)$>02i>$0mP9j?U{&=aJH} za}Tz9a8z{3DMtR)oF&Azza@Su_$74+>HIx01Nk%ZJ_!xGCi>0(-_rECXOmBB*?y(Z zVA{|J;;;MW3G6R&hR#vr6BhZi!O2T~pUbe|LwMp%MTQSjD+Zll+*WMo;APmvvlng3 zk>67PC%K?w-V5aXURXroaD(I@46h;*_M_BGmt8g0k7{B|W@2ABtCI6Aw!Y-m%(9HCM2WJM?Aca1;c4Vo73P-KV$ORm zAI+ZBK^}{oQO2KgT4lb;eLUWla-(K(Rz?DIXr)J6!C~tQ;Gbnj@&zxqu&<{$xQ(+= zYK}#Nr>rl_J$_jHJiUY*9XjyPRbYT6=im8q=C;Vez|tS7`&erWeu2Ll)+hOSoEISe zHDe~{opYt}N{pAK`@?AVH$N1zANr@s?IYAqJoM02a@LqVi7{`!ErV}DcWJ$nb)`G( z^81?y&^_UbM}+oUt)*9ew+2b)I+O`+ja>viRUKHs3rJ&FU9t7yVk{JN%M+glAb^sQx2$IsHcuoU)lK(Z9=i zvYwp1V0MRvEny#TAvuf=E4_wNe$m!U`z9E`e5*O&-s$YE8rV{2tmv4|zRDSXej>*X zA7c;L>O4P!jL;tao+i$kZVQe#a`wT8t;^LnhW{V0%QZK0_EREHXuo`nEh(o&XHPP8 zuut!I&=L7}paUE9f2bdkW%z2dA4qbADlTXwU#RSsO7nL9LaNVZU=KVDM8)_*_pIDPk1MO z(byc3D^>Y${G;?5?mB!j;{M0*5e`MtYq$ro)#KK1{clxiwyfdSM$&7zMY|`o5frT> z4wQ2Nkn;(cC@~;DRYq38oQtw@86CU-9q8DtWaa-vKPrbLd&ZCzgZ!4riujyqS!rWV z+cp1?_?^_=4D#DLGutlMCOlF&Ef{j-r}%f%W7ZSIvc=4iWjI==7TmG*xV=cu+QIIv z7)->zwTm^14&pKL`tx?}x7Iu3_kW=bkHq_`wC$u$Db2ptex>~Wb+JX+ zjkIOmr@O!D0UPo2m?z0=+l@=GbI@fm)(`fNI=I`j+j{!!V!@eYeG7X_Pa)x#QrsuN@lK{JA);ok^fe6vUk_71%dzfXIz7C0O10k_<)miNS$V*l5> z!hiBzzsk<-&Mm}M%rDRU${_w}uL5J6hVPU5Dg4e~!6##9=-6bzIKem31^2FjxzaD^ zL{9dty2-+K!8_V`N$@R;H6P}aQ1440eNo3|&vldPPxiKH__HZx)A4Q8d0pN^`6>n| zPpIF@5Fbg-mJyrpQ+w&>?I3S*I%Mav=Q?a4PvVDQ_n9x{GjYBM`X7eIEBHoj)RYpp ztT+~l4N-5w9>rLMIAYoMPa#`5@_S*KafC0ouhl7c`5xj8*4@EX_w7#P(Mu;)DesOmw*mjP5HM033<4e{{u7)_I>7ORsU*L?ZqBHM%HLF<{J{Gm> zX_MdVkodk@_`VsQSyjX2ZPYcH8+eB=4ZqF0{L6XJiO=XH0rvX(^*C7(Gv&y5dM_IIuNm*Cdz=hb{- z@3Y@wzhA%TD~eyt^GAqmOI|Zv~fp^H?OWVVobr>r<3XByyio83H71)c1Qu6y^)MK%Kprat!@N8Uu z2gm)=i>6nZz^|w)BKI@dTOoK<1@=`UKQ9x%4v$iIg&y*~$@#m&bLtu79;dW(RPK{| zz><(tB=tndk7k3&``3`zq_sjDztV#y+IaiiRHI z;UYDTnz|x4w|BnGy=?*l#J$EH%pYsmF{@MMbL^ECxmoyR?I;pIOlp*Zr#Pz=d{S#Z zdT!OVbT$H2u zq;wmt8^tfc?dj9y(N z^@5ZqLL$#ZhcaiIuY3ebnm)t={=GV)5 z#8;4YvCpgCu$$1YM@`e4daTI(xfN!8NaEU1x>l1ppK7u8B4_WSE960g4MRWwy<@Th zb42Pxq3;~Ju5g33r>OftXH@csQfrBOR6Vq|b7U5B~*BCeR=m0*VG`5kHON#8@Q z*t9nMsWh(m(KN1P@9{Ux0iUAW(@CwC&f5HuzqcEx2br=%&ba%j!EYq+8$Q%pvrbaE zNODxvAL#hm3D!T!ACZeEPeuJBx<302=5p0fkOgE0*~7=lsbp%KGw&ukp}Jf?Z_=>{`nSrYuR(jZ-9v(XA;+enUPw?W~sGF zYNDMoayRVxB>yaH;ih^X+>__zetAyDIQGoNdsN$3yr*ZM+@G#Hl3Kt_?U6rRm9C%M zAT?c|pbz#0um%mru^xbLb4hI)ZKygJ?1R-KzI(#c)OZ?M*EuNR&!xsrx zFVVmu>zwn#aq@fAWDz&82QI4itd;GeCXv_NK*Z(O!dd)O)?r^kNS z&XnH=-PR54ht1S(lpUunUUOxg?8q5!%JDQUcgMdY=ky3Y$n%H+f9>_1DHQ{Y{*dQK z2c#zJ0=Z17%N?r?XTDYa#e~{%Y7~(L{GuPw|J~FgVt?-e3*>CiU$xI49VXV89 z+=#3~gZWEnSd355NQ~5oZ62UaX#TwQaie@MHA0Q(+CoK7j2g+{Ahpo4AFrHxMb7qh zqs3E=3cjc2(*D*yXnritZ|=mMxmOc6Uah-tTYrELQ0g9SoA0l0Aig8EskntWgnIei z=-`SK@QeKkQ`@MMYsW8ZqHgtXiBS%sTc=bk;!VRSZor$?iA{Y(x2HMJxcAFX4^MvG2?6KyrHneE8y z|Ix?axi^^gOVPbdjlWg~zT?9TP@~e?YtX)6Ww5R3oh+||8O5h$U+{Qd1b^QCzU*Q1 z<}TFibFZb`uYM2SelKnlN4U2QPxhWquqTTBO6%|HKVn)^Wh0_i9D;P(>DEBh%P)NL~ zFT6AZeVT6f6|3iy;jQ#duBI=mcV}eJ>S8tL)E;DYmHFD|*F1(Cqi26ST+F-fetmeh z-`E-P8`>lOwi`9kGp~)Do9NjF>NwDAkvDhU39dI0+pt!+yOXuTTaYhgzZ|-EHBuAS z1U{|8SD?*x!}#Fr5&HV@Ouq-bY8*E0hCWktnR{Nb3uaOm`=5}(206pENNgmS_lClg z6Edd19g|%(wz*G$jWRxL>+WLR*~RPWGw=-FAqR3sF5|7vjQ1CI_YKTDn1df3vv#3J zjDh>Id*Q(M8`Afdc1_@6rXL$VUhL;Md)&bIvca^>X|)T|&#rpir^cAPih;}+!aMqV z&n+mwDl6yy$ZOcoC*yAKlkj{%3vM1huH;sWQ*$IfQt7KUytjq-iZ`ti{2VXy{2L9WUFcERnE=K%jWJA9z@ zNB$=H9gZWf8{nCLr~;i69BW7Z+Gy((_p}DdhA-;L$cu6Ru|>N5<|6%~tZNC8^i4kz-`Hf?4ePD+*pBQ}x><#8Hl=kB zn)cgMZ`Fgz)_&nxG8cVAf7ojby{%o&v-e*M{rg1^_Uo=lw{ewyU*?i~)f+bo6I;$tN( zez%IaU7imb@lWL$a*^^~HEVhP;|)9qZhdf4{XSvx#1FX^(UT{Cs8vT(qwNi~wRZFa z&OV}+%~*ggN3>YyN%At5uHvxuy;^L2J9P%u2R^?cX-5|E8=${EA8fUx7I9emkr#R^ z`$xw1k>Y!xC$q@AM2KU--G_;P9xG?Q+t|}dKGPH*GXj<&!*h5SzZl;a|M2o!caz_l zkHoe9Wwrcn;I6RbNvNF~v6bE&p`IQYzMB30=AG&{V8!ODyw|eY+k=7#=Sw^WHdTlm zomFeIZHv^NL)mLNv_?xd?q`3Kr6-#@L&?TQ&3?zEUhiJ6cT!8t z|Mc(7KRIe2H1*)8kDqr#?9Z#hN9j5f_M5N~EzpSH;XI8kB1RYN8}G-;xew&87;k!A z1=^KQEB=bYxrQmMH?HcH+FI%r!$u`OP~>Oazfaj}yQC7nHs3Fp;($jnfNkQ z*u91L!{pblKnr>?EN7BcOPyt-`4JT>N0#LwC-eH3X$urDv-@_kJQV(Iz(0~RTZEsS zZ4kTpgOJp8D!&_fk#iB%`TvJ|sArN|1Z;oHRO~%+{zz_Z7MpYnY0XgxXeP5eIUCf0L5Wh6J= zl1S#B#GZeLgZ5f9p1%wngv5fWE{MoxtwQ5 z^V2-rGo#u{rDE$(Fb>+_eyM4bJ(K*eSF`sg2JXu>v6-?*gKGm9uPx%*61leL;SUlt@P;{U=d3n~Gm(P^g(YI&oH-|CF4R7<%lWPqr}-X_ zC|S+OsGOnVT}N(GVoT&z$tiLyX9!5l?B4btbh`;Z0$KI>OdA(G(A+_wy_EF_E8Pj;U8OVpf&BYyN*GS99{^k)#zcmOh1jLQnAZSBKr3Yq3q( zHr7SlXqbLqP5B#MO|b_`>5el;&CzB0lhqsFfbIua(_oLA(H7FYzvi>|8QB{Pes04K zL(A|1O~xv;vpx;RvQFJtsoOoKo*ddQV`Ci9h5jV(?O`1MJZk?^X#SfF&BW>O4d3El zV_P1J+l49%%u~L} zKEV&_IQ3!nBE60Z-ZcoWwjPkZP@E^Daw1~qr7d_gB)*9{ z@6xh9p0)?WoXvn-k>}&N&0=TZ+i7fYRi>?d&aUUeG8So%Hcl(P!7t-^bgZpG@z1|= zWjwa&7WTKp&kPUMnK#%6J7>DmGpYOco>@e_3;aA>rS{^a?Lk#aa?aEt52I&Ac65>M zE?;2y8}DS_BYI?g0)69LV)V>;!J@9YZ`9xTv(Z@ele*Cs*0FE8{U>L1yQE5sHFmOQ zYvlUiVzS{YaX-p)j7@w5e*<=%HeyrP;4h0T@q1Wp#NR9NkB)Y-21Wh2g^qq58ML;= z{igO&mEVzPq8~8+!-B2q{6?c~A^PxISmtKJ+|5~}Y>Km;{Ll6lRV(4atL(ff+mf*> ziYD3?3=kh880s9k?ArUC=dm|uFPG!yYtwSvMJy`w5CKc@{UXD0ew#Bab4$Cr->?Wh z{{TG_KeJYI*PRS;W<<)^jW1}CyTT_78uyPTU*6BNU2*$c)Td)#1heHO?HX04&YWNL z4e<*FuLV10PGcMU;_lYI=|23I{%mV8I(vwDh--Bdlv?~Epgr^tI;>SYCMl1=S#a3wK@CqpF)Q_}oDGAg(yIk@2K zcZ?((9*Fx5Kftd*CJt3#TQ$u+{s1^sp~ad4XhTkVA5i{`{dk3z+)}6~Jwta#k3@u4 zdjnY40DVGR8+;OO)Cy>Ne}sp^H-r}-u=kIVcEl*2zn1u>oS^3 z77i!u;R7k@lRe=0z04cu6v*63o(cI=cG8)%PjFb|ZOm4xdS85NKMXFAZ$gev1&edo z8?0i#ljsP%-?9hZiyW(VF8X2k^Ov-DxeRLMX&G#uboqB>PDVcS+O2`_CI{QKc5Bah zv8V1GFRFQyGXq7&RIGYvQGz-L-F;Q=!ww#5kAqwAfc@R(QG8zJ8DD_kw>#f%X|>57 zY(nOFg-2kHR~}LLV~4TRTgx?-Zve}U=k}7{OJltFowAQr`~k*(f_bGDqb)9ZG@n{1 zd(+;O+ttS2ffXtDzJ(F|ffPPTIBB-UV?8~@DbH!Kubm+pl2 zCkY+Ur^lu|$8YAK*POj4|EK#op?E8p0bkS^h05m^7k%u&&gH_ZI^|=5-#rHF4nBS; zGL>&s)(Q4v(|5;{B|ZGU3K&zCCl?Q0p`bZ;#BM29 z`6i>n(*35sAIscB`(A(Ra_U!h(ccqd=gx9wvZ59K4qh(b+sPdfBgOapUy9H0Rra>| z!i({Fdw4BA1itMdv7zGcDj8ICwjT7Va8SamCP70`8!_Nb`sR&8BrU5km63wO|?1YIjA z2;ORSPbGG{wWNiFS}UNCAX)+rBzPmBA>k5|@B8_jncT3K@Amup{qg%_UNdtppUd-k zKF|I0JXPRM=w;10+Js*$;bnf%pUR^b$iwIu>t%#1fBWO%fNan&)}o} z-pk6SBD~USq>nH%#uW_#w_qHwCSK-~j-bc_iXWb(|5jJ2t^PSX=B7PF^^WSX+0Nv9|my;BU&aa!=lOGl(l`oEEP&^U6e~ zBW_}Y{Ui81IcI9kJ>T$Vi@dUZfKA2<0k?kZq{f-T150odomcpJbge$R?T*O*h^>%w z`2*WXt#M*q-Dr_(WnH6%_>5>r)-{a-e0R9H#Gg|uqu9{OB%htNgg*Z9Q)uzF47a+v z&}FZPUIQ(r7Q$g@05R) z(k}f;E;%>1d|;o_-x$V`>Qm(%7F|mA>EGOM*#)23Z%QVTJ+1t*z^*N}>|Q5^4m&Ow z64;2nEIPhDDDYv7N{8*2KU3L&6%73xoW0MaacMvv)wEolvSZ)o!I{jS4p{CS#gZlPbpHi?>v}J{fKN!1ndqcR` zz+ODBtPDG68?_)atlY`?L!l?tH*3~r&WUxJy4OjbqQ_0-1c7Zma%PEwW%7G(boq1{ zTTc+WRDT3}M@P@)d|`AgMY{_mkF9DMynPXx&4i=8}&Jif@pc^hW9#M+2XOl&DN zvY?S>^%V7={NYwM@}gOCt%_eQp}s*8ZH?hmsFP=t&qO}d;^A75d-4(g_h_l5=Z2>T z$PqFzQG$&CyJPZEv)c>a7%QJG z-av*)lmw9vYLwhW`y(U=yQ-%y_DpiJyU6t71F4jetW{0#y5Yc^v2a#k4DcAO;6m-j2|N!h7EI8rA73JMl5(B=OmZt;9Zz1SIf-d^ z=1{*Ios@X5F!etEmfC%nHD2Z{$WFaeo_c2-_*cl7@hd(_{%=*+x?gSC%NlP(7R^X% zZ(rms{%JhQzr$c8#z$0>t z>{hT4y)19~`GT+DXHfFc++JAr4e01dXy-^{ENf4UHG17hX!hr@Pk#;@!sj@X{5j4f zk1!^dk4Q|6kKj!52+ky*1)UzH_LlUybtQFlruzG$=x`7j^kt!CG9H(H!LuMSe7_`T zE4=V9xEf?Ev3$V=OD)l|Kj#?KjdIH}yscMA9Wmis(Fu2koQF;d{Le-X=05(&!m^PDwLzj|;)c<$#+W-hE6=&o zkhZ?eo)22^42AF1zfteyEj!mWr&IfRXvnFcoxH8KHUD$iy7X)n|J$zykHS-ldte=+ zf323thDaOZ8C3rvuJXv+nPWMJqxaPkn>3X87vMBu8!+1oylx<_Tpw7Q>yGK)NQ|!o z&of0wBMz!=h%BPj`oPi6wc|j>`&-QM+g7W$+Dz=#)|=Gm(XKm z6V}e<+y(ZT*lKle8M)CqfO#xpWqg6Phn|I3;S--6wp)?iL-6D(_zwB&i0h)p%>Hh# zp&^jGXg6nsO~b;Eu{whNpqgBc`%Im+{jy8_4zWoY^{ii6y`sB9GvKM{Hs6L0U?Vbb z7~<<*@gbszfq%?j7kUGXhzH7PVqC3^OQUvJ9W_O|&@;;e=^7f=@y_3{Z}OxDRexH@ zGvJ%-i9BGoHNd%p9HE!)f-_~m{cOOViY@ym_7w3Hb*Z|rqh1mkDfK$oC-|L#U%xu+ z$yps2%Gd_iVNY(TxkSdu`2T7h_T=j|7t{9NSBL$M>1P;4b?AKhfI95S9CDier8?}} z8-Pn0IDmh%Y7B8=$PCa=1DIQXp%!~`M$_7XaLfA&HkW(*;MTkb+y*DhbDfRL1@^;4 zmv@&;`xoqBocXhTfGF@j0UH}hBUiZPkI!m!Un|#D~ z$-C00^dodI`K?EeySdr$(;6kWQNNY8()B@U3;v$m+Va@Iu}_~weBd3@4s`U<1awpJ zSZJy79C$t-KT{z4TT13ubZf&XIddnrF;#1I!UNF7<|EPZPe2pn&_ra@ybVHE@q?WW zZP2OpGMl`DcjlyVwLgBUnrYx;vdXORak8|6y{ro@mvQv3O&tv%9^Rk#Gu|?vUt9t% zZ#kOqYkmjhT|@j;RnwsJ+l23pr{=2e^M(z>_)Q))#V5XI-9w$%;he9^iwy+^$X-F@ zbLh;WQHzy)Vs4Q7j$dML5ep!7Q{%dypUg~f#vS@pqIijMj;Ylm&tW5ImHy!)5eaYCEQy{s>49ogif3D`Ws%fq4u$}(g%EHNc?Gt%F2>kX* zTkdyht20~L3ifZyH5aDlNj&?+OPQ182OIUN5B~)M|2^`&{7*>j&T1bn&aTYeUgqSu zb(^fw(%t^^LVLFSN#-U0ofYQ0*cugWA`U$*17z_0JL-9pb5jr9Mcw%6rM`Sf`{0ao zaMw<`@7A0L&e!@fdzMt$O-hXM6YrYte=Y;mreq(=_Ic4TO{pXn&N8aOe zsIjN!yO+9ersmX}_Xz$=UJs|@WI$?$Qy;t&odsQSNWfZvtSK~=^_KMmFZU&v=fosd zA^G08I5{|t)#lk}sppj3Dz)54y(jHiz%jXi^Bv%bv#2#J?^`wRvYtQItgF8a98JT% z6<+!7SGCoV6Wt1L!i+Eb1Tj_Qmh3nST@rsgyws^`LMLs3r|4&`j=aX(G%r=9dZxy zLJ^-y+p^m3DElh3BM2=CLgT(9v`x{@s%g~N-s$sB_>eC%?@Y>AsjghM8JH>>o_urp zMMAgToI9|wZ$OR>_9SOL5@Rm1J}J7*SO(MWcgg+MUuKP!&Ey>Enf+-txN^dtk8D4^ z@HZCy3BPr&EHdQ`pPTLXCplc5YbJ#lyXsHr8>wZD9Aw7DK7Us=sIOTP5RirfFXEjc(A+U`?0tIB>+MH)f5xeBxuS8| zz#k?UOitq7TiBt_tr+y!H zD9&NtA7l&AzSS0qEuf<(^TkhR93f zkg=5vxtBNiGGd*X<+9B7{aQ5N0 z^zHuF7z46douOnc(FOO6s!ue4`|5Y;Cgi^ubJ*xsgtcUB*+zI$y^;ly4`{#n6uA~z z6S-+(f4NCyCSNY}jf)HdyxthJ9ycYW z9(^yJ9#!r4UwuHvByu%4cQgg-tzwg8`~i{ofX^$b|Andlwdg59WeeLd>c66e&jZuv z#Xl}O%2&Z(WRj0~uXG*u1XbhLIavE+q4gqvi~eF|H@pvQsJ)!x&9j!@2CQ{=NS`d= z?0)PP_URzryrP%(D!Js{KMmYZlef+w9(je#GtHAl77+O(F)@yPH?I5q4YUuaXzY0Y6;gRqd)@#jQnQXksJ0i|-j_$V~EXwW5iNran2ZcRtRB?dQvTGB0ad zSC2KL1Dg1{W-n@qIhU2`QinSESWbttDDwxBTbI4jDeXBI&)2bogcMJlHUBeYg7Lk5 z_%Pd^f*CTb*cK-*`$uHLYvld@a7$h`KP3}X_mc_wjd$bryVK+KYh;&p44^9&X5qm7 zQo4O0PUd|ToFUIfd9Kg^HzPh&U?MaS+#&Z#9J^$CjMKl2+%ml%{(hijYvMAZ~K6gM%#v)btqyjLVlRfKGkCIxK-Gv&@06ME^&6#wXZs5 znU{(GP31;E<$jFwav@`NWDPMlXV4eD^*eDH7Oo@~x~f>!k27?=0}}-M+RW zzXUGG(#SnxZ$TzGMYq`4!q`S7nD@Jb@FgF)bdum_Uwh53C9sM0=~0J;-}UKD6XZX7 zR9bJEDF4x;CLPXdyM9@wvQ1wUx49vO2g{T)n82GuH` zykRc;bc4WLaJpBNh#JMw(Z6I5q-7)YEbuJ(+oo3q-`$LAB}1~VzXo>- zIj=He0Pg%ASRPurRNdozs@zjD3wyhlfA1l_zcafKzfJz&cNH(+Lp^7|ZOQA?Cr?S+ zdqyQ?-JQ+m>Ah=xZOt@)t<6^OcCWxi@KWthrQ@9{k2^COHxI;b?cc!f7X&swT(;B$ zk6>bKT@cuFHljUdDH}L3I(wF`N^BYd4e;rd{iPRsX_bd;*%r&YlX1MP_8K$>dE!}R z7ui$u3$DN7kF}%)I5iF&!-gAKpMG*c&x8ntKe^T@KF3>xp%;!k6r{2^^;M^0%S_>9v3 z9|ewz4yEx9{QfVVebbei?0c3r3!M{sY@7?vpHv<&Ce-O(Soppkgf7GP;{m6A{mC~KEA7h`Oo>6D}No1uU`wiVq56CmzFJc|BOER}keCn(runI`6 zado}ZjHRyW$x99mOWSLejg}heX}SSzIIi}8@4jBShHEK(JIx0-T#Q`3r$1fudGFG0 z@lRR(d{eIE8Vf^z(*CKxrQZFj=8Z5;8n!uP&tz3cp7^bp zuV1%(Ph@-FIyL`H`OHjmCowLIyl4KH3j4y*To?c}IWTVDIks_i+RJ zc+{gQe8VnqN7!4`DmbPmFFMqvbh1Sww1Mw7?@Ied!2R~Lp5U6uiL)nYtI-*vWA<|P zadKFAjFnwC+^}we2G0Bc9yhX$v5_Xe6o9%KCf^O zJj0(GiVpHywk^}nCl?5LTuK+Jm@RyL>Wsj$n8lp31%JquLT>TH#1zD{$vs5QI3fvl3j9?EFDzAS2t*RzaC@vOw8@+{-? zb$$NS@p?AzgrXC8e{>!B7x1eVl0$aVUVN*2;YHXLmHX;^-6)grh|-nb8SPn9+&l*zAP2m$S#eU;-Oc z^nvK48_2Vm0bUWiR>7H?tOzn`Sy1I25*QZNL7xs2Gk2J=)n!G;-+&+Z3o`FPW1L=^ zV2lQK7%Qi%2On^5t!cz0CakwDU=%HUf;qpGja>tKa2)$Fu$#bVqQERhz9r6Ulxg1) zAFLlcm_n@p?cPAl zpZu?5{BiQd@6BktLxV;D$8(_-=ftyYVg)3pP~!9DSw>MEa|GYYK16;m4=JC(LVPwu zpfQV?OGQMT=}P8x9b^qm*Wmj7nObpX_cwaySvZunEY}!oh;ftKLpcL zAq5BKF0fPmNFV9-I;GvMQ&bzL6Wb?rpi5{~j`)Fs$X|wA1zo6mN1X|DnfL0Ye;~FB zKST9*sZ-tf_#KT6M@LKv_k8fw?QE4NwXg!Q_l)OWXsc(yb#>lzrUkWW2ej&72_W12vOY!sl zWx2_j)wq5DeJ$L2b&9?|2JHIDlv{owwkPOuGk!kyQXZYxMF!BTTZsvpVtn-3e6b%7 z`kVOTLDogqCkoAEJ!%App%EH$-ry3a;GB*viM5Vq zOPs`=@Iw4T;)AMciABqZqc9tXu$BRy@A!+fv}}5*PfM4sA3#gXmVN#IDJ`A8^;^dO zGg`WJ_}@lLOIn4NB8xt!a2@*=zqbj$gGLx`_)sKKf*oaE)9D8LNaAY6U+(N~)oh8k zFUc1@3p#olau0DJ50M9SlF-t<(3O|4^E?3`E{8wIRX#y}W7lsb=A%X*z&p2=z9;?2 z*(vb&1b7F}y*@1RoaipBZ)HN{J(2aq*0*)kpIEnd2gL9E4LCKB{*d>1`t*R{*kJsE zu74mr2VZPl_>R=YOUCg4JX7ht ztQF%dWDf`)H~&7dZmQJAIT;;)1NNsu`H$q>O1ge7H725*-L`7RK~q@YSz?DKZ_v4{ zft%3pMNB*U1@D z9gmJVq4LlW8%QpE`aaZrye%&;!rYG=j9JC}9a?!PI$H3j_YA?u#Jvt>zC)u$ck&rJ zjQI{jRu~p7Jlldl4FyI+xi*w*L!*UH42@2DiP*Sp&=ubvXIi+&YxK(Is7_XwCHlqMR7g)%t@~&vc#8XP7gRsS}z(9N1Ia-cQPu*%6n>)icStC-LPIBi+??oczW4QS!yBz9mipUS$Qz zuP-&M?>iTo#o59|6B#%1zPyHAz+h2` z^E3R$P8ePFA#g<|eG>VJIMC?mx}YU?yI8|q%Y^SN1Q(%)w4 z-b+qzkaNxx`ootspgzK=-{JSpl5xryjn6{^sk>q^R)IUTIKv75<{EFovvKF5>9=|& zb=X!dr2Xeu!+zu9tWI)?nbp7jwnL@AX8lyV#F=Q;4=+WwFa#!=mt7N2PPrr7;7p2h z$}4Ip5U0^qKt#Rxl_hREYFRJCiY3E86?N*E$shc?EhiLSny*^ zd>DIw7<+#xdw(c%q2)u*_2rWoH z-uh~y=6x;sn)zy?2p}L|_CBvIn-wh*xv%+y-g%Jcbih2AL*n@ocuZ;@KRNLm3wyoV z2XfY6|fSqfdMAi)Ikz{a)(p%Mhg81nUt^Cx3RwPGM z{MwIQUin)Y7cf+QPu4x3-@)WO^Gj+R;v*fuS2O;k65ulEiN(Z zZs!<@ zHCi2M@5X-+u@^VQ)LM$4nS4-fcQn_tZmVR>0!L#FV_saMCAHva;nT@^$A?)}!mM&Y41K5gg?yx-PHaehOP7|Sx@=z=S7>wxHxAb^;zCEpY)^#9KWsa zUMg^V(tqzPem6fMdqDmtSD34SIqRxq&Q<<1>iLxt+a~}0dn+H8-)?vW`MVm%2oDfF zUSOHL<>X`P-tr0L!ka<;LVPitc~#HAACf;fi9Xf*XbSfNz44Qb75VKp!Ci15sBm$a zIo$eTc40DTKnLqm@sg#BQuuYI;FsWCGOuY@qNtp6yx`fKlklBXY}vp5lOJ2Aa7|*% zQn;4JGlfsp3ZEF$tBEvUnf2mR;ESGYe7V3S*2ap654H>(9jExM>DZ0Kbn7pRB3*UAAK$;%e15J5a_=U9V#~i`ipwYrJq@U#GS5K&VGL_`^ zBi7Jw7oAb@i?;>vENoia7jnMo4yeN6a^hab)&ep5`gY%au zzw)3tEy$wA@vK2}I;(F^yZ+S0z9jY!zS1jaY!WH;(k^YNzEg8bzBcQGtL8E@ zHJ5)G$or->O!RqiC9uU7d8m1@`1vg8qQHg!9fSJ&LoyJ05FB;~J~yfrpW5X9&%z50 z;0B*wx|z6&Wimz?8@g8@F^E^AD-h3EXP{36mCQc=a8TM;Fzl5X;Ood5^nJhJ9p5im z`0JhN>wls`gUGVo|VF?YMa9uoN` zF}9q&jyyWL5&PTvlL~_0CXp3xO-bcd0dm?d`H&T%sMBnmTGl5*zJ8&l ztPy=dv)V<@Q1VH@$YnfAK5?$SV~CPlh}|)Y;z8$%IX75C`r%!uNXcK4pWI!TP2Ay6 zLh1Qirubf&|C4J|xUv@*eB6cHItce7&mn((BJE2X3h&hX(RDBR3Ht~`kDA*Y=5{x8 zL+0(iU_5K+O}sxXgw1K4SEfxf0MMbRc9dsjCoqpS^)y zw|`raCm7!W8J`-Pk`0kN6<%N7oR-gcw;o>KE__XBC~#8z9-KU)=w#;*#m|xJh+`TO zgsyx=c!P??N%3>x6Fh&y-}C+V&z2^qEOPoOxGj7oJ04yx=Xhe;5Y8Ijd;;DcNrq1xC#IgcEz_Jm#hioE@l8J= zx~u500ef+pwnz2~{<`5xg~O7E75`nml5u{<9+Y@$AAYR{|K)mG?g6H6v(AdIf-5hm zc%};T1^9K0b$cN}%+-K+t3#JRDQ%?JhJN-cTBg<>n5(s4`f7@Pl=s@qkrm*167Tev zWyZUS!{$t?$ce;b3(SmY?zX_{+`R$oyXR`iz{r#$b8OkwE0d(!PCjHFH&Qa68=fY5 zV3u)SdaT=crg4QcpL`Vgqk)V-?#|Pbk4K(Y`#(h+Q}ZDoXgrV@i`|{I zAKMHbPS)-dlfHZ zPCpm9KzL9e{%=dkvnvl8CHRNGn_Vb+C~ZBI`mgj@{=2eY{hBF}c@l?ZEk+OcXl8ct zb?EWv0y1AK_{RIJc@6y(vfjiCruP~B{88lQQ3uq$B_?*|l$^Y{Pfq6D_3Vj4#)!=( z{qA1%u8(7v*QMI-HwXVd`1BjMQsmmw#maa6Uhx*$pQrBW$bVYm!#%VTec+`O&qCkr z$Fms618Tm?H%-2e{$oLo1Rk#pzLpwaZSTBzu5TYuqq(;YV4>P5ZR$@q+V+fklQ}#p zaP7mL%b)S*)QtR*FYsIEqT8|vq>)@;~StS&|>3L_yxXsz5t?IFW{|^p!}zwstz(O4v2V=HcUu{o z?`B=QReQ1?&~a(6?NIYEuX2;X-_Nfl*H%SV$$apW1dO6htO>Dad2wYJg1vvtY& zn$C04YtLK+?I1q|`5{!kNHu5TVG_t5f-~S#Z!Qg2>g{z@EdV(dnh z|Dv^wb-XM@{aEl)Y8^Zd?Hn64u$KXwV9=>Ll6t@N{pfh|yN;a#9?QI?%~AMrgTc1z zS8j{u%YW)(vko$z&l(HQzaisJR+;VKa)EFwY(Y zHeHrchpm(vH(`T)2Asz4B@e-2$wPoIQ}*Hw!~vB)#uy~0M^M?I{QM|gk{`t~%CTkp zZ77;RJ8nqjVEHp`t*jZ)R=UQm*y7VR`gC5Ylx<#oTPhwB`$L;n_9GX$!O1&9O$TE3 z%{>uviECEpu?Y4}=8jCJZD2kdLl(J7sD;A%QIEN>>^i|CwN}9+S)2#SFiMaku-#kG zIyY;T=$rUu&?TzSEy;BgEo;T^OTGiM4wmEHe4csbSicD5@Zz zn8_X#JpkL-#wPM3&pgpqxZ!Kbys0N;J(zQVHB`B#5`%30Dg5fKwQJ4KlzlLOynfazTb5&XWp|l zwfASO8lM=qK=bC4+b#2%m|eLJdHq?*OI(<4Fa3UUSY}t^8EEV?Q^2(f;J1>Tz(QY; z*Qc-SAy)4zf(J4l8JE-uvBc*$+#HjbSfLqXgPI!Kr62ZKB2%mJogkNZX0Am|5#}() zT8!`GJCA&x{}8P8trKR>Enmg$domc^;a}z z#;OcE``ozbvQAcZzCZ4Xx;OuvxSd(V*eB@DnZ)zMAN$h=_{^X61>z4t$5VVr`2N}uM1K~p6%s{IK4#6vvvsQK3 znO}}0A0#C{-nol2w~{kit&8A6>>q^28qi|$$HZ;Pg#xZb3-{qq$5x%1C-Yl_{p}OK zE$ZcgQ-V*7@14G9E`Ji+)dA>Io3a-vJd0hR+Am_C&CjNfIdMCTtwQy&QTE_Iwg0r( zrGnqgeS1RjQE>kH$U%XprD~;oz@F&rWF8;Lf4LrA^#SjfzVE|%<$FR4p*u>hJXN+K z?)C4WfvW9(vW=62|5fs?S5CdhLaw(Iznq?5d!=4R9C`rx;vR5KGt#nzuKPK#xzCup zUG595Z`1OuWLBulZ)?-t{O?tkPsgWC%9FW!r#CAA{{wsPRa@}Bg zx^U^w{+{_8tLui&*2tTUJm&<+bu}*>l$Z&r^JGjVrzEx0mAt}uL=KQVQz|#mpl2Ab zf`iOWJ@3!4+Y0ST<-yv+o@qYmH9W<77n84hlgNpF9<1&L?B*AM570q!V_6;Kv^K-t z&i6VqoO>IH$%FTq(2=LnwW>>yEv8A%VR8&M;9DU_7P(1!a{`l}QROqerNAE#Z6@zM zP30GpGY2YH7yMg}_qQXlcB+dWio3z9WAsyXKH&$1ow{3zy;%im&B&y{*6 zIbmXQ@m-Ub@szx1EBx9O=^SL_Qpj>M!aeTM404BO=yt|w*y#ku%&7?4Ynr;U=RVy; ztXWA<^jZ0??ukAx-wi#{7n-`0BaU`ibLxRL@BWB{!z*Mqsv2V)}WI>^afJKoS^FT6x|uKD0}ccK0}W9>p4>=-1JrkI=~*CW}nunmO3XDws>Fy07w0;9H13DgP^=^~h7qE3xhp%{Cv6 z;UfmF$cZ1d5Q{@iohOeY$6T7Kb95pF*OgCM$_7~ai9Cxh^1$GAfo8PAFGMH)%sjcq zx!8?T^H(^n>&ETNB9C~jHL6dqlKMuo(Wjo=@AqF>srvToEuBEd9d(R8I@34;4-(%F zwK*BjGIP)1@d%#S;7RgZzv7J6pNFq=u)grG!ggOn;b*}%4O4-qZ9b++EwbpE4|{7w z3C=2;Ib-zpG0)MDfuqgK*yn$W7VZGorhs>>-{>70_LX7ihy0f{tb`VnHc?}UHkZ>T zHH}&YHnKM0HTWp&A#+#lPI{=DJ-Lu~h$Uf9NemcuCG3T-VIS#K>kXaRORXLD1A8*- zIQK5W&y8(Y`1qmbwa}$)z3&dfL%~gfVHzLPZ8`_)gJKg(<7Kj=e&=Ak6u6OJzA-vp z@O2||1HUK8Z`Lo^0dHIcz6K>uafjM#>M zeJeI8@!4-o$TQ<5C)P%Ehc4AeGOzJ?bfVfTJeR{6;R<_nUs>d5H17_gU>b8I8;-J%^RM|3Y8y+LBw;z_tJ%cJj=;+G=u(R(E!)+Ma7U zC-;L6&2~C8@BU9T@4fFJJNzKe$#2Xsil)MAr=EKM@gL-HpLo+s?pL0Ae}%dq#FoeX zO{d;}P~FGY7t-P7`YHWC_Jb@dG?o0wQ}twSW4l!lCeAFZJ0r29%;YzT6`|reK zFAD{fOf(a|O1xh0^@l>ftTd-Hf(#sy>++m2Ekqtv=q~z{$Rp11Ms%`pw;gI!_vx4G zQ?ZXUDp^vs9jfG7B{7oyuWjPmCiLA#{3B_(EX0_xGmdOLn!YA>0LAmjJ3fHt2@g#3 zy?#8;W&dC^9xgT|>bW4h^1TL{1P{b#HbivDUOgF|Ps#nFCqE?e$#%`%j-H(La?Goc z-@{|JS%RJnzv`xrv@kT_V*UIUm~&UH;A~dUEJ8-Ci&y z9-Z(IdtwT57dTzGMx7&;*lvsWBzFn#QbQ5_Xe_$vI_wOb@v&J?^kj6THRz|~;?Xte zmqBWRJVPC)=VZ@8SLT!ZAtSRpIrou6ayD7oWUl~UX>)0pj1k?hLyb|cO)p)kcwpfP zWn&keCap(C*Whn>lGt}`gZh^B=+7hnk{-)?`7y1&?dD_bHh%1>#GDR}OO=>aiA|N5 z)pTqsajDoMBnPwa$7C(7A*?I*bEz*u+~9S}hMrXyL>Byy+VARRwKwWz;wR+{MkqQ) z_hUvU==d1;6za%Ge2?KHv2F|h@PJ#f@j>yaBpTq$i_AiaEpR3O>b#~2{o_XMob%&O z&iSJfMdiT+u@2F~uj$nFLI#Ddk6z50Z0n61wT3L#oDGtH6AAvUyv^E&gv#cK46Te^aV_=Z$`sPKJ1|Ej#l{gXyf zoPEfcdEO%DI5o)mhOZ#6N=%YGlkD!Ic6PWsc`xyy3&O*q;cY5D)FK{BVzN|xsMImL z?;La|&cEbL)ZDq>#3oh_?IFHkMJ}{Bu6aYM3anFoOFYj-wcoRT_|3lf z&YbFa^5SKg2KIjE$_jW_4s$D)HKI?}h&U<11>hSLyg*hW-)ea<`M5bFG4nNewi(1W zaSeMQ72nAk|F7aZgFQMB<3x-V#r8Y zZ}{16`L=G&l=#j+34SJro!^v-ReW3d4nx=y)t)bX9{WkV%m+Hqm>7pWoVrW{V)}wX z&e{>vx8!J%RR#XB&n;vN_NfkCYfp@?(~{xP#a>QjELuctANT?t%`|9B+7VppjqNMi zt77}oxW)K(xpOkf>j?c^V&>JkGqG65pOo;)!Yrr@dgOQFOWgPVP8;O}vbCdYdC7UyB#$g>Jesp;F66e8;@#Hr8zT1_G zAFaqa>e58N_|eXEU(zr2I}Zu0=o|YnF_aIQ*b_2?_-=}1975v~kHJ^o?TWkD7?WGW zhrA1y5ffS#w-@b>x#TxpJ!BhuW=HY&FB9ArP>&s@Np11TIQ26NQU)o*N*$p!023tdz8YWzCMkGh^$_X@^f zv#F-e<>}O6JE~}((8c5@UBpF}KIgafO=*|&>*Nz;|D@tEpOLkZ{{wK?kMCUTrQ>=WAYz5u?G01<2%>LHP}tnc@F2+eS4$s)d%pcbU;w>&%)Cx; z0^i|Wg%89ETR+S!bi&45=nlRq^4K0KUGBHHLE5`V@QU^xPpx~Nth?Yt@}c@Y3CE*Dv3 z%X`FweP6Z9`$A`h-w2(OK9a9ZJK@d~-bP4Z6)9kaL8KnO`k(ll1fJ6wRj} zq4}3I+$em(=TVi&49GjvUtB43ajtv$hti*$A#tZ0h}R?5bl3X7GVaZ(ai{6&BE8(e7`E=bat=Lwut?4BdpyJIlr*iu#|6Rn;~`RtOdXs zoz$w3b%n-4N7cFp$!)umEU^q+jK>bUXwIOiI{De^)2=ia4n8H21d?PTUa51>0kOa()~@1M|j-&(tz(NVJ2 z&U!zNHO24XSqAc$A#+6D{U72}E7D_tkEwp8f1e*-{%L>s4SaXYE5zTH2~NuU{oj?i zA+^Ser*0Y82IZfrJ3`-EegPc1`rgOB!dcFN?=IzC4ILagYx;7N^Z)Fz6mBlc>2Vr= z>flQ7f-HF;rd?IAN;s(-yqyv`ZIiq?c{{>;ZD1PC&@Q{dII0Ju5#L_c9!?G z100>5E$|Q7n`s=6JVSgU@j?=-dHKi5M>FOL9}vDT@pnRFz^(Zynx=RfwsP{uWm4bJ zyf6W+6MC-r+Plh^v`{@uyru9zIPRwKzY();@TucM;CKcwZ(Ek|ElgrLj@J-7M#HD>C z*V1q&-s@AJ$C5WdcxqY(Q#pp~;E!{VXUVDm{6N{qy88@lyx5p?So#v-mg#i6_8QXVKg6ujhouz-u`tc%1hls}hn2V{9GyN;PdjeB`oG-l=(Q zpfTXM%4?%7Gw64ZuODCjTZOwSwlIy4$OJy_w)MwF!6oAHI@uqu_U-Lc_VxNiJv!N~ znS~;QFwWJf|I|BH|DP0o#v1)MYs}sj9N5BH?&}rpb=nK?onNm>{G`aT0*_SupnBsON?yFM;1 z-6DQHF4K}96#o&}VRP*F?DNR+3U9Z(-rEP)`r+qq2R{$a{=V-T@aB2?zzzyb zmI+LjR`rK{+n$xpTw5pnsSiiCZ18zq`lK5VGW6Q8N8Tf|9 z{^-s@pBaPgC?9)TBR04DWlgYoF2Q%WyhwMCwMX3c_K0&`I795O5_jNnuH4z(L_XyT z_8j!Sa3iuiITUCosujZ{rs!gCa56e`e47%#v4yyDj&@0VR)W|qZ1_4h8C5q_;>;3b zkOzt75&N4jcVVBMymBXUSB8vL#v$WMk5i3}aV4jP$*(shTwKJSn%$rkw{R{CpO@6u zjppw|XGS+1y*->Bce1{zy|?|?(r0L&*Z`SV1>-|MU_NRt%&Gr;k|R1l>3c7-mNN?lxb%kwG!1yf zRUC&rmmGPdE4nHuu*{I>Q?<#Y=3FoQPU(}FE5Bd*%);*u-nn7g;B17zzIO~+pFIYN zuaz;#So%MoJd?2$0=v|>WlYgk)FkXT7Grg}?-Q>G;a@!z!Ox1WunGAqRew(2DLlge zLn+^O%C;*0$2@)&Tt@e<_9&+BDG2?^7{_{btiqTAr z<(&#_NyEpWyHzTir{I4Y2L0!rz9#-lnWMT#-)TIL77?q4U)Hy!u(pqz#}iL&JkQ`d z_@v_2qNCZ*shEm>VK(Doq^_r*_hC;? zRp9Eh)cW&Kw2OHHa|LVa0SuT^mdr`^h|Gz(efFH#1ISP*8p1gI|Nht%U4ae@yx&FE z4J6iWJP%$BoL3&}dv>yn*Y_`kL~amUwBQPOk;2cuc-7LDQ}=u6yR!dJ#jED+eebP2 zL>~iu?}{EM+q}q0;GNVb)V4GLqyKem_wCx{8{G`{c{O{1*lxvd64;y`3XiY7SuexZ z;yE3ga*Iu!I+88qZafsRiyN@X7HjrZE%+AJVrSqz>P*|!{15MsIXU|^uLgf-(*eys zy;1XS>eOtPJo&RSbg$_&!^+Rltr=n6nrTqmod1>RM4^s=yF5&d_7=^VTZ{b?{j8Fl z_qUk3b9XJ{lG<>lPOj{jH>bd`EJL^c+0gBo1%~8hu#>aty9Heu+`jiM%{Fd<4)OlY z)FQs?Eq=H5Sf_<_`=(9cD|=Xr1^RB!Y6z$~-*G_A z*}04HgvvB~=F`l%g?JC1qoynIhSG1$$#2Q>s!eosY|zYe;2aAKuZeM{=4a=25Yxms z=Qc2({_|{L&gpqJ#Q)xT3au(;eyok2yzxLg1rL? zTY`dn-UUt6LcKsMo~@VJJJz#SrYCD4`LGlYnzi5(IGDem+)a5}@?gh7`{m7=HC6J_ zjA|2ju>N{-PYdyQ0*{p^?0*Jtm#@dy37%X9PLAYvV=MKu*9N>Aa8dC1mQHL=h7XsS zz-q?YUVOEeHUup63ukUC>p7cP9OiY)Y-)_R>Q*jsC0w)3*0^`~x&U>5{r9R`sk!RI z*=y~9&w>x#4bbP={046i@P0=Fza?ITHc!jdi*t3&zG(;7x_j&&?cmvlnAfpevu1>J zcXzIC8#@DDxG^C6%Fftn@KNhzhZ_y&F4inuue&o$-L9%9&n|f+9&31`mVJ%Sx5u7? z4^`Irho5TRidT4#aj;L4-3N9_T`6eX>+&BM%ew3$mPxIp;z^tVa2^Yf?xh!9n{tW` z=*8Tdvn&H%e%J3SZtg^ivvQ)-XLj|F`7flD%S$%+;;y zcqhF#sx}3@{q?$Ye3Ob}fagEPHkZ zuxf#RF5w&pad%F4Bly=sZ7A8ZEzq(?ezRw}=2bPuy>58Y>8v~S)oW}CxR2-R-a$T< zJ2(d-v=Cfha!_{_Ej-Bkz+Y$~*SyMCW71zO``$Ys_J8*MQNE$8?oQg9)4@JHO8nx{ z0DC>)&D+Vh+y}R+(9zmEG_L8jsXcD(41;sf8sS6icQ4~~-L7td7O*al^SO6FG@>CU z{2h7)%{~y595}YQAK31fHH>?ePsO|%U^Ek&w!alVauaYK^+#ltlWx;g?3tDFn)1JRy)^`axvVqbW^TpCQQ70oKNj;I zs?fZvzpQ)jKcd;g=YyYHH+T!4l0DGg7Au>8Jx=_dob9VT>7DTi`ijt5Vt1vFicI2! zLf8P&#j>cEojqCZ9g=6{47i&u_C?~v)qlCKWYU9P+l@Nsxig&md&f6MrWNu&;~dpxRWi=u&td;o z{NSYO>;6c*0&Dp( z_Tq5(Y&+*V&d}@|TQuw83eDZQRQC=%4R1z%+xZN82>JxSvt|Z$%U!B@?OcmMAF6T< z_mLp7O0kY?1>P3uZsaJu7&?D9Ya2NLFD}rDDTvwEtqWMgdH+hDpTqBPF0|u~l&rH4 z-UYAWSqon4zi;yUp#Of*jJqo%dT|E(vTY0e{%DWY7}4#{ExJ_-@16TQ-7^jbykNay zn{5Fv&|=u(8qI3rp4q^;ff{0}0?=3b2+z=J1MuMcKY^#u)Pz=epZ+tv?MIq(%SLQ6 z5n_=x2AxmLkjMdcEgzXfa3A-2dYqPd$m;U~&U>>1&dnP&XJi$$l>OiDS+}CCN1nIm znQ=R~G3G%K#IEQ@&>Je|4f9IZATvPc?&7;D0x#({1UB}K)xe=08{}}}9O&zo;lPpe zELGCpaMgxAlXn=i&^LMCHBF&s3byu*jBW0Ao*Paqo5=F={&s#N<4T*zi1y9UqXOtz z6Y!nM|BSYP9o!zbBRv6cNrPeMHW+RbJSDP)IkiF`8+73pcE$nVDQiToHrBz)IND<$ zp^ei*y4TI~p&hz&3+tG-Z;Ts(&Jx#QSIh$kkj-u!Za6oqd!wB@RwIX5n(fVo9$MfF z@|uh-0c{`7Gr^lQw`~-A=EygkS%>nh&iiP~3|O5#;B*W-Ndxe>T=Txsp?ekJEqz%v zcae96+IyzPnc2=Buf3i3Low*eeNL=1%WeJ!&j6R%o$y}hQca0o9A;0PMqFI#yq(uc zyH{<3#;${2)AnrQ0Pz+6#rUL$3$mWjyFI=9?K5K2d-+=-v3seQ zJ;mFEW)rLKO74X;Zwr&V?L>;V1y1C-Gt<1S27G}p?PD%yTtHrovwYsxbct>~^qS&r z)|DGI3*JV3gFfD-9m#i_-i9BZui5*mRU7t=v=KUOgIn`ue?H6MZ7nUp7J7~S)ymkT z+0(8;4kTkuMK18g6|9O$?3=6Cg;U0_?s*I?R8`!6aJP7 z@2GBtzm3toneB|fMzhZtLoP~qNA`0u_|m7;Ncrjj{x6`rj;=}ABLo5*V73uce9Z@`BStu$X_|M33< z|G(T9zBcOp;s`X!Bt~RLrf$7u>h_P{*St>lK}Hn4>{!5C+5vq#s*Bz&GMc^QX7cAB z)zOP|_f4)HJ)qe?g2t6%%U&V0bsw@E-_=#fc=H2x=I0GB_kHRyaxL?1kwFdT?)TC6 z;Yrvh-0L!RZ^>@07CpsX+R$hpIL~S-z+YHEJt$}kGKbJ9>&oHm5ux>4IfvShPKAWluhXnFoeDAsMW=jP zk6i(|4?UW7a;riyMe8qa%D13hZo&3c+vl^7r?FQzvMz`2v*$F?-$peiE5Ad|DF^J? z8^vz=7tbvC`i~8v8Celd*&3H+>>Fs_1)jG{q%fg{zB`qw{mujxapry@sMsc zf_EM4p(f(c$A@qhPt}Y`l>+Y`k#j`$l)dckKv!AT60lou5uXzLoOa-e_rTTJGX-|(`^q-uEN==p4;?U^VV@dK-gNDxbBr+8UuPvY~8YrH=$V=QvR+D%$5b5XV+WDd&>v)9AAIy3h)^L?RGv+qXMLOzmt zDEGti-d~OF1BVl5~kWtra4!KLoUjV(vR!-eN`F8McDVuX@e$I>*YC?r1 z@88MfZC;o2_)URi%Nr-1k-LrLdl@I4;iv1#gP)v8e*bj;_Xnk^vz@*?!aAS8H_!Vk zk0n34i8FqsoIBioLbazgv{Rp!d@u@!j{JWILwLgfPhkiywc`iNN~{qa451rO#UJ_v z{!R8vO%NNv+1bRfWELNr(f1A1pT16>!NY_#Zs zFg_mY4%|QuggZ02pGhCoxOjqk2rp6NbX%BM@G$*Qr(jzu@5d98t2|)ewGmmXU3W5q z!<_KOF~W0%-jGWc9Zxr0v(6CzS7L1gvN`7=u{F7k@R!+5oCj#-EJp|aKHVtZgntu zS058OVx&{nI=MIt{pGf)ocoA}sHYXQ%(|e&P#T=`v`oge{6h9|BX%Zy)0}HfKDfWz zp#E@rOfu$Z;ocxQ1~dy?R(a)!w{|6VU-EYqAn(dr(Gr7&=ba3YqxUHx#>E9Hb!z|@ zj3G4JeRv%D*iLvy1AI7%-jvL+Og+O3)#6tN=JfC71K+229EV=Z-p?YZ4{d8_rQ|N+ z_~B=js=qV1MvNBmGsHg7|LOdf+#sD+n0~7oknM=Chqrm5252}kw#c-=EjqeZOEz|N zOMkNe1)hoV(4*X1&BkWtt-v0Bb3Q)SH-KA%JadG+BK8C-260(K?$;hVCz?1d`>*c-HBes9?GW~bWZnRyc!-)v<0&6@Z~tnjBj)-F@Gk2Yu$i(+S;sVf`M zy#2oaCj7qP;s^1ne}SEsXNZCJmW-hdelPjHl3he@E)MD%@uxv6+|EAO7VsFicXYGn zzLl#hS-blU%}!vG!gg;jYBs!iN!pu-{(--19@aDgXpC}92=sC?>|F&j_o(AT}kcr{}J8_2YeaXVk%)4W52pdtZ zg+jV9zC7R!VQtp`i5v?zXTd32}3L99Y9wq1*SXsUMcZQ zMlE<`RYI$P6LUp>0>_4&RQjFUj2?FE8RGbkvo`d(;48ot+*z{4u%z7uujyXaENuFG zFM3z2&8DsD6Ft_>EZxgl0sefT^hPfSvocVeJrH_5^nrEB+Dl$Bd~#x6L`& zp1?bEziNxv;()YgA87>-TcDZy48d!`W%e{<*%(lM1!+fca6yG?$6IiZUOR-bEICOm zRfF!n!&psVHHYV3DbVe#XMOxbC$-Hp@dxZ>EcE-8XLaK|VEzifvuhYfHvEu#U-}%d zJrlXRjv6s>o&`p)fLH(UpSt}rzfHzYPHeT#IqO)ze_=epdp)15d(oqJX?8JfUhq8f zHuo>w&7QhV_x}4H#sZ8!;QqWf@CRN)f9(6L?S^#~?N|Sfbt_Tu@a84J+YnfAn-^Y7AayHoiJ`+89mTzawx>ezoodJ9+|4Z3Wy~?X-1AdcvHEr;0<~Q|P+Hh&Z zT5ue_`C{FkKUVkty+n5(2ENtrL%;Z5+Gbd@825s9!>c%-&pzD?u0VI*$GET2t#^XJ z=~90@5!yM*^*OCPb7M;X#$FJ)Ibc`)mT^Ge!pk)~+<;DgCF9_CaEkvfbkYs-ez01z z=WzYLmCEnyReTB$q`ib~*pzDamNXmI40M6STeNjIb^zp&iYc7A39CKKo(4x=z^2GP zzTgFPc<9RAw6_=;f;R2kS2bnd4Y%mt1+Ox;^ORoi8Q24tIPB{>)BZYo)Z#bL@AGx< zWsg1iGwcAL$45gOxBgP|Uj4pqzxqdT5O^JYL$~LB%s$_NEr7X(DkauLv(K;8>`K-l zV>7mKaO^^8QdJecn|jUupDNv6kMC)MZrZc=LH}+Chk^CYuR|-oXn6B)Wp93Bc=un( zzWR>Zr(Oqk0oN_|MT+=8 zF`j9hr)MV4tIM#ElhK26ByIrRMcT#=d^kv(265_qms2xOzUw&KeK_c1BXlxOjCYZL zoWO|*E;3JY#EF(D@=lZ*Sl}!9Y<27h8%9Tq&PLAAgI2aFdWf_s^<<=1gCOT#G$PD>JS9aT<6Z zva2?M_vrX$0erMU#&(Q0GlWJOUxciJt~r)PaHBQNu6J6zxGnwB&&K>p$Hbjy81@3MK`{91}LkD|gNei*S@sL|B zdx3jwAoL;Szlctd{Z$^4|IvwigLbjPosbQF3%;{PA*-f=oHDHEp!F6!06xgUdWbW` zAzx30UeJ%=xVya3*Hd3;?e_Il@V!ZJUvNrn8l$!f9vk4cJ=@1&|GQ58muHf>=Iev| z1rD#*R)f2P$HP8lJg@opph5j`Q}%GQNSE<$2KSFA)>Q(FvqQ!+tj*Kdro;{~;Q_%> z>VJiR#bNL#jBc8#{~z|=1wN|kT=?EQduI0JLK4~GA|eb-LLx;(hZ@_jtux9+Jyxhi zKv_NT`_B9Qeht6HntfZBXFa#|tmj$FD4GC2t;D7V{~J~2Q)_<(_^yv0 zCVO}Kuot*F9D!3&V4?(Yjk1F_JJMn>=+SV%s7i8>HzQ5v|3lG^-GYCAu zw}Uhs7zqw*@sa8G?EWYF_iiIFGL&uIXZozRHs*K8m)r)SDZ`_wj9xUwGv*#HO=WmA zg}$O_O5!^VMN?DEj8fXiydC;yy}979Ha}l0E;U)7=UVJA;0|r1{y?o~2l`a{GHPTj z6^$LraKuMv&`B9bL;4`&&bX*tco+L2P(C;`3RsLJmFTQ%;a4v0D*#`?*>L|8Nc13={jmKfG41%M4_eAKBy&ub1muY-hDTV`P~xypijXF&}ctCq5?$ zY)sH!Kx7Z^Wt6gSm%>NEUB)N%+j)(S-_V@>x43*hAbh^w&zY6@PK?Gr?e{r;_&oRV zNs2`(=7OJouKUC5C$Q(+#`aYG_IBZ|$=v#wh5WE@uQRR6T){Kb>IXk&?v?&V>>ltT z>^!S_l?jOeM0s`DmKC&YO&YB z-vjlrlNz{1_jDh||I^jB;z&;;u@P7pj@yek$-_;mwZ6a7W> zknjt0pogQb-jlmCCT+7PZ_Tt!p$GJyfyG)Rmg>YL>8Jn=C`=b>(!y0P~1uv+(VtdRAUZ>eRm{$h*=0`>F@K=zbwK{#`{hhJM z*YCilI_I24TNPcD?CLNI(b1gYT5{hV_?fY0Z2S=4!Nt%3zAX>+6?uKe=~qlyuW}DM zr(db*N86zT=2gwEOh5*LU+f_i1##+W0zRdj19doh$$#~0H8zXAD z&u)g>U8JM% zEnk*BIQWsVhu{1dKT~LQ0|~kKv|qK8_KAE)F4jt1&n6dstl2Z$t_28xff|- z?pO(JL;LPL5?yO9zx^+W4yxp&$UB1bkdACb0FR;LV4w{`V-

d(meX>?G~n;7TcpS5i+f zhVX>aa&Q}&lU}!wJrRJ*Zp1&zqgJ=u-<&xzA^b>i0DfdI z&&^3-|1r>;5~43PG7fXMkkFY12RxXe7b?By5aat;v)EG6rvmgfnylOxi(JKcC$af2 z4#XUhd(36gYhuopfzZTUXy}D#^2%f617bFN#6)tTxhsbVZA2sVU3`?oqhEpt9+Gj8 zIi05mX+zU}Oy&HQ^+b5qJ3G-^8Lu9CP_$qAJ$vxUk8AUB;PX+L{l>gA7W>g#w@i&% z24g9_Y6Ww%2auornVWesTka<-Hbj$;?qGcv9#+LzD4AW~k*aSYUKBo2u?e{^GJ8!x zeDy_U%X*FQ618^1HxWDO%4`#vUB#NpCbLUsi+l=<;hn!i2iTKysk3rp)Cx?14))8M znooR(h&vFP7o7w7xG5T$3a?nRL+K5QHx=(dW~2W7tMKeh|8N+ z&^AwIOMgZ-f#-Asn{%QB zJf;73hA}FVK)&|%}b<`r%G%~kt18cxwanc`U+c6l{OPy!si&-U^~nv4vH!dS zEp^J-Km8mU*p048-GSDavN7yQ6C;@u=VCvj(L+=KnpxGQ{{J6G{+q19yN z4#po{ZY1L`W6#*Ro2|g{4kx!jOR{d1pibsI3XhqO4vyFZ_2fP9 zV&bKe$KcEGhUBqhBA@f&+aJYkaLw*-tg(EQi)@lT4(vgqV;-VS&=`2hxM6vQZySMF z^6Giu`$Fuoe~npr^#A^On)RxMowZ*~{7RC)x3>Cp2o!XCjOWi*6KIW(eeWDuc*K{5`kB|0*nIzbS%m^*`!&oSga@A0nFhiue_oO z-&OR4pOKa9>(Y|Vx|A^oSVBXJmS{VDpzYgS-T7Md=eN=KW}I+P0qtjUedyB2%|oYcM$gdw@?T2w8?m*67wtfZN^7cj}-~KlK zdXs>?)c-YL|26vhmf;ND&6(4AIceA@zw&Xgr+nZZxnmz|MjjtiaHni|SaPnV6Tju+ za5uOM4uk6kvFd^2`O*H4Ii8q){1IB)^vKSgvS)hG!DoP_Mb@&QL-y`Y1{zp5Mjxm| zUktnrFMc~q=AG0dHhx3#cI^M`!;0(@Uxj#f@)$mf$G*uL#4$bfXfEeg9XJIY8p?+u ze`_x5>H>T2#k_m*KHS45JG9Lx(v9Q!ar~%c?R{vgs`&4I+``9e**+eUb!e^XTP%dW(kV!}`14J41&d7m=@D}cjz+KYS}0lX!S zefS~PrcVfu)>ukGU&r3E7kgW%mNkU8;ElEPotR~j_xo%3-Jo0h@V|MlMk8)HZjEp0 zh+uc2EO##qaJEMLYwH8pB3gOBEg&&~R>L%*8~j|`bo^0#l*it5#9#PZqL%bKSI>z2 zXrtnHjE&~Jg5F@l%eVFOV=Hjm!Q9g_b#$W|>@C1(^WJvoLT8MK#e}Y^nq+R$0lxy5 zbv+dbwnqGGqR>PuYhKV_K6AZ%d~nc3(1ExwdlsBmv0~}Cu+T6=?8Kaj(AQ~biAA>6 zAWu(5#kbq~LyLY~n>=0MWU)r+nEm`o@Ge|ZhHZ}iVIu(Mn#eNM&t)cf5<=#P?<;x; z>mA9d>^UNEAhs5~aNBJ*5@#xUc^mzS8ZNFiMZqy*EsMcBaBP!_?^K9y@vAbWOl&T) z-vf3q;s`pdl_Lbt^iIDQe34Qs#D}a_`STiuWf@}ga|WDdZdwDJbUi%aB{`QX!?XSA zV#o9BetO#8r|f)%vCci&E$9Haos>SRzDl1w9f^ zR>K3t32wxP;&FUnwxD;l24dd}+Jo_7^##U? zZxw4n*o}7r`%LC~(`5ZIU-1Hoea&gOq9&mIv(+kd4|o_hD)F~bYdxBlhaYjCJp?~> za&*dE$x~VHx0kZtk?6vX|3!2x<|l9NxlUy@w9`JH%#$tZl5w+ddYSPPyx0;p3#D=c#~gS`4Z5)hkC5c{;v++(4+1-@ia8oStoBsK zU?990n5TS!Gin^3Q1wTp{?t1Ge4sU-%aiSuvx0dl>zBxyzX`Tcse?YB z>HIe5mw!o*5BJX^M*|Uo`#|6xH}PEt?gN3l*&3BSgGA0L*ylIE*O}v~dozwJ_y@be zKbVI9W)J?%Pxfu<27h!}vpy(8C&)yW_{aypM5G$ z^3H+%E_`R~S9saHdbfW9$M{s7-XJA%7fLjYVoCu1!%B==-}&mP#I_C=~e)=A$J z$O!lfav=UgYrrDtcO||Yo4}uy#7mweR*bd3!k9YyFLGp)$Oz>-E_Eu{iu_^kC+pS+ zSWkN#d2=~_IWgTS_z`>#-T;5Ye--|5I#2eGbM`FPoLljA4`c=%!Pkt_SrU&+UwtZG zDf7ZpDh_qp_la$IN_cRdHD$SG-A0_!GR`GaINp>cF-i)rW*-)v09!9(DQCYo!iUY% zF8}Z!)FRuj*R9F{ntg0pq>Z(p0VaM#19gd8u?pq^W1lX#Wlh_J&&eM69xz%yo0zV{_)(9; zeq#n5Vq>lQ3sjt!Wwx63)Pq515%DMZ&Z578FYugl_?&MX@`i8TQ89?86h7X6lD4A{ zbMBxuWi0z6G9KWPj19DurOt35hQyLREv4TXZP<^-urAkz-3b4Lfo-SU_Z97#;&%#6 z&db@K0&jT-J{`3g^R zE9=scrcD6uI|M&}DEfyrZ6`Q4KxARpxqG6Il)Qv3^pMggMAka3jXzZJY49~?3Od+B zfnd!9aJMqBReaB^z2k|wpGX^bVh7!XO<=pM0YOKUK^sI)JK$!r30-4=TK~hB$kh+R zq0{IDG4WTC_R|izR{a1!GijS#*FvNCHlj1oZWY@ZnNvedg_)c3`OmPI6M7xK)0#Gc zm_Ea5xFssMYC-a{qa~|eEI=X*`)gOH=_)3(yLwf!LPM`x;?$na~egV8$*Du+E zKD5=KyqG(;fgg+SbzrB=U6G+<(ACk~HvkWj`BnH{udTuN+MTz+w>mtXTJO@SWln-D zm>^&keT;WhVWvls3YfY7gzYKeJ0bi$nJkJ||Ej|F# zCTj9b;2nYIrC}Xr-A8bq^4VV#e*{ne26n9fu{Pl8-Ofrs`xVF-@ji81EBgUw#y-T@ zm5{{GVA=qsBe4dfvu2DhP5?cA2Rfv?{@-PTm-YW$vc9N0@N?@&S86qtf+LN<^I4@6 zu?N>+*B{!9M$9(ojCkN3O(A>w!GOU!oWYuj;DR%C7k1tW=*so*$BFRAjkIqkYg5OACr(9^E9Ws6-AB8aGv5PlFpo{LzlO3yZ`-GA(4yBnl$i{mJ5D<; zeU61UH!>%T!b6Lx>nLOEwmZf7T+HwEWa(BVFTs`LMiIDp8T!l05^Q=$wB+jf$fZ-* z^jh@rnoXLscpxwuia&e|cr#wC#U-(?itSj&2wg>ukMvvg4`L;Gprw^TCsm00T;hvhr;Qea9<+lne+;_3z#F>dUU6F|2I??gA)saY!;poJ^d z8jUS}lB_Q*m z3HG4*_7QX?*>mZ@IgP*ZH{U$z<}Ze3JaEt5ciwYX=$`rahrT!G{?MJ@S#bB9@65U9 z{yDR=I^X%sHx|sd@1Akrf?1313C*5A=e~Q2?+@Mgz=8$yzk7e^w$SW5Det~J=ieh0 z48wG#U4PRA?aq7VYB$Ah((ajck2dD=DHv1_g!H-3WL zE4k-^yYIe)XZ+rGp%$N>@1{4p{g!(-_U(GMnP=B|&&Lkb;M0+f#qSuT#pODkdcS`=&(rss({1qD|03VZvyyJ_6c%;8pZ>0Q-o5@+;jX&n{#fde zd(~bWtLeLZA0@wvve%QRpGp0TdGD3Nt~$4QzvX_Y*WZ|4f1#UZ-4tR>LYH2D&)hrj zx%2+-g|txDPmRag_@ddo@i+X%JFZ?h=ZPC`h+p0B#@C-(8Csfg^UQ5U4_xu>o8Nru ziZ@HXy6N!$`11Du@qa!1%oDfWee;`>A3jm?0ulwrUr%z3v{F^Da z&v|3V7Sha@SmUm+O?n0DAixO^6tp|9S4@Z zAAfz~ubj=XQ=HyI%d$-i^CEt{?i~Z{LolTO4Zs$;%5qxA|w=e{yH%J@w4@ z>gyLhI=1IMK=GS@yRi2?E&6oMNA796{2#ryCL=l~bZ+-+&xXrVm+oKv=I?j;{knUg<=9DIf8ou$imq;1)%x~b)Afy2|8wiKcQ!n|$V>rcP*oj>h=_kYy2 zCV%kLHHUvQ`v(*1{&>q*LL&>mm7LhHDtz^Z_rI{A_=6vA9(naQvj1{J*@pidxPRWS zmVaUV&xX9Td->J(zM6b)$@lK~x6wC#P{oC>%HNJlJSHJw_AN=ad&-MB3`Ezg1 zD!*XS8~<|n>RW0zufHj3&3f(a&&|2;Ptym~ymjL0tcG<9zTD%#Q&<1T8@>O|Uft2r z-f^zuR7Z2i!H%sR@eZv+YuC+ZYf+prtko-=3m9ED_;-7)_= zb1wVV-LvkzZ_Z`2=PbPJyLaAaFT8Z#Jr7)Z|NQxP+jq>m^PVd%`_=<@-aY%W(WAYm z_sm&z_nqIm%**&`Uv}U9v*p%z7JPS(J=&JqG!1sHT}b*Tx#;|BzS{j+7YFzz=?_O1N+ z@1OosWYgxo;nwTF@a0V>$DPmHaK%qnF54aa)i;W+o&VF>C4pU8>G}rT}nBm~_=wuef;3>FX9fblaC+z3+#AoYe1uAFP4LtZ>tUZolQ;jjOtz-N>`U-t(=` zXz?}P@8#t8^X_M*bR8d;@AB$dPPr#3Pp;Fc_xn+=Ub(+@byxf3I-l<)&*}Eg`saJT z)4M#m?q2_m&vn%;_fJuW+^hE5IM}Q64eEM@vd3_pekS!V=DmU4>f~r})h4;$i#>;W zvF9;Q@1*U&byIb>-*RuyYhBM~^K7g4{1@bP-tXz;dul&BrR(^#e9aCmUbwBR&QE(+ zp8dJkyEFM-?w5FNlive(|Lx^T{UyE1ETAm8H_*GUd1dGC(&EkB+r>BOXHtJY_wu{d zdB68t?tj);j0(+t;2!&a)S)kiplxl|efQ1zu3UzO7u`2JGExqHKRBVqInq$21>Jtjy z>^^&s{N#t9oziuDTKio2KTfSk>R<1bk*<3= zeU*EsJXjp|V6d4Sm(lnd>PkP8`kQ(02i@wd_I}I#&l+2;^Mw-m>%8jxu{giypRe=J zyB|}3m<&Ji=e}@_`pfv3KY1PkAO5@levqff@6Olb%e;I#zth+9Op@G_=Y#@xrse#O z_H)y0^08hj?61eu*YaEL%k`LUN$%$tyD5i!>j*bhU!up;*YaEL%k^)_(@E~fC%S1p z`GZ~xUZ=;?*YaEL%Qc~iok{L%dPau9UwS0{z5W89@n`-&{0sg!|K)oKXMF!=P5*(e zU(_cuP9 zq{&{IPA+Mtm+l~!w7^Rbl1qwvX)(E^YA>xKm$b%9o5>};=%rW4C2jT6E^7bX6l1pm#(kXID+8j3p$tC4`X&||zke5oxC5`dYRpgSQUb>E4(qu19CzmwSOLve< zTHvJz$tA_Tw3u8{wU<_rOIqWl&E%3^^wKNjlD2wj7rCT5FYP0jbkIvj$t5*==@hvn zZLXVw}|U$V(;UlE!%HDso9tFI`72X|k85lS`WEr8~$aE%4HVGr6P} zz4QvXq^(}sMJ}n%OZ&(r9rV&sa!JizIz=u?yTeUEa!L7K8b~fF#o%?cb0(di;rY75nTH% zYX`{Y-aUWT{Ubl^#o71W-}A+1x|Jv;%S=(dG0VPx{&%IJs?h%YxJ)(qvN4zP&;336 zTX)`bkbbS?J*UDSuvl8TEB|Hj&G5_Kpm)(ztD@{;t5Oe(Z?&w=9@pyrVL(6Def|F5 z;OAO;T+5GfFJrz|S1RkT`0Z+?{c!H{`(K@}P5%76xV)=nNLedqv4&r!fB&x|@;OIj zqki8A{CoT1&)a{5R(hgienfvM8qwb()oSt%K9usUYKN2~{t(u*O7`~+u!lR1ito_Y z#T&J7S*y0LjC-ujE8pvz8a1N|-wB?nJwRB8b}IU@uPpv{Ev!RV>}75JWqh;n4WP_K z;7ZQq8Nr$5{Waq{)|OXPDxW83WtCd{jL@MJ@R{A9`r^XFJtvO!YxbqMK*NvjUdxQC_O6RlZdpM3!}|0XE&LFD zdx(1p?vcXfjoP|$@(Qj=;mVD`qe5GUznL=)ACW5jDQ>CI*HvxQ!i#yAwe|24-X(>X z;#0eqcb5Y5y}bLd3 zjbHn&QSo`r*ipo}TKza@it*`Jfj>w9--=4s_ZvCKbO$~Od>g=T;>PX7;0dnbgA^#k z$6*U_H1U0)F5noBH!()r+l?Z8q3|07KFSxc`|mW&@Poo13%^!iCq5HltzKIP>W6jO zPYP#nok4DJO$z(C=KZjrYf?Bsn*?rwMsi?bk_+6-jaoR!yFuQ`>(94>pS}=)7rs`->7HX5At46__^E@{;Tq+ zcOCMs$7t&QeMR}om*&1A&C6$c`DB$V*+3aC?{3xptGwINWBB=BfUoXLT4Fr06N}kX zRokiyZsD)fkqGI;?uq|nt!mH8Zy_rlx@Ki~&Ck_!8eiz^@Z-%h{tBKA0}qEa#VLna z1MBnsI$?!>(uwr@!Y3r2ARHQ|1D{a%`L{yh^)C&Fcg5jD-#*ShQ*N05QWz3^-(y!Nf(;mt3F!fR@W8yksDy1Nm7Z2IpXf1k98cK^|9{~u|` zL9hJ>{)_GB>^06aZC?F{#3i)?H`l*a{9Hq|oo864;U+o)^*>eW@A#n=7;i|7U-^$Fp5LJ-HU@}+e@p2fk%zZyk(}-7e09!&$9J7`%(JuM z+3@P0u}?kztt+ECV-|nS@%Qn5Yw_?J&Z&6hV$FH@ABZIwr=^a5`Mk4iFlYJQe%8tw z#Q2nHskzS+L*zS`xD>ct3S2mE%Amc8&(-S2=ZFs<8Otz65|e#Nt(=)+fCI9pjse^Z z;N?>~!~Y^2l+Kh1Og9EpT$kWW;<*Z7vR%cyB}R$w+w1Hliyz$c+x5ire$JjNVh`C( z>{p5GlJn379_5^sOrX1)-@jCQLMZclye=;`ca&D`EFR$V?Du$qW=wd~K@ED0#D8+W8 z#;R%}dRSFd@k+{Y_7q1sD~vrfc6LO{tME%^%*#)*&-Atq;ajOyzIO1_)tNtsjF>9GrSVn^UuW2GK1fhQ@OW83!63QTD(tM=O-tv^O2L*8RVpO8RVpOIyq^b zMoyA4@qf>ARuFe_gt)R5eqv_#A`=g^IxC7h>v0|uc|lB3JOf{5lb9X$lL+9){ore@ zy!%(+e=b~D%RX>#!6OgBD^Eylr@PM@dRzj!N9QKK8~78miR|?QC*jMAzZmOk2lpsr z_)8xtCsCB34C4HdJC>h#%g(a2G>=zhQO+U8c?dW#6kNDC9D4R*YZ>2&Ex6h%P$U(id{_n^~K?mSr>;#+yA#(3#q_L^0o0nBw=HaXcRVT6n~> zv`f#$A3T@-<%UP=xptrrbp42t3YuAo{&Kb+^N*Dy&~Z7h^>^&ERxIKa7UIvmI50XfB1dOm(b3kTO~~3c8Zl2}9J4mR zbebM#PybvwQ_(T2K2X=<vZ|4DYciZ= z>>VJ_t9wYW zwE5ao3r?SN7Uzh5QxFb3ULf^5#4|ZL%%QVu3m8KsSAd1uix0SH&OeSM&IVX49jRI0 zyCIk;uFbXk!#5V+8cR*k?oCX%H;4E>_AV*QwF39%NKCZrr!Vt<=8tQ4(dQGum4^vT~$sb`K-_aJ;Wn9BXbmy(bCB$m42#p|7gS^23|ff8Vo zo2*)?%XmmU9AkmbA@v?oV`1-VoFe04eg6i{=DeF^`K>Ygrs^s7o?CTiNfx+T%lMS? z%^~=xd6SB>1y96wk{~A8Lcid=fsszIHs8u-9tJLPj{18F9`N${`IE0mOnZaaAmR<> zI;4f6~g|Q*om7aJqXE=Iq&7(L@+^o_yBsItL{QL(}3A%l^_7eT8- z6%X>~K-1J4+WLLYoTtpK7aLLscrW;$Drl%P2K-q|Ma{YdF$GotT<`oQeph(Jv%<65 zR2ehl7bS|vyL3C^gztEKYd_h~N1i#f7o7DSpBcSSVqJ(^$*{|P^l58f!7IvWklgxq z7W)(oZ4eqr<_mw!drm@Uv25-Oy=4=(Lte|d9w*p0LC*`b zrzbqgq^~&+I=6gd7qm#*C#kp)^*cJ7-vOH#s`;VlV1E15@BI7=owFy;6OX{2_xJkk z<>zJGN*r?m&(F&5Ax5}1FI*TijL~{tVstFexU4MCnU|GsPhp?TkoFb$ozEhEE-bWT z&UC-aufEeUC;Mod-!7+|{OXz367)$JF~+W^InbdCpsqaR`^tFWJL! zjx5LYHT7_o#FvBl^I#=6Ijv?tlaBX!Mwc%Nxlt!;Vh^0xE)NQZsc)WL&N zCoroIb9HQGj2}9K@Gaq4&_8F?eGPt66Sd4)eUcJu6^QjA9w-X_(SP92zMay>WDc>l z+nQ)s^b-1;kz95Ty{Rn2`Q5n=&e@AuXWCwcZt|07Zr8i>Ro(&Lgl|-1qpizWX8;?~ z>6Z=?dfVKSrmBae2Kob(pX<`qjGrb(=mu~??k~{7Yxbc>!~bY!cD_}yt1nFD`(#ZhUxz*QN$|sT`8IWi*Oqkg zZg_U;n;#Gl+MUN#_p$T+3*}p>Q|giSr*3-kjFX#<3|xth2>!0wM_D41yW~J>U*npd zWf3Po$iG0!ftRgz`EB*s`OUjeg1fr#E|HV6cb>$mg(a>taH62Q+=S09OYp9er@U|c z3-2zO$X?0Ww+U}id?jDYw<_Va%n9TyT$vXipSkrLVPg9d>|19S2<+=Fhwm|G9tQ2| zoaHbtmY8^NP8yfGgk*kXDRYy&u?^RX&>Y+ItH-m4vSQ^*-U#{ z+&0Dosih~cp^ZNFLi@90zL+8Rg}%7{(1lS}koP}Ozs&;TVDHz#`|rB?5;_%dwHx0# zs>)k=Dfm?5>Qs+30LLz!iZU8y+~vK*b9`4N_;EtB>oX50GsncNZ_8NZ9d_#!x}KDh z_RBT2|0joi9Nc#8J|u7wxXPHN9yP%?dJ(bgfdIV6uqzwbho})gu3xk+@7?y~vYk<>Q_c#fzIUZA zdpUeNzpg;)lloJunodDChScjUV!svEZH%4J@~zjSTfd=4-j}*>r0x&frQfRFr>U1Z zgjS_|`n#8TL%@D-#VB}mp5xcETz%)QEXvLc55K^V43)DOxGu}CMC6`*SZI9=+Ti;vd+Ze*?R-ktOKmH-}!cs88gQJ!OPR zys++MyY+!T9rkUb{j^=x=gKMKa@X$iWX4;}0qOgD@Aj^5q}|`&SIUwyq~5gLOWiQ~ ztdy6mVti%JvPQ~F%b;%amZ|lx^pqW1kv-TC&DDBxdH0sicHg|kw;9J>Ii2muU9y!PD{-4UMi9{>OE9x{>SdbaMi_*?O;`V&Tzaf=kfdkbjSW$65X{mU$8N|3+7J z5C0|bl6EqF(#|(_PIk;p;8{q2g3MdcMbYytGuB7mPns);_kZ1^6YxU5ncnaeeDt{3 z8`5L%+XI|4Smwia;-yJU;%1_T*&RCSO|)yLtCRJ#eDBdLjDf{w|!^Obd5KK!=vDtL*S_lu6Ea7Wn)(mGlft);H1zrxvZvO~V-Y|&Wq zEzF80`+Nw`4&)>XYZb4ucO2H7g@d%z;=mZ{{HT6h)dX&StbU9fh!2oE=Q?@Bp)PyRo<=Eaur={C_N=y8WD$5%epuSA zv6tH^C#@InTk);leANEtlQW^O{~8~yuDd-o$iGd-M%w4i56(J^fWuSf4%hx7IPTHn z?^X_XDo23ZLD^^W_o>M*zHJd(Z;z`%2a3n zk2x{O$`<`4uO9dto?geh2eu{98`S*n;LvU8by2>54cUwSft{|Qm3cIBmwmUn|E}>I z{K@OPTkoVd4bdyR%{kIGlEg;r{+o7UD|iWeYc2NHx3IUK$OX5KOF7cdbRTKwk?v)q zufFG$2e21a?_%tK=fGnvbBP*T#S?`ec8)D_RQTKehWmTcdfn$!ef`gXr@1n|PB3h%pa8Q z8r$p)=?ni^_+Jd@~$^y z#{l2^u!mI5UBcUC4kGh1D|_erjM>l9yxyL&BFfk?7xU!2%xB+u`YX_4Fa2ayC$Dw& zlRx&NnaQD4fB#}>qrf&z$31X5ZVv7_o-_WlXFu}?rwaV#-RX|G>EfR6)LqEoV5GAL-eCq*E}PwKc(jxqdfg-G9Hvb^@hqV15Bs^}$Bg*Cxdfb0}=H$`Jrf~yjvSJ?- zn(AFHZSXlcel7X^Ta=B%E%(5Q`3EPp0mBe`A%3w33||*{vHCa2hYNMcT$)4k^&0_wrt81J&Oi*l#qhhQ{(MF{|UM^=r8;MKGSfiR{B(pzceYe&yILjw7 zvexJL&?m*F2A$fAbAtA&%%ISdv+NwYljtiG(O0H>`pR`44?3jgNcNkDa}yI-m#X}A z%)-x5@Ylj`&Yl`)o+#f|DP2ePY3<@p{$>AmkNQsV+?sH%!|4k&N^HkxyR#?-W;S_y9qnl zT=`yLCS?krOx?J|n+sKSn+tVo@76tYrJqu!v<*4c>W)LY-1v3OuhA>g^5MX-Ue71+ z{F_on*E_45(TRMCqFNvDclVlA_Gk3-nRUU$_Q9QeFLKq3oxCxL4t2aPdMj;sLE!2H ztCW6;Z2o0W+=yPy{CFefcbi`xc-h6diH&@}v)B7yVt%{6t9_H+aB^l!UzhjLYlEI% zJ9$;Tl^NvuV|)3=<*WHZtB?J@2mU`NG(D6(h&`I&x|3!O&N$HfU6UfaG=+m zB6|7!gL(gv*1O<=zZ0EGWW8g8BlAYGUeEeN;UV^m8p9cuI&G{iNNuYz4Ax|1-6qVM zO_=o;qubgHIzqmElQE8V1X7Ql%p%9W$-2+MNsY4pqSi)6VuR_mHgY`wt*^2_Th8&B zT9L)tysRgvbFlL-xD=o7&j^W4?gR)XO|JqVBevQeUE8KYxaiP2?Q#$~l#Yc?f1>tZ_VKYTyDmh~Uj zZbGaBjXuQs%^~;!^WpC6HwPyhe9yTzI%`45LcUFu#Qfla(qE}Fr$*UftB$fZj!pl3 zM|gA$e)4!AJmMuYJn~sHJgSWI=F0-%!plw8t4xux&co=Dqi2~`ALhV)I2T0r`_EEm zCiptnmqNAhs1x{jouF;C%+qJF)^xe_yH^{v?6e~dFZ4+W1m*M%9|41+T}6PLuY zB2`uRptJsaSy`4bqBbi$vdkaW{!Cf-`o#t+K2%m8;PnXlk?dukg>GGa_E@4sHywlf z4`phlneA71!R!LYvP`3`e%c){3U%=MUf^|aAUyJGtj~Qd;KK8SU%^!HsRulVWgkDd zb%6t~Ph46XFfNUm2IFUZuGU081gJN|zGlsJiEu2C7_J9^yI;W~4QHuK>Pe5;N6L9v43w*J-x6aS#?)T)&=1m z{|5Xs1cw+C@S_YLx>`LM5SgX>fGIL7mSG>>!d!57x$_A6Rr92B#(?#{x(<6B{y*>h zC$K!+;j{^Tb1vz@-M^-OY)7@a9c>#4t+AFs{cW@jeoH$uk~!>GEBD*XsCQ4duW7zL zy>6n`N_-2zab5W}zzcRM9i&rd$@}yCy45F?zS+2P5AyyG3DMo?2YTG@aAAydAmBe) znOcph#UroHgTCtU<&kq!S9^ThlO^#w8~yrRcUj@eoS~1Y^Eb)2x zTi1U>`SY2R`HV31^f7C|cJ*1|MZf{rtT0EbcUil2;W%l%;(y)Np56M<-8$>{_~NIn zL5J$*ep3Ci_8ZCkwQfIic0^(V_zyp~D_bWE?_`{E@HGRTc`Leje%?DhWLP|Zvhs5{ zIOCNBHXXik=Ru;=%DRu}irsxvSPzmpT9@wm*zWmtLy>3To!}I3NH*2z>~lMrHKlQh zQQY&*40Phk6XqAY;|TM|{jPl7iF}>(R?oIgp5V4^^$XHA!Si(c#4d%5Jmldiwyp(% zVMu74t1Y*%NqJjkFpN#ep>7% z^yN?RP`^{j{1N{F#ZPyf?MQ5gw)3|T$AI1Bv5o9=iVp91 zi0_?EEXcaY*hjW`#;>G|#P&_;>tA-$6HN3M{5KXTyc0YZTmy#3oeKP2l}`o!Aep$6iqO;emuQ&qgPqW`7ED}P+f%b!P^+J z8l36$+lX-{Gja@`5=Ms+{z6~3IepOC>JIGtbX`TiR_Q8}C$m3mcU>hm931@LsH?=U zF#dPxDs|Nl{%yL-#3sfOTh62wp(Et3;joW+Vj}aBr456GuEobz*3s?#OONkL< zj)-m|F){dWEN{R!zZUs(2pa}IlZDU156Ym|nD~?6Us8r0ya`{8m3j}FtUCao!6#kC zKO8u#cs{;8=%#DHb?AC#KxB>B%DDGy2mcX!AhtVXV>tUQ{8#RUud{Y2>y)zZZ5;m= zv%U{fh(H)RvTgQyRC4K^Xu@t0Bm;}gOq z!zSYMG(q;+4v(lc!xznB?9sQd-Qe3M^`f_d4}uf;ejcyO+b#Af`*zmCrp1sIrce3$ zP21+RpL23UwG)lPvWdne`b6)n+=;a1x_ROsOue$dHgTELQ=0#rX|?*Fbk%Z znyuD68Slf~7Z@U!(e?#?;(d5H4&hpbuvf8+Q&& zzbEmMVXk|>Fa4G87=!a+i641h9~=%11LrOvW@IU4p#u+p96oe8_NK34=bD9m<6hcW zrsHR?_`mZoun`~JOlYa_dhq0AhkXq`{>uwl?|KrxmhDSy8kcX!7x|T4r+gdxppPXs zs}|cQJgqP$@hK9gq8lU2^u$Qof$p0495^xx9M#?Z-V?>w6K^sO+GQQ|(JEhftxwj; z&LoPL42-N?%DdNVN%6mb!py*@9UjU1#Y+q9f+{`bJADe@l2gjw+kBuUQT+PA#D;M} z*+-vqUVvY=_`%EAoeK|NLcaQp#1^{upox{+r5)|iF7yB_#7EtWnQ~gOe+Vr@xAs$k z{L%ry(=_9WjpNbXSg%{b8K+a~1{%fX8Fm)_DeIYQtzg{N;77Tllrv_xu|62k?dt;l z9r1-wcIZs4NrO*`e^E}$z{J#T^rswuH{NZX!1@BXJYpRE^BKfMdUJ+t{BB`hN?UrD zF{CBm*p#Uyz*#%UnpL2Vvn=oh3e3cBs{#KCpI+LA|GL@sLv==YfA;D3@LBLbyoPba z*Iv;;rO<%zjp!V~f1z#0QfDlCoIL=%RE!^I1nQ~W%yWtL@Q{_zdPnH+P z9BlToK9$%s9=tlK+1FLeIGo`e3oUhXHRC(Z?RO=#F21MKEwLQQ^7YZwO>532rfpMn zD?D4;5vJ{**p^M(jns_?(TxwBG>UwF=;TBBzI)#l522m#w3w8YhJ&@TROc+~W8sqZ z%$a20e$J&SHybSzST1gYCV^#n6TG;K|AI%y(q&7z0$b^uz*FGH^FMdu6*wg^Ht+$) zwZf2dW(4oxg&Ar1$~S4aV*e1{ePyDkR+IPexjH9n>#FZ=3OEp_kTOM9(cjY& zzf&__i#rt+e<7CMkTQh_)a;de<0sG8@p{|UE(aceoT$2!*5Y1hEFlV zh2!8^W3f$?(;n6sHg>CTE%mLTeE3CpJ!v!PdD2g*uR_(g%_w}Gd2t3fNqK82Z$0I0 zq`ViFQ(iGVPvOC*wmaQF+W)G;!;fj3jE(3y;ZdiB@9FTp9_Mtx_d3TnJuZv|zTJ-t z`~psSOGmPNTLwH@w^)}7OH7o==ZbOW@iFo175-?%+WEHw8~QlB5Sm(#eo`KA80X_# zXS__?fV09AC4Xsy)R~U6Qs?3r&mjjqJ_morjz>M1zcY%bfn0 zO!Zh}6ZkH&qr9y>Q8*EM{J5BNa$85lx16(Hw$WbVeDn90M9l5NPS@(yRwQO;t)r@caFU2y`utpY#%9UXRH`=Ib})_@)y zNV)YIXOIVtaCwJOGM;(XylA2XSzS@~TC!@MddGfrb6+EjFT%z`Wzkai_TRBF!A0h~{RUQ)iXzcE7eHc}z+ zWW(i5m{Wp>t%8H9oul`(r`wroZ$0VM2*FLSWXqlku+Pe4~Bs#%Agofo@Vr%!JqOaN$w<=C(b&u`%8LOuKKe zAW^hKGibB&GgZ833p{qaY8yDB;3(fR$5nj~vzE&E>h#|)VXsNbGl zA8_h-()ZSYRoRGceU;F5v(%;DUA)6@Rc`US_YWKkKYs+7KvH8zy`6AgeOSiZnmQsX z;~ZJBQ=Q!yUb|h!0KbK3Kl|=_&YPr9iz`j5a-r##x%z#c-Qqqg)~&_$Jlo+tJJ4hW z<5ydOgV=qBHg(4}Wc43`jpDz(xsRh~^l)t8$Ob>LWt`!Z zrfc%^YK|at0_F@o<^}1qESWPnS@1U6ijAmeTMPdI+zKkRdJwBWuwH0>dfDK38{lQfHgG`lk)5-T+bWemf67lh0IxxFn`qo z=iD;3^e7{h@75>yQktu4;fqN_bogNf`UUo-Amf$W-eEK_231Y$BSg&5KruSHnz)|K$NNUcIYu9v9DM&-Zr)5!kv1uvcJ;ZoqYiv zUJ6YQ(4Fb^?(lIj!uglwag+tF^#eJ7isn?6Q3B)Fh?E;j4bwZP`Rhq zB&HO>Z_1!Q^q8HfMiP1=ILsz(Px#FdYH+j6YvnQMS?5mX-9_B&;}1+&MUYa8ir1Jxt=te zqv7fnP2MZ5q7No#UNUzKKhAkSw3YU9CR!8nbB-2%Lh3rwVN630@v#mBe8a1o+EW87 z+QUzy+kXu1Qn$iWL)x(fS;aiT9^6J7(D7xCf{u^Pnz(td{EBTKTfV^FS+W72?MoQX zOxCt8`Pg}Ox<0SpXX&@k*-3mGzP|L^Cu5-cRh}M)p(*@zgNbQ{y1XaPZJ&uw37)~< z(W(CI_=(~6%Wv9y3uAFhEW^%u+2@$g0^RsySm&pVTk+LzX?TnvjJTp#L-=w+m|8o$~Sy z&Vy7u)g6P?HL0h3X_)H3^ke7d$hD40ChIiswe)rT@D7Q^4veQQ&6+Wdx~u$J^8NQ| zFFXW35`Mf1pIqA8m-Z5akh*CEYZn?iSw3s1E2H8^VsAT~CH7m@hx%f_^JJ#({2`M# zFzL&BJ^4P*+p?A>vq7o12;1AZQF6a0a;&?Sc{ zda|E3bBt+oz!Bg)0J$UW-DYMv0cbX$WeJaVs%9fQ;=tTgcp82N-tkl`yhHejPq%Zn z1&oH-S}KRQokeD|>Td3^HSC$`Xmv52(oJDCiV-q{am}N0nf#((o9WS?U zTteNvL;GYu1EKHK&8FIyL*NcBeRST~W;RQo)VX(=&8=>ECp+NZi&H-IjD~TFPau0f zwH(1c2N`XF7Xkw%C+n-!8OXA4p2%ylA-VYC;)W{Q7Z=<0Dd6tf=sw0?VJ!jA%1!L& zuAN2sb>i>fWQmM8a2Q<7l(SRq_cryffyWEJ+wT+)wac>x8ym2-ZSrY$R!hLDS`S@} zBc=krJ`{O6Sk4KJ8`$Ztu7cvhe!DTKH+? z*~Y`r$r`Ox)mH`Hvwl&<{U0?ke^@U*`xhlPlmmxx$n@r*wW1$(^li{)0GZsT**9$F zJo^_p7ycFa3VrdHBh%q|K5(b8Kk~`Nq11|&cKJri5&o@geEFOu+b~;?aLz9Fd>`kg zvKCj!S{1ldzDbON*H>UC z{7k`bS8-wl=qyiHv5tD+EO6GD z=K|x&hCQfdNGbE>8sO~&W>Oz#nqqeq7>C#I0lvU^;~wD4dSvsA52Q_QtS55r0^zaX zq_12LS9>rTe3Cj=w|sovLr*%)tzF(aV~wmI3!ku;W#y!CBUR9{pY~_kzLqS-r=S&= zN1X=0^7hGlv?G;@XR$Y!i%+RRE$^hFp%nEh+ud<|*#v&#Q=EojYDaNP>YJG#gf9@A z#_A94<(WB&P2fNS<0SZEqR+r9WK2`l=Hb+hp(fRiK#SMj-SsjyJUb(0rf$qU11uYj zjUHb0@85+}tzw@Xx?kS&>O0JtvjSVr0*)&DrR@Bk_!~v0YWU6%-6K3-&Tp?V^|;`? zj0JR{a9zeh+2yzf4sWHhvi8xR_qxjGxq82M`7_hyzo~3BpIH8j-OK-X@QO7VckM#t za^lt^(J@&|WlbZ5UdPzG0sIEHU2f71KOpow28oJ|NdCeC$bbI_hLy zZ@@2}jri6_E%SYJK4L98@wBPkzF};plYIm6;p4FFobGUPp4Y8q;IoN8NfmxY=pe$M zJN1)6^;xMEE1|EY#ECGb*zOb4c;}V?-!wsU4N-~Xcj}=zk@>CtkCU&^LVla9#sUr2_I#>#@7aa zn#24A8H%1^c(P6ACE>@J)34gpF1nt)BXhL$bM*I&)Ki<q5ga(fv(u z20y;9k>6|b%;cGA-A24JJV0!)vR|l!Y_a>E$%lSlB-XJcaoZ(&a?x$f2_}9{V0pZG z(z|x9e{{_)&_%^|_Q4f9*9(zI7Ns?o$)%nXZf3nO%opqd^utcwy zu~YLJf#rp2jub@J2hqiY;6jk~ITN03!n5($(@oar0>-Ga0C*DsFER!8iFb;SC)3nE zw`#7N)#j5np^wwv-Oou|9e-H{ZGSE?<&b9F`fP^%w9m&nICvi;wx%JJ9{W_5iT*0z z<$Y*Odp0BKLr+Itlyy1oJ(UXh|7@ApGtUHHneSM>LgevD=2`EH&&^3WbKb!>#WC@F z2Yh994f-})?{af`I!edgHy9HW8fW|o%x5TC&wdUI7r`031y)SxHNTUFPy_eL3e zehl-;yd=#F!mD>O2XASw*};6be2UU@-1t@At)dP&Z@zMmqDS!Ogh6`~(`tdK4?HLn z-;ijsRP3zGR}0wNs(Ho^cx=k58mA{37>9EBP#R9F4vS1ZaW$ z9vJSm0x_Q&uY;>kJJ^AQ4_Icc-`FQKPrIRCmq(d;vTCPh36Ek6}}p`kTb6t^U1ZqW7rwviFm#1Jw7p z=gRE8ope85tY7fSH0Mjm-{;TC8-%bN@1&pHg> zTKsNDT!7ChKDHyjhX3>|uJ6V7whVvV$BB`B7C!NkDRW}ip6~I5a(F_2bW7sez>BLA z4PE`SbIoAsZSVsB?>t`cSQR=&z5y>VcrVQlB4;M*$urmj2Av7I4k#Yzma{)u1rLNSoR!#Y;B6n_f#8DJHhS|w(YM6kLDrqah0Nhh z9bOVM?7o2vJKj37XMcc0^Q1LN^SP+_>lnqr9d1R!`sS? z;|Eu7F>W80QJRI^1xC)G96h;oY0S!g*08e9pgSB2IGMBj(70htJ7lQ1PUb(NgWE^X zXvu~u!}&4up?9IFK+G>=VG-|6x&LMf+|4q7Vy~%n=P`Em_P(NTILLM0$41URcj);- z)1p^ktB*!ZGv-VaeWOw7utMjlA#=}%^ZyAL(3XZ`PkzYUw5B6GQ1@AVF4agiV=GB~+d6>f-+W8UJd(jE1#z|X^?(KAWVQYJ$xK?1P)l8sV z_C!xU+!PhqIaTmH#Sh<2^TRdpLjzc0XHfjm=)n&M3qPFH0#!Z9CND9 zb~vkm>%JiU6*$EV{1pR#8=S5@|B0|)wVnOkf&If0w=(o->IYleovIHzy2j9}%dExL z!MMqoC>?NT6yKeg;;-m{!e8AnDTT+bPW7OF#V3dU-@KiBd{pI~@XwhuGbcBaz(G)? zWMo2uNGa{8Y5Vpv;{vhjmaH#=T358kt-b9Qdy%f&+Qi9)tBD>2wbn#HQmwVPqsDGq zn{*+eRtvaD6fH?SK+viQ7BU(_@_v8MnaK_8`o7)I`$s-WX3lvozvuV-?w4i*IKa;g zWG}6x8lCK%9jD{H$Wdp+`+{FvDSIfi&u1Y&J0`lC*`*KTFn7jSdKf!K&^y!T%wSVW z-V0CCwFtE@?VP@#V;qG%ureJEpCx`;2(mHuLJ&+PI5v7-z9qK73mA=_={Jhq%dQGQC!wc3DAFf3%YQT22m9w9(xxVCN$BYvDaSRxn*TEDHt?t`BRm@%NaNB$#+fojM~}q+ zHU*qRmz>(dGsm=&FTpn_{2^ei`+KD;K{qljWHf6+3wA7QMk#qJTrcO1o%i0xAv+^D zIgKN3uy1LcvD}xnB13 zOsRk8NX>1*gWkozQqSRQb6-SW_3IOyZ0ZGwe9fM*d1sz!zoO%%*Zo0jcGj);S?gbz z)YMPx#QOCUrPi1=fjzIgB1PY1-365j*VWx2*L!KNzo)a~4iEo1Q}jM{ugIUVn@ypA z+pS`M|s^^{ej*}IeBr>?{%?Wm8r0*BC zi{4c99eBwz0<$zM)ck>p%%0BOZ&;j_+`rRdvJ#uU(CiiyUP2yk9yW{?)AOMej_{hZ zzY7iEyww@->@;$#dTT3;t6HX!S2^9uiDg>;E^@$b%yt6c2;(vDG4!lymeBEPY9D-% zU#_y+|2O;P>Z+;z6w8*ipT5UUL*XepImxVpJiWiNj==xGI^aS7pXL!<2Cf$QKw>LY zdO)8K&V3;T{q z?FD>BM;Lb#&opo^p>a##iGKdZmTLPBe^GMtEwj_N#rM#pu+cTi{hc|(F>je9^uv-m z71Re%^=xu7#m+(94$f>s47&}uGvUT;ft|=j!)Ju8RN2Fkk31jlt;l5P{r4avycFuAez9qOKGN*?tjhtzd=DZ|wC$Tpt=zTMz@st$=Mv6`g4e9tD z^4+wEc^|s$WX{Mm!djc=UcKRvwNPs9!1t~?5sQ3pD?W-A^fBz^nZ81|f8_fF#d3s6HUf}<}6YRuCS#GdK;=QqnU)lHlcqx2GYvSFcdJb7@P(E{b z&OOc=&3si4YD*q`AKQ*aj4D2O=nix>REI38cqI14yF5NQvuldtlQY=2;*-07iT*Y- z_4hh#N1=ET@@{Etl2bDo9{_V;UnFiAy`vLmin;x=-Cbr+SdmRGc6_1kG^slkW;#oM67@ z?&y05cpr<4FK{Nb2fAhN+4PpkQsjUAS>TVo>-F^6ZmC7D;(U4Mbp!pUsTdktlUyP3 zQ^=jK11DpPuWORfIH7NH7wqeL=g7R&W1Qre6_W(+Lhl&k388OkTJu3N3Gu$n(VY>t z$hodj^GR--hCDl@csjC;s@D}?pQChu!kFrnAu^KGmJy!s5PRulKZM=nn9s^0=h|<8 zPa=oF_c<>mGf`iJ`|oFsXON8=i77>IxvDD==_B5P9K}cgJ)*4P)8JO7^b1Sv!+$z| zEg7FOcjHOy)!^m_>@QI% zwi@)1ninQn*HYsu|M)xcWjEp%?#i$#8IztJqTiPY+}8m!vp9*}Mtvq{1MHBcfp@SX z=X4mfA@gz`%q{oOSeD)^`^=fEWMOOldSA(Oo>4NTrd!ud$N%@6^ObCgJZM!>uX|5D zzASVo`JWs_JK9^+vuF9A+{+pU)Oo`1)8E0rpC6GGMK0$3&W_c@kCJ0XJPPulXP;Y$ zeJD$7DkKg$)ckSy{R(jNaVzJRN$%a|W8v8q@_e-eZU}6JE;+%jfV-ye=y*80{{85! z=jC!Yu(K!eJ9e{fXzrf+XUJVX;{A;cYkJ_26KJ8Mt@BX z5_iQt<}9BT=-SZ6x7_|k{+6M?g0=+3MW+hKKJ zZkWCc;B%k73%@h_V1F;eAG})8tOtEKU+rUAMZTSNWS*S86@&<&_ZnAoe)zB>W}C9- zSW8W8v%txGC|~3-iBWPNpjIjLN%?%RrC7iRd3mjOw(|Gl*OhG)TJ2OOB{%9xp@m@| zbHh8r4a9u+*8f7`qZ!K`eXls%7etnm^QR70FlD11g#+aiJsjv*FFF%+@HfIw!+Gx$ z&q7VB-h#C%W?U1Rw|vbgJPM59QFMYgM*V7$3y}NKJ#LxA^A+BFRsNA@%d=ARRf7ka zz!TkIG5HaqCm>twS^f*^P7JzN`0r4%K~3=~s{}p-zmJ%2!2>z_mGGh*vpg*~kb^rQ zYZU&^ddrp0lhR|Ft^m*X)Zee@qv(X0d3++$hz`-#<3;|c9aeK%Eo z=T3(2FKfvmRt4X}joJ3m0q|dVeJuNQye%HWc9RQSu_u@xz1*L?I5`5NZG85rNt%D4Y$`tc8mUhDH> zH97OCCcYP`y~|y}4(hJz`{Re!$#R4J5+BO?PIA{3-N5&hVc*e~A@)OwwFFm&j8SyO zNYNE94s+WGT?s<3gl5P+zuKcc-ZR9Co!y8$< zH&zw#AZI-!HST_A&?A8!KEzt%Cn;JeHY(x|bmZ(9{!i>j*y6EM;V0*=&)mydF8?jK z0M3AW$e2ooCAN}vQ445smx_%e=NOr5q_lk{)0p#|6>rpqYVk_GT*IBr(z95Vjj~KFPHfUwBZx58adZOuz(<6)d$Mis z^0(u&Dv+(vEs;-qv}m@M!x!+Elxol+^JMt(L)iC-r9p2X2QEY9tcA7`lgQ7UL->n> z{zP}NioqG58<(EbN}3^TdgQ~l4LRMc+q{r`*i`IB=n!M^Ge`Vn9mnHm9rD(4L-aPO z(QaZ5QA7b0M0}_*U3R|Yc<$AFf5|a@+PsLx1i5(|K5nMnndW!jP zAQln+dlR%kYJ0xkG=qFx^4F19f+1j7PMoa%p9%AQ;@(S z2Fc6Ec7*PDL-I3@#Kl!_f`{REnQ}3>${K>Nu!cp*1l8zB)$rzC#$?S`nx8NVcMu~~ z&0Sld))OH{(%nZawB+Lz60b;YUpr&+RAUz36LV>OZzpTMCrZzqXn4*!=#A&-_G{*6 zkO2zp&c=Dp$}03bbemaUKo22aej9i2s;ht(`3X}SiIZzWE~_DK^+|LTVxVTep>&}z z`5n%(qNw;>68Dgg`qifVMDno2L;IY2&cZI!N=(>c@NSXtBxK#Qh$r&?CqH_S*fY(t zF4iK(*SPbBq8(N)_cY_gT$RU+4Mu8u%K0aP)VRI$<+<+ff`bwB5a&^<&O_j?V$vkH zrl=1abeqZ{_0B-UF5k>r-)DSv&z1e3`}kLLgYjR=-Al#zYa!@6GE6TqD)mPU#ur-Y zZmc<&hP69=@*9#DJQ^1651QVQ9JctJ1)6p8xgq@pQGY+MM_y;2=%_2@c_$kj4xX58e|h2g*1C!r z@mV=qqUt}OL%-%-zRP{|ladR?T;R@;Pe;~V9!r@0d_SN$1OKMkcjU~Mc@k@X9OGQc zr&!DT_rwxwzQjEtj<4#fSfn4C5=hV6Onn{3$~y7sNZ@<1MAky`i-}(-{8!z1lbVnL zZ|?BsFX^_g@FKxE``xcxWC(tmmt*6o84?*Ma&r>g;hqP}vZ}zQ+ z`Md_*24CT}HhhI&0KdTfLe{;tnwYQ}=+km!1;)HJi40DT(ASeQoOb9{buwsGbqD1x z^UQx*xig51{Tw)0B{f|0g-1g3_9}XESoZYfFz%|o&AAWSDEouAZkw!!xAL?3I4}ct z;DOZ0Wxpk<{k~}JzmRi><{(E$%&pub_P}%LTsY|ah2FCTd&a;pHID@xN9H+1jvF*S zbi%_qt$e=s?(*jyVvMn?7~qT{uw%Zr?A*eMX*_rOpM!tiAGMF%56pWt_tNAcg|}Li zm?M#q3U*n*-V)d=*wjA}FOGu`eM5HL&C{Kg&^{wRB=NlJKDCjT3SX9*=t4W(qfO7b z)q&?CNoWmnf_x@_Te)8pI|uZS+TpzgzjD?{AC8Xa4d|Kk(k$+r(6J`)uaU9d;F)?i zQS~J~5!fDe?pdf?2NvohxUR(n*WKwS_DC)i&+b)cdqXnAS+dV{il=X;PZIqs)I48i?q%Fd=5b^mwT6Oye0P;C-w~T)RkyQ-w$vKxf9$MV zHQ)L1tWS4Yl>!&r`trRJ%B0sAC5X1(~f zB+snl9{Jz#{{BOp`@v_2os}06OGurfHShqFbIlDRic5mH=fd?2dzl$FyraI0VJB*aejTk76)5Kj*dpF@6s z@EX+vR(P(mdzF=c(ajA|U*aBU(=5TG_myw9aiO=~sl}`ZnHC#kxBTVkAj2Ae!ImcZo|jTLlw8Zco3%uB zEBQ^Po~UW_C9116>tK+0y|3_j8?nUv&HUkcM+dEaLEZh`y#uz7{Jdghls(7&P7OR_ z6Kh272yccLp`#1!8!g8YXXNDy20XtCYgaO@$SaD@Rh@;uarqI6ttDR3ZxkT|1%3~F zb}C+NO(_Ddb+-sji8ubLRN8|1Y-_&m^%3@cvCx z;rHPA^Cox*&+nz?mDF0ulH4C;{MpF+bM8^&+WSj0ovaPOEDIYov3RY1_S?X|q5ajt zQ@q==YuWL zi?Od_52>@T{9D;iozRee*$2OmpANIm91mvA(@V^up~%X^?1M3QUSirLXOh455^{ec z(0%z#c&6lN@LBK3XAAkPR6c7S`D_-SVJoEuBYGt7orV9-%duxn4_~;87V|lM*hnga zKBrHfW9|p(jnl`w&h-O58&8$2<&B&aL7 zKy)6VHJ0&rntj`@%=pJLw8Zh<=otPSrz1~y@5n<|z#rh_r<$6qe&#Zu)gsfq;@2Z9 z+t4}qsYx2~t(QojcAi_LMc&`RXC=_Am70U`C(`ZG@8@;$n6S@q#>A@`5wlo+YtH}1 zR&(^}{)FkWmu^M-WIgWJcO~sh%i&G%HvA%XhM#$N4LPfJ4Uq$-?oN2NI-}F>Px{_C z2J5~9p9VQ@Mx#%Q|APPHevlPBW z%f77eMQEGwg*1)`zJNnYUIR}Qj{s-T`*N%$g;AlSz#v81;#4qUv3m<|jOUkfb&Pc!iyh_tpuY0dB|O!NsYu zc80e;;+MV1c#LsO!3}sBk8;Nvs}%g4YnDbMYra5!JMc`wP}RJFKUkU5)IF29|MFBhv^9FHFq4~fm0IOHVvEZ@plsM|~C8_w!$$a~}-nHO>2s7uT}3qNiW*W5km ztp5FAB;%91(dgIVZ@TrJYt)c2Jf*qkrA9#@O8$BOuZR- zS#XJdSdHl4OOcN{+wegVKW=hIzYY$X8>3E5)1b2N$U7PDvHzEawyOG#M&knR!*hN) zn=xlMd!gbf;RftK4VzS~L>yS9&keuSFkew?Vr-!SB15=+ZT+Wx_DF^h!+43Xf8pT1KGar!+kqd=h3+PN_&11HXw`D9)tgEFt=65BWIpCYuD7^|0wC7WhE?$_fpInP??=Ztx*pWk=S zgyt>On=*9pY?hw5vqMk#uGXwtL$l&}@K|`us`rRvz{WBQ*^PUjxOJ+YpwDO`d6xW+ zO1!MlnnX=g6uJ@{rYC(<6ixBq-!Uk3Pi%1R*RSqRRNWDEs-8lw04H9W1#i_f`_LWG zp;=m_#$|2b$&ovhJY(HEOH0(|>4~_Z+k+heS*x`QT35yTWNnSWNo2~Zg~WJH)ums! zvoxsUg~?S?wap^8vX&#Q;K9q1!y9vtyhN#}7H|i9~*@>MA z{8M}~JbS0mVZpayUa8`Jk*ys+bOC!4c=U$5D3jb^rTZP_jsW}GW?(OPti~CU!;t64 zjdwZ@YK0yS)=fD5yHGfVpV>`j?~f8EnzSafc|iE7ef4&AZc;N)a7^i{FD;A_=b+oW zcJJ^ZL%Q+(p(BE#L$<)dd?eK)u`-&bxbK->oMSm}eH$Rz$m zurV5GZ%0pgM2mdw4fNs4p%SzA^O2k1AV#zi8_W)$v26jgWIy>_g7;Bq2{5;P@p=S>Fn%aBvw>QsW z1zVvRz(v(4R5FjqSZO=*XxlP13Pm3BWOvEyt*u8M&v(dsEdkzEkocI5%ak4%xpkxF z^e2BLG%)g&BizRp_*@pSg62cxpx^D{cW{tH!Koahs6uEjJbha z*);J{3O(`c3*@Q9Wj%iOjxC;b`P{m!err>qvNsvCOx>yJ{YMu4_ z;>DTpuuS6jT3AyuDlszF)MXlUA({~RO!?G%edq*wq_PIQga1?^OH|8T_%~MMe^OvG z9xlW1;JrlEVeS(7vO>dCv^$KR4n6>r6)FeE-rwktH&#rGKio3S*s7l!-x@nt@KVLY zBD?gImlsGK0XbW#9l)H5UT_v3&5>u*GWs&T+&TwaNsal5$ZF&>_Bj0fEb?Q{@J_ra z2(O|J#Y|oH=YH%m;lxDxzj$bvP89(K|)RaP#kq73PL)14KOw_bS z$MEX_bmsd{0qcc4gOB=~Pbr&<@Jeexa|Dqwu59x;Q;UH$@iOoB`9&5`{7~i5rF5jJ zMe2LE?8qSY7u{VK7!Y|$bg0O(r?tdc$PT`zRV^C}8YT2h!5P>#`L%KdXW^AQsIS63 z3x|T(6`s^eg1tWyd$#PyKG^C{9Nk8(;|zbKZzsHiv&9}^=Ldc9Z7t^-+bYfl{-!)D z-^u$<25}|(7sZ;){Bn`$h?{7Rd>=kf&6y^%^Ye+DMPAuA#wKG0fZM2ZQtM3NfhD+! z&MSO9yiK3kvm)=;Vk;ysf9x2kGmba5%oMp+&NUpuXT&&iu4x?Lcb}6>{5iccN(`-B z>e*R$GRNQj1zNl}!>OqWIouV|YoNvSLO2EuAf|n;PHxyl;`>{m<^0UMk59}y9Y_UHeun~J%c=mC>z=t&|9d=axOl1RBF!XA04nLE|r7?9>({gpn zj)f00U*;CNBJ)dbw#uuWVfs=vG(8)0y1pm;$EijKA-+t>qvz}7KB3n^yIGs>TT$XY zf+4;~E{N{=ocJllcL^^!$@jzh`l!8%pN(AQ6U062&`R*Ts6BUgv*)eKANqg0ZyEbr zQEgh{=BM!gVQ0A8%nV0p~j;MU>u)ZH%FR1SE7Tx>paPJJ>k+>D1 zO)A##Y*Wz_nHOF`@5PI}yZnIZPp?(-Z;T>n1#~L061hOFyG^azt!x^MFG3Dq)IJn1 z?IvEPF)H<-?W~|La#1g`IOj=RhTylV*;^{(F#oM3_-)KVdEN>ny;{V9_SEk-^*ho1 zu!5bUE%%!EgRwgwZwr7Jh7yyCz8}DdeFq* zEU;}w&MZ~1biet>0go+wUET2El_=o{Kn+de3@b*&oK7ev=mw0o-5kyh}D1kuN` z_#D`6sTJL=O?{rClU>joFLy_Bb;#4PsF~~47}$$UL5`2)_DEijG^Pfa4vAk#rA~#>#Mp~|2y5cu}htU z-F)O)IScEy%b*eDsaMjkdQsiA_P%R$XEn9{IG>1Ff==8;4>a>iiQy9*A&v!|6dEh> zoelVF>02hf4CCMtwM7mpScqPhUv{D3EBNV`IyB!3m46;OIs@7{!)4$N3j2@I< z{!gMKdViOh{2jD65Q7tHK8L^k>hJtLS4tl-;alN3w*>4Brv(1zAqVq4{>V`I41?Yv z;lh|S-2_25x>Dsc~-L-@bzCDNSN!+OT`e~76%@{!D0n|B&Djggx`5Y> z#Fgt~dvmQQ`+R(M3wWL>IvR0MEfeh9izP46aNsY%I47*N3r%dw~6w%BQQ|^@41k3!d}E@fqeX5%dzN@1)S|2cv=2;mZ=j@?p!3KQd^MjFYWcL6( zxf;Gh{W{{h=rMD6FwxfLb1ykaj<9K1_%XKRVLzy$mg6B)=WIXa(7!`$QbsH1SKg}V zuFwp4D!R=-KnJi9nKw@Gbg$S1(Zj$$_OA=Q0Y=0F<+QV|Zq}vIJFJDCA_M4|6~1&2 z4XZ!-TkcK1^q?9|3wZ{7lRJ?Q%=Y-mJIE1w>8zP1_wDCn?o_ny@3~XNQ?#V|!WKLs zG*bF?a8K|%1HVyy*xjr9E|#^8@5AmkZ@Ns@$ol`=KJ4!En=WPSzpW4Zin4Qzq84;M zeM}#AH;0<0f2|MuzBb@e4i4botS%%@44DD?X#jKUuk>Pfm$q*k3%C4FV{`ez2;4fh zg4^I^MXtSLqriTW=bOt|9)a>P67`y~6iq({q)&|8ftSC-FCR)a38-&sw+9 z=XEmqRr%40zyR6Hk9-cD=`C2Vf|2oavIQWACF!4!y@zPcq;*8g9sSZ?T?ZGMB84J^9>qW>C)0`k+5nxwFkZDh4$?Jlk7|Wx9>Gy!`7&16LIKi86bn_UscbWZ5jK0Wr-e!J-VW@>h;d7I$B)b+3{Px+*0IQ_ws=q%`p6MWVhWKE%| zoVT1GczMX(m=l#)1^4yh7&SPJE#`UWs^^s4D!tqbUYGGK;OMR)zXKex*L4TweQVQe zoaeu4)-|63j;0a$8octg&uClnP7W%(39`QIlf+a}Te9z5bV>Z}@KU?F9i8+DJVifu zOWv!4iFIvJnbXRao+$;d%3St_Zg4$+s>~y`lfMey+3fec;&VpL; z9(hCNzJj^`JSh8C^FF}5%rVjn8~H0(7IY76d>~$==Q#mA3z-SoYi|}~=ZSm*KlgJN z`M+YMNAz6zzXiDmd7+4(d3&>ZR+N7R+Tn+m_@Qy16xya}XZ0d_Y`^UBPWX@~GrydY zxjovZXyx-q78uA4tixxQwSUEc2QddUVHLe3@VzOyyB$B=%Y$kRC9`|)+s_}lmFJ0H zCYEYR-7a9NXt?|0#!G~5J2@+`u{R^f`iI=B?~Ae*IiD2WW-a6C_G{FB8!fX&%a@Ty zI&Cz~23Jl-RwLV&g??)>pYU6INs%czd``AEpVV-*ubmfQ?P@-yZ!{bgodfy*7`oeD zk59bz>iGG}sA)7w#I0L5KIpto!+2 zrPj@TA71w}!(&-#9rn8YKOMX7=Fd|b85^0mX6QoWxbHtp?YBqc^fW?ueB7(&g+5ca z{20&vT=}n4=i}s-e#yQrNKL5p?B!yO-U0(#n8V=aQqnj&~+Na|>hG`*eR| zcpl;X8K=YL%Kdd?_Z^+*P6_mmz-95BZNJ?>ewKTtVy=F7 z2B`BQD{H7Jo-*7*#C7|W5j$F z9eQIlVm8IB)$p7p$VkNA*h@oAQqy=@G8S2h|E=;+ z)Lu{rUCpab(+T_F#@ol!346(Xx3j+gOFE&QMNZ`YIJhcnOX;}S`=$x6+~wIC?t*UK zMNP344}`WnCgX^(s!hOw5rLaWR|?6WAJ-^+3nzW^XPp6!?84P ze*O>K$$@9bKC}BtOU^^=d7T}H(WUMaxdOSSA6W)|e{7nSEquP#h5x{VkyG0H&}-p6 zGm$Sl3%U)Fmx__Gl?>TMoC+^upNMa(!B*CWp8f>3#1?Fc*ypfAe58@R9jUcM9gFUlTvIF&)ojn?geC$%bB z5cz=dJ5G~pku{N<=8l$|L}v2jLQhUqxO%6FulPP)Y~2DA>AAkee^(B@)3MR@|JFeU z0bYL`cOL)bNWCoFEYtnytlj&dxGlmYroUTJh4^y;=(>g+frMXyOEUf>`Pbc*g*n{ zCtkh!3^Kv&;W<2*?MuN78CGnI^XvW&nebY9e>B|OD^{muf|^k>!Kn4_*mrAsyJj0e|D6y4JWHN&svB4u$p_SMd5J`*r(7d z#Q!dFc4gXU?DEVj#Q&yhqo4MDlzh2>u_bRSF*oNh7xj8_v-;z$(8p=7^t&0?oV4%H zyhL;p`^ctC9FZfC<)sI?(tYRMWyA~N>*vSt@9W^;dB%vGzk8#=pafe6elFzkUEusw z>|@x_6t6$s25w)mKWN_@2r0Q5{+&2-1iAw+>a4%}!}4+ai~BDVd{AS^JlIIm{65X= zE#ZR;h=J+sSjU+h8Fzl@_<`5s$Sou1($Ocfp2$ujLy7(>yoH{hGsp8H?j`cAoH6I5 z@G$>e@bI;fvGRTiT#%)ad&J&?OmdoTvEvA9D~Pl2*T&(?6y(x*f}bPfbvzfxCN`o+ z9TR>xqBqTv|Iwq;dedC_A3bW`v8N1sW`jWtvqF)0SzkU6t*-C!Rt~nz17~UK9 zl~}j+C!MVM@DF}Orxv?NXMOj#I(Pq>F+H3J3BSrC_ZYkl-4!5b{267>xs2F}1N||f zljFx_4SpvFKi_RvK`Yba7QFtg&c#PQ?77RC+tK}V-F<;h=&$Nk?(W-JG+xGXuf4DE zbox7uD=@rpFiWRwe$#Z+CO#;%{OsAqvU|R-#9rp@c#1ZG4gyyN}j*+-c~D9 zVzPJd9LC#x!Q0wBW8n6P!tdSVKl5OXT$DZ|o*Ox%g3j^JBg?)!ZoSutKaG3xhqRp? zIi-8-GfMxzA2=#Hl*T*o``>x?MMrwFch+_YofCR&Tma9XSK%|}wCIVT@O|A6U54++ zeD?9Df8nf$j`rp~ZYV#-o*{cdQnL@djeUZCM#$ zey@48dKQ0|cSd=8$Kr{0k@T*Bk0s;izpOcR4{IHPyP~(FXt>yjopt<-oX1+uqjv0= z%^&l|EO=MO6dX&BE4nxL@@`q5A-Du?B)Xe)cS)z8yusU$ONiIJAvla951K1R;|6#4 zpm&ekxW@(er|=ECz=~jEUAN$v?q1S6pmefz)3vehb{t6iM!@~!X+6O)krQv8qisQF z2p4vd`#3)+JjTjynQZ^DDF&SL#HT9$)G)qLW0c<%{UYDK#P}q4I%wZ{d|0YCGOWi*)spGQ{7;0|T<+)y4iX6sqTyjWIz zUPYGiv6c~k>TErmcLL!#yg##r`V06~L)4I+*M)Dj3tohsbS6J3-cKIh*LYu#6A!|8 zJ_EZcyf`sXj%$uoybUUBPhC6J~hMLuPnx1vWe3?G@bd zkDI{86n!8(??&n@W`I}3u2qs#la+@|TJBeMhXjV97U>Kd|e_ZxG zZjEKjhuHHI+1NF(2gk4<1G_o=%oUhLskcPF zM!EK7@xgkrgIUCs;lD48WkV~o4Pe$&9=!$J&SoyZI-5{eh9PGqXEXcb)VY=aD_G}U zjC&(7fAW6|>yJ?{zAK|=g$9iPju${H&W~k9h!v2ULh+APWEn**>=Aq`|1HQr9lWXze3c1b=z}eJfCBNItS1+{WBb3bgDk0WOQ&?@7dn*@9YQNI3T%Ep9|xopu5CN=wW3 ze9`zHqosQ$e>hrN+AXvcS@dTL*RgN$_g>+5&MW74YYnswc?b*!8=K`Pih7;hlSy zzb^Ag?i6@@61;=w-VhXdPIMQ}w<<33p2&J)>)TWCC(iA)G4VSe0;k5(AL>4rmH7n6 z#^V=s{Y~LH_+n$iccf?ExAxTkM%Ajx!bZT_9Q>cyBVSFgRboqItz-7pTl9I5*>$nS^ zsq|jXigkv#1A@mLzmGRAl-@X}!n1G0{xq)sk>stU`{&YQB24bKwW%1I!ueh;c4+Db zUBDSg9tJrV*l(zNLj3S!_>s3F3tu}|;`hkQ#P5#pkeZU@)ZdRTh224FZi-DOe~(}6 z@$RIn$b(~l@KuAHlW-w)awc}wLhkVS+~xCu`J4(pKB|YVF}FhEw^bfWym+l<{or|O zhSbEug(p=V8e#*fh0ol*9sND|`FZTU-(bxu=C8NDH#}4DXE=x8ZQ@=hvfqi}qFeZx zIEnpELROd*4xMMgpC$sMiF`JZ&nAXL4^0fudxF@wz0eiU9_0vJh)-5M+3z&3H#Ys= z>!COWKVv(6b)O6Rnu>|p-u8uH;^rhiW>c3sVUmAlnbh!09?`-Z$&2Fi@a8wD!GV7@ zS))-4FDf}Jc8>WuC)tQSvjP33JL<_crT?hv&HLe%;6=$bdbwj}f7eRzqVsn~sf3~qEW4?IMCM$`bZ_w@Owqe4woWD(9;)y3^y{t9|+Nai!JucTsZ z!MiSr37=s|+-?qWwi9%EGlS1F;idF3a&j61)`U86rU4lW8QL@lsrl!Ri|>WJONsMN z$Jfd`e19rFD~9aCUgY_Wv*6uN^LvVVmUXIU+}ls#%O^&9V4$D+#Z$u6i&t|?oC3Vc z@>5@5dRYJH0%#Vwh3n?BZsdU&d&T}oevo~39&x8JEwTQdn0v|g%=p45kQac# zx&Zkz{EeM3-0)}MicGp0`H48t@XQv!C3d@L+wGPK-&qSTLJz4OMD33}zJr$56sO+f zzK(m(Eo+lJ=Q*R{%Nfugq2NF9duPcyWsSzipn>#Vu~@6X9a@}W2Y-8QV$Gv5`;xM+ zB~1FTHLPX)pK*qx)k{qu78u1<5m z+&GnfJK`hDwbr8hD?B6qoI1MpzDKcfNzBs|hN>%1oFi*^dHDXUsNF-1;!=T^&;X$Y z?t|UW#y7p8xzCx;#)|*~^5yXJTHV#*B9Z$#-W=Wsbxz0ZgFVC_D}~3T_VL4$zqPQ} zt9u~19+d}j5?}d=Zk;@pwCjS`+7D#Srw8oq)+uC7zn~j6@WL>~e`8_%JDg5nsSDBww>kuF5>@LmtlaeFwk&b$HgZMmo_73wC@~nF9 zC-B4TPQ|4Du6wO{G*Z%_CvwH-`A*alpXY=w@SQqn6B=T24sz$*x&y}@?DTGK`w@qj zb!v=5=kz>>SR-rY4>ju_Kg)B-d2ctSVvP8MGo?_otrF_ zSfed@#|QBrB z{+TI(!et;Sc+NEp>m0VO##NoTvQn)kRyxy2vw;{w%MVn-kIlj=!yM zTrP0i?7ep`e|J12cR>Dk?=>5MIp?Zm&Ia!p^?bd=w#onAcl8g--%fBk^}E^%g$IZp zFR*mKdg=l7-Nrf8!dpuJLVPjgysBs55AL^5p-*+(pTa%gaQq}|MSlCb;4V1eSGZVb zPPV?29di8!bg(5AFS&eO3cscaehJ>W`RxbdMHS@nf@dpF!FN)zW&im1UTm4dHHj@t z;aVEc6h74`d}2+{#?yS|>g^AMFS@(`si_XJHddbaV9UYLV#RNzUaZ77*snbsQ1Ld< z`*6br$Purm==xs2du_)6zUwJtX?k8KH07tlFJxRV<_O*fjTW9E^SHa7J*oQ1R8iZH zSVM1IbVkK5o`6@u$F6O<68q`xW5-^6fVhdxGPdv=q4%SCk$Yv?eX=Ke&sG0M{$yFPkcr$>rwKFecg%)N^T)`$0&;V?JHN_XiXS}cYz`$e@T6EXKgldhd&IY z_ivfvdu9Kdx215U3mCjTfZRF`_ws&*{PnJkFJmaYQ~O8Pz3hkFBMd!iZ!6i`t?Uh% zfAl>nYkCVg9N+gCe14d?!?$EC_WGvUCw_6+3+H{p7$tMXp-JdK$XwD_A@2?D26o-i zW92=>`i{!_)Y_D6h}^00dT~cuKI7e1c>QtVYeGYTlj8T_Di$Zj&xKF${7LW5kG?;<+wJnavrofq;Vap(0Qc)f);)9dx<~F!-aV}UwbSlR z>TYAp-^F^OVQfI*BH|2)WpOf*v(O`qkG6R9n7HP#@de3{gCLc zqQm+k>(jJ7uS@XP305f_mO8BX?^>0N^Ko)jbK2OU%!1NW)S@BhH<#83y zRB1jAzm9TlkH?9*8WV5TyLhvVkv<#d=~A>zojovDXJ7klihfiK+su&_;CT}7^w(v^ zTZzLaCspJ`;;{v0MmTq`Z%b~M&-%s%8Zt04rN|t+4-CsBsj=M+X1|e=`JCV)(F3!L zkEYkUmv@#^w-8@zb6$(&o{q>zc`q2q2-NO8+kG(aF?Ih_v@x|G>Vd|5@mbj2Ir}kw z+vdET@rB5*X}@jB_Te**On4hSC_f7v>=1ecK0U4E9qEx-RN=>t8VDEiGqX$aGWPTf zkqd+ejo|;@lssGij!}w#_#4?F(L)()L+XE}$MU};_too}lDA6Yu&njy0dFnKF1a2( z9$i58Yx)2924}vBc|x2w@q+1l#yl^G++1)}eRrpcT{$HuuOE?$Y;j9!>`{C0z6YOv>r{zcyL`R!UB6zkTkhxScRKIKmiTac>(K|ENbxN6-BCP? zb=;-)t9;Yc`xw0zuVa`7tf8{19~(Mj{z*y7|Yv7(~X|af*0At{Q}n! z+*$mHx2F!|k0}DbMi2LEw~2i2(azdU7W)>thcoV#dE$>Ux3a|v-z3+ZvlZP>A&)8Wu)l%XFE`K?boh zpm~fNpWOyMffh5r#9i20hR>rR=B-};jKE5(DuWuv&9i$#duEkf&Al;q-D+j*x|MSs zRO88cK*wdgp5Bi46ID9}{$718`K%$YLH2{6#Ag)kP$grgU>>Uf{oev}HMWeEI@@sQJY8zOrt4e` z+cVceJE%`VeF#-AQtg>|m^iYB;0*XQTuZ|dd9VtaeZy}2{yThvzY+%}9~l@3*T@q~2ftMtC;$U1u!-k7eI7W&yrjzrW{(`n};P z@;`mCIR{zKnbyMdf0T8*)#h<6FwedNYz8c& z1zRaSZh{8)3^>j1q7K0^sY8G-Q|{u8!~rdTfHg=>55KZOdG%2Sq&|veRA9^Y#!xhY zah!mv!SVrP)o&UzR=UTn*y7VR`gC2Xlx<#oTPhwB`$Lab{(T3z!OlNHPX}W5&CWb( ziECE!{XFcO>>Zg*Yi2(?0v5GN=!L@h(T_P)e!bw4IxGK)Eb>7zj8fzXZ1)zl&dF*J zeG|V7x*~y+z^Jm@sg{R~^*t3r_RJEq!<81vY{2K1HYpu?ddqK~b5xU0z1M#V? z)Qc9JgH!srn@572^P8NN>W5)$ZJ93Tn)cJ#tdaE_$UOcJ zJ6?(gsQwjx3X@^g81v*4Ea-EChcauT`k-@SmG_iRnw{i_>h z$BWl!iPhA0%X}mnscJ-Ce^lxchtlJv-*+cv4#XdU#y+wDT&o0r_0$9w`hvV(RzF0n z-lqi*WIeJj=@DXy&u_9>7@u3I8MFMFTHED+9Cw;K+Ltf6KdHGPqmn4pKumk+UgAy(7*|-s4&JW)!W_A~~PY6PXvs!iArP zmS^Uq;UM$6Q!;yv$Hu_3Ck|}oGl8$WhI)mHE;N9@%-OKJ5#K)jjLy;`*;O%oOXv&i z`*?}&ti>1#d|3~i<(U_$T8IkM@ zVxr61S=m#(b1-U`gTJCJDYgFcOg3w1Mn-5NLquXL|&=!;~2Du#UE zN_@QiU2<-vX0kdL!Gq}k5*lkji>V(Ii%2aLa3vf%gg+fyb!wmNZ!7k zKC!-6N1j={8QaxS=u(fe7b!f8UZln^;-0O}W{#DyNDy0vnq!CD!9(i)Y0=9CzuEh~ zxZga@HPv%-ctOsY)aD-y_`67AM(X*;F@NnWeHvPYGCs{YaVp?UTA%f zmT$RPfdOx7B0R71kqifYg~%wSmSx!+I4QWN+$u zZ;yjkpfgnmtCKs^aVpXFFy~!Dz3!bNCwg_T2HUWkUj#lt2dRx^^-3=Z1gOlH_W zXd@;M-e*Ebeu}PDQ;KY{NNNsKW3Ub13N^B*O)^{)nEH&Wp6OSodh20K_XlUG`eKrE zplXHD=g$4=RJE>nD=?MMSC(j7^4d8+YT=h7^PTDQ`%S6)+ZW%qP>XNIhyRNz&G^Mm zE&g~wM|abWEmi1kJK>M`nzseuoy(xlNqpDc$Yt%w^KHaR+>DH9LW`wtVyO>2^SNJ5 z_H$p3*?kWa&jMV&eS&;UerE-9sVT=D%&4H);{6RK7hXHFj*V8i|#>1UD?BqkT% zHFX(JtBZE8*SjKJgN#}VSx!c9$ayb=+Tj^`B;zdXbOK}cR0QocO%gz3Cu%<-6$5(}vtxO3$W?p&i|fb69A`U(|hIKe85RkosS0n1MS|<9dut^jGdg z?cZ`InCBEa4sts(kjO+L2aS$%Q8KnC3rSrx`wn^^BmW3pCLel-<5$8~-;tq6@DK3m zMXJ}hJvlhd>1*Y)1N8Dd@`+TulFyi1ITTs92A|0l+$m~iOHIzTi*)g_iOfx zf6kE@Pq9t*)a5;?cq;uWWDS-{53Xc4cZcWh=*Iu>959di>?3XSEo%P8q3iZH+wf(| z{qRE%!0Dn=^jh$%xCY&}8+k)yEU^XT-Q~^E@g#Z!vCtZF5q_xt!ec7-sNsDjcZ}xI z&243_L)Q3#ZXaqzmYS~%pOgNd_PaYd zv$uE$SrGj?T=W(?dE0!Ei5xQrJ6R=r=~myXdK35-!kgv)N@zXu6#I%dUZzFN`=j`X zfh%(2TSth+p{LH~e&m?TQ+-mY`X&QIg*Gn=^xbUzVBU}WI6!E^V&(FOT zo0RzMcf{qH*-{g02fD+6n#0ZC-yfcQt0i9lGP1+B^X)17GmN5z@Y;o^fB)dObNHTk(<;8NI{o{5)%SjEd3?X~ z^zZLh-(%|w=rJv@)5844fyQ%X7w}0CiBIyXaFQkI;`Boh&#Q3G7$jGcTVn z#6GfL$&za9Koy@=5hFSJvz>gl6Mc6-{*km?7GTZT8E5Q&Fa4R=0Tj=p?)Vs!gc(T}(=;wm$%I~evBzPb`vk9U@4(rM2d`j*YJ$Zx3C;K#KA9`}uQ_)1F z{5?4uF-y^t;WvGUuq7ckr1j)#qvm5z@8VZa(~|>N=#e!G zV&OR(xDyMIyTIwtR+UFAvE3H$N$nEerH3N=(JXY+M(hma_(V8Q^kj6Tt>~x4vG7*( zOFun99-)uZ&*aWQS5{N|AtQ6pz5Tvk$xW6qxhud|#;hHXHKP0VsWr-HWy|Xo4-B1D zHg?fz(t2cgEB=Pf#J+3I>bIQ7Xde03^jOZzi)r<2H*aIN@nTOU=5%~qs>G~HY^ubp zrejlyOT`u;HJCj=CTD3);9RkvOMeOC2Cr8(^sE*?vf#fpeM2vAx=Ak=KPfpFfpDSj z#f;9;@iFid(vgw)UC58bx~=`gT~6hWyTzvxZ-XzdGeZ(v;7I+|Rqb;|$BjmEE{xeZ z7Z${eD*SO`9m1i{>h$$O28FKAT+f;89gZ8dCal-&W~sl5Ew|JUZ>iz?E*XECL#^TX zB5aMBUVJEiY9)7A#D+RKb;v8khE|fVvl?8iLBIIwfZq_`kctl#zVFpvmG}7mlu;Dp z9#cg6(#qW`Ofu&$~YX)~UHAp68OLZ(85^!bp5) zPEE|cv@X-Y-fu6dgm>kzw+cBU=H!fslM-A2zJ9?AWF_jYR`}fq&86{W&%v`zKemZ$ zxdW;APR{uMDZW$aMc(1z_)cOsdm0vZzzY>EaqxReK2A^hZuk~-6TFa^H}~ENGvvJC zX9wlC^|efi@BEYCr#tDw_EfCmE6R5mz?P`){PM@JpB$I{KnM26i?N5(muXB)pWjce z9Wi}(zE@;bgMZv}3)zBuszcX~$7i=_ZZL3ZBBv@EE+V!Me1VQ;8jL052rdoB_7!!h z*uFGwvAzS&%1r7yLO<^`bJhImdam0_iQ6>6Q{YdmhpJ(*BbYC-j}A5-d)3R-8(2sD z>HA51^S8RwbHJNA`d)72?&TONUQ^Jon%$x-pAFUtJD zix|#Ki2?lXn02rQBOO1=I+*Xb5;LLpCh?LupaRyTH zqm?=DISer>el(e$OXj72XRpADxv?J;LwUD}Jt5PN@1{uBAv7-j0DR@OftZ7h(cKg5 zO=mwsg?U?TQo#xf(XXM?_#g8`k3+g<(mqE{-okDACa?>|Ht647vH%pk&f^D zn!H=T%^T+&xl0cVj>-SfiLJmd9pAZCK6A`+a##Cq2M_$Le~Zi^xaZj~1P0KX2h8gQ zC-5EKuJD0aVe31YAvC}U+Apx8=+G&hx^>3lg=vPZOk1@ z&A+VeCgBSnkE%juK;9|aUN3vGuYc-0GM|$nai`71>k(^u;OYNn-MdojPSexH?e4U| zgK8XA+iujl8P|R8Y5d`=5&n4k8sUi^U;2c|G9o*F5btt`V|1@<+Ax-u*Y0+w2Q~_< z9AdA*jl0Kv{{r?~^quAR2u|?(Sy`uj@ab%e*dHg4vzs9K3tfh#d^39u=|g9&0nX^8 zR;8RPG!{Cl&eczC+XK}iUlF4#=ekna4m}<#@UG!pcc>&ID7z(3|^*%sq0}4mmnX)_S?s zi(^gkJ9w6XJZ8uqk#~Phd}?KS4e&8Fugvf9!^M9Y4ZpGP?tX^&+j7B4d4Ke~5;vsI zSn<@|W5=NVGkr&xd-s#TVPNEa>?`DQj(xY5cQtfy5OaPT_hN=MR2de`p+T*8Ut{;&E!id2nZ3!4vn7KRJnSTURx0)HoYQ#sQA@ z%SYfJayQdBp7#jxiNp&@tmfjk-TO0E2_F!?FY$LmW5BJ|DVnBu8n$xk#%0pq(7ZSf ztrL2#_}XjAm$X(rOT4AbEY*;V7#(_rTZj>czsXQ5!o-ASEc zCu2p!g)!`*#7bf>Q}vkebH(RYD0(RIM%D+#OKSOH^7}p^w$U@jOKN2|4aZ9k*JC1H zQtC0?Uvv_=iFnEP`|asjQ&ha<(GqfFh?ksummZ%TqxJ{qPR!&8jop31fVMl-Ayjd* zn}x>bJ)>YX7a2hKpn}2T_kce=Y()+tFQ$!nlS=bh=zo;wsbyy>_@-qB;?mxd&(d%w z-s>+OkEL#a@YJ*nrfLkgz#ms4&r(zWv9Yp`b?Z6Uc(Fer?_l4Dj$ta#Z6OX4`QvNn zr(`4H&x_wt@_?ce|#^EE0&!98vN74Yi;4Z4s?BNS#<@!5MSJ| zj0tFwMg2W1mcJMdJ&N9je?2Eq2(Kkiu%Gwx8sbt1V^$0GN;Pdvd}Lig;`F*U&=_!B z)wR*;4Cd|h^y9_9Q@E>Q3)A?BOyJ>e&uCl}Tp}JX$^CeC)ew1pMJ;c|INbBIHUjIjJex_1G~xPzCqDm`}kCR=Qn5)KPj@Tz#|nu`7rAYac_u` z9JS8p<&M=KQs3Pv@eJwjkSo=9dHi8q$GOk!ikzU$#)?QZew zAs^5hwoY^3EO`OgVRIby>|@CB3U7BmKRgGYjl$30jej1T{r$*iz?%^t7I`>n(}imnJA;&&qklK4XYepu#E`Ahib>?QCTVgltn zMCTAWlJi-ewtw&`FnyH7PvKxo65s1^Y#H_@1K+UNADxxx zGlkfWreIIokIn54ITLK2cj7zTSfo4eAJ21+AJ4O|4`zt{RpJg38(WAYd9-&P&x#Yfg$-ZFCZqa>N}O4|5P6VT z9vmh)j}MO@t$l>?i4Bl_RkA+x1NNi#!k$L&$DN*hcjUdiZNxFW>&0s> z|4UDF_d#&s&!Q8L^F1FKb1uX^#TzRos`#Ty~Q@3c9Ix$@>^&Mf@y;GGj>400m`_QPw)I`bMNzE;*C zYZ?8#JB_u3fL&_cvZinYJqbsx#n@8e`NS&&_*Z-L@Ux;T>_q-b^`Db>LMQmYH|5(- z*;d6r8MpzwCtj3TQ+d8e+pXdkptIDr;ok9`z>;2WC&Tl$&LwU}e7KCqzOd`M(@)?t zS9U<;@rvPiNtp|pFo>Vxqk@<6jNlz|g2ajqsyigHhvd7nW_)nif8K0TF`DsNyiuXDTa~hT3jU{IFnaIl&%}QzdsN>scN)*bMZ~J%m-TEZob7{VfBfMcA2s+Kd{S|1 z;hEg$R7}OFwGdan^P{5c!e{-`vsLV91~xb1tB~9B`Q1(&EqImD?pN`v4W=gXE~DYW zdPZOZ9suX*5;G{UV(r8Dk$#SN#L4oxd_QVWjLE%8#Vn-1SMP{zCiQvxc@Or~R0Xc~ zktS~+igx{<_Ra;ms_IVo=iGB&L`*Uq^1wO}4UY^}q#h*N7x$KzcC1nbg0>^#AqiLn zT6t)fp9Vu7T8q>Jg!Z$7RuilpTjvOuI(|wj5ZbXwg(TFrJiO$AodRlJypr$t-{)Lj zy0mL%t(p10)vUF%&pnU*`0xLF|M%YX6PPPla~{BXeM*x)35`gf=-bEl2^v6$@_7jD z@P2!3Q+x$JEb#s#x^AGnZtIoEV&J^)_}O-Sx9%8qoHzHb_<{1xi=G7D$@zrZTMfYIKOEb=K)ZRX6NS#Jpao*P zmAom(=d>zZkSNj1@U^&h*S7S+h|W2Zt?b=+EW;{nz$aU%S<_mXTd2j)z;~Q8ZB_9* z+!C|j|2-I`_0fe-P031<;6+Rb%apP3cXt>SIqD>SUd(hISlO_?*FSNnk(DH9*Whjuo7 zfxlZb8Um`%3)@wn?M1XFRHj))8|ZT@@gBU#nXbeeO1&{VyEV!vo&&#n!)HQ=J) z@tkgaPKF1UB49PW_6)vSk2eHN>`T`zV{gC>HwA>Qtms~Yq{hh#HyQRK#w@&Dccw>lt8zR0?6Qx zVEvlr<`ipY6L4O-BVewEo~F^qCfYZH--1si^d}lJ>=WP^XT933qz>=etqjMl?(Liv z3_dmUZqs()(ikwaxGwF~>=Vtvj`JN`Ngdv`oNr|{ma0B0x-op-P*T$2@rIcliZ>uv z+!@(MVVM4Xu!DU#q<`7E>R(ek{oDT0{^d6GBW5dZHq#HkFD89)yIKNnV~fHEITM<* zeFOH1O_Y)9k9X}>Y+{^wFV!Euk$272^jGx-LFlDrU`Y%>v%uHEVsOeMgh;HMSRuY&iver;BU>pOGzzIeMA3 zyH(B)aNX)Tz%+V3&J1oa>_eM0`)T-SjWO$*PR>(&E+)Ly;fyr!G?`$Xx$1v= z_DQe*>rPlj+jVyhc(#DC2M%UYhVJ&TmzCh)jBUC#XS3#HWhfXp)4{=P-pwlJU1)YK zuxf>Wu3#Mpad&oa6ZqH4*-%2Wt?;rYuAy1(xs^?Ew-=d|%ecc|-KN%n^HjR-9wAjU zvJOOeA-KNci0&v}c!ckPzwkotxfQR)q`m}n@3xEoAG+@$hp#$&DQ{^PblOAwVov~C z54hEP$>lk?Rf&z((WP-uPxv%$?hS);@EVar(7PMW)vc;lcmd<`6zPE$ctk@?u?;Hb;oIz4Rix}r*|$pV39)CZX1>$O{68@WoUe{v^N?M3BGb98kut^* zTl7OM(XUK%50BB!f!D}+aa%ao1;5jcx|u~h5al^jw`opi_e174PwBRXPfp5sxHH>a zJ%;!Gpj$0%#KThU7S=(1}+8y*m>=og&#O_KR%li>06v79H zEtbZ4*%ytLXUF6nSp)7|B>qL>#MN(ku5{8P?$Oyg>$#)$!)MwzRP;-y%5URMv@yI( zcOIvWm0dAwb%*Xw=S-4AwVFHRBy_WDixpCQUuaM;AF73OX%1`W>~fHJ%=`l%rKQ7W&dICw>(#EY`0s-==PI89An?zagDj=IJ7tr zIorXyjzO9=yHzt+FV~#CkLzyx24pk(+urA)A@~#W&MXS*rt`Swc5p8P{!p22I6n-c zs}$OVnL;xB5@CV5BB29RO`{Av~w)-@D&Q^Rf8N?!O z4cZ?>LZSy)36k_7c!+0xeRgX#x_WiMez!DWmu%JS!Ikh*=>ME|o#jXSX`ew-^2dJg|QA)PefG^0UL-b|PHSCM=8Bew~e^obE{YJ?)bLv*jM7D9h z!CBd+b!I!wzegU9(X2yNstjv3WrVV}I5pRK{aJ%-Yi$L#@N4|9X7qq&O}ZUBh&ac2 zdj}R4>t)WG;e4~LPTBLdVf+iS z9kjnjvjz=iFG^&`MK8pVOCNGZ$`{X*Zv$7U7%`D=s*V8iP3EdDIxE|hoDkXW%Qo-# zIkK%3xqZJT*S z#n6vld9~L*a2ok*twKI4JX?3#m3khIpsUGTFfY{quWt>>}NHn|nR& znspyMZYI9$wZdBuq05n1RifiP60rJRWw_~wIggQh{eCYxs9`TTjJ=Oc!aw2M(NA|* z?9&q1DbC|veb)WQb?d$!-E2nY4dPh`vc9~Aa=3o@a`>(jfSv+wMiQH>M>F?|4LJu{ z)uXwO??-n+52Y;k@orsoRm!42*owv~#s5X`w1QR0ymfq!%v-)($vZb#!}_}A_+xg1 zo1U%dcEJzPLFIcZ{SMlT9(&y=`p`uGh64lm_qD?Fq2U0!`6licj!?cKYjZ$%_5`8r zK3&d%x7sswC+8_-A~42gb=z`uGY9!foRoRTgSxrrJMe%8-Fe~(<}Q|cIM7x^OjS73 zY|df6Fo*M?;4SDJ!l%rs1ECS&^}ASydJdlo39qly%oLvr(g($-JYJ7q0lg19nsIU} zLovncuW!ya;ayJ79>44{(D5W_bt~g?>>+DuGxcp%Z8EdFWSw%rD%~o6(@$Kp;Mq5k zGkjj+Yt7|B+5?VO!P~=mw6!_ifluS#WzS^%Alc0y2#zYe*2-!!r zZ=~I2M)JnGQ|Iz>D+hksR0r)O)Ou~T2O==Drk>Bs9VHLx(??*mT=rmum+w)$T)}(l z7$r-n`>618p;I%8KcEQs!JCA&!L{H?4VBX0WV6In}E!P#cpw8ARNz!sOWGuK9R=c$lxHi36t&`>jR=mjAr zizjNvs0x91pXfQFdkQT(jo2zHTLV_x9GO!>o>LAok-cw-F>Maw2gfhc#xroWv`Ao= zdais^_L}B^y{g@?2YhJQnRf*2!F%EBn*ziVAonS=cpLu8kS^;1soPsyr)1g8zVfSR zSAo|qV;Fiv?KUkzUz9Hhox^m((0W)`Yvwl4-xn)1YYDm*`jPZQ+4s{rJs&EzAZH#D zM-QCapf~76WHocA{Y};q;wuf?mvr-N0QZ|orLvy2*S;j2cJBL5B1ihJSw{!x)`I<{ z1>j*un3ynh)LPAE?^5&)M&vJ-wAPp+d6n-|6Jt?kW4J;y|)> z=V^QJJ|p>V^t3%NS5F@K;AC=su6O<3On+^sr;jksCz+e)`*p{Y|6a@*znQE%+;>uy zr!{nNJ}vvfC>-kiuY(~n;r~+@f=eCDgQb-}D>xX!HlE0Q=+n$^LN7Hzd;phSL=4MC z#y@OV>lX4k7a{-huX8Q}dsL5{q!m^YQ**_#G_wfWfv?M)Z2s^cgqh>v+<{q~f$;5q zJnu&xoN@6q=OMhr8K=Js6AK=u9?mKFoxktL)3R52z*@8wU8_U4qrm}ocD4J1%;}V7shs zbYU9y%iR-M_Yn_qo>tI|)CVPo(qNsZ8KGTk#zMzU_?eiSW?gIYiI!f2^M_Myk~Zh( z9SpL^fM$ZrYF|0xtsRNom;JkP(D$|vg-+Y>yZny(tY-}$z|Ye)Qu%1{We{ui!nyl1 zTdmESRZ>gB9~2D_z{Uxip$K#9Tk@RnME1g-9&@_j5i7!B{2P5%Ra<~57(;lrvw8&f z*j{8u19CWt-IR=)5k2aL63nXubLw}qf$xUK6Yy)H{WSLUp=|9lzTQO~Kk}?X)i;QH z#Ap#eL+k_f=kiW@GLKY zAEhTW3!j<07Jqn2Hgl{yfm?&T)5*Rh*dRJ~dMhxEC|D)eH1`&6W&D+m`)rK&4#4-| zf5<@55%9kxpN#A?m7itEevvb#(o<*dbK7nM?vqJ%!aoNHzhmDrWbipKEDHmlGcXK( zEDW>HgJJLt4A(cF@?aQCv(qHDjWs!=%NQ&1%j`dHKgzudxfct0a9jr*B}O6!-xWBn zI~R_@Plw~ozXFakQ*aDk2**SNI&f8v4z2>nnT_t@+jQ%_8+7aLpE3r(aX{K0PiJP2 zEN~fJ09id`x8RMz84-mcbjC1t>`Y|y?dXK)OxA<@!1?zAR`^K3s(#CGD|m+Q0G~H& zac3HKM?`nO_ku=DWYGCN*WG-xqzPK)x~f5QrsAu4Xg~9IM_DiazND~KdDL*L4h5_V zo+CGfwyf{13*c|i3ekJ5i~ddJP6*w%God+eNA&U$al`G}1W$kuNIl$lt6mAxxiF|}#GeMua0hhoyMRl(-JTtqvpZc^x_0kQ z%__$yh40>aY`@`FCn>KQ`-AzeYIOI?hp<1Yb&D8Xrx{(pKYWw=%*s;|a};p9cd+Nz zGQ+y}4FyMY4|Ye_4(yrjz^zoXF5YT5f8t*GZ_xjLLVgctZ$28Z7jfPHN!|G-_QYe4 zdF4Lx1>OAqsXlAt^O|+>F%{AerCQMIxB4Cb1xLqje=zX z_hQCo)1TO5p;&VhoGt&oi~M%yFUOOH;{t*Une&R)j1ashL~@QUhoY^9mNbT9cjiC;1j;E`DY zuL4f=75fPsyZE%S@0|VEVaJ~*j_(9xL!FO)9=L)#E8a3pDfiLe=x*8!eEQ^%{ZUI? zL|IiQ`^>#*y4!y(`178!FBR@ozNY2@tn}X-W~1P;E4V{jnIDPVPn#NaE3y>d6L=SC zQDqTZ9FX#?&NlF{6`py>5WE&#hNfxD)_|H4>aUcDzp17E{tT;_9RfF#Q zfwo3~)l%NuoTFQ5KlShro79S2!hFC%+Csgb|EX?V3CuTheNheVxCnX3vupnz*j|F( zUC$XYaoz<+o58Cu{BPab$aRFavnRG1=l*q!-#2Iv@ZLm9djLE79nC7F%xivzzRmNo z`=F`2b@!F;(iUL!9?z?HG9P$5^+ES(dkk|LzHxAD zEIg^QlDV7hn)Pa>Zf#=jX`~*pN)N&R7J$RRy5voG#np!U$Xsai1H*lIEOd33qEojE zKY{or7BN5cHhgCJ8hrKd68EPQuc$N5t2Os!(p=e-Ar9~Q70;jGccD&Mx7^8(4I-NVjHBIW}0HJ4==_?-UL?OS+L!G3L3pv(6_Tk~;DW4hGG_ z$-uu^!Ccx!+e7kO+U;&4K4t`ULi72fR6jzh-MiT5hgc=4OXeTFws`eR-5=kdvGfPr zOTlVDqF3qP;kG_|XIG}wV-^+qWz~nAnjCx#IeLEf!7$InpU&AA%Pul=x;;A}=Y8%k z)5_^%Ikt$wS#7e+x>pf!AEFEPZl`nKX%~c zNC%xKdFjd4eDs}s&cFg++0RzTf3W59{Nb0OXXrumqKMcbQl^|IBW22&eo`j5@xJKG zC%9&>8;K9z|L%olIT6u`ha3@K2r?|@L}C&9H_extjp+4C@6t3oyDlg?zLPafv!4p1 zOAzMnCqW25joi6C%Vd$X?8d=dwEO0#JR0%Ec z3p~9Vz1%3;@Ge#k^rhz?W7|#pFTkrt*pa<(A))0q0 zI~9IGJ%Z!TnkLUqeX*_Avs1zMX2E^IDe-9x*(G>vfZJB7hr?c8uYSur$@IvZ7uE|L z-b_@1yBD?xI;B0o@t(nh&cRKg;r!vcw08%%f1ta9SCq@?@JXpn- zNS)A_oMnmM0J_ZMdMMYjZ|}&b*?)*MoVM=+Z|>*?cXZ~;>xl;qYewz%KBtl-^@yDg zUgxvsB{^WP_znW%(-1#~@*QAb*eiDi4D?H5IC>~HwlS<;rw8dry}-^e zg9bF9v4_KlefEz+LE)=b0^AI>&|mcUDrBbo-q?aay@kGVFR~rIwN3GIH_@Qr=2j0z zuY!*%_~1JUf}7fws|6Qi?32L{iOq$F>`7b#9uV6>x*Qk@4r^s2Q}5Z?9oZMQ5f~ZD zw;qfH&F*gOJLF4xv(S{`(^TLBn&KI@heuNZpQg}P6ivzc4nxt@)JUL^_F=a}|I9ZJ zJftni)J7CWn4jmj_+h{u+DQH3dfyN9iS%V8WGoep9Sk@UqjO1zjH4laka1^RR4%-W z{SYW09J&Hnj3gE4%xe)>F6|o#zJjy)+3zd)U=BKtj`IjShd6lpou6}=`2R!s&&cm( z1~MyzYzpN+E5GaUoz(}8k;{Vlwfr6#45_3|Hj|ut=JENk@cE_?XI2tBF$({5DCmUX^V}yUDQ;DifS)0LXT$3!vFBTDZ?b7y zukcnky(w-YKkRSrikOw@f@cvk3x164mHI~f9`GUjJbF-K{mN^@z04ak-}QRTUDKtT z)FnLCi_e9>hZ^IiF&_S23w_ZiWRF!*3xBA`Uk85=H^tq?P55Ru_BtWq?^}%W;cxVn z=hLSF!q{)40NE%3Hm7kn(QjlfqtTy&bw(cxs@wN58*p@&?vB0tccl{1k=h3;<`})pWz%_D~Szc ze@McAH`q|+N`K)i@W-d2f5!XRx~--`JWQ^Ep9g&k+2&RNUwDvJ)#?4-gniu#Y~j~d z1YJDRtZXwCQ&!5jSNvS`1qC}Pmoi^bGz)zu!$*3M=@I*zrRX#|?~vH*M05+rJv;TD z{nU|3y)e_hH;ezoDGX{2+_{L)QHVui%d1RZ>}A>>5USwEGo z)`6N!Kg9O}O)!7sM8VVQ9dWBXq#NLx=r5v&w24zR0^c`^YR2!64LP@MDPh>L>n zdSeY8o-@`m#_(nAH*d_v_b1~mV{Htt=RVgm=AmsDjQPx+iN}#6A^3DPu|z$<7@1d& z%ri#S>rPZROrzegv!es8Im{!?*+FWDZw1rrOQRndd-%=&Ce9QZeU5}&d@`ikN&7^; zBNyvsUF2F9ob_bkepQCZLU&V(F88c9Y{yDy8`}5mNOY|du0t=14yxp&$U-M)J7Xez zzFu(GNg!L4J;U6Z+*5M$*pMge!5?j>NxT;HxKeBxtECouMA}MOXJmM)t?N!Br)WF0 zoRT9E1OCpuMQV-!-9zRrlzxi6l6-cicI$T=J}j~NBC}<_MtF&uJK-D4c0HLLL1tGmr&1R=E3-vD zg-7$whtL83=5e?gyu!(Kt9&Rtm*KI_1l%+pm@`W?Z|BO z|0hOiZgb+S%x+uCdmm`dioqUlT0`4>nJxWEu2r@eGW$;S253xVHaa%E3B9#DW>s!x z3>oK*_we-kHK<_-@P%&4^hpwD{%C_^*m%P6%A+f?rh!;?6bL zv+dXd6+Lk`u^C(l#ck35s)xi)a2!1fJnjO$(-;ot3xHK&rh;-VR~iKk}A3v8lC`ytOBlUScBe+?$bi$TqH# zwcR0;J+kaQ8O&L6Ph{)Ui|sD@ z+8O`CyT77O=gV48pL~un4xM(Or89E&-G6}w-a=QT?r>LJ`53mpq2%*9J_a3_@J)Bz z+FcC&mat~XleNZk+xm>>k)NybSqE24%p37#!N-Z&7=~}*SP*09yucNITOD9QQQXC>}+Gb8Khiflk9O| zUm`l@LD~e3ftQRMo@e;B5stg#O2PLf_+vken;GuA(R6j7)ccM@u&IQbsYbgoYF?(RTVk+qZhU^LX^<-ROHW z-*->}?WfX%=+el|gS1B%8N&NIJhJ|iV-TL`ll`5_h4-AtBY>kB6dfYtG5gZ<;V1OX zH=No2AF1o{Q*Ju2?+5I!Zq}`FWASU>785<$Uev5RuMg7P@~pUf^r&wA>tg197#G$Z z$l1&0E5I$IF=pL;H}QHm1AD3e>%ji&^!0a!GvqDKoX+TBx-a2Ff~*A3RHhmU5)vYp68*7OsP(ABM54Gpqqdek9ifT>01 zvYugx6mQ4>&pxckE{RnryU0C4jN*|yn1eW? zCs(9%Zq@!C=+ICx44GTfnO7Isb1&}SE4!F`_+*E48^d(tXl5C4R5JHIq{|6y>2pH~ z@g?DdfcI2v@@;4QMfjBRpQ+W7(_7(r%3s@4{v2|1Ls+v`pf5IVV{IODhb>v~7}g2# zK4o_JK1}1R4-4PQ1qWvCk+l=$BkGO93;ar)DJJ|B-aztco%cBt zumU(tq`k6@8FH~^qn=!BJcMlxNg?X zy~N-AF`=<;xy+o{*=ON*p)7AN3~)9f@wH81d=Xu|-yN1UfM#=%&<$~}-8%6oLCRxq zI@Vu=I%B5vJ6#W0t7{d%V{9~MGkQY=UcNOegs;GB2ezjf(b0_(_*;O{hQ?m#LT8Lv ziwRv-wTW%g2fqTBbv+r5c3GkIF=(QTIWOoh6I(Bn7#wsFbRh1_o&~4XTCvo+u)NC* z@e^|v*(Dwfwi^Zh1c##E9*=}FYl&5F~h^Pwir0ZTFVjO9XM7O zA$BT{Z;7jlNSXLtWWNXeV5}qPGi!zmp6O@eUWi3Xu8|nBdKJ%W3|$rwpP!R+9NRPj zoOC@u=OsCpE8zS7bn)Z)em_0s?^Axhq46_&vYXHWaO;phs=i8}3v^$e&QxcSnMI4y z#mZ<8F?4yC>E`|28D{fh)(O@UL-7M*U^bz5b!V^^58R^X&Zs3hJ- z&Gl$n264n0_F&@F$o-jXZjoqU3 zN*DYR{%TiZ+l5BN?ScIQ#Qx)tVg31<5aT5CO0rf(>JpwI`fDsAzmsXry|gbVcCtxb zGH&)w8yP>ri%l`B5uC1}y)xHR(n(p{DQo}xPG}J8R9Z6F7dvbZQ2Sb*ur&`33I^|l zHTpoDv}X%QAJiOCW%zQLBQo(_vUla>;?IUwE+h6YFPR=b;=oH1=*B)g@+7ybTLw!X z1a@W>HX1Rk_H@P|7hVj^lfm!_H4aax`eRam@|`d-&{|OJd#j@gJcvj=rV~1*V4h6- zC9>wPf^AIdpwB1HeB1w*AEd^I`zMj3VN2ki3*5^h#4ZE(T;Lw*ipicqBIgwBGn?V- z*m&yR%%cka(R1J*O~HSI4}a{Fy>;inA6+)m6qB~d8BgZYwhUkpv@fMzVxp4+fI|cx z1q>PA{>f;#-K}hhnX9L(wj?KndXi=Mo2wXq_WvgixLw{k+ot`^)^gs@nTp(t1g)Ug zezkr?n=-(RZ0r-CnSIj;<`zc8Jsby5h)GA5v5tg%Oc}ThylDshKA0R3JSA=Ney{6d zY}uQb`R&MI_NnOLo&Ebf_|Dv?@bcKYw`9y!KZKU{h4~iR5!}_9!{F*E8Ec^-xhL~` zc5buU7b$_PlfIWDBj78@fwG6poTboj4Y3?`;7<+fB|BIv#@ye~xH|jKYN-<$p<>6S zP6b<$KkWTv-nN+ev>zaEK1WiK28?o-;eo;s8 zHE=vl*5lIGpjxlgZ%~g~hg$Sq);9b|cyNX}b(Ln`&N`*XIG0S}cw3sRQBrs{`;h1a z_<9*jIs3g8J{&pj@sH2}&DwT@ZdT@K_L0VDqEs(~hJLPm43)xW2{yXx$ ztPx^gq8`yT6fdF8Cj36B1+k0e`*ocHKcRDA*g=R9K4ao)n}WJCEy#MBfvnLXMOOu^ z<(0x)BvvHgOhsR-sL$u_Q3am z(W=?3={iIl^#uGkk*LGkSaZ=pwa&|obVcmx2cphW)}IhNi~a__z;l+v=YkWEH+=Js zT7%f5@NrQGZATyG+(C2d81_eGJisLx8)zv_o#DV55>xiHlzs=g@gI$5UalLz5%CGR z-96s>iuNKBcM42S%h{g-Z+Qnk9WyyUB6(vsbMdYHWIdS0S{Lb;b*PFqo^7iMF5Ao7 z{I*VIK6i|kd^>W&s{8VscTe<@f|u}x9#r~-$XchX z^&z!B4Zh|~MF)F098F9DcPqnNB=*c~oXDE{$+WQnKWH63fo(De1RYTZZ4f!_fSYa` zx<-zg|09;j(+~3Vj-wOAC0<3^Pdntd>IeAQkG9G0dT5l`Msx<+t=4v0#R=9_MADPN z&vjlLG$9K&`<*+1X}I$&jk z=4QPNyqVW8*n~c`#h|>nXWPJ!CH6YpAhs(qbTqm;di!(0Lu7sxvDeQeh`sjg7Wh`5 zuT$%1b!xFmkOh;0TU7&a6FS>}q`WR=-_RcBS;Li|*Gt*TzUfL-X~fI0XBY5w znkMqR8Q2m7P&8SSX98~vo|l4kKJz|;^OVp2n#3dc`Zus+{*SocvAxVpgOZI%7TX_O?8G#(}WGJed)*MYv$YcDaeo7}PJRN$%X z&xVe03F|jc+20g+ftS#&=9^<~td&S}rf*l{ zW-^X4hK16;R&ZU$u&oW=Qi<&=YprJHu#Pd6}GO{@EaHVV{>y)px5cL0mHZ zhKE2So;|7hunxZNwMqJ&K<3%sW#1EIhMTBUb4+S)4By7Grgbvr?8j75$2oQ(d>gef ziAzA{Llf*lb9W26lI*#(|CGkx#9MB;`IgV;&0IWx-rV`$%A3DnQQrN*!i5XIvncQOyxDUp@7}o! z=1T>a;kwdpxM`9$cYcX>Q~V}v{;c`h=+9kkjk#uQ;kDPzy33wjTq5l|doTW#@e?La zl6wX77tfpb4?N>~?;x#gMy8kEJm*^O-8kUvvkg4E-hVzOS1X&HdY8O_cRwwq>iD>P zhhNX~9Ib55C0d#MPNfUq|0d5<_l~97;J5!5d@s)m&Ut6(u(R){zPm6#xBj*HXX}>x zW2i&!t@PWtlD^CLG4g9E`&shTGpWCt_cjkbTjy5)TJGmv@HhH`zr34f-IT|eYW8&t2^{hU)uIhU-;frPuxE5mYq|+_kO{bE-udLzj#^Y;w8Vn{%a*q_RN^_ z>eO!*zq$Pf>9sGeAC&ybq`?)5_j=cUX63*B>HGVR|M=veAN=Xp$6pgD)L$Aq&swm3 z|6_;Cem(gWXG6Sa%E@8hYWViIUjJfa?OS~}47u-DyJM*q=Na91P&R>?ia^Je0Z|?~H zsdjJL?1%sD?e{*|Gho;ahprqu=H^>p-1)6x<2u)N?f%vby|(KAY$Th5AcK#o(K61m#+pqibGtpa5_D=h|ADp;u(0A=&<3G3MXJ5SM-F<)f zr(Ip{13z1T=+)T|OxpFQX|(_m|v# zrLU~IZsI==e(9}M}N0j)#+0^ zXD$D`rEk7)Xxy~=4bR>bGiSZA`?JM^-kp(?_}%;C(wcv=@Jr|Y>lydkH!u7v*yiPV8d=~ySR9Eu|l$|<}A3U z_^P|+&6;~}@l~^nmt6Iox%b*jt}LCu_{v2K7RZ|TrJa^vgt459TpUy8{ zI&bb>SNR#2_Eq;Tnk~2PS@@k|dz3A;X&UTY8$|kF^5e|kv2otz(3qFs=H;=+y}bGj zFTcA-r9#WntR4X{oUz#|-cMR{!x@*@43Q--wUb*6*z-8na})w&SMKd+J{puYKtUcOIH?aD42sjraZi z&$A{>Ir?tXp-cX8!V`^O3%_-&Y(m#p4>km@+&JOoAOHUT@%Ozq;nhd>*8I!cPkzy= z+t8Tbb;EUEs_U3=I^(&ke_Zp}ThUj(F>L&TpUo}^??_A4moq=KapPuZQs?n;hmD)B z{o2)kKl=FfOCP@di?84N(4TJ3TKvFzc+46vEj;I1?%lZd?6VtrcF2Fei3FL3#2!l`ecg=GQCtx2!wcKKY%=_mcNN=bdMNdj2~XmM6c@ zt^da7&(U(X=lQ~&8HRmUgg>$huVL${u-^ON3{Xa9b| zyLa-v+%NFkCfB)d{q^NZ{RJ14IgqmCUao&%^UKcMp_Luu-VVM=J(Kz~xtDoPos0Zy zx&LWnaYbIq;`#O>)S=JkLEGA_d+#m&j{MBKeAvC0=atNwJFj^5=krR))SWBx(7uZE zhRq&!@34DOp2qXdl$&q8VFvjq(gW{nWgKa!(!z7D<=)GGIs0q^&&K%AF*(ZGk9p7j zNZ#@1Pfw{jJ}H0T2s+2nvvq#byYj5yf_F#rz1*MYw@t1u?fL7=llq_a%ShF|ioVLd z9v>Emd>CvXCuFp2J$0p?N&Uxo?}2mbT*<1_vATCfzPB>T*tD! zG@E>kpN3}ZWvSnCE%)X3=yQ_X&m7^U{^VPRduioA=w+$jaxM4e_p9WoB=^fEd+Ay7 z`}`EWUN1}imTS2$zge1iCdqwG4+ISUQX?6<;4l0sf06&>U-ZBEFVjajOwfBl?p;Be@O)oirhm_S^0)tggTUV)@IM6t*K3|##T3AqCY`zq zo@?u6Z<5a3{2z9aYHhmp+ZVcg9;M&=GnWUJXt|yl? z#ZNQHCEe+#IpmTS`sqG$No9VjCYQ9*Pix5~t@qOga!J4N(`Ir>Tl}BVq)b2Ml1s|-Qvtc8(SEv?TvE(W*ON<{;-?wplJ4}=9CAqu z{d6C>q%uEMlS^9Zr?upg*86D#xujqCX*0Q`Eq>ZTE@_va_L56F;HP$SNyq%uLoP`x z@luprQl_7B$tC6aseoM4Xg^&`E-B`x>&Yce@zV@)Nq72b4!NX-e!7obQkkEs$tA7y z(^_&#>;1HWT+%Q6w3%Ge7C-GEm$b`Id&wmo@KZavq+@>SA(y0;dMQdSDbr86=^?uqwF6kG3 z+DtBKi=TFoOWNh9z2uS(_^F*-(lI~vkW13$cqvLQDbr864pC1DdRKmWc)O3 OAt_U?rFu;ZX#W>f!=iow literal 0 HcmV?d00001 diff --git a/benchmarks/new_opencl/saxpy/main.cc b/benchmarks/new_opencl/saxpy/main.cc new file mode 100644 index 000000000..dd952d466 --- /dev/null +++ b/benchmarks/new_opencl/saxpy/main.cc @@ -0,0 +1,221 @@ +/* + * Simple OpenCL demo program + * + * Copyright (C) 2009 Clifford Wolf + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * gcc -o cldemo -std=gnu99 -Wall -I/usr/include/nvidia-current cldemo.c + * -lOpenCL + * + */ + +#include +#include +#include +#include +#include +#include +#include + +//#define NUM_DATA 65536 +#define NUM_DATA 4096 + +#define CL_CHECK(_expr) \ + do { \ + cl_int _err = _expr; \ + if (_err == CL_SUCCESS) \ + break; \ + fprintf(stderr, "OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + abort(); \ + } while (0) + +#define CL_CHECK_ERR(_expr) \ + ({ \ + cl_int _err = CL_INVALID_VALUE; \ + decltype(_expr) _ret = _expr; \ + if (_err != CL_SUCCESS) { \ + fprintf(stderr, "OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + abort(); \ + } \ + _ret; \ + }) + +void pfn_notify(const char *errinfo, const void *private_info, size_t cb, + void *user_data) { + fprintf(stderr, "OpenCL Error (via pfn_notify): %s\n", errinfo); +} + +static int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + +uint8_t *kernel_bin = NULL; + +/// +// Cleanup any created OpenCL resources +// +void Cleanup(cl_context context, cl_command_queue commandQueue, + cl_program program, cl_kernel kernel, cl_mem memObjects[3]) { + for (int i = 0; i < 3; i++) { + if (memObjects[i] != 0) + clReleaseMemObject(memObjects[i]); + } + if (commandQueue != 0) + clReleaseCommandQueue(commandQueue); + + if (kernel != 0) + clReleaseKernel(kernel); + + if (program != 0) + clReleaseProgram(program); + + if (context != 0) + clReleaseContext(context); + + if (kernel_bin) free(kernel_bin); +} + +int main(int argc, char **argv) { + printf("enter demo main\n"); + + cl_platform_id platform_id; + cl_device_id device_id; + size_t kernel_size; + cl_int binary_status = 0; + int i; + + // read kernel binary from file + if (0 != read_kernel_file("kernel.pocl", &kernel_bin, &kernel_size)) + return -1; + + // Getting platform and device information + CL_CHECK(clGetPlatformIDs(1, &platform_id, NULL)); + CL_CHECK(clGetDeviceIDs(platform_id, CL_DEVICE_TYPE_DEFAULT, 1, &device_id, NULL)); + + cl_context context; + context = CL_CHECK_ERR(clCreateContext(NULL, 1, &device_id, &pfn_notify, NULL, &_err)); + + cl_command_queue queue; + queue = CL_CHECK_ERR(clCreateCommandQueue(context, device_id, CL_QUEUE_PROFILING_ENABLE, &_err)); + + cl_kernel kernel = 0; + cl_mem memObjects[2] = {0, 0}; + + // Create OpenCL program - first attempt to load cached binary. + // If that is not available, then create the program from source + // and store the binary for future use. + std::cout << "Attempting to create program from binary..." << std::endl; + cl_program program = CL_CHECK_ERR(clCreateProgramWithBinary( + context, 1, &device_id, &kernel_size, &kernel_bin, &binary_status, &_err)); + if (program == NULL) { + std::cerr << "Failed to write program binary" << std::endl; + Cleanup(context, queue, program, kernel, memObjects); + return 1; + } else { + std::cout << "Read program from binary." << std::endl; + } + + // Build program + CL_CHECK(clBuildProgram(program, 1, &device_id, NULL, NULL, NULL)); + + printf("attempting to create input buffer\n"); + fflush(stdout); + cl_mem input_buffer; + input_buffer = CL_CHECK_ERR(clCreateBuffer( + context, CL_MEM_READ_ONLY, sizeof(float) * NUM_DATA, NULL, &_err)); + + printf("attempting to create output buffer\n"); + fflush(stdout); + cl_mem output_buffer; + output_buffer = CL_CHECK_ERR(clCreateBuffer( + context, CL_MEM_WRITE_ONLY, sizeof(float) * NUM_DATA, NULL, &_err)); + + memObjects[0] = input_buffer; + memObjects[1] = output_buffer; + + float factor = ((float)rand() / (float)(RAND_MAX)) * 100.0; + + printf("attempting to create kernel\n"); + fflush(stdout); + kernel = CL_CHECK_ERR(clCreateKernel(program, "saxpy", &_err)); + printf("setting up kernel args cl_mem:%lx \n", input_buffer); + fflush(stdout); + CL_CHECK(clSetKernelArg(kernel, 0, sizeof(input_buffer), &input_buffer)); + CL_CHECK(clSetKernelArg(kernel, 1, sizeof(output_buffer), &output_buffer)); + CL_CHECK(clSetKernelArg(kernel, 2, sizeof(factor), &factor)); + + printf("attempting to enqueue write buffer\n"); + fflush(stdout); + for (int i = 0; i < NUM_DATA; i++) { + float in = ((float)rand() / (float)(RAND_MAX)) * 100.0; + CL_CHECK(clEnqueueWriteBuffer(queue, input_buffer, CL_TRUE, + i * sizeof(float), 4, &in, 0, NULL, NULL)); + } + + cl_event kernel_completion; + size_t global_work_size[] = {NUM_DATA/2,NUM_DATA/2}; + printf("attempting to enqueue kernel\n"); + fflush(stdout); + CL_CHECK(clEnqueueNDRangeKernel(queue, kernel, 1, NULL, global_work_size, + NULL, 0, NULL, &kernel_completion)); + printf("Enqueue'd kerenel\n"); + fflush(stdout); + cl_ulong time_start, time_end; + CL_CHECK(clWaitForEvents(1, &kernel_completion)); + CL_CHECK(clGetEventProfilingInfo(kernel_completion, + CL_PROFILING_COMMAND_START, + sizeof(time_start), &time_start, NULL)); + CL_CHECK(clGetEventProfilingInfo(kernel_completion, CL_PROFILING_COMMAND_END, + sizeof(time_end), &time_end, NULL)); + double elapsed = time_end - time_start; + printf("time(ns):%lg\n", elapsed); + CL_CHECK(clReleaseEvent(kernel_completion)); + + printf("Result:"); + for (int i = 0; i < NUM_DATA; i++) { + float data; + CL_CHECK(clEnqueueReadBuffer(queue, output_buffer, CL_TRUE, + i * sizeof(float), 4, &data, 0, NULL, NULL)); + // printf(" %f", data); + } + printf("\n"); + + CL_CHECK(clReleaseMemObject(memObjects[0])); + CL_CHECK(clReleaseMemObject(memObjects[1])); + + CL_CHECK(clReleaseKernel(kernel)); + CL_CHECK(clReleaseProgram(program)); + CL_CHECK(clReleaseContext(context)); + + return 0; +} diff --git a/benchmarks/new_opencl/sfilter/Makefile b/benchmarks/new_opencl/sfilter/Makefile new file mode 100644 index 000000000..89f710e88 --- /dev/null +++ b/benchmarks/new_opencl/sfilter/Makefile @@ -0,0 +1,44 @@ +RISCV_TOOL_PATH ?= $(wildcard ~/dev/riscv-gnu-toolchain/drops) +POCLCC_PATH ?= $(wildcard ~/dev/pocl/drops_vortex_cc) +POCLRT_PATH ?= $(wildcard ..) +DRIVER_PATH ?= $(wildcard ../../../driver/sw) + +CXXFLAGS += -std=c++11 -O0 -g -fpermissive -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I$(POCLRT_PATH)/include + +LDFLAGS += -L$(POCLRT_PATH)/lib -L$(DRIVER_PATH)/simx -lOpenCL -lvortex + +PROJECT = sfilter + +SRCS = main.cc + +all: $(PROJECT) + +kernel.pocl: kernel.cl + POCL_DEBUG=all POCL_DEBUG_LLVM_PASSES=1 LD_LIBRARY_PATH=$(RISCV_TOOL_PATH)/lib:$(POCLCC_PATH)/lib:$(DRIVER_PATH)/simx $(POCLCC_PATH)/bin/poclcc -o kernel.pocl kernel.cl + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -o $@ + +run-fpga: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-ase: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-simx: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-rtlsim: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/sfilter/README b/benchmarks/new_opencl/sfilter/README new file mode 100644 index 000000000..e69de29bb diff --git a/benchmarks/new_opencl/sfilter/kernel.cl b/benchmarks/new_opencl/sfilter/kernel.cl new file mode 100644 index 000000000..afa26ac6c --- /dev/null +++ b/benchmarks/new_opencl/sfilter/kernel.cl @@ -0,0 +1,21 @@ +// m0 m1 m2 +// m3 m4 m5 +// m6 m7 m8 +__kernel void sfilter(__global float *src, __global float *dst, long ldc, + float m0, float m1, float m2, float m3, float m4, float m5, float m6, float m7, float m8) +{ + long x = get_global_id(0); + long y = get_global_id(1); + + float i0 = src[(x-1)+(y-1)*ldc]*m0; + float i1 = src[(x) +(y-1)*ldc]*m1; + float i2 = src[(x+1)+(y-1)*ldc]*m2; + float i3 = src[(x-1)+(y) *ldc]*m3; + float i4 = src[(x) + y * ldc]*m4; + float i5 = src[(x+1)+(y) *ldc]*m5; + float i6 = src[(x-1)+(y+1)*ldc]*m6; + float i7 = src[(x) +(y+1)*ldc]*m7; + float i8 = src[(x+1)+(y+1)*ldc]*m8; + + dst[x+y*ldc] = i0 + i1 + i2 + i3 + i4 + i5 + i6 + i7 + i8; +} diff --git a/benchmarks/new_opencl/sfilter/kernel.pocl b/benchmarks/new_opencl/sfilter/kernel.pocl new file mode 100644 index 0000000000000000000000000000000000000000..85702d9662631cf2f3e81729820655957207db80 GIT binary patch literal 196593 zcmeFaeSB5bndraw+2`ziauPyhM?gdp*dYOm6nm`c?QibPIhGf-j9>>rTR%m*t+ci? zXs4A=LHZXx)IcR8<013rjGWsrZer#kQRt_oDw>iP_+WxkoZ;;T9U|%Irsal zeNIRKd%gG0=l=e=`F!^0@P7A&}N?seB*H|M$=ufOrr^X7hf&b4!HOwXS)_tV!eSU6|F z4O$_MKYI7qR<8Kk@;{&T1^3DSrfZvHn&#KXOgom+zEbi-tw+;hcC1AEiWbxC`r0e( z2Hi=TJ5xUGdP=mxS4^)G8q`42Ve)1Xh!yhbzTS8Cq;v6^w6srj24bbFko`KWZ=3Z03;MTA`ajP1v5b-He-r(GL;Bx4)W3{TJyp}3Cw8d0g!m{? zW81o(bt*5>T1@R8+e#^Tx7E;Ztts=-d~3Gm+{fJRR*UhMnM} zUfb5t&u2_`8v8Z-=6Zcw<4(<4P21J9SwmYcXYKQv{W5LWg7cSYd%t|rmQQCLZP(Fe zJ#D$12lmlVgSPDf+T3jF&Ib8x(6{kv>_|`SW<6VC+SiQwk88%hshYR%Qq5>%eJ-un z?Xji~4z)~ImzM0>rzOA0yJm^zF4!BfO`)~9ns3%>N$Yv=Xla>7#sZH{O*d<_chHzx zt9j+E8u-!Gx)1w5k29yDRQc6B7BeVY4DxPiSnKHPK@td zjtNYHH?xaRaAEN&c(ZnDPMo%J+7!^1%Sq($mf#)1TcLc?mQSaMwnelVMO!YXxPgA^ zv~9(-xjCvkqvf+s-^OPiZ+0xI8Nfe$jvsbjwzY`4b^TB0<}Z=Cb!h$)nOn!u+ypj% zNmRF&*6B{Xfq62A0-nR~+X}ig_uB0dJ2p?Z&8X%zz(d;0JiC zzH~D7vX-37Rlh@Xwr`zi*T+Jdho4t!sd4%FelYFd{r_lRWZrPvSGcP&R%*Lr)i>)k zcC7x6m0C^0*nx~TFV&pCZ=I;*je55CPTIvqp36Ovzv?rU`(B>=K3h}w|8iq1*Ad&{z3xvqIr z)9`$&f@kyB&=vRnG;p?jUwzNh3v->))4S~V(Ai|@aB_DI{q!z7X@C6EAzZEOU3Mbh zUgU%`Q*I1e>|Ff>N z?-CxoaIEHIj5X-Y0(Uc+%M9i+jk#1_rTb<~Gp5$lSGnfibzBQ+;`o<(w5Bh!_Li{& zdGyTIa_5NyQ=BKCD|fcEPBC_(zrNa~Rm=QibN^Cc0`6bu;Quvn9Ld3d_DvGl!6layn0J1V0vQtBz&AZJToq`Q+Ubf(zOY$$s8D$=nmn zJ;B@)%)OAg7djQ`LTAcNg--eMLTA=J1w1d{d4V%+Yk^bwT!9_ci|l(^v(A)DET>$L z+3PIysioPYVw!KD_opJ8XKjrdQ}=*Z`K$xC@;L|ms!!dkjA~Bhfv7QUk8U`1x=~fH zdsFu6-n6}9i$t7h2k0lJXUr9mOx+=9?50SjA!1}qWM=(XEg9PzN!D*iCr;)6@6p#* z{;!nrkc<0L~4V|JNMx zV?%R3?AI4*#>`eDd}sITevC2J4?X`iycRX8YNKA2ZaU>pY5w{vG=I(Ynq9z^xIy#R z-k=3<{Z_^@#1;lN-J4z;$*g$_dTEU$3;3L&8_C4e&{5jRtbLj`dL(#v$19$b)_u>G z?|SgownMfaJ?UN98aJ-g3%r@>0t4RjKGIrXd_+(1Zo-&Rn}Gfj#&o?9xC)U0h46GC zyj&zY=6{!`{bJ~O8f#uP7kON-DL$--YsQn7X3WA~*%jBkDKXu1=EAS=>a@MO@pMG< zo;Eb2%7AZauM2GCYyzz#ku`P@!lPr&z2?!|_K z?`Lck8rGBMo=CFs1!(yuc=?y`)eh)I@sg2@?F#uG{--bgpCvR4-|s#5%zTpmTe(M@ z3gPSLB6fXW)~RTv&9HXzT;#$O_`W=CIkRqxITf|=KQdtE0bTL_%(UiRSu1M^@9Vm) zcwdh=vxf6N>pjH#J1^w@nGYgMo`e74{mQG1WOSEq7oE(qu2wSk)sXLj#hWTLpXdAC z?|D0lLcWhBay(iyU?+s3q8{+HKj&ponv)vow>)qQt_!|B)bNu^+U!Q=_hGfRpbG)u?_3LGvy!_O*7***g zbAq?iinr}i=Xl#+|EHS2Zh;ouwf-1m=Xkpn-fqqDHarb)dso8K>%XUYGi!~^y1!>$ zY2oeHyjiun|G*^q4sSp6%KvYCE&N=y7yAdCPn(u% z|37|?{ipHsk1xW{|MVgF`9~jupP#=7KmXu-zH>(M^Y=dlKR~i8W=xMpc=PYmf20A+{dIr6N&boxy3qDSH)8869 z^oj7T;nrEopOM%`Pw)O(X)kSCR_Qg>U)O8wX!KA0M(a;Ajg2YgkI{#Juqx%&L?eD< zTQ*tO2>;_7)t$`R(LGu5je?R)#ROhXrXz6JQWD!3|JXm9Dkot=Yjsi}P3jQO*a zzo}B&9MNdMgnRom$7&&-Lwl}mF+RDrMfv2~X7I_iEy5?)Hl0tdZ5p3kVsrU@eWU$N z^;+im%fvThx_qweJ>2hasu~){zfXKp^R!F$eGq`HdvRW2}--Cdf*j$692S)QdOHk`S) zLvzNyqwYIXUNhw#|K3JoAm%KuvPgI9%vtuTUHJ1`G*|1K?OUxS)l2mn?7d>2c)D*j z-d(+d?=w%}lVG=4^H)0+Cx}-Uf@k2X;40Ty53ZS9v$!hsD9_{~#$Zjcqu3PYqKLb; zbGA2Mc%W1Z9HzunmG7Iey7d5`$W``#R6!RF~atESC3EwhIBHun|Wzk6j+`Uvhm zb=F^9Br%jy$9%9<#`hEC0E&py7q*tNhRO#97ZGO)*DSvO^$g9e0~c#&X!c*-5cjHD zi`}sWT5|QR>0q9Ak2mk0B6qDB@hWSJZS$TYXUhu;7ZSggT!Qli_I~9FaB_g>Ih+%_r|8h?!jAie@y~f#jmicdFLo{ z)Vk5D(siK|$qGCG^cPxe^tO4(+izx z59)s7D)7~q^>*No?wk+ZG$M;mfalhm!GEDQjWw8d0zOL@a$oqZ5T53TC!lO?ic9^iF?@ehaLcT z^e25uKhj6Jo`A0t&~?J8)Dt~gy3Cu_3cnO+ZX_0g2S&O7tqQrS8NTq)tP@3!)+xL( zD)>@WHe;S5Bwx(*yqs-;`ud-zM^8NUqWbdK0WUkUd zi#upHoJX533rg?-r?wVJpYpEs>mEeLlq|YM=BH%0=(b#+p)5s)TX$>0ZJke_*I%hS zKK3`~;5+;jG{oB17Q1^k$sBKLxf7f7fq9K~fu`GuyEXg%V&wU5WKT5fE_oFCutK@b zn9dW^&dF|McJTSP&Ym~kD7Pebue>W`$~XdlaO0z={o+D&;AU(@=y%H@`VyUdP7ef! zy0(n$t3B>ch}|vyAj_IVdE0dL%HI7appa>j zeM)=d?`XSbz7`Z0epY0Qk}D-ziQRy##V4?dQ#vyqL~iZ=oI|Y3o0djq3GUmkLhk9# zjLGn>u4UG(NPF||DazB*aQYE?5_$=0tcH+gn(IRueFOBf|E%Z(e^nwP@Eg0xjW^6w zb{;zG8t!953GMBU@qehVN_PK={jEf~Tbkg0DhfWZ38L7;@OJOA2bFzc?KXqDlMA3{ zeP!>4e!)*mV>auzS)Nl0{VM#NAf{$%E!bmzo3DhPT0)y@eJ6N4XH(Hfm#n+A^Pb|pMxl=rn%hxuEK@K$ZQmtpG5T0I zrttN`K;W0}@cwUnOH+K$dL0!!30`H*f(I<<4Ly1PD$V}u`?JRMLgG-L26y+X{{6o` z{ja=Be}~_e_x!K$-aug_SUvK+eEW0nm+(%rnwNdoT7l=45#!d3eBZ13G;3K1-OIQ~ ziJMtku+L(hu~!Qd==Ap^8P*PX2Hfvjn)}eGh`knDQREowE$avE919*Q`lN3)X~DZy z|HUpSFt%BfFIVl9-FadHHpOqGKO1=(tnEH)LnH3GZd2a3H@^l>{tDmpzkpxMNPZdp z^x7Y3+eV)mRCHqkU*VZ-Fwr{e_g}7Ii<-zD!)@p^ZLCDDzh1 zKF+v|A-pR6GvAl-8%+1LO*4_vqy3nk2<@E%3HlyQel3O$jiQ(NUORe7C(o4ct=Jl& zZgyrJNc8kBnuZOFnIwoD;bp?CbiZfvyIQ zhsQMW8ez3c%Na=y-0P?x%C2*?bdGOk%Yea_o zMRyO$@8C0!Uc+2ueR47!Sk9N>uf21g3{PFxMO2uq%Y}1#?9-!;D%Xe zq`yof>nlFX7U?gqgNFGnOFDiuQg>)m-H9=!Lqom1|M?-fKl8lh7s^;UJgpyO8o2PeMPLKJSl!`K2G{U`93#!Th74zJ`3QMIFzKgn9R6?ih4G^ABMj z{rBfRABQdYtoIS@=+%Yam)Lr!6H}i>mVIC0Y~46)937hiyA6Hq*NsKr;p;Bx|Ag2? z&J*uJiw{D}4;Eu5QvbF2>x?IMli}Bq=fFmCrkor3jHMcPGTIHp>f1EieE@C zbMUi!_un^yCYqY=#r}N#9L?-!uIY0=UsKc9d9Hln93Rws*p}{X&)#WZ%ZvQ+qv(g9 zc6|a_qWpfb(G=~d7(w1fJI8CmwH+Vx_mN8zU!bEuojG|>%aG-A=QLfX?%kV6Wk$V? z%r=X>idH47+m>1f|#7#cHK1L7Jj1xGM)~mz@Hl>nQOWIz8o?eVC zSpe*}l+&Nc%PL|FKMLjCkc>EbF5YvnOZu8<&p$;xYapFm1>Mvg6S%dNN0z=|C$_aYQHU*5ZfbbBk+azUv|b{1s)!=_J#2mq469qzPNdc-%tr{ z$Fbp-{W4he?0?vnrn_@muz_lp+sIj;y1$i_%Zki#Ki_hN@GLxs{x5?5;n{L{H^<+? zv%ziO87Z@xn*I#`;wRorWNZa-p#owijDg-ggANoMN4FcPt9i&8o3lT^B=(Nj3}R;( zzrr@{-G4&09ViUjeiyrApfKt_VBLOxTah`)rdLPw{g_p(`fdo@t9~EFM!W4)+Hb_3 zeBJt8rn+3u6g8!hN2$CImMkbwi!G4rv%?0DZYl5_X?_j(*NMFZzyB8d3p?h-1iMh| zm(d;I-^kf@v^~7X!){Ri-I20A*mWu1{~5X$`-3=LXFq;4dUp!8^^xXRji-=Lxw(hq zF8qZdcI7aiBOfF2b`tmB)kdt~IpVFY#9I##Z#_{AZS_b$%1+D85jc+z?;CsdHNVaz zUexpgYyYB;jJ2&bYHgKF6nQwbw&+ojiLZBleI&29e>@ly`z~}^EbHsX)0c?ck~OB! z?_kr#ZHqowWZ#AVplsiA>HjBT z|BZhkV~UT#`kW5eXaB#Agt=)3^64Srk&r(&{7&MptP8o0QdzI3a^K5y-=8Fo0lkmX z+;>~PBC%!hLBub!3)@z*X5Y)pdUx@r6l;esmecd%&%W~bAH$31*(Z+-$=c99`Sp1` zv#2~68(S?f3U2d!Jc6cctcfGnbJ=f4&a-4_6emu5`--$+!mmyT@mtTh z4;9=XK7;%2=IeaXW!Ttuk=XC}q_ZA0kon~7iGfw<(ClP#^m+Sx;eQwWRbwYpdybv_ zA>z?G3q1DZ_4`8*V|%4iS890 z3@^T| z?-2Vaea_Fw+fR8vV`k2NnuXnTWYM>YC+a~eRw;g}EpgCWHh)6s-!5$Y>iK@R{jJjj zequJVi%WcFi76aeHn8#t@rQi;pj>3!vh^zFQT|}8=k`UjR7~TBJ{2Dmo;tr@V2Dbc zSms+ds~AVv@8J_Gk1QMjhvn{l)+a~c@aLj0nty_RC`Z0rbN(|WQ;(FLQtz&_J|@qV zK7fAn`T*JZP_FOU=f97vS;KrrlefA$kC))K%@aesSbxsg?+U-m`kb@HFSKc(kCP+U zSzC_(@WQ!u-tar2KW}E5+zT)&`|+xnGvy}3qxQ_HSZ-tr-zLA?Y8W$Wquz|xs2|0i zl$aWP>aH$|yN?vag`fO&XR)2cUYU=*a!bx$xh^Mzjw&C?{l&3jZytH6h99SG_A&_l z+SKN|OKR{F<=sYQ>!>+Bv3f{;xj#Lq-V-{v=bg>kOZu^MUec25x>D|f8a=cA*BWt~ zes^ub=lwOOvfyVx`g5;aqZ4n9DVz6}H5c)PrVWh|%E*H`8JY7znzS2S<5i3JlK(Uj2BZvM-^( ze;i30sXxI#rdBG9(T4iq@DD?poBvhbZ#%F3AK~9_KL_8!m;9pJWv&}X*tPMTUAyR! z4!a=E^9?WaPAFGPgjYBGas>T9B|KgBt0A7@`w-6@S$6pRwjr-A`|0`jp@H*!iqusr zkBt8JyxoNid@--AU>bYH;D!F;dCrI0# zl1r{co)$`O#V*jPmp&0^ZiTj~$NgA=*d2VYrC;oW@>c2#<$Ei68$Iq!;hJ?K-eR5o z6Jj%Qr$Ub-WB;o$O-~rJ(g|-?ZNm6y>$#lGG@ZPdPX34Yr?is)A$LjT6&V#ORC3O^-&`*F=Z%OXaP|s1wvm zp8&R2{PgAIny!+0p9iD%R~|4L;sf4)CQEFgxWzJ3Dpxs_s{%LWTbc87)TvXmUYQm= z#GM&jGX-ZQGt^pW2mV^E3wDKk_wx0!AE_-gz*$d;UWoiGWUQ!KoARS^L)J>xX4+K+ z&J_7x3!D$q@A3j@i|?(#pQZ->XuPMl-C=DbhScRwPbZS(BaQjwe?MBAFe+QAr>~7U z+B@`hPfTKuu| zB5fKKI&^&x__{~x$jQ&$WQO=W5mPu7dK!Vx$%Q>fcHb?vj^356rg3H3GFU(3Bdr$t z!DQTsd+nC%JSS~>Q*;x&#}qE|c$Tqbocx+y(0A(ds*my1rikBtcAVxuR&*`&AH&`? z{08a@8eY}h7mxw>U#@N2@Na{`U%>I^rIC9$#>OoxdLYs&`=>Ye2rQJ?qY){^yn7g3DuBe?a*AL=;=%$Ba+xs8x4U z12fX z?JoB9+2Oh()H!7vRQ^};zT|%;_v@1nvZdzX!{&d>OIG5OMGXgjx=0S#Z8{^e2t0tB zP1Y>cmfUTK$A#OK{2P{g4#&rK8yY_+7ynQWy1f0)4;o)`zsZ6h>+W~YRC@EEe|$H$ zp?{IcPS&Z2S~2iBdeb?XKl+ssI;^H-k*aezvg}75F&*9s{UEVvCGR7);;#q9*r6o_?G9}O|OmgEo+)S8Nz<}34z(m*-a9U zk-q+r-Rgd}6;(UR+<0jtRu0Ug?++D=v~7% zdq;co@k`crP7uD98e7SuyRUa^Nop=-A38oFwu$V!rG8^$C;R_e(LYCtVNf$!u@!kx z3%{nNo`m|6TH@f{)M{+jNAP6(VdM;Z4%y#@J$gpTd}2`izXe){uWvU+*GR04dq4K6 zA92SMyF)iRg;KwA4|1K{q2wtWx>8OJ^(|J%DD*@`_EGyUv!7i z(nvbeV?iYLG#cXPs!Q#=706_xJ)({tEFG=kgdnhMLZbs2?y}ZBwWv_FuW;`?3H2&kb#{MDQcyexpdbl6? z1D(|MXw=`-&T}R(9`PDtLY5z^W&8){InyT3r{-y%T@WKS-D)|PFK6wsw}{M)ib-jO5l-q6imP)Fa#y$ zdv ze*41lw5CgCJZD;zTo7=sYQfecu2+6g-Z?3Dn6u@iHnQKty~Zx7oo_64*I7|x*L>>e zdUf~4H%xnc6*Zl)NYZ1j6+er6Pd1L1++=cWmzH^h`qS;>E^{jWqr}J7a-%Ot!hCr* z{6hQf)RTi>wWd~EsZ%jf${bF6JKM(R+sT;>4&TpjFY}f6po#aLnQ8Xg=o6jt$^-EO-@TR^|BV&o zyBXb4uKrRWTLUsoRqc#$L_-?^a@;$h3+yW1&~3x9Y}>THTug9N4bjN1&0J z(5S8(0;5-Tz2@zl1MiXteV{SwJQ0;V*=et8&G_WzwY0rn%SiqGLso>Ec4Q>&tJapf zrHy(JJ@p>7CGV+tZ|~tguj=RHy&ZGnNohZk`UvWo-TtTSZ|pUtObA82?Fp4hxs z;24B=;RkRbHR?0qjcJp0zn}Pr@G^YsK4z9wj{~2URpafPi|t0fZc`s|srKSl5h}=khQRrW2kG0fU%W(hqbk>eN ziQr4^|2CeA=|OS9S#LWsWHWrZlxtNr{4rI})K#Q?V)l}s@^;OIu1;$1bxpDkr)3XY z@R=socTPC(26$a+PZ?YGie&1xr-B=|ob{IOQG6>hTi_7bKMEU>=H&^SyXRUXgY1{Fc4!`Sx&!EogvMq4Ax*MJZmv!C9;&bvB zI(j$XxAZHxmAMH%1#dimXNaz#DcNI#9AI7R4Y$UM2;CtIBYFJFJ9)ek{}9=IjW@Mb zlXlcxot50WntQkh0~@6Ipu`)bPtjG(_mu44X_>3l`1O0gxl&tXNT0%AEyv|veaA|z zMD*2Q%TldI(Yerkj@A|JSER|IA`8lwv*vR}m)1J7`k4P-{I%JdQ*nHjGo^2qQy$}c zirAp&Gi0GzqvSAj#hylJOXv&T01eSU*G$$owkOuJyX@bp|4ys%D%NN%Pir;RTrE#& z#P2`7)nB`Imz_vF>#te!e2b+cZ!ssPEH<2qImoQ5iA~f24>^XN!^V4p@wU)E^1|89 z^(5ERTz}7a^=iC5M#azZizCn^{XIc{+v#s7{XMgh{;H69iXZ+U-1+$d|Gz3aya;Tv zHe%;EGf#=!(~*0MSCD(M2b-9KKD561bzv>2?S4@3XZm%0*-YJ@2r^o?$xAutP^F*i z=U6?nYpz%Hqs8FKz2Js9PN{&Wwqu{vnLg{>vwPVOfekz>I#K!;7-Y=+KC3~IX~t9N zft;K}z7oe{9B4qvxCVM*p308aneMvHrszkxAB?vKTP$iU zsZG9M?FFyH*FQ%iAssY7E%F80KnF#m(2C;kAsQcszdTPE71V(jv91NGuD@T|H`MoI zyY=}oXi?-A=X5ybPbprb&Y#-1-K;x)JvvkI#tJ8S ze{xSY8QrMai}wI8`{YWI-#4CAe5B@p7_6R93G4@zu6_T^YY?+8WWnz<0=!Y zNrinhEl_$U-bW2Hdp&j`i+9pzU0upQRtg-As-5%1Ch*iK{m=N|{?T7Kxi9pV>wlii z4Iha5qvNUI_5PFIPW=kMTj*gx6aD3E-#Q8WpCpGlhWCeTPo-Cpi9+K&vlmU_Tn=

1N!E8`t8u%N%6Sh)MaIVanf3m@}{Ay z>uZ0OX)oIQ z;|~oQPcl{|wn+thCUS5)6>k7r2)nbhD-VBxJ0@Q0P2Hy%z^v*_l`MK58M{}(CUQpb zDDUFqDp})@OJ#j^<{y(al6ku&@d#(Ju=j9R%ByUnzeetZ$4chBeV=Bt9^TG0d-%ZX z_TyUcM(11Jj`SpD_xF~)2yef|oOSo>vPU2lbMNRd{f;)~-f!9sUD(#25Z>;Uu~ggD z`(k#(^Re*$;dh;ub5T2Wl$$HyMDpRt~$xUrq^3I*C?Os^}>K0O$x?kc!4THar=vDo;H?=3@8cEk8y{iMT1lb68-YGY_zu83&3fHd<4i>t?&eHEY1^;%f%*+yvOiSEe~WrD z20nnj#7s+n?qa^L7#H^0$^CorS&!qtYUbInkLO495tM}E3B6Po>zZ=~*CZWzSdV={ zd@0U)6%S^OPS&8Yo3jwvGc?|cIL+2zu*u5GnTEc3R5v>DF&e9o8I_t-)-@PB(m6<< z%5H}rBi^AZ&G-*X%iPc~=fdYtaxf~^nLodepY2 z>fTb;J~mZzAF-&3IX*aK&v0gx;EHjSUE?jDioB_X|A;fRq2o4>)0G`F79X5=1bJ&s z_Go1GU@Kg>RA2OANMF*={IDN)g7mR$AlxU2pFteSzFEaZlzij8@VvpL zQ-xOE7uxkZ2ao~yyh6+6ld&nU(lb_X%4zD;Jla(^0=qSk6<^bNurG{_L}R*pUpM;m zi<uOSc)AIB@YCCo#yVrV0`_c^EkHsMQE3?6`dLa#~O4Meu6u3K+bCs_}>;A zpO`iK=5zhm@40CIf_s0>4r;civz`Uywx(ay&(4o0^Wpz9%scA0vA<2um#VxZDr?|J znMWPIgIb5OfO_4yx3of+cJkbfTG*7(8S)*Q>K#AE9`2a@54<rL2OyqV~S2xpJlHGiP(E~>3>p(7Tniz+F(2xU+&4X!0Z?d z-q`n^ypQaq?-DJjkug*pY=H66=hz+CkHDqLnA|&OC+BTCY&o%+MP~cpOVRG076f=)y~|e>&0Ir7eSgU0v2)8&xtjT!ZG8;IU{Pr#d*jsBey5%O(rR)4bL< z#*ZO8WG}Wk7g%~VV<}@d#9q| z9f`Z=SVH2rY7QM$G5^s5-Tzeq`@m!_+x5(wJPYq3t2E8%K+Yn6<(yYJchw))pyZ&_ z+%+h1#h~eVYV6h!2PrT;V6qFSONJ&?ZtTr!o%MMlC@mQDH!}`CJ9)CG{cwS9-;BLe z-ui)aw@-220kyJuScCSeGw@HJ(y6)k(a~qcXP_pD^PFO;Pwu@fw8AHQbDGq?_uyb( zzi-YFyWoVk6#V66o6rxug)K>(2!3)Ow~CCVUxY@$^EmX5z`Msv_$EASY6+3ie&Zcd zrvT0^MW@bpksXiqBRfQ%M0K}lk7;z?p#??k+gWAxs`=J~+xn1BZ0PF)X=UT_L3tPb zugSZ_#Llv2349e~ZmIC`Mt8v)#-^h}qEA%*l3(uV3g zS|hRR_rQA?qq|7F!d?TN)mg;P!#Ino>!jYnPl%2>AsGj2C)$U#Ti{ z>k<=<9mLvpMKw3kXWEV1;fp!!sX(rmp-(3c3{vl75U2Z?+rDco{Y3en*hh)wj_VOhr96~woA?a<=&1u@Gu9R z-W#_!an_i*2i`Q%$pe~u!;=v^{;cNC`w?=5xy0(w>Bzh&w9_>f{lurpx=nq9@{aT) z@>|9DO8WVJhn^hh)QIOt-MUV4aTVmMpryJ!Y7IPj=Vkg8+l9SoJ;=f`jE5(jv%N>aQm^*?a%N3_I&@pP_dd1 z?El%}{r?+uMNTHnU5H-xZk;MNX6T=kW7pxkglESP%N&;1;2r$zt?cpUteD_D^=Q>lekKgZr=S1iC zk3~OsWrIoVMdJaI{K#AS^Qeju0cY~h-zSFuR1CUn2}-X%<(o=wOC0$F^DN%X zv}Rn14`D&)^g&!u`B$th;~@{j*pUJ4JY`dN6dc?49{-;-u=BAai7|5yJhZEF9*_1R zqo%@dB1g&BL1@=ej~T)Y5;<>#QJua2i`=DfNKT=jaacjdFdx>=wm1hgFUB4+=Jk1@>-uM)D+?tD7{R zvqQvxvaJf8JkCg5V%N*sDZfT=d71K&;^_J~ws;&`h?CRdJi}TGKbv|z-6B6{8Z&E6 z=*EQC3IzAwD^t-YOVwHW%GXT{L&29MLeSq?@NX!iEIrfMrr9E+@QLkv zsbQJ4;(hw)F08)6 z3SQ2B3T=Rn5%)r!{qhiB)eHUae~5ju=){m;f(Mr7ViM7jbpLqwpppyIsI5jH<$U2j zcs!Bwg{fzqOl>W7x0RPrb4rcvjGL&RUe5P>sJ*SF9``}^$ZkbWJZFhd9LDo=GNBHc zFc#aAeQnUiC%n#c^K*->c=cV#g4l~WS+JoIo1(-(78tb4%Y)?U`FiFwv49Du7%1iYJocjZi8Ih(ft-YtN4<;-3=yEhK+#^GH# z(>Ly2*=pIkUZ~_J{!Y)4vSZYQ^h2l64Lr1F`Y^f?{wh1|HiR(2+dA#>|7+n*c^Cix zb6XAL)}y-nP$6e6;ERvaW9|d*Uwt0$p+^^fFJo;<8B31hKT|uX?JiI_3H#{}#>d{Z ztv|GG%_oBI-Y^j20ve73GcpEv4l5ZL_VaqC5g7DUAQGHmL zJv;FfvaQzWInw;RaoglbbppK$j{FHldS>m~v|YH>uoI`T9gdoQ!SWb9ZWv3C8fstX zX5lqx;*HZ$E3E z&N+A3`NGp;R}iaDB`quMFBN;EOWCl(=fR{q-*-y>790ReXH`yrh;Q1Gb;j#ad(@R$ zF!3q!Z1gK*o0DUC{A7-!ow&~JP@du|PL zV_B`lI#@SZ6J-OorKsIWEBT5IDDpL2lWJsab1;Jcm7FZwZGZ>#nSt%4RX5<1UAE^! zx)(d@qI6&O6FV0VMfOD`4(MBH-ao1j(J(w?EICdb!wlE-Mayi-*?Z0yT}yKIrCZc* z`qpvHv5B7%qw_k#I8x|4ccQEN28EZLQs`f;Sz2`at74}jzoZT!jNjuk5I-aClh)8{ z!r$!wEep>*8*@U-k1JyaT!!8md4{E&c`4^ua_%Mi=5lA+0nYAj#gAD|zU7i}j^x`a4&dV) zrM^H{{)-Ktxiv9rN9RVx56f)EwtIs0*xtv!phdSh<%y_YpqoB&lJhCVuQ?LR@Ur`< z57&|(-$LwaJ8M5%3nBxhzLlc(;{-UiKJP7^Y#CMQu}W96=Uv5w#}i*wGPkS-ot}bs z(2*y`!Vi|RU(B@Sb%bj&L<5hsK}Sd9&NOHsPfM@U&)Bg#ekA#~vCtg8&DH}JdFfq{}PYBf zWovexMz7$1yfQ8oJpPkY=h}f=^_wB1-}MveF=B(u+wi_-iK$WiuyEid$A&X;%kh2%a8FkU`X4-6l zFOhMJ(TP$1Czk3)j^8MI^KI)$WLsqG7{@p|Citl}$+zwqBl5$Rb1FC=K%Hk(R3LE< z&h22$Mx}|{Ks%$B7YgpgE*e@RVx=k`j(rs7aPP$?!|#6>8{y?xn8Q7Yt{#@d^}kW2 z*^{mqLu#}=}br4B}ftD`Inow6cpeZPEOf#qOl`rjOt6o3!hF+XP2SPKyjV{8Q|^2}$cweA!ag z$TA$QO$%<>c+8$FXYHW(ZthDbzpafEzo=R~7z9QUBB3D%%*E{eKcr=e5oUsf;GjW%Fwq~>k{ zIl3Crv&1G%jd`_4gRA-04Y8DKwMEqQkAj|Td(?$+Jkbs`(F^H?W|YugR;(R09MG1vF@4LVc)DJpdx z{Ql&%PWjVO+4CnpUQhWV>5KVWS~9vgovf<^Z;g^?56>?CuxA$6qn9}2*w(|di$%_4 z>Nc}iG`cA7gUFfH896rt|Lz?8)e8RLH=X1NRGlC>1kDIa27V`a@y!w~*wgfxNGpc6Z0fKVgH=kI3!3y{TgPr5%xA(;4E`(B=osFH@9V&sRW(4|M%|OO0e9Ha;M=S%x)29# z*u1Oc?tJa?e_gC9TEA&09;nTaFgn z^ZK*$8>ZA_v45boI8*;*N`7n4{rt10SDD7Hs4XG(Gse4FUJFSc&plC33~*rjQFXJ90D*btlP@Vg7p zbHDond1vOq{0^ZX!n2}*2Yy#14}hrQmVSDn&l2oDZ=S8~k7|-yg26{J-!wDmIF&_Ui^@Z`2P&7CI5eMt3+{ zsQK<~{s%>mR=RjNU$M6TF1DPkKj&bXxfty*8mPG>q=Cch#b-he{ouTefx>9o>J9j#8t-KAP8vU4Y$>?{Uu<+Si8T)vrC*wkDAquK_wJ0H62< z3)vqbegd{d`?7!F+=;vvNA?`hxgd*oFpQ^_TMID32P`^c9!Al9Yfq8LyI{c)2=h#v7qv#&GYghDc94$6f zzahN~T_;UbPg-5X4stZVO7an3gw{nrFFRm2!e0-WrZ?_riTevHN`6TE+DKTd$(oO| z$i2wfyYLEe&|r1{FFv$SR&1V@`cU9I1FtK&LGCH;-q#kF_@UHVLM!Tb8I{~%K1NQi zgmsuZ&&d@Nc_lJK`23bo_JnPy6&t?`dn%MGems;b`8NLQX~?HIZQ7{S(#g#q{%84p zO6St8a>m_H4Spj*etS#(7Ix)aiBVC1pkrsJ$$t_*A{I}aiuy-*eaZpWa@oH^3(yR- zhmEOhSZXVQi?e_h9#yq*>^a8fGUzL+@(8im=rEs9J7&bEuAg%Fy*7y{dJhwelCxaO zCrh5ylye)UPRD&Q7DcCM{GP+7+&Qr*a$aj0Air4NYD%3$bvOAjbfiH)ehYNVnSZ{; z-dgrt@;jqq$C>zb$jn#`@K`dw)I>Yw#BSL0N&H!I;ih^YxhL;QdwEahIP}iBHmdK7 zw&~m}?ZdhwsRhi{9{B@RVg2N4spOsxaKc7mHT2Ka2C+Yn^9~5#OUG3%`LqaB;O~t$aH*iCi;|kuNr* zneHl8gLD4gxcr#*fK^URkNvQ1S-%^&t*hA&o2%U@KSp0%GbB%T_@sB~u@IKqQ{R$v zdITQm`LqFk?Nx1A)e)= z+8EhqJG7Tz1-y;lEzT@o!f8k{Ze2Ahpo4AFqOXMb7qh5bEW3!h<*848GW(Fm4xhaxK_pjnu9F03YQby!EE?g&g*G z_)9BOl5@#C%zo4_wUlHAj!Qjs#DDlw;xhZF2|Etm%@du3t$Qi;MB#V#M-NharrGv2 zTGIG3JZ~u3VHd-v@xGaAA2Tr+Inz_tKf^B`y4M|=8T`~7Oj^fSkNIjn1n;UQP4?DQ z_7j6{Q+r6mHPDF5?*`UW^so3_#sA^QKe#uT{H5?-uEt+0N4{gj^ireJ+-1 zn@rq6er_?f&jVK@cCfDow9MMm$R^~`>C@mId!2dWqpp(nejzk$_DyrYFn7AWu6B|) zwMfg<{}XcPC%ntE;-^0$d!ZN$+Ufdaa_y(n8S6C9&uaeJzt-IQie}3=nft$!W?k7& zaX;@roX)KM9DG6@U;WML?fLPIn^}9~YW)e|P7{4WUo#)5JI$vtr{UR}4Tq@VU82OTt5H?@dJ;ImiBMyr(~n zT%(R@mp;k;dJAjz9QbLy!o9a;g7eIC6XB-`c6X_IKNH=^*u-kO^L%$w_N>lRYfkM! zo>y6~z5ddNp=0>$YXhZx>h4_wQ~ZXum|x!#^EX|i37>hph`9-$t)`9xz7~3O?>)}_ zMtmD`g*)5G6yFI9j!s(J;UnfiyZl}_gU55@9Al3gGQPYo zq&cl-cKGhHmwalBiL3D2pvWn3$9V6!#TA$2>D)j4BKq^Ol-ujsV~y46zD z9Epuo_JR%WZNa_5P4jbJl?OfaXWgb16a7`lKEumOJ+I=YHuj~Wm*q@!ksZMsEiVQQ zu@{pA$QtYfxhMbI#WzZv1Nq0<;k{))_Seeqa2$TgK%V(8Ple}1jmN#ge`)Hq*Y?4|G}qhzb?o8r>C2bC)Hu!mPJEI*X1(OL zWS`mLhvmB;{{L?t{K38B{;H2tOUOAzYtaD~>soALi?jDZL$_kf**vFCMPyvot!axG z^JDBa=*-pWVW&wP@6LgUyU9{ATWqY1#qU<(x6AuM1NN!BLo8CBRg=r}AF1a(|jfMO^qFYuZKFpxNXdamt$J8?G55GmahD;Rb5(gRSR_n z)_Xp`A!*0vYQbOp{%o+(l3K(88AmSoR`!n!?IXqZfKMh9cZuQ0B6lCa|9QBA_1?vv zPU4xS*qAY788poAO*@s?HQ2t`hZokmn=+cWHU9IF>JR*H8%#uG0vBG7}+#c=;)Nn&F-2T!aJ@Cc(7@SF*;kYi?_6> zxiF7Gu_-w}L9aG+a=W#_I2n5in@a4>inQ7bF7}edaP8IbNE{p1_&u>S+3$HB=Z3P^ zvVVn^Y1qg9CQHvWwnZ`x4VwMBNxj~k+;5|nnEx5ynf1n?ebCf{pFVQdjj%tj3LB;U zWYlj&M{EX0><;G%bP+zf$iCrrtepEm{EGR8c@#}Dfd}oc+eCg2Z zh9VrTNwtHf=mCYts9cLc&L3^oblRcCC zuUE78CyCsbd!jRCj|TU8&)u8Lz4>x)_qlshxmTsmV8oB)y-Ug8g?sGjGo%-I(Pliq zpBPD<8S(q29b_WV2r6Jx^F-5C68kI9tyy?Uy#kYiB6`exIa)yBT z%~pka-E=*Jjg+aU?t^oC;k^mAft(nR42;{l zZyB2VOQYJ+{H z)uyiO0`Yl7*4W0UH1}IC6nGzvYnhY#@iC%B{^8MjaNlTb1@ZwAt_IFzjWU*jtQMQ@ zS5ZB=stuomt8u{awqMKHqg)O1wB)Hh+^a@rt3 zJ~jW(h}FFD{OODpFqdv6`vjigt``Q}>uS(V=r-~qZam7kFJ%4IFJ##RrFh4grq<{J z{>k%=ZvgjwF}W?WG?)-QJzP$G zkiH1>sK~o|k*m%7WiJ%x$*7o!=y~Z29QBKBqJFo=vVIimg8|NFfUb!1@!mSoGvMt6 zI=Cv=*IsA)Q&E|V^hX~j6yCs>@iaWPt6t&Hzja9}x%PVYw}a0d4n;2r-`NEd6rV}m zzqey9^)BG^P?g$?6Y7Jiti+tDLmq(7O6>St-CaD}@YmeRzDM}T`Z)Z?xy109^NdAZ zbN8UX=I4XS_$PE@S5!y8>Gofq)a|iVTC$;yoUM`Yk&Bu7FQ)uB?=d&A5&YHYb^1t- zTYgy`o^EZ;=6FLj?V8r!TnoZrfS(&8Z9N zxao?Jj@$7?WgTM35^TTNK#JeyjLO>5ukP2+h0ouEkHpTb(cF9Ah;U{^*4T+HXc4=@ zCJY+(4Q8I-$Ghz*`y14!qhCa3%O(99Ri@6GpR*0I3q@Xw?36W4uIWy>8@ng?;9thG zt$Fb5%d8vcN646G`^L+7GOn^g8CUiaySI0Y6(r#_qp0>_-xLEa6>QO+CuhDK5wHLAVNZYs7#L0y_(T#u}D<}wR zt<|$Dv8SgtX(8cQE1-}dS^^Fvcq2hW!X=RRyPiFhOoF|<=e(caAHP55Gc)`0T-LLm zb$`}+KByUXO&cLGy7h~(%JwX?2`s55aaxd#fo&s4ru`_{xicdzzZx%W%_}0fORlF~&MU@v&A+Ub|Wkmm=rcRCJgMLrJJ3_1N?VKjxGxwU4;{oavUt=6pT5q|2- zenG99Rq4wxN>|-EHBOv^?rf3g;DbABW6&*N!1?a*USwX@8Cih(c0IMGR^~Os6H@Oh zdIZf$6onN1v4ZgF$&=)pH>tn#}5_{HL%C@B1x)(W^@pz1b z@#*#%&rmameNFB?`R(^}K*3gM25?b13YE+wGM1d}E5weRX@Meem#sz~ zFK{{cS^~VSF!3=fW+^?;zITP@9`C+iXrO&tEBmn?K9>Wm%9V@-{cbk!JGjW9;8dPb zTrRX1p1wZjjcBI63N&Wc2Kd7c-rc8p7wv_Hpc74mR*o0DaZcD>xcfiFK4^tz7|}J? z>FCEX@l^mjl`8>xJpf*wX5(b8%BG2rQs{|qU*McdLdFwh?%3iPS0GuFbKF{4r0h+` zSW|ba+x}D5p0RIomtRBt$~yXcMEKk>awe;>0^cL2KRjaOk!lLk+U@DLd+BSO!?G10_X&~ zT~Q6*!G9`|C90$^bmX}OUliC3hD#qjc+aamz+U3KtkCcj?T(_SgAc%Dt~!I`G;Ihb zHk6;8c&h$vW1W6ZVqN?k!Alhni|o=~RyJDl2*}z>?g08!^n$hUX^uRbmeJ?vW!72P zN~+D5?FGnZ%(3tJv7C?jly?%vVR#jJD0W^O6Pdt;hqx<>v4cTdtH7PmOPX`E8NX^s zGoEI@R8NN{BM(e6d&zHf%ByaO4dB-y=*$ma0@hP`1|RizUQ#v{;gwbseT0!Qu5Jvt zBgX-2;$=SW3W_YC_@O#Sm(r0&7OVTo8Lg+tQLQHzhk8U_5*^B(wNdlVKz0ahRJm*{ zXq50w1!rJe8`R1aoP}3zBEJgzELs@GuJ9|ZB;0Yo*t2Cm&Yp&#cW^zqj+8=k)|a0H{7rdQ?#cUZ7I7s_)8e&eL7B*O#7)%MKZMVdbEekZdxx<(7} z8PSfcYZ?dm?sD^qKc`kkiJ_HAK09kEef;Am(Bkb`Zgq8`%U%(^23kxlgu~DPV%jI_ zoDCaFe1AQ(oX@12xz1EUHX$;a&CV4z&@qFBF2&G zQ{^2NT}t-p-`#K7#h==5N+yy$t^BgUu02-s6DNiaJ1!U!*oeI>I=(X~@L`NfhZVnS zD#xX=0V^2#IXL^CN#oLhJgRBAI%UVg2U#F}3tf@^i0{2&tQOVS`{esxtF@~0*ipR+ zT`#Qm@mk&gcG-6Z??~K=&?XgYc&4f7iS!Gvp!VX${$75}45r5_=WmQ+Xa#i2n}=MW z#@(pKovf%mm6(PcKCQVoF};m=nGG??2kqp91NOxo$l|OgaT$W&DrfI>X@~yrEx~VN zo|5OSP`96pIMkf_ZdBi1+fxd5ingpW@dsmf?raQ~7}$&Fmz81XY^N4vmX$vle<<{% z`Zmql!a1>SQ}?>bQ}noroFK4mK+c@5V43{R>pebQ#?}*rE;Ss%-qF>&c3JZ&$*pTu zGrlmomZIGwC6Ba%j~zxI%i%h(Tf0njw?=h6MJM+`Z~U`6a#n|PIu@k37D}#07OTU1DuSCnmO(8d=atv-(x_pZwugF7l!|{aqEm zI-U9k#k5t#r%)%)CZCCXsKvvzAot`W{_inTOV16@2#_OWVq!Wr0_={-N6k}S@Rqm} zyyd(^F|j+;>XLe>*g_P1{JQnjb}V8X;koDz)YpBjYrKIBlb9YvK3J*bCfYw&aSE6%C%cPGFFp_}drip2R_W%h3Al^Vhva^B@RW1Qv7kZBuhRLe+tBMo_GYfUM_lqr zsblG`JRe*rQF^(z039Wx?zyy)oO`TCYArey!Qs*HgTtkNmB(7u^sXNcycr8;1&V;j z7zGzB7?%y*(cm{=@&c;62tdva<;+?4}+^g#u6JLxPZL)j(khK zO!Tm~1#gohZrLe#2)*0I+1dVDz%%Ls$%0U)%nx3%)19{v+!Z{Lxs?%X4u1*h)OV6t zm3h#n>fXdt?7{WWmg;I`?8Crk+rI)gRkMRV%-$f5Z-RW6=aR>t?|ROaA11C#k3^-u z$F|WMqQy_(TPV~L)Gu^~qX!j~-6}ew|9ghX@32D-hr0^v&f<4a{Vv#cwbT(4z7?Ht zcgR_OQs93caxnMtM;4ZiGN=s_Es7gPMvXCdPELVyjUjD)i9H{*;28?vsehy1E2z1^ zHD^%!d1%O~pq+wkwzc3h*t+yw75|%2gGb@1#67SM(Z5woq%P9Vcm~yfh^svEcJ^4# z;pqLf#3l_T{slNq*bdD00k4~hE7u3s=9)X>lEnCW@H|^|G~%G@hdB3*lXHQF3x5H| zc~PxdaC%7c_Ho44%!x~EI{$(7++dVi3I?@aurUeThRSbOV4(hQyNGqdUc|Lf0sb$} zf>4{n?dpt(OyQha%l?F}ban9`oh(P{XS@(_b_+d5Heu~t&Rt-iiLF)lYRHY&1q2jU5%EBI z&5Wy!acR^JtEZ+&4|-;KAYDVlI^O*|_DzA*pvt6$JOjSTo+toj+X9?B$P;?$E<9WI z+b;&}saVZFv8RZqs87{}9sQ!vNU7JsKEdw{{4(mWCuetkLB=+?4tuh0<>fL)#{W0# zuqR(zc^Pg0&+4$xopF{?T#wGD52(YQ%p<4iU#r8uqY=22fdlwAtBQydLuP<}8o=E8 zE4A2@Gn>~Bgj>OvvAO)DA8sw{z-@4{Jm1;0LSR2kba{8_w0+pYIP*J~yhDCnc30~7 z&U^&CHQ68R1>hTfGF@j0UH}hB-uV8&I!mzvn|#Fg$h*>~^dodI`He@8yZO2B)0IkY zqkb!GrR#&z7W_TAt@W{iW1lgJ_`tc+4s`U<1awpJSZJy7bMSltex^X~H~#pU4g)}sl(=668eHN;<4H4QqyUHIO3 zYOd-&Z>Sr_Z}O-qKJiuS$JBWp&iSf>*ic}A>=i^lht712UZUg^vrg(eev!RJEP&Ka zjq84XGBd#$cj(h}#Y>FyP0g=?IQ_fQKYWC|Gwic(Pe7JKMhKRfN_G<6rRt+Xo4V81 z@e|$7D039`p^EY4oa^?SL;w0Y$(p=#<*bgggBm&19#b{RfMX`ykn28VJcp$(85?s7 zBo~`uSwHJ9)x1_Ut(6_N+n-xmI61#_BJT%*-+pP!{WfiN=So|_%(h%}QEHyVvroK& zIZ1x7(VzJ6Unub3E6>aSgw*b=_Tl2}&feo?PmWu6${H=(<3BI7XX~G3Uh>~rWxj*0 zQPC#i(9<$N7SF$}o;Nu+wfr9H#?L7AZQ3jujfXdmr2Wx~uUGk=^p&%`+L9-mK*JvHBb)O|BGr@{QO;J@Vca4Jp) zq-Hqv!Mo8}&=rRStcA#$LQ`39SwHY{e{w}$Okx$1?~aR;gVR`Ro_CIVPRXrO%YF2_ z(w+qzlM6ZD0ggC}+rsj`welU-^GBL>-Isu)Y1ntbE8qEwwl;F&l){@ZTm1kxbuzy$1eYz;dNgJuCM9#d(!un^!>ppnYZfqG5V#C{#w|`U*Q?ybK2*YeFfSPgq8%MabFbL zRyz~gStT{Lclo>%KIF^HyHYZ@Pumo&e64kgf!x420?v%)+YERReLxfDQ%eHho07Ym z@x$#prP@$3yZ^rPTI;<$Py8~mRM*sO0j7$EC*N3csnBgVZ!R|WI^@`3Z*uk{G3Fxc zlcL*-rfw z)n1zK^y@IxYW~&0ImE7@UT~i1Gt!>4<;;A+>l^#k8%^?VVc$)`YRQmZXV-5&W$Zs3 zIQARf8Z`F0DV(znySzVO+^_v6HE#BM-?(4yYs*UOus1Y4J#gH0myjD78<{_5=)#6U z_rFT+w`UX7G(vZL-c|X$&}V8&5A*CVl>a)lK5qW>ea;PGa!QpWdp{Vog7Cv2bXe9b z0B_GjW?bU)cU6P>`lTVk6Mwu7^kb1fl$@ya;{iD4)cL9`%X7_4taDg{p=!kU3BR- z_QOGFKe(K^C#E#rDPy$Fx6z?j#B8%RZY_Z4T!V~6?2R+CkhbLgap>YN`+GTaAE)ps z`D*K()^u_j&+3lb^YFh_?2kE9YM`r;sx+N&_N=&XFr9F&dE`FE_kT$zv@;4^-*9Al#zmcQiQyH0SG3q~lRVB^@`isKydQJH$=4GTp&>=sO|r2o z&ml~My)6gOr5+Kv0=ecmvJCwG z@YzVGYIN>JIt>PG*2wD37#`keU3MMwDx_=`;PA@7xLz@DIL{5pqf ze^fFHc?0=d^cO3)@h)IP?d242p1tBuV6D4D`egxU&ttcyfXE+-iE-?^aoy)HpQDcYH;u&k<=A^~HoRQ&RTkA7#)R@T& z6Pw^&e9tUHW|DWS6-`t$^~w2t^Kt5STqN(wysT+Gz1GYwXyR*{y|^{zTv?_|9qQy` zd0o!p?C(o%UG_$|wC7y5K*tUeQao|?f=`hN#`pE%!)!+iX2`H&Tbx|;kI01A%lnyd zOJ2DkB@s|?ih%Z1z!PY$g@$NFEqf-iVqc- z2n_^x$bAyWE}0(Vj4vU#%*eps_myl-Tt;+!Ikv6`v5#ZZAM-h6Zg_Z5VndJ}j_1Z` z+i-I_BGzK$hXw3YEe4NUgMA9ULj3O%XE#Ipic^+-rTE`eZuHac$2cz+GS)`c5p#1E zeUYyxKj(O&0s46MTgQ`G*PU=4&AwE06Q_0MrLM>k$nsKyTxt|n zGL-1A!ds~MIc6{~VqYTP${Mpy3J(j;0T18lZ!7X^;DRiT+#~iDWRlZ#i%qSJZFGWp zzcUD5Mj)3?68!9MujSPQHnDy^>ag&;e!Xde{6~*U>rE5oKYG-p!#V9Y)nqH%^rfMz zMZX3vLFdMm^YYcJF)wCT2^oId)MBpTL0d4mo;}{ zO%szlLVKaVs#bY&$L8X}GFI~XM~Y6Tzk_MXpjzdV>+WNp)(Ol7r~72AD)@=XIYZHn zs8Jjp^K14%S~fz@0?(4aZ+=Da-OZ|2G9>HzTX3h4^D5^Kz@7gBmL02?se7DHm3vBN zVQ=^G@4dwLcjp%3w;3_`UB%1yQqS3MTk`t!%TvK)e`XI39Y(!_wQZ{g6boMS= zlh}MNG{C1*_7`91qg5WVWqYjPZpQJF+H24lQxO^w!~y_-Q0(_1%kKBwhe&WGYY@A4!-8Y8o4OF zMm*QQMx*x*ejZu&<3Z!SPW)-?lRu{I?8qr?1D{d)|D(WB(V;Znf#3hmvv0UklYQ^9 z7NK)OkBtl9`IE{6#)Nv^3k%=ZgV1I8emvlGZv3UY1UlLgdEQWdjBUNnly1%0{So#F z>KS!+oSlSdEOSdb!H~aD-8J{7z1a5e3 zwR-ZJy+O_m{usH0c+H!_eK_*CIX4qG*t>iDecZ@C9{p$v->?hJ4SS2*1jqE`r5!y= zCtG~3Ht^k+-D%$lxZj!96I>HHan1y7EjmNAXdh=ECx?Z{Sh@AXo!4vQz&Rp5Rq>}r z@r@c8epB>|0_RfWi|pyJv$XRXzOnb?lij=QIfZ-R8UEZ*bdcY&y+*r;Tp;9eDP5#u zw(#|-GXga+i#g>A{*Wt$+~S9cDTwEiqbp0!SFncsFDKtWIzwT75Z@jD>vN-pIyDOT zOgfxZYxZ1%tdhkZ%4)x{FNY&9iVQYgw)_))3<+w}}p3-wnL(CLZyd_|Cr>_ThOrq+lxeGytCG<+jf#n3cG& zK5SeVHx1U#xS-xdKMd1nz=^HNNkrq}#27sc%tHze%w1ro`jI};>vdYY+oq^C&Lp-^ z=s=Iqsyy)n1(ClDw+gyY^|m?_=rZrM%l=4g6@G^5Z^y-75AUmt7R8OI>{DtwNX)$b zhdJQkHe#0GMIECX*+(I_3S7hIui)HpqBpS_zE!YM^48!_Uyq(uKg6x>lDu|#Mne~8 zMewJG(6>T02Jx^FC%m4%H{#n_eN@^=Y~GOm-|bd@M#<>lu-^Vc%fB-pbmNemjrv*? zA0_YnX9kUtbJxEXS}goRXtC_^ySA0$=lkn&lQX+%;{f_vxb3VbsNgh{&crwANm zU1WWt&`j22rQk3$LSxQ#E^!LZnb?w8>u9dTN!$%D#4jX1sH)ajw2U|kvuOxx8Q}S@ zze-EXW|aE0blJuMw6v_|YyVGa>5OgPF#ey>(rv^4K3Y2cyFyEmMSrev9s3r)w+p|6 zMi_3mBa)bo9c6y=nFjkv;%dcT?(AvPY>Bs@K0@>?=;#^9J;Z@5ClBZ(p{4tvD=%W_ zc>+FM4u6iTe1iPOuHQz?$4Y$w@7z}UuJj{kr@-SA;2k{orm)C!qPwuZl?jpeMAj2q z-?q_zX5HQy5Wn+x;M73+L*C~ZGXjERgYgTx{=V=We6exiJ5sanu5GJ-t8&%kU?X5` zF8)vKk#DERDzPOp)&cYCSoW4xtz`KPz(7y@0(ljGva>_>4|R00`LS2w0Wznnj;Wk9 zg(tzyG%l}pMHYAGm_e)Bq{dQ@jN`#*N@ilM7-u1SK=8QbzY-g!N^P8z(eXE9e;Snk zNY1UK>*rEqBFfoqYvnj-3hO&t?9k*5x_~v1a~Pbtz+a~Bv0T!>FrHQAS%HySJd%deq00zYFjb#-422WrO=v%UW7VQ)@1K4w#w zJYjPF%reR0*WIc`S8y(h>(M9QBL@fm)$VGITzD}#v*P5LUvs-RV9#8G{?Zn!C59H< zNUD7EL3ky2QE~^@%-rMFVv%X6m5SbkOod#g!*}>doT$Xo5;sdcB07Bb33n0pm+4|d zHH$6r<(V@A&eFC^2gK3lRm7dFim|dE!Ao+5EzxPLM+HjeDVT;%x`W8vK!`5vL3Wt{4n z_({r5s#UrBRi&uS1oC3Vc3X)%6YFOWY0W^!Vg^MRLZsdXcuMnA+xnlq0 ze2{Zygt*hV<}GG+~y5@)L2O(J}QwOYC;B z#``Q2zOx8igdUPRh}<6$?mH8k|;#kpp=uJXjP#q?0~h5vI;&SeV!YJXvCnZ)4=P9bZN z12s57@CClHTj9;ObA`vfWqmsr|6VS!x?-c!^>jR~eqJ8;YP96HHjJd+j`+y(twrem3eSi?Cy%bP<5_H667%$; zq4LTT=g1g#_3fXz%eE1tI8)#yG(c!U^6|D;5-Z=+lCPStB#Hq7@@3!iTFvZevB-Tb z@Au7vJf{QZ!5k9LO^3&%=JC@Lzq7E{t9>A6Jt}tRdAI#cw@#ewc51@cJCEf|rUvYN z)=6Z|pdLvEhbX;O%_oSTu4DBl9<(BPZpCl?*yYu~mvI3@<@aRWNANqCoNsK??*$2$e%d_gapTQ4rI2o7xyUFX#gLcUp-OCrB=b@M-KF=Zh zz<2VX4OtVHb&x%mtl8b^Vy92$H@CXPtdnCLI;TfmVvVeMKh>=N^;Moj&iiOXD%NN} z@jlEs433KrL1KQoKSQoFv)IL+;^cQ1NvzS@Nard12N8QoV@$23_?gKE)jqd{nr5YI zWXu9bV;y5&GF?k*!7;+8lk<-cv#Q7s1Mg8W4=*e`Of9P~*h4B~(eW>mk5+irXt9N< z_eE~#^OXWCVga+#^oi#-NdHSv}ufsb0$)sT~hR-E`S7VXz0MX+GmdV>sKBn%im_RPPnba@D7sHuX^$h$W z`TdjVQ!S6Ca4*moKgn2;-|iIL1qXr(7i-Mn)(>(ElR*PISf7fQEM1(!ud@Ze1n-gs z&ASuD<(%UM&*q(k@1$bO{?|YGv1JO^B(^MtYiT@F_*AX%i7~yBNb{B1FFXal=*gy+ zM!Lk>SP}8TmVu+=6u*^xu@c|lyz@#(#oIvdqiZfej(9so*S80g*SGZGyB;x+rbqTd zQ=S%nA?^AxNANaiwD1h+C%NU76RMs}CAs~GHT2s>XH@*+MR*l_?E2cPv7g>Iu60_IzJdMqQ8g!Nmo`-2sW~NIoqfVpbD5Qz%fAfdebX8z`nt5LZznW0bL>dj%4Mcs05L@r?Bb z`b1F4?BfpyrF{j%KA8c&j;ul74+!4zEjguhXU4`KC|;mq>EQbkn^l4SOW*DS(It?L z;5n|cYt^Brjbpv5I9CQ9EgU`o5Yc zesP%#>wUz?khv1jBy=ETE~%>!d5^t;T{p9>$P`WbF%1e$HgkWhIA0+OKCpSN!|2d42H(x!691W-rYY6&*HUFGM^Jug&1UTu;kA!1OKFS@BhH<#`p)RAD|3zmBnP&nJkv8W3;Qan+O3MtW`NXP=^F zYVCo!TKi?Mr07R^pUoUu0iGxEPJdlyyq7p^&ZLT*NIW)k!iJW=J+L-^U%>kI1sXCi zGNs5ITX*-#B&oKO%gy6PO6GIJ(?k!{GmqIsH=P0^vdZ_`f|R&#pdXOvgX`?c74qLuqSy z>c7%s`R~ep^=qa?=1UxwwFEuj!&$i{H=@U*3&?z};Olp><}2x^ko6{BFul*{=T9Ox zk3OjGEj6(#r{v@%{c38?3cYPeYsy@|r#vJ_n;M4EiN|9?zmnh%$ zyCqv?f1bXlBmZWJ54U4A`oN1Ro`t@f!Lu00gKECYH%-2e%&{Ox0*{vmUrUXzwr^fM z*S`;_(cIStuuyH3HfPd}_PwLuU=EK8T>Ek7s%QK;wIF|t5cqBIaevu*kfE~ILyfJ#LU(t5PF?Tm-^rqvTY;_N8E=d{B}b zC1f(Tp?(gF!iYNO`QOc9VQi>BSNd|1L98rj9_=Q^H$qRK#q=+67mk({@avwkEPh z=7XOkU=(j=O^7`s-?*H|cW!D7yWz>4ljHuo4FSnN7=4ltIvucN{@AiIa!p9iw&)mH z#}{;`qY?X?teyN1k*l}0M{>8iW+na>q4%Z81LS^~Ji6-B+TuCEP01_dk9Ew0@EqV! zDQ%`c?&pyH6HpiNe@~4eVvWCKz<8 zj-=i%eJ?tm{H|lCfX6a#X>;_!=$K%z{ifC1qa)-$b+K6o8PBJUh38+FaVM+HPH?$P z`n0mK(aD5a zUa6FAUVK|B9uoUQyH@r?7rDVHI6_SaV)o6w5ps!ZR`;<8_D$xFOs3T_pG_f)+$7XO zVg0DbTv&Fa;E`IZ;E^28gJc=gkt49(ThKZ;XN~Ba_+`)~s?aUTbrUUX!|zMJ1G65P zb0s-1icF~!mKaw7o#ZSs`OT?e+LI`*AfK4Y9uz$Q+t{XN@*~eW(Oy{h)nvicld>Mn zIlvmKTvLfbw*C};_0`(77G%r5pk@sClcH<_{qd zeyp2Xo-+7Oj4fx}EysCg06mpGt@P`Gw6yAfvaiKALrmW1h4;|k$*A~L`g}P*Ubb46~Q;FkE^oj^8n5@+AvA z#@L{y#&*RAy_U$-YJ4ZiC7zvcQB#CD6j@8~eSGVY&+`8=`~X>r^U3=rbiq6epTSx9 z4!)qfZ*}SRke6cKg15*8dsd8mFxXm|SJ!*!7lPZ!1`iQM^#I^S+{c*`xkW#?WH7hTrL$sOU3d!p_wI6rP@7c=$= zx^p)1{P4$2+5n&Vi@reo0qA&&4=JCZidhEt+O^na3T7$1QRkh2SNl!N{+)d1UBVyI zbVPiRisz>Ng6Ix12EiS{Z{YJGXEY8Cgwe8xv7u3uotSfA!u!M>2B`_N0UaB-eD4_a zR$%7u0k5G8`ZN$Oo4ZXsiJ( zCVx!amRu;{O0;l4{&Z~Bsd+NLb=coN_S>Rf9ylfV#Q5Isf99$uv0WX6F10Irk;1ds z#j5>c_Su45`j{8D!`LcRADd(k?pOOyi(Mi3&D?h+6dwiWZ;Biecv`Af%KPkz?r!Gs zzWkT#(KYY$e(8HYoL9dqv=F+Z5xe=t-~)7!+*no@Ijzm`Dd#)gSdGi6`;l|FTjuez{poJRj20-E?DZC3@Rt_#?jN z^&xoYEa-DLzUwyRvS#G@Mq(xAAS0U4V#%90Jpi5slDBssXTKbFx}GAQ1-N|w2-Wz>ZzN>qq&&hXVZ}j=*Q^|9W z_E_^8fHm*_kbG_VW>B?(E-5+8jHt9TUGu^RW1L4}Tst`rb(xmDWe?}dw@{y_5xH|Z zHJiQw?P#N&141)CQTu`U$XK93>c8YL19v3H^#GaZuk48h|C2pIKPS;~klT@gL?#kB zC^OC_Ll%;}XwC!FKCS?M&}Gg;Z*qgb29`JJPS47Gx3hvVbDs9KV7~KyQ3PEFIhkw6 z8++|Vm+Q{;@1N-|(*IzrUj*#00FJjst*+KyJN!rZ^fXm#+!-D|+wE%L+HPujwthYp zuM{vQR`lA77veLyl08MvY{|*FXqxWq{@@}n_qgV~@j;%%c#3VZy(aQ2#Z#$QA!D#i zYH)S8v3Gdxfj0aPuLAQ}z-euqY?1Rf0bO^#(^xF)V3|Sa0XSVe9laL(8dr^O+lIU$ zGM3l^A`kj~wEPOafmmn_xd=bh@uI^j_Gry9C3j@<=)v+k{(4Qt>iBwMpB$eU_Zs~z zM!sQu;TU>Wx9Z!Yc|SETA3 zok+oT^;4Fz0hWF&&*FE$AoZ2}uv8}J%@l=YCgt9B}uFG7%v5GyB=Yp1jmEjpmuh!%q*#k?Qr3bJp-CM7=mO$m8syyV2%gznIz`bZWu9gj{_ zdxhunI3rv^ZdcX6(Az&n$E$Tk{?>GxNu`$24Z+~0iq{fE?jY<(deUap_k|6||Ju|iYHk33aR z<~Ma(Bg4d*g>`2Xc9dECrdEdJ{dfPBcb2;H$*z^<7^c@@1uY-4SHqh+LQF zjAq9jtB_Mf1{d2? z8MWL*Po^%BYLA?c?HyOWeVU#ex>C0nPKie+EN4$lLGA*l3)iW0#1h+W@t))^;azGd zq92V#H{F1pfipff>xrI>jVqN+)_z+r6CFtWq@2MBMT>MlW^{s%kAY92j*P^25g&J zgfA~P3njL|mHeyon}8sq2Ld3SA$wgf-dT7dL7R zS)w_0l7AIj?(`tMrJDQur2Vs9at$Y@VQb9x<3sUNE4jlWHq_0lL0%y?w1V?G3&6!{ z^o!ejf`<5pRD7uLeLw%IyvO~MMsb{d$e4NFBIh_Y$oYn^Ag@YHl01_<)kE#<@Tufa zh!0&D9u^I6SMi}1@mLa*rQ$=Sj@kX^qdRf_C2ykU-uHEEV&%{t;tN*gLyO~@H>5i5 zT>irUHY6?-f0G+#p42$7LaEr$!e6it@Rg1E1u&Kv%on*wtVHak95+(TJ$&s|tl<)L zB&l%`X0PN$h!IG|h!*|{+%M$)KlQ&aXLT>F&FOy+dP8g}c$noZe*PM7$*QyB)R@BFjiXL8s@&8b+$x0LTNge_6+ z`O@dGpLEK6paV^baoEGD%QPUSFBs&k9Wi}Nj}}{1;2-d>{$#Q1tG84g|M z~zT$lkMk3KvN1ql=BlnZJvC1B;12J=TqH{@&!ddEiYAbuU-2_wo!CuPJdr3Xh3J zCGUc>W0h_#CRPu>y2PK_c`E+&4e1|v5yP1+F@X0B7zbl8(($8=gZ_Sp|KFdR#E&lB zl4Uav>lpE)!^4+54`q!fx6$|9o>cs3Mcz@DCNknjyVHG1ztr#S5LnSS_G4lwA2P8g zWC!ux6w5e-#w8wuue{R}cd;=hw}m^rFVqkdS{An#?}@qOH(fhqJA0+0S90SBY+T|5 zk}I|l!^L++!y(x_PFX{tC9!%V$v@Zi6HRi?QTNX9K3u6=H%R`u9jClD;K|w!hzVV4 zj*{^^3%f?Sl?VD_LcPVcF$?%AzA0xen2Y;e<{)vD^UZH57}CGBU>j|C`??X-TD$WNqgcJT+PgnQ)Vkk!8my)$nv7P55`vHIE0^S@<;W#mvqkpM> zW1CDa+&D()nzC2p*GYcZ^PIXjvIv_^HFYk}qz>CrMf-#fL}VkbDdms%`(oe zcHIXa1R4KY=|gbOw_gYhpf``1HwsSRJG@Wf1F^!^53&oLuyG%B2j3KVY&%L<`0dq6 zdp{PuqP@pc>s}!1F8GjK-mo{pSpv2G-97LCKUPz6|G6Vy(|sIB#cF~Bed|wbkGHs5 ztuyVumZtT|t6%szbXHH!e2Fui5`T}*97HzBp9?=u#k!GiRb&GzN8%f&srW{TIgA$m z3>y096dkV*3QtV#d7WG^deE24MHbomF7aUBQ|OU-uO4hjUQf(J55ioYEGUVdR(=ma@%E$n|710Zp0tX7~zknj}e~e^QF&= zEF-e>U*cUZag52UYnKn~d)e0HxuF#TE0@@7aO0st_y2_b7JaAmVZjN$Uy*S-dp71; z#QwMu)^3QLU)X0@$~Uv!kUDhMLg0)}YE{U(LSvz$YF&fmw%uK&**NDK!71`V`RCrHZyAHEGwo#O zK@XriLreujzwe*YcmGP{06?e^=0C3%LFIo{mgeIZb+@M;;CB)wn6!4>W-^G&~Q@Fm5^#?z0+&>66m;C}?VkbG_ ze7MuD;7RiJpPj(Bt*e|is+|@6?Ept-S1bHO_GTK#BhL_@NW755YF_nG^3kmM!Uu%! zOZ=VC7;tMril!-^hOL~uaoN;2G{2C5)(JgVeC-|OOIoC!CEil_A97X!JcJktIX^M* zS>bEY+4+OUv&Jb19ZZb%*FwRNy^~tQy|fjJ7R9lL5-W+lOyy(3&y|>1uIQn}8(DuT zUQ#QFa=!2LVjKO`cu8%>Eq(ElefgM(my~==j~1UmZX#at*m39FoDnKs@?Z&PV~CfW z_@JH`A1C(*>rTvMKaJgb#DKQDtoBR8U0J~#r4UUfEHOa*gm)PjcDPs=xzAd^Fl@NTFwa`=e@|9 zgyg{(TTi}HO&bs&Su@%@J+BQk1{_y;ZL}JLe)szN@m0T9xT|6d)A)!?;Nxz4CN2st z5s%l+{&=N-Z=bfWHzpd;$?nK56d8nZu1)=?-m&`sr0_G==s#Fv_O{@_R?c$Yq-d|x zITGLbO`60{iYzPeNX1V+#W)MuH^fM0jPo_wW2^V8drKvrA$K3kT5dPGUBU|fyUKjbD#5#(u2p-~h zBL$G_~x8z;5Ebq%6f>-A#x<^gFmJYxrH;geeZ330vm>kuZd205ZpV@ zuLn#WCGk_Z*pkHe+80}fy~)5gEcQot9{NlXwxbc))0(ikJs@j>&2uTf!xhE4d#p3! zc6LUb8^c**f0eick8|bDo@VkXSFq=x_l28~-N~UqJ5j9!9x+81dxMkJo#)$>_>C>Z zm27yRu}gG7cG6dYo!(j4L@U zOn$v7;gVwZ)SO1Gq?L17_`IaHZgj+cbY^tJF+0NPaVHy^JNw#?EqjLci4Bl>RWLsE z1LmXV!kjYalRUTkxpCd*pJsN{iHp%bq~1kf#}48e9x@|)`hsI#D?;rD*mWK z`MLrUpCRk$gsCx=f=eO;7SJ@{5m#{>@?3J%k)G(9pujRqo=?>#lbUmV@H?$fVy^st z=`#nvJ9y`YX(I$)R0jLnc5*&_3=&@}W00|AKA$|Bu@nNk)VO6#(KXa0%ovNYw%qrL zSA_7dc0};AqAP4h{z}!KlXnV_@V_JF+wMCb-fM+!0`G|zCDv4)Z|`{g9p;AbTqCy) z`;L18%l5gE6VXZQCK5LzK3v*kUf6Y$=N`dluIzxwXu?so5%a zGy|I(@m0ue1$;LXM+;tMH3wDv>Kap%c$Z9gFrI#xfCs?&T!|SJSTXiK{764XJmPS< zF84F$M4RlJRLny9zIsP&GpXz8=Y80dQx&*6t+oC<6zyW3z+AzadH@6Flp}MJJtA{r zZl69U_5d121@9LH-tQpm1`-=KT?j7*&a030KRa2*tDdz&A~%RFT5tut zNa1IHylQFd>HEF(9ohe<<5kO!{`XdQ(8mDZyP^lmHZO7#cqjD6p%Zq0VdlRrC4_nOZztPxqdH8ZSRvkYpR z^S=_EDAW~jSA?n2-l{qG)ndOiiT@?%{q3gi+*`}Iq&A$XlPf#s%^PW0mZ4k!Z0Po^ zk%r`Du#yA@p;-2Ta%nr++;9pe4ls6~9woBVF;way6X_N|-2SN5fpZ*bc+HG6H9tGQiu$zXJ%a+*1esq&q&}qhj~^(+bW?Y_p!EW z+(%OD)6DuDQ0wD`n`3tO2-cYOWsSWie)GOJznK~pjhq*Nx11WO11sGkpOSNs_3awT z?^fa>Ox(AgRk-19DOrWWeOTFD%}%-*??wKBgXYascs z6b_oT;1W1E;sCju3bf>*u0!@qTQqB`SwPHcq_q0!QWFJlh!ay7p++%#iNx$=7XTSHKH51!P~@S-T8AYMtzGli}RM znuQy5cc!V^RSo3XC6B~ojjz|Tukrcz+Vk+C$~ynx6U|%oGVd`C_DS;8!QE0<3L5vC z{0GLeF1v|kQfsMr5@!IM$HHU!=ta-wyb=R?ao=q@mH{un=MStCdq?10b(?0qjxLse zh->U0qY*z;qwYO?t7hN0S@+Jo4OqXTc_VMttR~<*Z%e>>nEiAub8KRKv-vOhbQ|-@ z3K`CEaEw~7PAi{I|F>5aj@#W0)CvZlnt8UV0l4f8SZDBi&JoQy-VE%h@7T(x)Bi2? zt?Zq1)ST76F;cYQw%a;=+ECi5Xaju3n?1rP2{XTsw~&WJ<~KrD^J_ZD{2ET5-M%qZv$N%kBZe*Q#ESAcRW+-t4=_Gi!}R$4-;#$*_KGzsU$<`Lo%G(Q+8po>H0aLp%_?TiX*~mY`@cEs zBjamNzQ6NeiSU*l_IJMU7VsI~QhU2zX201g^#i`-&A%O(W(~xdQH_SPf1~EyNqgqz zm{++oW?y`SdWuiQgtofWNCQukwVX3o^FKJ^gg^h)$L(1Sy0-#6yNk644%V!Q?j0qU zmEhp)&ANU2%bI&eM8UwF2@a0n*)wkCS@!HIVATr!T*^5P;_jSNP2gWEwV`CswnEFA z_|2Z>npf2n_fEl+&Sc%8uU=DYz`O zR~Vdw)(9VBzk69{>UMQ2w19PaoX<}VKqDGs!r!4+(CmXT$$?{=2Y~GXS;M$j`BcnX z35;ez(+;%3M{WhqqyL1ga?)*{iaj%RenHMONc;k_mb-kj$SZzapTst(n1{Q`Sv5=P zoszdo<%HO=Nwanz!T(PT0`=9AYwmZ-ju*Js?WB#1h%I_VtIaOcy!S5Bt>G6-y|_B+ zx?p#@Qn$_^9*FkbYc^}{k?#Ag8+vp{!zL$fJaA-$_3%YJ_qJ{y*r3%0Y2%iMHFxws zz_-q*Q@Yd23pkU~sAwT)b2M+-Lg4>w%(w(+YW?agOe>DjDbSpJV@4{NSYO>w!qXjgZ?V)N#KRR%3kMWlX{| zEM-S&dC&hZ_th8=I;|J!&SQ^U?Rthi1bu?vS+jz=_smAl4Xh-lDgb?@ zkMK;bHUJNP;A43DEKO*I_sKuQ+kU7yw{OBG6CoC9Q_%U?42c|I*Yc4$1ov~Vx7TT% zkE}jF;JiC0;M}%Jb4FD`OWFS!&$<=uz4E+0-;CSAO)(FGAa+GJg5FRuf0$Rg4w(Tu zcMsoH5qQZdLttayQVkqBu|WfRV&_fG+L0*%wC7|uYc_w(P=C+S!&m6hLncY!f zb>B~0X29z11*c=!Ng9F2RhoB6m+n=7xAbMLyobCi)ZQ~S&dhfAdYzrTABsU&?ssC{ zId01(JOfJvxwVJ(i9dT;&QZVf9hhg<}%`54a_UM~AjI7k0%gnGf658Kfg3XR+uZ8BT`HcPu z9qp9Z7exp2n!!n~JK@dD|G+=m^zGf%t$UYr=w2HzRx)eL(Gp|=c~0gg^I(1l)AQvw zx;t}I_Z2;DmAxSA3BB9f$KO6BHocF(6%xCbirG`VO=vc;>aOHoNb|NZx!X>pcw68^ zfjcYB+g5@v@TL9C<*bXzi*b(6+nO)et>v#O-ez61NweT>)HmqoZQ79$Zu494!;3U~ zf3<4EzJ)eIXVkeXzvRzn1-z}b71%GSKu*ty{Q!h7;E_}k=ym<4}xg}=RqEM&spvf&-oZSc1u-J8|P_*ZK7Sw-Zcgm>ir zJO*F-gc>Pd8NlC$FIO>Q!rxRM0r;Evs&e~zo8l9~+f%&F|DVCz=D;_wJv&-3sQfIG zXMDj8r^fbra{%52ovDOgBi9e_1Q*|fpHyR)I0j6FuWev#rH51u{p6a@`{M(r;lK8B z__M;Z)h9ja=K&L0O?<)ZQT7e^@PU=)YwREXzwiH-`@+{ozgH50CYi*D%*@uUH%;CC z(R-TL%|6JAqL&>Dc+0w=Z%1{}yG2H`m)=JH{G&R0k?y|1wW9|$`-jlDQf%3)gtqQS zmgBp+3K?%fz|Q`x;pM+aJw~o&za=uL;oSQk`aV1f`-FRAw(c$6qt&9PxXZeF?H?V} z?H?Z1t!8-MS={S{*C$re4!<851Ko84?5BViNurY-)vR5jL*5RrI;wfgT9BQPLut!f z)~$=IN?XhaUC~&s=)cIFcCZ?rx0?6ic@-6k-+956oUg0E9`h!+>Fb(a7xWMrRNlAq zZ)Cj4v0s|R9GaM4F))CBe^F>YdpLk>zLD!C)Y z2%WO78O|ONTEC5Rs2OxBB(#2mW~J#=kU1zi<vVgBKGk#_Ub0qrQ?2kUNik|Qe(14bjdm8fIVlE*iHZHnFU|JG5hGe#MfFCLB<1) zRzusvg^aa1--S+N-z8@Efq(Qy&^Pvxbe}Q@=*H0!;YUKNg>U+_TOEG2o2a1zq15AeI2WPn)-H#$FlHpy~tX~M=}qk-(P#gx1pj7QuB~Fdf?o~eq+A~ zuf}(pXK|JgTWQ!iyPJE%xZccXj+|#b<(wVP$$Z|e9Vv6y?Dk>0eOC*gyTHRpn3ynR z)b*M}?o#p>K(DctQ}<849sFC$=A4?JGqaVNP$9|tcQSdW*W)~XYarSB`blTh9wYf~ z)=6jhnR@cj$0w5CJJbLDerf7#r!SAN&L{BA^Zx2%$q#Skj9)3|4)>f;?P-mj)TbpM zjKZNK|KGt7p78%u7=lZk_`z}#>jVcw=*Cm=hdzOSll`(Xhz;PpTw+*qjq@ASxrHco z5u#(hNnHeTR8N?ul~fT^GqF6!n#JCMu8U7LTKr%b9}jg0Zl(sp-PzpFrVnadJV8B# z7pZZ&JxnY(bs?UhPQmt6-j63FS9!p`XA`nkr|x70hdJR*MZ$B0-jGWc9Zxr0v)&N@ zS7Lo5vN`7=u{F6(@RvEwoCj#*EJqjqKHVtUjDHyU$X!_?vGwRA&^kGDenz&gXvQq~ zI{K*4jcDGC%n(_3=Xd4n>Qf2nwyRt~(}j#3-k%ZE{Ht`pcbDIrk9{ zQBNyqne{=5p)@$>X_<^`#TVGeP1u?6O>?d_`Otw=2K9&2W0EmP3-<-dF`!xCvdSw* zytON_`;xzFB=TNE5&N_ayUTX2bDlN47duba1ZAVemO-r5=Q8s%+pW!-ecO6I*n_gd z!_aZUqEEC>t}ArIQ^|!rGv;b3wb7(-~b`|vpQv0dQDk%<1170eqj{c^rBzdq0PqKD4c!lajlL)EuXNmXF`nBC-GlzY14Tx_{*rvm z++!&_%jg#2GnSH5OZRwf*8%tGd^QOE947RRyk+p<3>cP$flnU{gP#h+5d&Zt?1SN& zojpDbV>wQa#I|uJXL1>9C3cyXW6naZRm!zk$cN)<;3zQ?G3c(qadjpfgMS;2rGEz; zOVe-+4u)fGBQkLHNF7`Sj-@-j_pa0J_rI>&cRtG+0LNiDJA9d$99iHpvH-k#^qYb= z1~no|Ldc9^^w?5(^YzGt$V~Qqd%*ek19tdOz@ER=@G7~7?EsrMXK_mnr_iq$`lKb#Y*<1Fa)dB1c zS_yKmo%=`i?S_zjkJM`J8>XHZ7dO1FjnD+>fb_$4ulhd%*dA046|ZWuVH^Kt*z@P4 z+T@w}6ByqdWce+c_(-hqC%x8gQ@4*cY7&cL=bWu88_@g%zW*ltp5fvL@v47?otI~b zf%cXb(FVVleox6RA~%-=b&dGbpcU?9A8ZeJjN3c9MRVWG*Ojb&>UGUdV3WdjZ!c~! zy!lDmn~(m1ziU3Sd)58uAMY6 zYRfMgf-h+&@fqdd(g|d}`knB=fW=oS8!+PR>P8Z7rv@{IkU0p^S$^Ttu~jos!#M^`~Y_TTZXk$aM=^wVXXK^%pWnPM%^~&VS57a%mb<|Vv7UPo_(YZJZyz# z?l%Ol1((^=jAc_m`4yxc!NG+UsvU3PkM-IijAiLbVyPN+_ie^%0;_pE_wq>H&Ux0y zKXg*tJR5(&KE^`7Uw&3ME(GQ;^E-DXy_Pmy+OQTLM{mANw-=1ny?>pqyAK23 z>i3{ud@pM^tl5lvVW;6$T*PO;?gdw&JMU-Q*X!2XLEvx)(bD@<_!L&fJ969%fI2BhO<~WFKGrJUTpdflD3sb-ihS4Lxef>*)6*bnhjPJ^2gl0H4K2LmPMeTJv7{ zo^HSLCvXsW9eQ22=YPaL--#`NxrQnw)simb8lF^D#oEmdE%H*89@)y;Q;BXy<{XCq%>##l_3T&S6;~R`C3ixbe=(8| zUJYG+N6~4r4LgC@CKj+h^g4W|VkNfvckuhu@mJIt=O1gyUy|;Wm<%y^*Kc_K7}sSw zW$6xnFX2(oqraXolPzUBdQboz&m@Ki`W-l6j)!MojqSS;n;iOGDRDhq{SM#5@_?G> zqGNEz8v7*cxfesnW&dC4A^d~)_?*Ga%iaovoK@{oHu__|-_;$*Yi`+tfqR>)J9d>_ z?^pJaDd>lkLqGgVC#GBjf9%@v%z;HmGut(LV(V~u?krryx>LaCENn+cMOo+C!9Jgu zU+M@KAM#mcoX0R zixhG_Dwb(nre`KDs}EY}$;^YY#czPQiGyL=<>zbT!?q0aG;b}K$9CgEHer%ZjOrHW=~v$UW+|Ri<{Qq zA`QIvN2<1i_ssFlA;{64(zXvMGblXLC^A2Em_K0uj7El_BZBwoI+Old)^s#@*rurL zySK;f%AmZUHMkI6g}G({<1TfZo1@9beZYo2_~0q?Y@cNnt9BdD`msCC!QXLvXJq(r z-3kuXB2&QGIXjsHq-YB<>%4YKteo%~x1FiMJBhgijbK9*y}JLwa^03ARy*LYW*TyBJ@@6m+SDTL%v9v!X1AE{1$v?jQrNBoy3%3Jo}8d-~sSK z57zzcA@;lTRQLt;2#z}|8{K*8vn?I&JQaLz65JP@5}U?|2Ek(k+>Xp~aoEl4)wR5n z$}wNCweouWK?9nxX-B71 zMUr}Co(^7z+4GVbuwQHk8Di5AJBG3yFu$;CUdu4hFO5R}$s?SK`znDC-Pfs4fkLfAks^Xp= zq964FJHzrB(11n^hrf2(PxyVpS0lCHrvC{2MUSsWX3F&oN3f?Kp|9LCcc8bnC|;hd z-KpS~Ts$1T3O=gfgYCozZfbQ`3NFalr+k0KHy0kVxAp??0N)PMNMIy5ti?;x@7aS- z4({7VU}PxUy3h1k?d{C(kS{q+LQ{rEQyG0|if7C{T$;-8XbOEr(Uk1(FceKqGBe6( zAMANnm4w{sJOk?bFr1MwihEvg}76Q-LRomf9@OGXD_PczY{-lO(6~3O~^7S^# zJi$IIUD0LIUkm<28-d+?gWgj7d`9vM&AQN?=#}D+ik`!~;Wg&P)cHcK@HD}_jO146 zr)Z$l3j98hBppXV&3hQ9cx@E;t7Z-KY%y5M7ClL=pOanWh)p~Fesx5rMwSL*SD z7^3huS#}WF3I4(3g{ITAmKu2Scku9D_=nBqx9Dw(e+b{PeMWKQkw>ET1-`6AaZERg z;WM0r8%Z$-vj0lLemC4uEd0nNW!lh;F{pWge*@N`N#^8tYu9E>Bm~*1Z zA0NS==wdFLU zAoxWLp%~}I;THwpb;lYy>@n6d#_(n4Z|<0j?N7#A#@Z;X=RUt>%>CQ@jQOWS&u4uRB5Au#9@c9vmDPxr242J9d$d!nb@`_OReb#vXq2&-j@_ zqZ>)c#V7r$owQHnJ94pJ_C-FP1ZQ1YctDjQvM{;zh%WacEzBLOplxX1okyZ;&E~iN zS9YqMHcPttd#3siG|E9GwG+3ZHQ|CRI~UMKYGa0Y5`y%f5DudDSF zrI-G-qt??A>}Bj_D(dE2Ud)a7M|srh4*T2FOA^A51P9tx9(YK`LFROx9;A&(_c4|ISJo5Z zS#Rz{Z)LoC=|Ry!>G$kmCqJ&u$AQmBW%jFc&RE2ww{DvpwG75mc-2bgX7?jM2QxSG zWVYN-R&IL+omaKgdT=2(i(f<~Yh*{t`dK7rvCj6KFtObw3 z<&qgP$3ZWZx~9ER2CYheQo$7a1Hj`6JBM2aK5v@lOF!$Dx6nYky-MDWVBbITmO7bJ zYiW6FkDGIWg}h7Ng1kev@f%s&?zf1MW$(>p&5C;>Ygb;bWUc6g`28Vku@&@{wUdu1 zS)0slkGZn8HPfojb!9DcchT3n>y5qei8iDslA~K%ap)+s1 zgMN6^P{Czn;cVIs9ai&h5}lKMXKLJogVeYye49O6@ob^hWYrGFA6>45@t3h@?A-BR z{rX4rtkx0jWyoeLaJs0VKFUQlNsI&g0?{!K(I#jNyky+4Jj1t*KrDIf9PoW1_SnD1tX%rP zV2)qU$8q&t8){N%+KHQ~F8p71lWk^@{?vRRih zW&ulRNYN5)rw_D!o2xrtkN*4y`rfn?4l1DiRE`f_8o7Ch_UIx*cwdJ{)_<}O!egBh z-zg!yrx%X^j+Re!h}>oNu-@(O(`9AKXb?5}LnBiCMyUHh9+(Ua{3 zO}g{)`C2kDD3&~aT#x+ve%5{%7xo><*~`|ifLrdqXymTD@YlNq*h~Fi2lij5uX_w< z#BR=<&dpB4KKa#;gFWQ~_sAXlSu^tZn1VZH!^4uZEuH;aE)MsAyWlXmZm?H9a6B(M z*fGblryqZWwst+TbEm{i4?6e^u(Zfp7IaAL?qr~ebz}5_D)hy`8}Q;cvSi*#J!0cG z6mQ4=PaIZcm-s5g`z4Rzqj>DwtU(;pQ;+0uZqG3*oKeabxP*)WZ68z%f58>ang^}t9)b=0+oF#i~P zn7M?fPrSC-X9fJsec)m65@*p#VkV$l)Zq6)yOfOtzAg6GWMz}m71SI^Y@W~7cDQYX zH~Ke66i&*lU{MZKl#oM1L2!IkHc9<}bwt<8%2e`bJRz`;5?AWmNeX z-oYLwQ>Q;o=Jo##u?(in>5ic)|f7zZfq8tA@TM!UHDddu08MI zw7s%-B2iRtl=ZPIai*B?Q+NZ(qjlcrOu$OuFqZZr-wJ`Z>|-B!h_&ex!lN~oQqb42 zx9r2-7OH0rVGq2qp1!kZS>*lOwft_e7mjRpVE(O z6Q>HCEY>I;bC5p?-i3?HvCYvxYy`ku6IrJExy%GlLdYEPeMJvpy(2l9m?HuQ_SS+I zZoAE9_L+)a-cEm_hKp-0QE-gCmPOzlIJViucPhlU_*I!wCN>v|_kbOYeFUA>szSjt zz02GZ@gb{M{=7zhd4|~hoFN}DH?0Lux*i_#yqwFG;o1IlvEzAmKRs>lQ+B@m zSXWGT3pxO9C#8?7uhQpY-IJ%&)LCTKl>5=e;dr?$L)yr`Y325}= znf0g zm43!a)|F(hiqs`ML-g0EDc7m2rViTYlX2{&45N9@RN4u0@4SyMpP9TDQiR)wo77H zjud+~v~n@Nf1y-P;Ftq1sYN&T;1QDCUVLP@^g&=}RWnD!ht;0U7z~9M1M`$Ga7K;8 zW2*kB)Sr4YfDg3hllgt*WHoqTNZWnYc@uuEpo<_wWuW*82IdA)QeAaY5;IB;ZeYl@y$*J14olpJEPW*C#$xk#`sUC z;@F$38Gqvc;|F|H-sx`Bfu=|W?+=-T+%tU7A-^gPZUb-HLB9{B2KdfNo80TwZHz6kiCNz^-z84PN!~f|whP~BZ!5fP zUAFogDLOX)HT2laAJtt!=G$i+Aea{}+q~apgBI~5@31kF(1vwD^ku_uy z^t%dQj?LiDD)vjBWUm-&fB7+W_Fv@4W|0xfcU?iA+_p_e19C>pEemODS z8Te!P9J~SkhW{%3?r zHasCbIMYsfZk~=Z_U)Wq zm+NG)r>)A@=42_}*{1AbS$V)<^(i}x?~yHh|2_F$_6QM|=(Ok>ikHx43x1!{e9VjG z`_-oeenRKK@FXrq*o^V3ZS?8RZ9ewX3}lZEDYzmdQc)$mMSMjvoJr_wm6cku;+JY4 zjQB)E+F1)4V&X?MRF{1#*1$Qy*ry9_SyMLSbFvq{2aHzCWKY*&{HVuZzcGUjdtIBf zUsSaZorN{qMd&x+`@j|8I{X#``kUzM~a`v7J5kO6C!J!w&owH{b}$uXA(NtgMnb}IB>Tr zP$#};*1oaqxgSp(cVY+Kj7?y>tN}qsltCLrPCMXcvISjZh+6-{m&nx*!l4h)31Z@} zBJHOga;^FSerD1(xvqys@ohwBpxtV3XJl3_dn(MFl+S;LSWf75_)cruIQH}zR?}@! zS>Lm({63lgB$@Lt2h!2~GpxbrYrz+z%pKD6A8-O4uxh849P~@z&ANW^R`j7dgYshT z+y;IuzSn`BGIvFWjz(8UZ{G+!MCMoHd%dm}-)nc?0^jQNbZWg@r;k?{<5-?I0b6_kri|C*nZP>& z&r8EP%({=@JmnK#6MqCx{|0uf|FJgU>D|sMKk*7=jCh~AtyP17Gh-iO?21X^XE0@m z(vet$(OEOb7bk!ozXKi8UH|X4!OQypE?HmH9r(HRm&+Q}SwbD)3a|v!Nqg!2Zp1_U!^M@DjQe zz1=cJHmp7?dTUv3karu5$h=N;vF0f7WY5!NXq)@IXJG?23QS;3Kh5!4WbU)rX*)Ws z_BMPyOPN!HkMIt8H)1x$I736tan<|Sjl-p^DNkqWftVbLP3=2J_e?@imkkdfR?wgBHEsq0D3e-Eqos>2oZ+rI|Tl z6dqbcT}K&Px7{hu=VE@RC(G)TyaZQ{8wKFv<>)V~im~Y((UPm@A(u{L(>tYy*KF3D z#Y2J72>jt=z?<=6EiQ?DRcyyHM(8SPe5Bu^f3Q~~%bC1Gjhn?d${3bO`W8>n*o_DKzc=x%b>T_wLZ#c?&|{pS2)#=XdAdGwZvv<}R2uGfPXq^Qmvl zpJ(4Q?Y{Xl7R?RKoHy&fxkU>?_uW5#{=Dxk2z@Iw^G?dU@6LI1rGkqwTWL4kJWjiF z?riPm*v;D98FRJKS6msn>I+wwUGv2m-?3-Tn$0Uc?!~UVe$3c$a<6#q{rB8+3D5Zb z<&j$arJ-&b-Q&01d+vhnXW2aa*~RYl;~_1+%lrKj`7+-9w3M#nwfvun;z ztsghMvi46Mk6&2x>pwpH_6I*b``$l2^Y!b$kWr>TfAu|)c{>g)dpG{u@xOAm#7<8< zTX6Tzd+&bv%lkI%?z~~d1HXAAnr?BZ^`|e)zjVvbxBv9cu6yd4@8#cK_{deg?*WRJ z{{8&E_q6Df*&n&5?ec&0-kOZ)=+L>{udEH1r!ISY^=q&1^8Ins{;Zh~{>STo`pez{ z1vebN?CPs-`Np%a-Cc0)smI&ixO=L;srujQro6fF!AHq2x1G2A%oor9fn9L@6?H%R@^{~P`w#!n(3bq+&(<7%Y32{d zHT?0muZBwUzmpu_^mzE%jqiSOW6_^}w58<+&YiXBo;$yDxtHp%Zf^3b$38P|~?T{xjk@^vdN_%3<$$djYjk=G30_EIDHRfVVS z&c0rYA1wR+E3xafHTx^4T($6eZP(3nzFYq<*K1!{KK=02L)S-_z3{+A|6|aYiO1h* zJbc05jd^U}*8;m+<73)xJhU_8vKPku@~6N5{`C+1Y0OJY_OJTI>rZ|;vU$tCaN7-E z{L1E&V?NB?c;!!5E!!RZ)!!FfKksKVivzo|()A6Q>wn>e7oBmZKDhQ>^YuIc-pk)b-fy<&#P>h- z=_y^u$K|8ey5*kUq{XLFu3V>6-}g84Xk&hl-}2r9zL$J{&-=;lKJ+aseciME=brDb zTkhXZS#s~B2a7m;m+wZC52NfAc z-QB~szW44>@~gbxFLD1GdG}LNx{goEeU!DhN1dPat~{IgpWW?~>us;O z*UNhJVLqy_e1agOt0((^nVidkK#K0OzL02dkcEhx!?OO_djhc zMuleIKi6J>I`pLww5`p!@4i{zlgrS^g8N2>X3x0uo>?=$6q-$@?u-hdea#9L%q+OC z;69Y6>-lElE#J6dDtRgC;0Ic~=A@hU_V_LLhPHJ-+rqQQz30owZ}NUe$*1z}r=@fq zpOhb@zH{x}b$-&j@@!e3cUO4rZ8+UsMqJao`uAz&N&Ue-WuB&ga_@Gp>OATFmiwPJwp!N<#q!s6)%9a>Uhh9&*PnMkrv5M&e&o-6;X3t~ z@iBk$JOn=c-~Kx|M2|NN)#I&R{t~~_*YZq~+>_^QPwYyk`Mu#HH|-*S+)KE@$J5vH zTkgyCiXKVsFSy)I^U3qQbn*&4p1zjfa$l}PJ(Ao%e2bfc6ZQC8{FZbNzth+9TkgsA ze)4pZ`N(vxK-1CsOuN@&(P%FP*U;6&d#fR z?hA5n6lucKot=5!J@?7y|9=jF&mr(R1U`qr=MeZD0-rP7 z90LD;hQI_()^PN$E^b?-$45PYZHLr#^Z#W((yh&j{O7-1HQ>9=@4xNW2uu0--{%nc z90LDOLg4%G2vX2XdE}CYdMQLMsn|=S$t7Lmr6{?i30|5=E@`Tlrjtv$!%OqYB|YG! zIJu<7UaBFN^thMSkW1R)rRT^cz38Pna!I?q)Ict2zn2b@OFHVMR&q(Fy`=qs{*!`U z$|ILF)Jq|9NyT0oO)lvgFGa~EP4Lo0a!FIYG@V@19bTGGF6jX;#mOZt_EHVGq{qFq zhFsDXFFi*t=|wNqkxSa;r3P|I`@M9KT+&f5wUSFZ?IrCW=|3sxr95&;L%kFtmsISf z(d3e@@lupr(gZI}B$qVROVi0E-QlJAc}PS@=^o2r2Sqx zNG|E9ms-gso%WKfgMQNA|JR>S59slmT0}q6v?pii@$Bh({MBino;rK|iFOtH#`QPc z@Cl&$wC6oP?d#_<@%sG>z(Y-&Ps)?uQoW{SXn&5on$qw7$<6nZ;mWAp$IAACS>NMC z`cM5E;k~i`JWcy&Qu;5gf*IVn?|XKDZ1z3#W-KWAq!(x2x1jfnPxUBKO2(g6lM?Tl zY0L7|J@e+yk@EV!CeJcR-yQAee^u`%zIMfDd+o~4_FCk#y>``Sd+iIK?X{~v+iPW? z?X_#VUb_GQUhd(;<)bg-U;3)_J9p0A0^+RVU8mAdaP6pecis!)VDFa$9`4rsILww` zWL4>5*&r(pw#W0dTZat7dDlPoIyU@%g$>E$k82GX1R(pWIMK5CQY#z84lV!KvGelc z|7}iO-qkXstX1VWVwaci_-kPvhe2-A?<-`J<{&m@4ldNnPIS(T=+8$Z`W{lfChxEj zPQF#`kaEPS-I`LxAuRz8;-XRU9oqVMvlcFI)7F=B4+m?tf%fKR&0vFBm}e@GBhJK5 z8sfmKjy;T_301@__UQC*#pt_(A&iAomj7BZVuPwe=O`m0Xj;RhxiErM8|;L(UW& z{n^ZE-Bziuuim7E7xOL-(%~h%OA0S#W8^;GT?)+i@$N&C^DcRK8SgIRorigs6nkBZfuMVYhgTV{o418$}ecfjsgxx9>ih6jL)D-ocaSe$XDT{ z-K<4$0*}n%+W^k*H*F`7ir^X>Ndo0Ks&563CJyY>1suci7RG3Mhf%$-AU*mIt>iz7e?flbm%{%>hmlV#aqMQnCeGc!iVJe&} zd4;~7JPkM5ki{mLUVZ$m{j$u7^sV_*`{qoRzOk`_K)_+Mv8!*=HfOR=x29C+;b0a0 zqz{=qhu+s`Hf#2E8`zLDj!hs0-K&Czw0%4q#Q1d6&?ogHv9WxZGx=0Z1=Jq959j!e zT6n|SVOFJI(VNgSn;(Xx`}?oTtUJjE(T< z0GoP#RzFPf8})4aY~BkBKbL#Le^nm!u0!7SXieR}uOLrth`6sn^YZClK2haLHc*Dk zyW6z?D(|-R7@M`vW5eb1T4F34;1_c+hE}HwZn5d2GZE4Wz#^O2>Q#GIeGggj;BQjl zHNQ~TX?&rt90ot_ui)9m;NitBamr!Cxb=lWU9e)4$%*v)!Y5=?csO*i4tzr4XZD1` z8=fBt?~22R?mAAeV7JT>$9k8^flZXjW;Az`X%Bj({P+5n&q4PWA8$SIF6F&%vB97G zy~JkyVq=p&GO;l>Qa1ft@ExHmr-}`T$jX*D8$9JVG{O7LLW2p}(Aul6)rYBzfG5CC zHmsJ=7dExZW>zQQXTwn?Wra8(_=x7>#F0CWYc+Q=_NK8Be)R0xPM@Rhr(;> zM;e<5FmX>an`-I5f9!qICffZ+ul;|d9S6PkANVh}p98e>C~Nia6PMHr+}uryvRN@y zFNZO?c;v}E(GeWKe`lG$^G8--tRXqyazIxfoR@=lt@l2wJKRGV9M~K0`Kk!JA9h`iz2Yz*X`JU@Q3;;Hz>yQRri% z+#W(nZqto$1)CWw^~A{SdZKu{=pqvMMK*lt$-o>Af+Ij==<95V%`gb!g5ETkpds5i zXk!C1v4Gz{r>wR7E|mJj9kmcu|0fGaD$Qc1m5pcMu_JeAX!cjntR#Q1l-u@X0Q>|xTIVHscKGt>1RCwzn-TYf&s9holRYA*xfFhKM68G zUidtE-yqf2aQ@mrxP+iK#W5pXcrk&3uP}^DV*xhx>dr$aI}@XHGgA4_917s}M}na` zm8P~Ts)NhXYZ4o?=*QZi@OsCe?cJ}t+FCY{AXFTV@#6yWe^VPO!z11I5(T=?`~Ip# zetjTW`Oj?F>hWGEU0%O*c~>L~sHb#oKiw>J zhjyD@oA_Ps{f-{ueeZYtpyTiA^8u$aTQf%08}2uCZ##bKc)08NAK@E5gJ9{2B0Ufe zJ*_zpU&KMmHxMv^lyxJAbKa<>{`6<5%Me|awy}A*JR`Z}Y4D|<0}{zgbc2H|WHYgm zT>1>}=ozVF8{bTX*{n&RFRt~}pVsfUbl=GY0pSe^3@-uV2^OD_pz#Ee=UWwA9{kHP z2s%%@RA72|KtFhZ3La1XzeLj#l+WOxxX&9Qua`jM}3s z+8-6u5|@?BSVH@{ZYkQ=3Ch)z_8IRk+TZk1+8?zXUh+Kj5A7FTVMKhJbu0U1C*x{H z{P(zYPg@cNg7ay*uOoO35)a z@XVuW4LRT6qxCLaKaun=?fngO4nC*p@3`HDJ6S@by7yi5{l4W2 z{Tto<PhoYZH-YB^U)`0JEmyc>fcS<&ck2RoMks@skG>C*fu5iH z7<&F6ear1b&p#JE7rx=j=+R1txl_@y`W?SR(X#qoH%HO3`mOQXqhUZ4!OmsZ=e}RtQ+4y!ZIzINlN5|;p?go8qHKLEt3)S3@%4eor1c@bs0hr@bhhndq!*<~!& zIp(&Ttjyou6v_M`D(#axtZ!ZtwKWdi_HDb#!J_PzKajSMQEg2P{Ygjf?=!z9-+z|x zdbZ18j@Z>Uqm}uXU$g&-Agcs$A<$r!{g^-KEF}2fLV_q%H6!P1X&)({R7g+)g5#uS zH+9G%88Syt23{ffRiU;D3wW|>GWT|9VY3!{4)2rJ`^ib`edMI|203Yc203ZHPEJ~{ zk&~oM0;S|SD=P`kwh#NJpWur7n0Fs&b5<60)#E%Ub5ep&#WM(cVG`V_JsJrR@Z*73 z+IaV`^zj_J33|gG<`0L^gHA{gK=(inY()v?qS*D&Ie=8U2 z4h{?tmtJvEcvS2n<~0|Ei)UOEF1+_5?q7snbfM_z$ERyk5=E{)Lx7NsU zm!1N$3xKQiZGBNa5W5`$kQ&;~ZnRfX8mOx^w+2XYkAzrvdWk(Oox=JRVbf@6(#%=)~t zDS8}zFUP^2?wHl{B>;sA2Gb9{#T>s_g1aQf_XEzfFP{|B-C&Folu?2+=IauuTapCT z8fp3D!0d?x3vC*m7%wz1P)j{wc5tv7L3L+doG7f%NfedmgxB1mC#zPfpeNyVkIOhx zKJ5t1Cosrj&HhbWsZoTEIo^l;b`{SLN%_MPV+MkU%(>;jhyDb{$%J>Xk&=K_ekZF2 zeP&IDvy33K^1RyDVbA=)XAoS(4M0d>#my#oN?-X7`^blhG4O&_mtY$%Nf4d6VF0zK+!V56(G@v&DuoFdSGuQ0jLGeC1?gr|(xkkTFzqU|^vRZ2>Nt^N%G2 zWC9jTOEl~IHwF_$^*Q$7Oae`RGnN{s-J2M9Z#IG82y$JXV+HQbmf)FgKo?nCz(&0e zeZTMoFxkU%4<^?&-fA!F=Y3P|eN%+3C-k?!nbe3KwBZ2)mjqKezyEUb;h)A*H$HcR zvoI?!^?0Bd*yJRuSLrey5;%>qz~&?M9#Ugr?`obT<6-^aM$P76^kl_1WA@E8lkC0U z)SV?+;41S9vy5*J!AH%T6B3XSJdyPoWSnj>f8=1=5+_)nXZ2(51TLkXGT&10fS1q9 zn|Nhn%BuvCGJV3^=Y_N|v^?B^u8ac4KR-4czBe2_^CF|9{37Ok7coz`hyr9pJs-e`;V;gE8cNEfqBz5(J;I z0^oYrH}Si}*iYa??W&CF@e5@hDDx2D;yWI%8|1)u<(Wf!!CBw&>Cy8gC=vmVGHil= z&C9DBAb3R?O_E!8Wf9PJM3c}!DsSc6TrFtHsIecQOp<=pMcI{{kSjm z)(@H{ujc^h69m}RbHg0|1Wz*QYqo=3Prk7So|3lTq5=r1-{=))Uce^U*1S-37{7h$ zcV6E4&e@Y661WE2(Y=0q#fLI(#f~|j=V#^ja3frw8_tgzMyZ~gD2?SBmzU={bF%X6 zNgSvY(!L76^H~I13k&U-)7|g#YVLH*i9Xuqw<{2zmx>$)HU>`s)`x zEs|NFJK1`c*gfQ+U*rI=qdX}~%8@ccI)~Vi@=1ky)^RO1BB2fr$>hK}KZkbI_qYG4 z2)?Qj^b{H@J&_&OnuIp`r|u}~Oqz3I*wW-ZU_BpO+996BeD=V0`q*&2{8lh`R9hR@d8`@jAt>u}84{e1@(BzC{|Gb?@Tn!b zUOlTJ_-q?6uPe5KS1|VQMxle8(Z~=2kckdP&^Zg69r}T>{?Q{HNrFA6u6rBaXBt*p zd+z$&?fgE{X&*Lq@Sw~I%;?X00PAs#A3B5ZE#X?F$EB-jKT8zq25>^|&)33h z_G4d#|IyCyx}z=+^?0t5L6aJJuP2SxoS({L4p>m1EoI8LQm(xh9+Nk5s`O9sZjo(X znJzDdhnw@Y)a^~r^eJy3fj@uP-M#})gF}pcd5*nprS$RZ>VWY_##Iw+QMa<@Yt}<@ zFisu3$Jc33{t5VDx_p~D!|RH>c{e;e_3b|qIIvgy``dZ`h4QV`DfLMEQ#b$QjFZz3 z8Mq1^5&T`VpRz!?-DsFhpb3Y zvvA2FbjlM0d&*7t+_D7kDtXHL#=r3HlJOj>*Y8`xTNGc()AFn;cr9}R3p-`FWI24R z?(f6cx)L04Vh&Th#r>!cc%T#b z(1)Sz)@jR?eqq)HQWYm}0-p)i^=O;Gr?#4dymy+3@Idfa!RG`vH3Cecj}f5ryHdaC zy$-H5&fO*ONMyV(ZYteJU{m$yP-MB;M8qmM%~-gnIBGUUF{7uWB(Fv<$@ z{-4xub07f|7wF*q_gsAmoeH?x&2Ju6<*m95e5!SIs)w6^W4BI48O<{8@?PR;zN;4e zIHB2%nTL~^qhr=xG8Vaq-8zM?Z%Ik}<5$06Xa+pgV*1Wp218MD+QCisS&d}xto z{o_NO#wGo*L;W4Fdq|b<{NtII`IhnyyeHo|ck^9)zl_x4-ruF)@BUr|IaU3#?piAC zd9zo&@!sDjivEtV><8XUy@#-ynObUx$vC60_RB)1f0&VE>}XHB{gkQMkM+;6mZB>P zA7i{_{J@>VsmHRvR8bit;|egy?OD4>OG}*p11&=;vFf^f}f_Awh%m-^|@s& z0r}oq^%gMsK6BGA0$&x2u+_N1DZvwQh-`UR8F!P+#b|FDe0|9uCVOKQ~ zu)Y~Su3xx5_YWP(Wjmu%r`1n_0FU^C)Mc-LZ|5}(l=`Ip)Z;Cup&LW$brunr8{ZIP zC$#*{8_=y^)g$jp-8WJ9dmYkmRqvD3OC3V1Qa=6N$Gjn6zqN7{JUZ9$>shY8vnPwP zb6H>WBSYoTUare?yJYexxvodoaAkA2bWhgt)`7qxUow!@v?Ig5z3F@Kbq>j^hbJs%?fWQ!4n=2fV$E|uK^Nhd zJ-$!XHD@b1;N!r=$u+M6Q^n6yZ>_vqc({{2zl(pTZvDyC^hL(UhKkxv1K?|ZfR)n9vU zhBtM!`NgiXy5?FF8=vjn=DPFk0my#(@3uMjXMLUzK^J^3aVdKA;(osn+qx?gqu+v; z{X)TP*${Lb9i0Nb4SDTU3`X8D*PYyUjp#(-b*I6l<>2!29P~tjxUX73eWEuRP6g{6 z=tvUKHXM4l*Lzmx63zadysPJ0Rm^*#9rXV!cww%hq0)Nce_Z#Z8@cXDHz%N*x_b>3 zG!;E2xCGq|`S&n*oaG;FnHN(3OS-Ci7)TSrkqsPVGkRE#{XMDANdmu9$DpzaHmJhk_gTD(NXQ2CJ zqq{!r>OWR?Hu(IAUuef2$1UhAeQ^2h*Ik^b6yFDeQ`gk|cgFAs3YYo5CtgVTsT&_T zYXy-DIjnguz?z~ z)GXk+nhSe$P?79sUg_*$ zEluVEjcu{y$-P>VRW5r>%>;FCVOBKR|2=qiAUly?uXvTcc_}|_z-Dl#QX!cft67XqK;WhkkgaUB?+Q$PYqF?@XI1>3@^HTu8T(;>bCdn zZI-fzS>r#zp4A?UECO#T4okZ=4*fXor1j$cE5Fm1kJ{h<$#m%Jzs5&v8g5Tr;NK== zBkgnHKkclu2sk`p?r`ldg5w@7{%+Msr>YR#4$6TI#7Iu1)p{B z`b!|&12?ZPyf6_^c~R|M9(mOk8aw!`-FP8y`TH;Q|KM-{d^qoFum`) z!gJtFf9O^A6K0MoyUKlEmHQKPwA(+3Io0TsZ<~KfmWA|Wc1;X^6iv^;BAKC>=mK7u zjTUgU2hyH{tKXvi%S2y--v5mLg&uR_0;`|sFS(7t-|+N0-aW7_f!?6zcLzsoL$8bS z{VT{`^bhQGO>NAhk-Hqw$o)SUPs5+QzPt6_@|q!fWsf;W+D4Mtgx!DhPHY9wV{fg; z-ns{S>xmq2>$sGo^fa%JwDU;Mve8%Haw-DYi)wZ;_P=xBv6i_+jjiH|!VkN~7C9^qUY-nTs4x{LXP(tSfx{!4E8)pMmznPV_MXWa4G{U5#CTvGyndQ51< zrH@DdBKB9tg|&`>GG0%6*CFqE3w8|fy+4Ny)ZQ(&WtoG>yv*via~@;%^E9uwC#{S! zcFe^*IWP0sH=q0}wAe>KdAy6)y86i<`_Rn9P-?J$v9wWOo2KJlI2|{K^&Zb@f9~DS zykV(<{)(P-$J}&r?{^x`XZ#-Q{mzIbO6O%x5iTyr?!#IT_V4h>E6|0JBR=ekOORh@ z`bGK9aI!cAVHq-P33Q=F(F4{XW6}4KPflf~cD!NYrKzQvB@Q-AX9+gOk36rrhXC@% z`rHj2Rwf5}a9BwyC+kdNN4A!_;P+=z!Ec_mAIrQ^<_z|+RTCVMW$4&ew&?H7NlTX- z@O;+nv4Q35;Oxb$(WmwATYf6=D??Al_Z&TW7vnI5Hhh9TdQ9U4yMp=m!2^F%IxjLr ze6j5n@WF#4DDPur$;a4QY_U-vT>Y+$FJ-+aI+Vy>k-^a7dx{^$&4GRS7xTD=-eVO1 z5%!Iv-T1m2-XZ!aGE?js=>C26b$c6f=irFPsGm7$&v}RFN3rgCMp}PL&of4O`co-- z)4_>9!k(z7qW(ger&?kMox188!GEh?^*w#(-PRAzw96qHPBuyA%wkhGIIVr&LF^A{ z`$0%}+_Z<4%_Fqje|-AHQf1S)@szTU2~G7amp1ssPb~R^+mwyNE%(5Qc?WN42ZkXI z&G}L<82(n|#p;)k4tkG+a`*uNvmU#r!UH9}{|)@#)sZKdRG!A18Z{vo?eI!>;aee)KPbe~D2s)}&~o z(jTwzhev+hNZ@-G&Yxi<`@P5dZoOe}=zF51-skwxC&i`)o!X1DgZAT@L7^vS**SD4 z(O1T!uT1syl?fgXI;7@E_G^c86608xs`_=zVlRW>uZ3^EJvq)iQNFEKx{m78y2V}m z%l^$?^_}3kHSS!eHMtEv=T$ATtT}4m6xWjv|53wk(`GNt{I;{?0}fnR-7e+X6PD=M zTm4Gsox0>>=0e3?b+|loug4?3IY^CmGj_7s^1Z-J$`n4Cx@n0w7pm?t7wXa8y1lcd zpHil@4LQ~3jzhZK_yp$H=oM-CaNt;<=i_+(Z7HMsoz<=AM7{+6PQc$iluX&5(a)zh z1QXkbb@9E(HP3bN#w0q_@rLL(X~VMuS0`Al^h@yXUwh+5^jhY}_)57pT2~$%c)`WF z@%Qlk&OYydnfdL8?)KgCs*^ok`nsZ*UK{lE+KG=hTA4wfKe~@^T)vtowEF1lz3~5O zq3IDER_Ds@4B=$?Kgezg9Cl$6wxc@9nAgDwB7{|{GI4jBI_L!9GO$X zdOhn8`G+`IV01uugsf4fw$&O2YcjHK6K2gO%=(MbV{HZk0Di@x1dcgP-=3wG}H<&tyIt zq;LH=R3hm3Gez&o$5YJd#v;B3NslyB6C@Fr2Rk;jv#aiuEj` zG?tYpEzdG8ukT*7Db`sR(^>!F`;qmm|FCuwVjZaT5bHOG;0Mfyd#>LcoM`Yp2c+w) z1tAOhHc=e&g9l1~rOxbHWrwYv%i1_L{SQ0Cr7`%)@<6!oc{5zH)(np-$KSgg|L7}B z)~igBvCc#2k)<r0_}c+?4erBBeddgkdfSZlgM`rW6E z+V>N*(U3XdLuWg&E#%aiMpUg;cCA$bo6uVN{Cq#=DXdM!1P;M)32BtTEU!e3g|_D( z)wrNn$aNy^FMDZuKLeN@&(r(CKl@RaPmN9JP|%RElCdeiA~QTvuFGlXa>|{N32t#+ zPy1t;;le|~GGQ_E@4=Hywlf4`ym*nH|@5!|Xi9vK+tRdVeB67BKR4@cLfhb+7o5 zvp)CrfD6wPeg#v(r(W>9xZm-Eb@Rp7F>zUaz_=`C8jPQDX}yVj2vBc^echS~iEu2C z7^w$Pe5;N6LZ)GmH zyTW-G{i^kr3dVr-zJ^YF4E{fF{ux*v?sVFPzEAkjC4No)*pBLTJKA0Xt+AFs{q3|3 zeoH$ulGz+=CimOTsCQ4duWP+My>6n`N__Ldab2yy!3%aN9i&TV$$fub!|D@C-)vsB z7kU5tgy?Sc13hkcI6sDuFZ?GfQ>!(#c;uBi&{qS#JhZQMwa2$TSrTus(XY?-lohVb z8S$uE|CM!L)_-N~*I_-#5}$|9y8au=o5!5YXM~}rk68n@Yt9NU0uI1trCF-pW$o65 z<1HH$|Ld{#?ADL&)>Xg97e8qYI@B=xZ`Chrzmd#e>-JM;3lrnOfB3mw)izOhC*zcj zuNd&mUD?g^bKmSG!{T`pm7l}GX)h+Q>F|v^4-%bL)_p`*?6HO_>p?O{>()IV-94{i z1o8~L6PyAL$(CB31JNe3rZgroihI84fi7Hm%=}VM9AWQ&ak-A{_$guc835A{1$%pdU|Q2cbq+0MjvXghB!dnK`(Ji3Vk zvG9SYX3q}q7&|x|wTiWQKXwFvjUm2wHv3K2Kgxk)ta?+bvoIpG(02+D zv>sSyRWnaGc;MfFpPu+R-?Pqb?-klh_Q#Gl^2^5?m+0fgww1__ji)UW=7@hV z^~ynv#D18X(){NfABV!rL3x{%&#v<2M$e`#_-%{6N_#~&F*a8lwr1uSL#PM8SMh6= zLk8`5z{mbc$pdm|G6(hrjlwbT4O#PHei^8v@7pLN5Tsq`Q{~d0Ez){G?wa|>>rNEG-C`w>TU=88Ic5i&3`4B*it=I?0u2J&06v({-+y;oFC4ABhNwCvVHqFWSO<@buG{f z?{BD8{ZV6T?i?7-Zy!h>&LlSN9GZSlVlsre?)$#-gC#{_i{lY{UmQ6I#k=?;-yD_I3F9ugGV; z>nHHFe!j%!F?n`;kzd($Dz`bC)$z8?`=JBDpB;?p^1%Sf^y(02Z95?e&Po&V|Ok*atZnBGqMlVy$4OK z+Ai(rfOeq=U?D#0CD2Ck#k$jm{X=LOy0xDOx32@d9vSt-%;Lu}yfdbR< z+iJqU!l#$DE2C9Sxgl|M=3H}RhGnP7I+3S#6 z;HCC|8&CW7R8Ho(#0GfCD(G?wX;B&UQJ^O)@?#D*ds&}KY#s|5%Lk=Vtyn`2Jq~R;yq~VJFLwNVqiGq4f z-oxkWoUE;@zPo!c&_P!36?=n}DYAYn>nvTm*~-d#&RMc#YptonZ&4>kPBOyzW8hg=VVkI+J*+Wo>QUc1>RUtk@Qd&U z(iYM)q@Ph=rK)e6k^fuf#Tnov<*lQ<4V1Tu@}6Bmc}4I%MGv3Y?sWfX|H}#wKc;Om zHlpW*M|~iCPlxZxzD?{Y$ik8sHV5YD9^ItJg=>7fmkay?PQ|ItWW}}&c(iV@E)_!!Ux+kmse6D5CXgVdScXQd8M zH=afgczh22iXD%7zyZbMs!Z&NnWdsH2v0T`C&iQZqyG@@%kotO>}9J0A|K^`YN**! zYvN3T@nH2c!e77*WRTAXt|2bx35XwGYL)A z-lk|N&N||l?(4BnaD6@P>QHd(Nkc+ELhBqrFSOw-(O)?}y5=flGx#pDqoTbdkv|@L z{Fsb>x~mB6W5$}job(UEBC?Y5JNP5MPni{L}MCh{x1VeN3>f08xK0es)3dn&mKPZS(KK6>Iv z;&LFPDmtA-M>(_`pY}?fb;WV;wo3f)cXZl;?H7bcvIg|PP|9u8?BT(n5w7Tz{lyV; zPBc-BtgbA7C0RX3y<OrPg?PIS8I{*GD$V28V$ckjkX3DL?{;`>IC~w^W5bo+-#X%V(Dt9`vu-btJpxg`J+m?3H14GDZ2_yQ8QuCC zq3u?wOTD{zhu^B&>UZxSI2L~92r_}B#*TWs;Jo^95S-F#g%QrE$+hq*! zTZj&_?`hPWM*6h4%CxE$nr@k^-{skD?z1A@THMI99p1A8Emkmotra+k-DgBgPh3M* z{}I?I{@Yj1_LRex(zpGkve(YZgx*B&-f*L4Y+#>~=-xY+lZXx?zt4Su&UaYxd%LPg zZy}_1Ells-6O5VI46A<=r;557en@ zmi?hR^EY2Y>R=9FO%BAQJbMz?bB4HOHgSI;bJiowU$wwFw~Vd5%1Gt8^$EU|<>*@Y zBGPajeprcqfqf~+c;$3-8cmErbqlcy*)ufM%m}YGJ5nn-d_FJ^-gZbgnwVo$7r`?M zweX1M4mmuYGL_yAJ!T~K7iq>Drj}f|Q%_b6R=T^hKcK@)q3I#IGZkL399~(|qJ>uv z>15y02O>u;vp`QwVeI_{n*F$mPt1{yE`5fWQ35OKQF={cQUUy?9Qwn~uoD@#YKX4% zn8D1!u}83Ot;rsZ`2ya z$}Xb#8{fI{2B#DVu6!uC>om2)1DNv)E{85gr@TT>nyt}r%_%Lxdu7$M-E8laxn_7d z2gCa)+vnHq2V0Pzv$XJIQrD4AV+wkRk98p68(!Vgks4ar5q=Wg{$p^Lx)q)p(vBs_ zD&`6Huy%>pBJF=qbbM^q?3?$>uiW;ry!TQ`z-zT zIXl_kCh?^T&-2L`sD4#2cTnRnB89(hFfk=xm-pnk?K9CS!87{R#xYpv*tMCP2F4p9>LE*&{*m{S7D}g{~>Nl(* zoJ7A9zs3Elm{ZAj`HFYB@M`I_B+h0FvhY0gpC;t?z}gO{qN3AY>Qg+`9fQ@isV97C znCigvW9R0`wa!Q;>ojkj8sPZh9kLfYFqXEoYQ_}muJ&umci*MG@DTV&czFvxxwLlx z?PU)_>gGb$E;MwqJl0TGMa7TA-gY=k?6;~9jYWRvCz-nQ`%LzMNnbYT$#;3?-h)?Z zn$ZZKh5t&NSBbmo45?ClFuc0CL+pyFnyvWQEx`_w8A#A3D-*wDa6+w(y<4U;KI>8g zGdrAB)We*ebuypz<4oPU4Sgq6|2O+?eL%bed}Y&ZO*Isqg?>&cnd*Iyj6NrG27Ho; z=j2yqa_>FC6>|3G)Tn*$slBJ#oWK~-3r-}a06&kn3I0G^=#tope9+R9X0|b97B~W& zhah*Pz1z$zCjiX`v@GG#PW4P=M;w@&3QxmN!8@L4gLeo&@#%Kgc%C8fD2PaEp z#DT-$VkR~xXkqi;ua7{U4r}kg z-^ajCcctC1c`)Vp_>Ju&&$Nf0x{9)*G7q-QHP{_aDp?lZa99gJi9FkM7&=*_m8trw z=_BhG)!hGa3-gB!;GX>BjpJHRyMx8Hm+ytk@hAHd%n-EXkslcpS3D*sbZTN zgM@suk8(wKVJ{l%GqkTov!KiHa`c)-=qSTio}-=TC#H82r2Y`px*ut|odA(Ap+kB-L##cB--4Thaod))sIfL9`_C z0P$86EMz1=@_m1vGm{(I`rX~v_m8}i%$)OFKF{ZVdroCIW)V0la0bSrvpgCnj@oe= zIP2KCz_{G7r<|NtioM(fyq)09%$NMG_+16Yp{@IYFEHM=ANUfF>|Of0tjVkOM9z&D z9t%$TtMpJ^3Pw{;Fh~8#cb~i9goADE@z$jc5#+pX3y$Vd(BEIq7~r^Yzep z@oCh*VXw=~i8X=)?VOX~i-|r1uaGl!>&zqWHHF=39l?{S_3mktv*Ejb8PmNcvmaO< zGq$DhY7%`b(>T>9{>g&F@?2`ZBTZ(7z&6h^BMN^RJAX9(Mv$o*x$_14h3AL1g5#!M zAviB*0Uan@mvc~lIsOL@``oOogY4(!;qm!iJwJB*o73a}N%?BtbNuZi$NvL(MNGzv zU5H$c-7rIROwT?kM6bhk@p{ML%N!Bc;2rGjP1JbPE2ekp7Gr-AdqA#N`{_w?D&k~) z+JIkd3j4Q4Eb}#VK58w7@U(ltzUtCUC;KYu!{_1KIW_3yJfT}_z-NJOJJ`xf3rv; z{`4j66J#iQhLMtOVwZ#-z^32WIH2q&o)H@@{XP1-&wZqEz>3e%MHd-@GdwreK0+5q zZwN7`(ih`_bDnK#9sF+ShpF#%J;`~S#^+|}>GgpNX1M=0-I|gmwg`ETTooU@jy)ryNe6Z4!+(EY36Z-R^-|f^o&Wzo7v7T6dBQ`&!*Rr=wG5ck1+Nj>f6`#&-R|HZ6}xhA7brA z#1Hz>MV62cC}ZZeiEWe`>wujTpbx8>>nCb$;tTApeNy5iiA(D=hu$G#KUrpxP8?@6 zEYa)b?37(2u)ILoNEyib40Q1fa3KRfHT?`LP3&y)^>mZ?T+oF;6*8X^GQI}@iu*qjX>h}`|2k)cQ*0dL<&)&^4 z(O>1gyf=&`n|ujBdOGT&#O3(x5jPlk(=tDeoe92T?^ymKyIP`6jdpRT{k^|PN z-}|YHWzHyhd^&mSxA=|gWDT!jV+V4KcD^^Ww8R_a435&9nGafu>#9yH$%kzl5q^<=HrMAnOt}`xQNcQ^yU~8(Y!>O#R?N zrR0W05~bp2#a^9AZ>!#=yWp{|6`!Za+Bt_R_)r>78;^)g?eg#^ubLXX*FF5H-R@=t z+JNB!D;V{w^XjZW<=|5hK46(G0pp<1JnM#jJsxH1iFm7K36H`iw(cQ^W!i0L8KcK| zsb~nl!>6IK3#o_uTsFObP9ILq_c%2_`(sNk9JKzO@=Tf|&HjYk_Z@>!-o=fwCaDSPe=*Jf@r9Z5J_Xk%c zJy?Ll8LSx|!+JUt5A?=4oQT5%p$lgNJ{x%3IXnj zXN;RJ@|9*GcY%>JB}Y%JS{1djHyKt|Ke|I#(8;_d0F4{Qk}gBlb#4$^11DbX*Ane< z!}%U|I?&8K&kkTkv&oZgM6{ z2W*XyyAxIX6&+Cct9K@)@Ys5H6#XkcSyVu% z&*4qHJ1kQ={wn>$*+WPTzF+LH#0GS`&78;9lhg&3Umq&W@;jM&(1B0VpF-@KPLGEdtR_EP3t!fN?`kV& zKUZ^o$%&2`A@}1rFg8CQTXKfSxL>i@(G`he^v3^f(m4M1lmDH9-jm~m`Z;M zM$K58cP2wPu(1_9>dFYs1P9W%beM4_P13O=iN8$(=ddLwxA4qyt@KXh=D0tsw$}WU zvX$T)nHD;lHLe9e7CxhtJ{78$^TzkFv2oDO2u@7nh#Tx%9Ahl^pm`(w&$I$L!Y^y7 z>zU|YLobviT^a79<`?7{$1i%GJ_#QkG_ZC&JiwIxcaGfaA$ZWc@E7ViVr}jV=&OEx zoRdwz0MW16Gd}OkciXSnc;_tvqo)MU(VWH*^RA%;c?s?7P ztc3o~g~@V!_QJDUOk@dlym|OAT1+p7QZy`U&i^_*fb&*oz_U}Rt?I3~AW@26DGLZyPm+ zn|}{>p!5X*&qwh@u>sMkQn&)o8OD`!*0Jq>VIA8PuKd54NBNMXW(-_0@NWsOh|cNZ zN+W05q&Wvf?akqc!nvQay*RH6WikJm;QZjb^^;2el;+xsPwhB1e@NJbVYf zT3ClJs$?Yo#Roh&IlXI=l9SWew~~{4evbV%J@xk*d`E?`V)Wgz=maM|kr)7T;9n$f z7`vmBcxwApqjfT$fmc(^0Vi1Qw~zIMr{uq^SfU%PtSwH?-4tSU6(VQxO$Iggwbv(o z;A}tpn$)V^M}Hc$s;GBDuE=*5_G(Bw!t)co@h1_hfeszBkoAyDQq!ksUY{TrZp z_KJ#XPfGd{=Ax+mLLc*J+*MYg*)JahzDEYPmfQ z+0j307|UFy+i$nS8`1MPC-G}rHD$;-)@8EScXkbgrvIm3@;bPG@`_O56Mm`r6C1Cm zuw2Gs|E3o9FN%h%s(@Qu;_P1U;`iILxEi@ck7G;sdKU|yNmOm1R@7gfwn3z4bwc`P zVBZa|zf`T?eWjfkfyxskhM*a4e)4UBixbS(+#P*y0q^56i3LuF_rSO8y&K*XUFxph z+BXFL_`6<7pY4`f>?+QecV02Df0|0*vGL?G$)7^+d<8feTYOy;gvSYg6I!ru>YXL? z(vNY1V^&TOxC_5yjHiUZrFqSJ=_E~MGDmkt$fD*tuI7{4HVu7tP|0+38`ZBXwl+uE z07X%9Z$E2@jwHQhMCLo>UOL&E@S7a>Sy|Lv`wj3(^bq7e=cRNe`insSe%AOdx=|xJ zrPwXkbp^tG9yD&{@2I z|M8hmCa*63CVPaRU=w(8rS1X7%}{rpu3tbLq%DHpsfBC)sCZLsaWIrX_Js12X!zv1|9*9`(k;;kt!ny&@2w}6g$*VD)7ROK^cMH*UHWJDs)jS_ zJdyY5?~vcm59^Ae7xR8+$4c@?sWBrT1%1%-&&^*cv5KZ5@{kLgKa9Lz25vrS<=j2N zebD?-XlA86U*muq0$brrPOvNBuIhVrESz0`8+PkOxzGlF_9St~Zq^OY-CO@Gwadr6 zzwu#B4IFU-E%aKJ?>btXKxKaFyB1?{ORjOCy)jy2L zU3>QDw+CazQS^$+e0)C>V%G^jDuMSEgFnw;za|IByMhk+K1lyvfjRjM@=U0pbwHkz zXW%8iP`*6JT7oUsyPaEX@uvJl;JhfdGi$_mSQD5X;@$=DxzFBB+?jo_zk|pJuU9nd z!5+?6`&d$$Z)Y8wBWG^~VFK8_#`T;ZG3>C}s{A?DViVsia56XLiykI9O75fdDuq9( zm=C@b3;3WfulCMX{$BWs@{Pi)ovNhNM*U29VaUha$c|70Ip4kYKT-H-Msr8f6=(ZL z(dFd)>4Oza`DjPrK*e|u2Rhb@%>*C(mB`aj-YN1~=xNnkuv+DeYr^xEt{QLI=>6CpcTeE?N^idERm0C#WTob-0S_{PC$_;t>LbKXK)2Yt^e6P47;vxg z-=}ngc*$9-6gh;v51Vfy13CNU$f6vxBCR)2gL_8SDDt26Rw$b%WydsK1D@}#e?;*| zu?aJ&m(RLroU`W5LHshgPI5W*LMHSq_QJc{M4WH7=z-MwoshqK_SXIte&z90Vu|lh z-(GSS{*rAhsmylbrCR91<5|S}$<PDJi6X~`j1h1kL^+4ievz<-hT z(d={awqy|BO)hZ7pICm@U3cPbN9k(fBdPth$b`Fk7uJIJLO*#iY6M35`0S0l;nBC` zOa7O!q$L-)FW= zH`p)vp{(yTw66FDv8N3CzSa!!A4;wzxT5Z5RD6T|7%9HuBQzcekJ!)hR)k0J??&Ma7TjNy`}EL zE}kzwD)J9>^z10{Py9#t;_*|F{|K#5-^W=l{SCMP&VYO9m`aBww~}?y3us}N%8jGu z7@f;tEWe5)1Ojd0)F_s)|4c^SlAMjJcrV5;$z52gK?ZD@Rpu`j!A7THJ98oDtcTHyAGZis9-&& z%r807p+bB&)O_N9mRNXDy$|1$_vCqbPxd(U&hRs8?00>peWyI{NtUR( zK=e{#cb72-H37t+;c>(R&~3)#rZI-fgF%jnZ1;5A-lczu&8S4T!nQ;|?a?CHUJYNs zUrK!z{oE@;e$%sN86IOtDwm#7?^v9)pOIjy8wh)<7t z*w#U(n{}I)QV*NT-6%Z5Sp3YAI9bPu*m+01wcH%}mh|b7^?=X)2Jp3(w+<>BSm*~o zKQtgYS!eNON?z_zZaC+y@-If^j+3JZE}$1Z&HOi$i-`RFBD_F)d%n^%je1<_*U?vk zg}|_aJX!sB3G)j*gOVE~b+$vb1h+xk*j-XP+oM%{ z#|l)5?R0^2g7=%v^X<2OHmOHz{&(z=0=s|1Jg0q~)aBzl!gjnl`Ef_`;%YV!=OXSh z>2h$DH3VN|4GYi-YOs-Nkj=e}$(pY<|G_BQL5@%jv^GzzCrpl{yPsTWsmCiKUy`HEfk`@!&y=sk(f*3A?i_YZ^}<3k4iqY z&w1!P{4%@92|EhjEfAT6u6rK&MBe|@M-PyDrdihITG;q9G;b*0VdX-n87F6}I%a$@ z($iDUKf#TM_LT=`yT1(%gv}$IN0~YgfxF5{liHf%K77!vs)p1%0}a1?GiyD?_=?Vz z{~vn%o3+8jFNO9}IsRH9{2d*pmmHP)V+P|3uXNYP52s=6PM!Fg)CG@(h5PNMx1@$G zHfx?{oqlf6zWsZ^_InWvJHoyuIU)8$l$t2&m8iuHXMK_Q+#+(Hlb6DF(65qOVpTu9 z34YYy5A4y`*(WyYa(Uj#28V+uXWCzwf3dZua$0Ogj+UtYPx#O;d6(~UpZJK>LNOP( zbL?Z`RaZw7W@eO)x%4^IiC z=WV9H4r67V{8%{fjc6ikKJ~@qFBJWo?z~P<$bdI@X!GZE+gEg%;GF&Tt(O^spXSy0 zIO2n%2czmB=_)pV!n?u68=E>LGq?m?PXIj^10l83-!=JCf6# zn%00*-4t-vU#yMsc@Jo7s%cN%%Ip9BZ1rH5<2$VhnJKE+Rt z%AS5Ugu7~QvmS;w%Knh8+a~Ivt^9000nC6McpyD;*>7oTzb{yAmvZj#9Q5e0xfMEM z4?LHyg@eCe>OEVqcN7d$^H{)fc%CEFxWVHKPkK0~Rm}C?UHZI3jxl}}?goWV0Xyb< z)6Oj#pT={i|2gF6BN6-9Bfz{@bFWMuQFyCG$T<=nsbIGS>@9)4f=&HXv62}0&^Kt; z-8R)(4(~H!gObmy=&6;uROGVsL>J!SzS{JhTN8LLoP^h)C&*{=x0U-D@pHic=pEi$ z@C#>^+{4lFya7LR4$Oe&gpW0We~paw8qd_biR#bkiNN-V^U!?VIy4`jP#V{@sNlLg z_2gcuh2q(L>TGXLW;jcBvVUZUoUOP2m-+5IczA}>PW-b`Jj+Mx1y>2>~N4z>Rg&@Jst%u z3!maVcdN0!NM0Q^91deSGM1XB{*U-4sWIy%wk36D9S_O>j`#N;V$cVl9d(vpMlK5OwKhoh%Qd;gNAHHm$UeuJQd+_gLXx$&nOE}YtWv`(?d@aKi;iLpS|8xJX>_E zgvq^C*zNMZTZ4Wo@8FA+?@Ec~IUB2a55DydJ9tIuks8G{2&)fE+1 z#ydUa31(QvTxdCH$Z=h zhu}>!1dmRs*lgo`Z@rUCSPwcaKE_Z3dT~RO+6(&_5S^0#33{oa6Wgt1jTfO$p;L*z zSrk>Z;G!>y57$}&jbxz18h^!?CiR|I(l?Y^%f8#RM9nVhn@l|sZ}lZ=YBcL`kbJ#c z`Mi}}V*Y0S(41EXto=dV{q4pxwvYO}5_FWkC;Uzv8L@#iqIZNgBa5)nh4+orW7DL_+0gQ#2c3$liXVJ75zprI#A&Ez-OnD)dH`c^18c)r^Fh6@3%(6 zq)>F366D@I^kMvK@3I!?A}Kw|O7X=zHNmHptsE%N22Xz4Q?AWbuuRwOq+uxVTY-Kg zJzE5x^fm}z`HoL=I+flHzDQq0)&KusJNZnKi-7FkFd2Cdo<;OT$(c-_h2`JMe(HpW^vgc@ zed1i0b>?_5Yo1za4i1KwA7vkm!Sj;SCN-1%t(Q{!6Nc~0XCgDDMuX3Khd-OoXJztP z^YCXg_^d?rV8o8(z4M6Qc{TQo>ER2sXfdDDhmWKx=yUqyIp+QWcjNT&ZbcA2jw~!p z^0`+}=&UzY9~Jf7dd>?xmo+-vn`ZOBT3y?o z?DMp6)x~-O9Vt;w-Uoeqp}i@V0iQS@9++Y2j%jH2H!WTKdD0upeRJ}ll|hc-w2L+8 zetgvrU95$3ck7AjUu*WacjHG|q+5CDY6B-HmIigD7l_Rxyv8#AQM13bJ2Up73@veD z4>pEB$LYw^-TU&;6^IA;_^F{MtDm_Hc(v$sFZ%WH@>XmPe&R_Zw)F~nkMdKqKntJR z!DprLtmT@6^C#Txa^KG@)G^_o;f%>wGs0$x{MMZRA79O@&-5oum%Vf=-Y4sE@7SHR zudF~eA=`+H*cpE2-96~6*gZ%Ml%k!`Om#-*&`+9f9D{Y=M@)knH>1&~#eTy7v451> zSor69b>NJ7e|HPRSRFO-y^k9^pjCO5s~vU z7BK1)-9+76W17!;@*qiX2Ji|$AMdRZIRo5|BZEs)W9!BFIa(4CbzMbVk${l^}gPreKAJXoS?aXfiYGAKT0@{p6z zS-zDqU$+;{HJp_i2CUNO zMqFx0uBbIJw(tPaA>6*!{&PNitMv@>=G3`(T=xMFkN0AW$~gq!CFp*EWQ4mp{c^U9 zt2@>6q4Rg3BhfP}H2a=cee{eNG`67&n)t5J3Ei4q1BqvL@$TM;^$qgVkuSot5tc8D0o5T9~{or(X-h90&LkG`h=!yF~^n~wv&8jst zE0%|hMYgPXhdc&+EHlvEp!>u6@f@iU&jkJ{IT@O{Q~0pp+mNhO`M&7Zjvu~& zzX?2g&0Ua5ZLqTaUWG=0eQh(a7d%$u4C`U&^JB(47YDT>4+rbUo%>y3D21QdO=jbrxT=)9aWx&Zgv zooO<)ibOjyA@#oENAR3jQ9$t@%a5F1SEMO_1H9aLd-a<1fJ zG)Baa=8y|zt=ch2O)9km*A3ds<^|9P2GL3UiC|+S+}@6z^0*fM@@v?`RfDBw@2A4I zy+)2`BR-fNK4aTFcu5=eT!QxzcnLT&F%V2Y2aMlU-;{l*yp17$_I708wg_(j&MJc};8c!LTq(R4nZ7NOnAFbwD)5*kjmU@nyxXNEF71SeU=z)TSI!i_aaPb- zb?BGkAG8AHMyTNi9s4*Uu?k?PdL^K*`@qX{e4Ol6`80`93P17u3)HE^WIcZNjxU~d z`P{m!errRK@;4bXOx=lh|5DDLweN7&Eh2wqEAu@pa_$s8lhs;*@5yuVy8+)3Hd3Vd zpB0>etJJnR0*jG;dtfa(1iI}3k)fjRDjZbntlu9i$wY=_lE2r&nvxO8k+CK((clY_ zgy?4~rrztrCeXuGaqtfLQ;jZBBXeORFU$Xkz-BC5hTy?_iRz=!67{me!&AIFgq;pP z0Fz~^2FGq|^v4=2r^Fs>nPP0!FN|%CUMP5}@?p_kdMYXkq>q4{t@I9HPQ@=c3y zvuPduHod~S0AESmd^Wri{fs>hJwJo`n0I+6RvbiD(TC!|brI1C9At>Iz8F6kytM}0 z2|TUY@3a$F4QR#>p_h0WJQ;mpjyXtwqk%+xS7emD4!~!=`82Se&ojiRzw)&5sfet! z+L$AVj&W_P&zW2TtjU*oyU#DWfRcx*jxJ>*O)gg7yXD6Q@W1Hpn!p*+m&Aq&FL_2w zoQLkH&{?Q3h+pAnT4}KNyW-E5{n&?h`4g{h zqt|hUKiszy*}>W3kFfKDzSy>w3yp1+7Xp7%o|W(9eJ6vwlC}lWCNsZ6bUN}Tn#12j z&eLE%0)F=G?;P{7m1c;}`yf$|0-3PAhDY`l~Dj?_9=ZKItXr z%1wQNN8HcWr1B!r0&~x1RJ)*9}w7xzbrKK zgkRvp8kG$zan)3hOXUMrF!XwG4n32`rBQuU(|UEvk3|eJU*;CRBJ)dawyLY0X8J~s zKNQ16{x~(*Amo=Ree`1dP4E)VP5GVfijeOSEaZFig2>)aN}N(+m&lUStbNE{AF)@7 zvr(&jlDr4@MBGK~xx1S^Z&mTo|J!}b*mp&=DT&*jCjN(?;XyM)^rxnp?|zqBretC{ zya1g^YNkcbivQLAL2FRrgT&n6Z^zK}=PO;y3NFybKJQkTgRxe4NGq}TT{E6ECvh-8AehfYC_kJR=^U60iI%=+vH;04tD-0ju%P}5_LL$>ZM_)DyW_uy^hZ%Pesw?R+x z&`h2C68V|U&m2A1T28FLc$1HQzWB*==;Mn{oZr0IA=gH1VscBlBMTmB#-CIF(?8tG z#z$n9y`u70%edd5n6ZlZDb(q+$ST4&1N%eBVq19VS-hM?cu0 z^d`oiDm~cMed^-Rq$j(BPA@SKE3+Zs;Hz}98+^_h>>>F+?jNwfc*<{(^Q&zBcsF*P z=-%v=_sB~=EB9DB8!iSHN|jxnSc#1?;@)!^!(DdjjNG+oSNSJ~f)7lT`Bfilb*FdB zMBvR@s1+yz9@7RzMSu?2VMaDO*8DfwPO z?)!K@clTY>c8$F%JM~Uw>YWnsuaGqpSKLhhZ*{Nrh`VL4X}bemG{e6Vu$zzFC}&}P>neBzb?Q~zSG}O_MtlDa zy0emAf1FR)EX5}7;tn+PTFK!P93hVdn-m@^`JD~KYq_^f?lO#lNAwmstY9H_S$_GY zg0JAGU;5D8Rao&U_~kPtGUwiU?$Md=(M9obKRPHf9XjDVhk3y>KRJ9qr)Mj&@Hn{YXDyLQ zf(z)2FUxPaFB3cLCBa*F(iaa19>VVqQad|*7jSvA&&>~w@9Z;8jzv5y0vz25+C>dp>m7}_9@Z$O&-rwaWe+O-PINVj(d;x#^)!+Gh zua$emL~ey<-5s#kofY_BgdWWI#3KtUrWxD~5-N%s#)vz{oZGVU?L~%+^-*ZvZy_@j zzH|SLdN05362~m(?&t9VyNYr0_lB*NAHdh8XRG|*5qIz?Je9l$&LQ;o?htQ`( z?th4?KJwwr8PwtE!*_|z8BhKTaGJFbm~{cKTgWTdN8io0to&24nJwUXrr2oYLA8vt z?=6wKK*K@40OOpH)-E_b&b_^a+?v~>lAF%|zr`hV}G zoD=>cJ`3a%|DqOzyD6N$6M3Rj*ylGvpYWBwKK{oh%aZ#uw)^Zu!jI8SI6H^B3;Z*Y zE$X{EdZYCL^GKeR@nOy$eim#XCO$V9?nZYHAd_p5JM^!kj}dpw934osw))&F4pSp+ z8WwSkEqVA4;`DMnV(OgjM;-3(5TBH>i}S14rTDJ!3}h;{&1c~Q_=wC~#(B0^bez~> z;2-!a=cHrh$)cjalX4lk@Abtrgz;z-%gdMiA6aTU0{=be~$=eJMZ zH6&hDvj9H7PvqWA?p)P9+0Z4%ID&e(4qIw;>e8$Jlm zH+eeb+IG&}e^%+fZyzGxzqZ{mxBKKd;eCwXHWFrbh54JmRj;iAb)3`$|ca9-PJ& z^P&sYb4qWOyW9(2k?}0x=&qu^101o}bO+^qYs1T&=f7#z4Ic%LrV;)!vhwARYg_V8 z4=B6|vcBxoPUpah7^lbUR1-%D-p_rdJ`?7kL zReT)Y;fI&_;c*`k-qy4j-dQ7eY#;PwCvwQsnGdFPZjZMqUitj7c?Nm|>+sp-?YA1p zAm)H4tl%yQVsA?CZYK_Ra6pZrbawB3`}t${@I3j;H!%;=9Tf4 zthj2U^BsvM&3kAU&lj z(Y+t=TYluBA3iK+=0mpUpfj%ZhOy!_Ow9BZE zo21Td%pKG+zI)aEr>zWlbuKm9Yj|fIJhv!{zfbokhUO93pK&f+u5GIuz3<2zcT%8t z7%mI%AM^cezJF`@`#dK$qb0|_Y5Tnf`m@lP%DMXOv5(t}>y&*g`iIgJm0g_HKZbG2 zy{0YV?2AgiKXtqw09lB4@Dj3eL?6> zH+T+xbQ$v;BzIkG>2B!ZRd_$RJd!5nwcR0W44W@uL$8m7&8Dce5}C6I9f{l<+7pp2ka((eQHOZ&gPk_PjdyYF-Lq}P--!9*N zz><25c}>X7iaRa%h{W3@_ebc-{d^NOeG}2?Sr_+&OAfEZyF$g@nDlYh+?&2PmL9Xg z$v?$r!$XSjn`GiwU=HNUX?VQoAG#G^fP68}7ySx-ZlcIlk%=Mt&KNJEZ}sMRI$DxG zSl+V}(KY<|N3l6ZKkMlid4Cx-_Jd}=8heGQ?0Yrt!{`Dxor+2=ntRx+N|YAriJZEq zx(hXB?@0e>)ssu5yIIsqiBH ziP*L{zOq*A^r!G8w%|*|KZhUUuFm?T@i_4u;F;btYweTI(s1_RR{P?}&sYPxS&N}` zEwKeV3wFg?!F~1j(w*pk5%#ddsS0Xtq;3Z?X_wLk(GM8E;~c#fT@$@&_DH=+bS6(P z^z=l9tM{A4iXYa+*DWxSJJ+}PAIRbEbbNIEzj4q(fY+bKoX3}3xsQH`PZz|!`Q!g0 zYZAR0oO>q)>%HQWWc@zT_kho{ss9U8|2JW$_?0iLx!|Xv!XE+CA4z;%Y?P0Kzvv`y z^4`)${0ZugU;C}5e=A*rzJdNN_KTI>dKa+a?&XwhzJC23z*=|44eJ8-;YV+WPRGdR zjYGUw=_N1!c{DxE-Mg54?r=-sP4&^4|9+DXN}m!mH2yaF%sGI zt1N0Uj9Hcb*sK=6<44|zP03x|_KKVgdZ2T+6Lp?z&cI)Vua&{qu21o`D^qLgQu+ct z;3rDHYPjYXQEN>Qp4f)$;`ibTbS8N>u6Uy2shd{}?Z}x7?xrf?)G^fvAlle92tqW}=Wjy=Jl{$WqfRc&VuY4DsVCK*q9?bTqV1^DW zzQwt9|AgibJGy*u{blU}cPNA}XbQGBJ!EF4WQOZSh)$^4Il zGxXW0%oQHsWJJdcOoRu5JM=z@;+IUXvHYXxE#)Kd_jRRPla~>iS&6Uf0sQ0m^rwFq zof{eM$Jf6S-Jw4_!q|qB)thInL4Q~YooW$eTm$|o>^4+E?{iQ+e*&O1|vlo$Kmj2lkdk7QmUwuyag!xfI`5$N)A2f4C+ z=R9DrMAsADNpvW&Uq!ZX=jZgXvIx3Fzm+rQoD?4BUkDz)JUmw3&w&fNG{?Wp4-?}qKBS@J)2RN8KuE&pRj z%{iXcb8}s$@=ae6xK`|I;NrJGzhS2EG%Gv)74gUL-cYQ>`c{9^$(oD&;74p~@r!iU zci+{y=MBd6aH3G;RUWm+;BDap0cysdRsNi-$elRU9~C}1c3jrrcXEjHed{`SWqRC# zSMKUucefs>=tS=$iXITaq@@u~1fwh!^D1iEEUB>!&K@wB2fcntc)6Ur~rx#4Gg{;apw zvabNAw$W>7{vziyLJ!FMm6LNb?=P(xrO#)i^!aNy?y@o^CwtG%A-v5Oysh0k3T}@p z{N6M6GY{72Md>r*x#2S^=p6ezy6oFy)_a4*)1Z?-r2XvZDcz%=QTG2Mz)|s`G~R*V zKjqmM9J!Odv$jL{obY4g5@i0IN}n;SMNb4p?(2T|GIBravrjzp6K5@av^VcbL&Y)n z4%+jQntkXm_$Ro}D0$*6x{@Dy!*bB_2W*;|sBI%f#1d~(;g`aEeyQlIJW zRlO6^{#xau<&N|;-+(vtEBYUz>-w#Hma@0ga$zR|p1JxjdHJEOdPqwz$$ zOzy5gjwNH*zpOb$hqaEtUGduyJY0Ol&KiD(&to;`Q9F9f<_~#e7Q8KE3XY}6726xS zd{EYB2rhveiS8!dUDWBPZtz>^CFE<~92~-tADGKV;s&&P*rVfC=(ymK6u#jXSQbpI z=@uN*-79*}D4T4}RBiOT9f#7f5pe%R+D>px^u*g{Xzrq~0aIk(VfF$26Jcdd$= znyfr@(h9%oJ0vhHY=J)=CuiPQZ$SEU!Ulh%T zS7sZ)tfwM!H@Kb6Tz+*ng5RsiA!qj^`{ZHP#wn-Sjrm!Rxz$*WKhJewNtzXM-L*j|UV?1)oO2 z^P=pY^86*S54Hr24@OOcvokJfF|iMW%;~cu4Oy{JG#Hz%2Z4D&!GXOC?9@CmNBX?Z z8F%kIHOBem_6Z+2BfKg{;y`}%FT<&UFVwuGY62bhy`}aK=9h~F8Ncs;VOI#d)j zLPDq9=^#1t;osc`9_}S)30c%z&&(Fm71V8Q|&Ic{!;|OWV8^y1_Ois`|| zc)}cA#Zv?haxQW{A$TU|u|aSc9-*=4W`{fl`+R&!oOLK$@+9s?77`bd7*x%%NT`B5 z3bSn-XX)elzQ4&!E6SI8ytMY2QM|OG?vwwkytI7pXN>CGt6{`U&zke*JE8J~rs1War+cugE-7I|Uw}2Jeu$HwQ(Z6WfLJ zt&WMlC%T^a`t}z5nR9!2RQ}HUz^T#vhrZ9{!hxjM{$d}S!^M8*1l-Pl`h{14D{HK(N~El+xvxnxJMVCAGC@L zkUcFtrFzm7o&`74xLoguF7DiB`mMOh9ZP3q9S?+3IumEbIt!rz!Q+nK#~SC$-8g4M zGjGBFG^YQN)UBlN&*hGZ5VhOZh7x!R=X<^Qq3IiR31=X67}Q+gzoG95`NKaVj=U9J z_{Q0izeimrad%>e^pvEg{t;{`{0`D{Q+zu4d;Q{%cPCs&9US{Zt{T*wgo@yk)A6eo zLBn#-)5XAiR;3;rafhxkyHfJERUJyKWVL2}`+0hX#G|32)2a^*xq*IVBknlAV=R73C=@?OWY-|?a1yZISEf&ETESC|kgyvRa6jR!{K`D{F& zjSm%W8XubT6uEKx;4AE1U?6ZIKUv+$e!uy7W5e&gI}|4oXKd$Q-RFY7rV?_tw|zR8 zxGhPH+0>;^nAD$HCO!O;$F$IT>Z15OwD~o9a1dWj#x;83MWklM&M`mfBpdN(HekPW zN1Di?1vgyPZ{Clr1TRXz%x7kHzqLkm8tzKPZbGL*FVm4b{79atiGq;hP*yDrHIpJqtjZVq|2<8+_k5^F{0 zg@5EU1gvp&;7kKL6gsqN4$$+@ACuS%b(fOoozAb7cliEnY(^B_g}uo0>t`UlpW*i; z^(^aD&$#!VC6-T)^qDjL^e>(iqF=n4Tk;f;RhFOr`f`W$cQ1iwQCqlXHtR+o`0mxB z^Rid`f7A!r=jV}k8r2eOABwtHY|o6%e+qp87_13UKf~Yn2}2EU09SO<&FD|$frh5H z_$~3bUotStG~q z>0SCO&QFa?o{47t>(%HMhQLHiWN(PN^Oj{B)TG#p*6FHGEN2`x??&@0f@6Y< zvJPnTpsbHvo-fAQp_8QPr^o8;(EkMJe}XXs{3wb}fc7Uq`{SYg@zDNw;}U&*?2?vz zXg@zTJDP8NP|uHjuq6*ZVBIFQKmqjR?Rl}GJY00Mp5~?GpCbSHPUY>P^g#8`5_~~!98!35XST?=7p*(9iSK2Tt1CV#T~FuJN^d7a@n-f%4`cBw*jJg{ zBSEeVIZ5d5)~%;1K;RtKCbE;$nf9@BrZj?hm?u9oz7l=00csI#vu2&@YFc*Xphh z6^q{2@%qp{=yN)1AM7FaqcUVnY9HS@{TmB^y`lrD^{6_OleqQ!x^?<&(yj~MXg``Y zmpfqZwa%hz`t>~5KTg@LYCnGBbiMU|O~5PMsa3!7a+m9WE9(M=D(=a-PvURCyTbg4 zT8G3)XLf1EpOqd#jC91a>m=sMv-g?*AkV7jzK=Y->1cp7)o= zRIbqx@_pEI5FD2ng5>-pKR~ZDv)I9(V&^7{B-dz5-iZO?2YKPOtr2yW5@)6#RL`;w z?lfE4AZr#l8e3WO+A_`6{L@8FyDR!9ST*#ALH4MehwZh;xy$PE@VM$oXy#M&qZOG| zAigm5zUU1@u~J|~E?`EQKk?i%GEZrodap7w=HX)ue<86LYF^bd z$OrdpXR)U`9!cSzZzz9~wW7b>A-D?;_!TbJnG>yl%`SBP27It3l`pw;O$xuJ2!09P zx%usfV#Srz@q%Z|&mwnHxn=+SPhM`B!Zpb)OW|4?&lEnz6+W@1U&qpN<@)W9fiJq- z_Vi?jTpKG-Vz3q9Xo-@y(l1u>8|;^V9Z>l;@cU51CFl_^rTF?jzk6fH8DiIyM)UN% zPI$@>MPA6bUd|D+4IV8rL*{XJ|N6ALPo|pQe&iZ@<6<)^dGQpo3OROT)3x|d?;Sn% z!b9XuY?iS_-Uz=RDT~}|%O93K*?X`1cj_-wapf_4T9w5e$JdY9(}lx(I`ro=&?UKl z$dw^IW6ultUmsC>l5rVB&7Inl``q=X9krJwsl9w|wCr2ZI@^=Q)xZ{CWN*h>iSt?T zMS%y-ShU7tKH`?P9>|DiKy$vRk%_>eMrt_t~u9&IQe z1h}>tJVSRHg=<$dO%ol{s=jRZ za(9g4sNcSJ`7PGC5qK9UR{EFpCwEq7lXv)?Kzjd{DX~}fzj<2@m z`y?(dd*QrK8Y6VB7(59Z2%SsrRmgh{+Q6?na;&^ftnXD>pIV#J4beLlUN7uO>u0>X z3t4|c$>caC&(Z71V;bj&uY62ogUZE8$#antJb&7w`H}Rqr`@i| zJO3Qq7P*og4M1Nnu(FpgTo zTTdg~^W5O+esb#BTb*WimQn|$6Pw;7wyW5%zVO;KZ_n!z{B?rW3Wuc+EAhKsO2_#z zG${Gh9{jou{+G|wdJiytk#kmZ6})oZFKza;`?@TlFs7EMuh4hIzUa zFH>g^%+=Y~{yN1!Du;aL=nBX@$#?qOI^#X$VN;VTdLsGQ>*Se2)meRM7SZi}$i`1SD>qmKiG|&;~ z-Fd$IgS;Op`cLu3)PCp(8ui6y;CJWjN5yTM^LEDOqrax(wx!#ZFG9{ZJnk>xLB)CC zV2AJ{@aY+)@5mjQ#g%^isDV%sKhwLEEMreU5xqcU&@le*OX;)qZy9C8hwscT6g!l$ z)}{Veb}aupLa*MPDS0a-56fDM9q{Io?9$I;$72h~el7o>?&8chFi#=pO}=27&Y0(q zqBj@3s=mA5#IKyvlh+RG$-MgvG*QSJ@!6!`?NaZ0IJU4QHTH--c;AChzj3NXuU)!U z#jal|-6QmQE=}is&ypB!Z$0+FQz@B+y*ol?v5p7SepPImejg*(f*uJxo*nyHYJE*Z z`{KD_I^d4xp)r7k8e?huNWRh2S?~gTctqejj5`Y-_x99*{xM15*XZGX?KaWRJ>FTn z!D8Q{_i)C&GEeLY=2pHqk(<<-bGBmpiJnQ`NS~@(m!1egZ21~wTQz&?tUnZ^|2lUo z295tZs&U_e{yTo9VVIMQ3dBz6+0#K-r*g3!#1%0hF-FRAq*@-A#G!{_Uq_4Ia}N>uL@_?cP@WZ*q3>e}G=S$IeLaR>!O+-Xi>dDf$4tALbU+yxUkjC%7qng}k*+e*l>S z9I9o^G|U(NPH^_W3v)HLjFmduP~kmSqhw6XPUBNkC6X5>X9pd-Npq40zPuRd;25kI( zyXIu-{iUykX42nv#ys#?_AO%;yc(MB_xIdfzb`aN{^wq7&Oz4mZfoKBKgqh?8uJ9W z+$VEdnfPd!m(Si|UbQC1dj-ChRQee-%(HI+n=_Wtg0GZ2Zh{7M2ApPg(TCu;^dTUY zDYSSCc|c1aWew8P!>@c$UVoG`(jUb#D)D7|VNkuUD}BeU_~O$( z`gC8Zly6>QTPhzC|3i;f@l6N4!OlO)oet#eo1J;|64$KcsXY9f>>Zszu3xu{Vj!U`y0sThi+$RMAb`mwpFk z3p(cpdR`Qna!**SqzXPsEi(Phxx@5KthkDPVkR^wb^yMy9qsf-UUIspu=x{i{`|9Y z9_-o28LD1Wu`#~>6n+iewQH@+6uRKf81N^>*ZBWTZ1OJp#g*uG#Pk^@3xZ9>n(F-_ zzg7RiNqx}fu^{LCI%lQs!!WkCOqFv@$LVa=$odU*9{>9tFU14Y{S}Oh->~BdI)dU2 zppw9<%}l> z@`2&rWD2kD#;&PU_gjPtH>tRT>M2ZYLwJE5RDEIS$&byKEP>Y0Q*uoF;Par*9eQcD zR^dob4Eeb_yIF8c;_kLD6FrIA_pe_<&3m?{X#e_#nX!^pT4E)=-7+7KgsU6T*PoES z#D(ec((k(yGS9>whsQoX4_vDPe)aSO7XE_1US2;)uHMH44`e;EF1aJbl9=B_vnV#Z zN;78oHMO>@-x#z+r&jAbO)v4xT#GwJ*h7)Eme|J^9{v#jUr8JwBX%);--Iui7Z5YJ zfY`z1y7OY69v=5}B(d^Edcj^0p&tysR`%8R8uo?YHoAf2kX4y;JYNaxtE>M{$pa-e zI9H7qB#&Eb{wI&`C7aTBp@ge{q$lE!N9oCijlC7we<^m9*y<;+yMQC_N&YSGe$Ifl z6b;ftH<7a;O1~q{P2S^K_GT2X(!x0(*AtnSM?*y)gO_LKq~Rd*x|1?{jUSDIXHN{+ z%4Y&!cNP5#6<=rof0?skPb0B??lU@13ujkHi7jC-uqq{StYf9)8FjnQ~@w{))#eZpa8{UlJ8t*3QbF+NtG@Mz? z+GpwZ6!Q6zk0W^la^^i_fy4u_@su1=F+r8H4DR)4kt-F9`=agRDVtNAMf?yh)A5TccrA`w%`f?qnzD9GLJvd53=PglWXa1}^_{ z3Vtgv^JpNks}KG(8ZPwB^mS|C5VF#}zM(Ii`Ozr)fh+m(_SdPom7dA!Tm%my|DW(! z171x3m}ppfp@1u)!Xw1f@l~hx$^N$De|y^-i~I7xDZwY!_tNk)3peAtdKJFZqx?k* z&mxzp@r$9emD$X(JQ@z-t59?75E?w9=ueAWE%?pe_s5hR1?O+hdrRPHsk>5MhbEFq z_VK#>FQ11RUg!O#uX%8;e?@p9d`Ib(=jb;19nbtvc%T}4gl=Q!5P$uWUg1>F=SJ7SdZud(q~Hb1{(7W>s4@&y{YHDJq}ob&Qu?)PH3j%Y@+os z&byR;-8)533_9jq`fs=5H@^&gfDh6e%j%=2wHX|+zmm+bzuihs9om%Y4fR62^8(XTe+jb%! zi8XHvAUl`9pOeI{yV1+q(dS#qmADNZ(S#RE-^4N>c;<6&PxeDE$L+qy$Y%jAUpq-X zCcm?Sxv^c|UAPkammV@Y4y5*;6sR*EDtI&;4OLxn^a9p(o^bd@%GQ`Q17gda`}M zo%+rhYxyo<&AZ>EUt6x}S7TsHN)Iz5B;%B6iQuad>QPwN0qUWy)Z8x~rmlQ9_w%%( zcb0Ky)8+7vZpJw(Jmas59@vkp1sGqAUpYN>Je`jo44eYN5j<<%azGH*o;2)6F3)EfX_Qc>6r*9XZ9pWy} zWB-uKSMnLNs|LesRuMC~2AZO0w)Es&y+F4Qy>V$GyI-?kcq2!0JjFNJQzSZRlf;h3!%;Oe-*qQeTsd>8n4pA<|7ee#K09j@y%o8;&7+V=6>{; zt5f$Jole2E{xM7W0GGZk&k~D#b?mvoGrA42Td-eZep65HW!PS#Urc8*<-;7J%faX<45YP8-P zzV=(Y3jZ!_kF*xP8*I}s6?lftM>V;NEVT8Fp*x}kXO+*KH71U*&*_hXqaAh7`JY3D z2f(#?;2q~T{eXslWdimg|H~Ox!wZ(SbH@;4u4hc{H0l=E$k~9`;G>*}>|KpJXWala zxte##C4r_S2aJ0q!mFRdKay1E4WH@at{vzBn#}6wyQ_$E5z((T)Ia4cR5+~_ag7Mdyex`Vxe-?QXz&d=Y6Y+M7r`Xx{C zfTA^MgY|r0@HCCP?CXGx6Dm5Y#t0RIBgMS$>+?gm;**k?{f?MCGgEqE?Z9?8qvmk) z+xkPZ6|L}G4mHA6^mbMA3%~tiXr?*`Xc^mF`J?3jDjDxLBi6Hy^}X_L?ys6 z`on)?u9w5oThzd}fE>2-&HSb~y+z~6q`KR48+CGD>(jz^pO(1qZ7uQ27tkHPmTymL z%P@-PBWvfM`~45Tmc#etn^yCE^|{|~RNwpYuzq_32SnYJhPx~Ps5M0gul5fL;C(Z-yk2mF5pu-(Guh;`Fca2uM2p(((+^; zI&hwRF3%YY0`x(J?_y7hK4MR7!zK$3gad8rd**+|MO8%Z037ciu$;g|&Blwcg8`5@i z4SI^`;Np9#;4U|@lew2jjYrSNp5BEoont2luF=D*=0!uZ)hP853FozA|R*8CfH?U!Pi|d{(}+Udh11)5^y#Hci@&3~eReu$kOS3dNt7Qg6%O?T=QVgpH>lp2gcs7Uv6MrY~782BmF(UJIF#E;~tt_BA3^gg_2v~NdMIp?XyPajfQhBjoLYv7Q~7x{V{SKLWQ5uxz`IF6uv%v zEoZWCC~wpnw^p;8rT;3v+%iA1CC>LVFf z9kKQr&TuU@lH73-gjRC$$Pq~8h!*}4+%M$)KMubywYpa{WevXvzah62Jj}4yJh>>b zc4O3@x7|*}&v3qLdH4IkIyJZC^IXyN73*I=J)GZ}6OX!A)@2&_`|U+l$gUjrRw-x1 zoSYGPQi2P>*DrX1u0+4pO27L9b8&3RbI5Gdk8k2eXdso}$r=B*@;ilJ=8KF}5`!5_}OOrvu8{C;Zf$mzTPonos7{DaOdbPMQIhp(N8 z&1})!VBpF`PIV+yOl}|e0w2vZ7)!z@J5D}wfTG?=TD0=l`i#|mCZuy(A@R>C+QB^3yD z6i~^f)^hP92{;`<$&Fj`|GjJPFA3n$dCqyBbN=T%IzDfM(vK>*2c9N${b=UVM&GE$6Feo}hcm@XSs$=HT;z@QB=xL2 zhGH=DQZhCww)1b${eVAx0dEfZI8F@akl(3me3OYKn}!NsQ~qk^brOF${af`ca~M9G zGS0ai$T@5siuVa$Onh;gxX2kVd1c)w<+6UA{RE*OKOXZ%85{Y177lyyofU2}zH^Rz zyRO13=Mtf%4T59x8$R&@@Jq&bz99FUNFi%i+a3iEg0%m6sY7tj^Ir%I;5Sc4?h>3} z?(k8C55x+a52R$-VdGKw4s%oNV|#4IYOlO4Qr^!5uPE;sf86`ZxC=fcR&L*4#99J1 z{_Urc0bZ=8?EU9tzNdRQ;Kyo$1Ks0KY>&INOpP<;zL(_niQ8Uz2|lYQroGOZPKm$A zW)7m8q|HYj`>}59w<@}UnJV#(6IFbp#2jX4{Td$nXP=K(2Sp|(_U>da7(M9e<)Vx1 z`jmLExvE^g7d|WUM);J}k$89FDW_ay8+Ba_FG~$-@NH;7))D@K{#BtjNj?AF=lRql zJpZPenIacF8C8PLfW9;Nm37h=`>xj?kougc#GP&-UXNJQk2ifyyP1bq?N0L3Tk8{- zhMrO7sJ-oawVQGi?`~o~oHimK&u=3#(UVJ8iY_C%^Z!V8Im9t0ZmU{(cHPT&B?g67 z3#=SsufdI{&UyYm{#)#w8LI>*$lsE7+Iu%$WD@)1q%(FG%ld@_hNpLnVNm|5xhn8Ec0%I!f1S z*zU!#`tlB$WuT84(ns{&H;GRzPPPF#rs|dYJ$ZQR@!s$|``cZciN7rroRsf-e=BiA zYK)ak-F0>u9RJL@Bh10qo4ua7i|01^xPE}~2S4sQcn)qZ|0m`WTi6rMgFEF4o+Nhu`V@29y4ur5m9x61 z9N=g-G$B8PHj_A>{vz>-#0yER=B@vc_*JxAx7>xx%P>gOZvHbmv~E&f9P3#ks-uL$oh%1-xawApDjP9J?rhhp+iN(y|GX* zgm(Nf+)r7t>|t^Ip~On!FH`$5G0#;rda>e%5^rSwkK!e@zS*qryHb3kUm7o|O`h2u zFWJ2x6Y-L=AJeZ!oI-CRUh>3Adr)eIikCc;%i0*?B}YH07v;p+`-5>OX0nIJ?rJsQ z?GF18s<_$bgvY0ERo;LjPhq6e`qriOTv;>cU@{}}JHmt91`H>ooa zm-ZLAmxMdi_m^-N#&b2|ix@Pv|@N_u*r>%G0We zgGB$B^EbY3B=Y&zBT64od?vk1uDSPFw_NX4C-Si)i7Tdz{}Se>yW3jLcl)sQ@nx+T z@;jM}>((&=ExKs%==>QUW@r5dyN&tvKA~aATGk1k9q-?^e9mZpmcs{BBL+Cq`#!M4=h*Ar-=fDWyxsL)cOBg8g`dAa_kD2o4?XvQH}6vi zeo$btLSVAIv^VUJ?q9c$dzB)edT?ad7Ejitzb&zjVk?4&%)1c-NqiyK8>9}keu=p` zdkV6Km_Qj1u{lJKWPF&9*@E7}n%nO0c0G#^L&eu*k9rc^yUaTem~)h5p2ESGBy+Fb zv1Rz14CaQ#|L82jo*9PkC;TndiGN;hUVb+SzFQF*+YSHvb9`f#C5v(8|-L%AJ3=6HNFr>*3m9&Ni8CF3m?9Y zPez>^s^)=*p$`(vBYrhc@4`Pjc3lH{S5(?6?T~gQ+o{?{yAl(_?5}rSIClgzRZyel zHnA>?IWIX|H#_4XHZ!*2&^_T~yA#{%Te{1SEq{^ni4Bl`71KWK1Nx)-LZ5o~Co!n~ zi=OY&D~MzG!i(3Oar|g(*Isbp-^C_AXYRS_tZ^aksi<=CU#a+`Vl~$lkoXK4M?1_J zQ$AdZdcgvo20Y>_jziu{3~oK0y*?&^PAJHTJfF?sz7!JUT!9 zRQ8w`MiVz9bGVd8zwqlO2DLJ0uKa-LNk{AdO~H{z?% z+xn8%6GsbPMeBnqesz6BlX#cj@Sr_CFaZyM^B{>C6j;&rZv05TM?7MGxi8Oq^@%c} z8$V_t`CNS?z8U|1@_i5X?5PS|?WQWPABuO;PhhTK&3OQ4^(j^QBs3y@qHkZ`Cujg2 z%7-oO@P2!3^Wpuf!21()-9S<0*2|H_z=KLXC-CkHlyX0)Rh|XTwF?Ug>VVZ_+{?O2^yi7y(Wv~(j z)ZK(F4Q@a3k!BgQ;X`~si?fIqe8hEgmpLG$Tlw3-S7=y^rR8Ejn=)s9U&R+@q)>bi z$L$RI0)Mxr*926b=O0piwinQzP@!h!ZKTgl#Cz}_XSxz^DD}qdjHXn#EP{=W51M|S z-N#JBt*4!S|E#n&Vwz~@qc!xWcRy?BbF!Z`@&DC+3a`qge~gWun0cs$_DdgItbzC- zYn#=WB*#hGY|Ubv+6Nd;W>n3YnN!2MTg&)l0^b7qSqg6}ftNhW*s6B7`r}j2_%y2V zal`d7t387;W_%fAw~lMRcgyQJqoRiO0?3xmOdVM1Ci^MbhZx_sOs<=Vi-_nBW8{`) z#@)t7p}Bvzn`#HDacF4@3hudA)pHi=Ra$O=UTD>BVyq(9$Qa0eSPBOtRp1ghn9<1I zO?|b*k+vh&>pL{_dfAU=$Weg@1WfD;`_X2`vw&C}`Zc?NGsc^BGmW?s?pcxM zxVx}2z`4KPccsmox$42$ZEi!(f)AZF@aF=q!P`T8-&Vu5#EVeofHXZfP1mgaTJCjr zSr66nZcWT>+pC$=Lb|gzO}C7OfE%t02whpx27{!=$qLsQ_5#K%yj^#uMRcolJNxXi zkHph8JFB2;=6t)XMa-efI6rV)bJuR>JK6!ABsvd$EaytW4)DJlfJlZjRCi| zQQ?D}3C-EQ0sG`8%1HLdyLL-9G0wb~><{0_yXGqTtNH`ZclXD;#!nX$ewHgfPT_H% z;#XHZZeOdrb~ZQ-tbwt>+^mH!gPUp$=Dr1eftQ>WZ%zZJe0)`BR+lx>J{iMg`@Cz} zhf8S19Gs?`ckxYZOpo=mGcxg#DupxoRJ2e zCaPFxuKIr{v#KzWPW zpwkZG7drybdcZAjAeZOhRw*`GOPj_$y~?L?vmp%5!D~bgLGNyKpl+2l!3!9dXGo7U z!Xs*8BH!Ux@a#h|*#pOlGy>a38N;|+vLWWK0Y-W7w8m!SNIq~L@;NUE!1?OvHILba zC;K|L*HXqfVvByMRizYa?%{E|+5ajzFK!Fxy5M)ZSvLm|4@7y+lx>>R+Wwe%=V{&6 z@X1LTkGE!+tH$x(pLDCSQmYD5#>`ckGvsfPTLZQz+iA@=S(8$ucp+;QyPL zeRq3?$QqfiM%IiH{W&>j?H+ysJ2fS27Y2i3?;MS3W1I;4Wkt5=_RZ}9CuKK2j*>3- zi4!q*WwGYoevR%P{-tL1e**m6y~SO!L1>`mXsmD){y3TUWNlx`8TXQ3Vy_6FC3aWp zC{7_xD1;9XTP&6HvM(Ad&yLAEvIg9_Nc@Y$iL2l8TRQaMIb^C3NgDGpyS9nM0dIW|+#4vhT3>Tb`>n9GspV9B1F# za+SII1hm*6Iorazj!QIaW|L;FD%PBa<+^)lBeEI&t>Hyz2>yh;GxLJF=`7dW7Vf3P zA4<~<=a)frm0TU&3cStKo%9Z5F?@a@W1D^mS)8d8QxLQ6stlO@`F;xTFXB3!2JhJE z>pBOKUC0{VHIcR6_Ytm-c;5#jac5n+o*RWOkM2U=cXXL`>AKavOE+gAdmnvAca0+f zH@MxfB1Z#mpvkbpYc#W-XOSA#4Xh!iDgb|_j_@?CDu4`r{0n4yo+iA)J^n*v+e4Z? zdn-PfbYhXV2JJ5*A<+Y@Dw6aec#vmZU3ODBx_WuQ{$epF86PbO!j`0`k&yWJ#wXu(4*A0f!cRko}2spsv~d zfg|f#N~OI1sthZSZ)mgdH~HR)M1-Fy*jh7b+oOAUuRpPDqRY$od$>l&l`_#0ty%D+ zO!!$n@Xh0Q^k~2e?ulFJT>*DljbWwL7*0JhC4CotYKA}7=prwy=po=KW5ixa z!58#3X6nlg@%1| zWvVH*`gN6I=G)`$n%B@Vey6#s-iEItC$4&hyh^ibUm#9xkq^W69vGHQ(%js3DUZ78 zLuQF)-w+9#nehJlTzq!CI~AU<`ZMG|u+cijzbH1CTMtfh-wto1|BcsL)a}k|*WC%n zbhjB8E1h*;M=m;nyeEB=e$c-|$^LSU?M~m+bHz`agcf8x;dlGH<=dCUrgzJ?EMoWk zm^~%igl7}0?#SK?N!b==@3vFEYzv&~>*OV6+Zyl%xpa`eTyhorVtm7sZS^#gI$KIV0seXUVtzD^-k`$Tw9-0Qn|!RTuTh zHYF!Sw)?Wp``t^n6(Be8J=`D?92J}W$1cgB@^9*>}_$y_ir3f+JY|86Gb8uY{O z&%EFAT;$r2!@243qzEx0(^7Qvqlj)jd{}eap@V2PcG-!5ySxql)}f2tEjpUDY!>_H zcj(wfy7M9TIu2>pL-4p6__EguZ#{@EM_yKnj`u{sO1Z*t(++bUBllANC_1QNFFcIB zk4(Zp;oOy?yUX@!RoE%c^0qGP;S;*`P={{TBl9ldSqrkhXbt6X{rFJ$t`mTs0&aQ& zo2)}K8^ng3jjZa>+~xbwozO!m%U#~Ci>^vp^aoqfSgH8G=$%%u44Jo%?~!@M#Y*0} z!8NR}E5;x55xD8unr<8X5FJ#$x6ve(p%+ z8?rVBbZ1Wx+V0Zj9C+(cy6$8?gG>a**sN}Irfy~;Ux|}4?|M`>_xuzdP@_9fJ;mI` zA`b_e^N6Vm_ciM?nJ>)bJScbzI*0Hnb4q_`M0ovf)}i*|Qz7B?m71C4Q$hNm_>{-% z@hhPBVMjAgPH8Bnc>N9a8792T$=u_YJq|ja2(4~qT#h|vEvl!!t*T9CMw_ft4p;?S z#c%qRYZg5FCeqF4CBD`y4$>ZQv<%)J&Z4dLX%2iE|1Ntb;|Iy8|3Yw7;k8y6m3{8u zd1pi)1YbY*czG@DE;JH1SDx<8%dJfKXQ>E5xt342bnKk8XUhXJ)NT1GwQL*g7 z2ru8Gc)5c2lyORyQ1?;ckmN)+v2}Z*=5}JQ7|l za?|7GiUyPS=-c6Bf2IGz>+!d;c8s{`-(AmIvJ%cV+ot7~Q3kfSjGehQqC3xobh8e; zYlDXBi9^o`F3yECF(#GV{0LuMFw39+0}d zwRK9C&FCt+f_CM2?J|a=H&krXs_2XI1)+18P8eDb>uSy1M*915iDoTC*Fry%ekl8X zYOCi%#TMkuL*nRxb2Ib?y@;%4?zE4|T0(rKVf)f{p7rB?J*hy}vv%5-hEsdL?^I<< z-!xKa(FwH0?ZN58i7eK8>ES`w!F;N4_|fm^;wB{%nT7w$sx`80S;W&GY@b6N$g% zv&L@*>kjvxQsrqiEu2rwelQA$TL1UK5Sj4*C=9`+7UscHi(U{M3}G8z&wS{!%x^+3 zYl8RyF1v^rmWzzP*{;?tWOFV;_Rt@2E&_X0kD93EmJ(AldU2|m2kpSuWllDG#FJs> zcsO_99?n4cNea(XsDm>up5;7*S2^SKgD|n+oD1{TAH7Hmb=YSHaz zu%8{?I!t7a@Ei7$#m3VOCsJ+5{8v#$4Z1n&A@Maib;y^3de#FpvzDWc`99sq-NyVd z`jN9HS7Ph2N#J#|=6pbku6RZsavghA_(t}K%OcrXoKZ3K2NC)w*K$5yi0e@Hh-ZVs zE6m$Zh#oQ6E^Ho~n~ME%@Aa(vh=({&D`-ZlgAzk&u+Gzr(5}_vq2oIIOw3KQt~K#g zW2eFS!^t*Do3pbH1leOiGr?uGuN?8#j>PWE{#}{qd)tRWr_K0XKHxsYdvN8L~r^XkBy`rQoRyRr5p{90%~l|6kZTlrJS$Q4UBW$Lw1}S}_JR5b@>})>X*a{vTUvu|SIvI*WAT^Pz{An8MW+RB*+Z+e zL|t2_)F<>W@GQ!KAEi}k7Ctj~E&lLX8O*Wn1a39*PAmJ8V1ww`=}o{iqF|L+UEi6z zmGM_L?h7&A+YjG^{~-fKN5KD*csjDzRDPBr`$W!|N>81!*KNKXxKAQg3jgdU{EmIg zkiorRSQrLA-7pM(DGW2tf?=>5hU;rjdoYZp+Nl!T#+sb5g^ZQ>W%ix0mvFB{?!`hL z9M=IyiIIrGcLk2?dc!gJ^>Cc=pMc|xBpie1!m+9b9k?u02UmgPj9T~b?Yi~ZjkHKN84vq0bSO+b0T~?zxQ}ES1wvTzcqpTPI zTvFI7J!-gR2Lo0K&ykx#Th`Cl1@Jd$x#+#tMgOL9Cxq_XTBSK3M)abQal>uf1W$ku zNIl$l%iaj!dr*6*xTV_+%lLy~l^6JB@=p0E+E;)szeAHb5;J_f%ltT^TOBo;#G+WK zm+Hy~RNm;#--Hhv4)Y*x*=zWDd50KiciAw?;Ck6yrMrmUoEy|N;!lHSxCJ`+AmGw& zw_}Iqe3YguUAuFqW)4eNn-6dcVx*d1*N}?-_O~bO9J)+ zuKPT#J9lDFEM4lA`^4Ys<}XfnS+BpSSr0sq{qdY8^SN%vM&0`Pk96_FSVbw=0EYOG z;eq#rE#vR81t{a&*Xm*exKDh@JW(pT(My`U>5rNf+6c@~pcBOdR?#K8d$oz5nQw>P z6E?EB7YgY{&fYC8G*FGE3l9z=^(MKY?QxpHcRmvkyD$#EZo7on&mNbIG@XE4Z_4mtjh|OWxJp z)am&2$(MejRb50`Wv9B#hE(0{vljgMOxc$TcS_$<^8i-b9}TlsaM=~yp{>l1L>{J1 zHM$j9gzpKwi!`dTh%F9Cc~)yPc-REbJZK1B3ob*`v}J2R%_~Sbf`dznRXOgGpXpT> z)0Smth^4C0oj=jm2(Vhjdz&+LEA=-X{$Z0^kxQ8mI6zyd_uIeGjmv@gX09(bt_5klqq|`^Sqd(TH zT*|!ax9HnEAHNrxx>tAK_!(^hMxXJ#d?)jPcTgX6pSs5|r&4~|JB-^T1rN8p2)vyH zU2N7xR-02J?EQVR%Vp07>%lzi=;@xHF?Ei@D=P|oOa58;P~DQLl!3fSxt%h2H|0jX ziZUF^FqfRfZoWaco*1sX4^GmZRlv9GF#L;r`BB51PP>=17;f=6(m~w~uElmfNW1UQ z%|8W!)8BjTNvE6+?k{TQoteJ zeXvZk7IFWvb!y(%Ek2G6q`V@_usEvOUAE6Kr(p{eeMDIc@dKcb6ko@ho3Nr`Xc`=O z8J{9_eAUa?@bHy|l=lmC2+Fk5-qMtRH{7JVSG`5sE?0KFYv2!DW<%H25$k){QNP%U zeV?Jbue;FXKj8nDZ~1`_^3Ddh2~~5O^Kgsaxg$0iD<43!tx|5{Wg@tZ^lp zRl+z#cizKI3Q4}A!qDPE1Q{!`-qbmA3t#(9Vd&wUCD*Ef^5w|&4M-K|4<3-rR1N{yhh)jZKkH`02hffauZVdZ+c={c&hl|6? z&PB)Ij5XFtR&y_oj?4PLF$ahb{vhaNV3&Oo&T`hYOWEj;)ge!JoTPbWX8`v$Pj~Dt zyE>%&A$jPBltVv4N++gVgLv$!N!Y-mqea>^d-Act^4wXth~$mY!TUZvw=5?jI`NPr;tN5B#hgeiV!vBI$gD-LS9+JG*%_5V z(ea%D!!`SvFuDYBZs3>|u83Jtbom^7F6?)sa9|930ZpsxG$S!+u`(uln>~3wdM*AW ztuSI{jMTvUkX5=3yvN3mWFkjvq-`fCGb%jN7#TU^U_aphj9HoJh~Ry)PB&D=oQ?($ ztBA?Edwb%J0Odu^j1lN6*qXx`cd0v48FTAufemZ$!Bgz)plRl)b{j8;@H-X|@3^hY z8a!AxqXRW751cKi!3Ids7WS<3+bMhHWUu$ynaHSN&mCw4AEM~}0(YkkdUzaqXoE(U zH4zIO4>@H*3p|5>u!q$AMfND6uf-wxojv+M(8^V~6SBZ>!FR?eWUi@UPZ`GZobeVs z06yr!dWbc|A+WxU;&>vr}Jg?)2OH-=AypO)fNga3_Q98`0E{fS*4bD8Yj8N^@U+0J4^ zXWbLZ&SCx0r~?6Vbh-o5U-8rGVpE&xI`bISI_u}vIJ5Ucm)PuPUcJJn?B`msG2RyY z89y<)@ZiBJzC`MT#^fwZ{07iv7T3eLmVJ9iJq!F}zFL-lTC%B_CS6)p#Xjn5U zws$$DB&kR2bnrTxH7|*N4dOcph)+ZO7|M5mePOTI88FZ7ICE@R)S_j=Qfju88Z#n8l(4F*lx;Y7CF_?PAtiRR>(+7v08M-EAa}=^5av z_B}m7KdJ?Gh8Z-V0gXKzj(6F=3I&C)T2IdaI4b#` zozaqUZX1D-p?vGXNYHF=$G$_pq}2;e89q$~&Y>xuVS9Kq74T^aeMQlftnV-sO-+de za%mrSJM_PC(+9Km< zNFQX}85flc?_xg$$_Ix=1B+3l9G!VB;>x9c{lQmoHap{UB_GU7PN3sF0nZ^0o_=R% zUMBwkQ1)~3d$EDc3L%?9*)PcNYJ6waL1Wb7V0Hz+N5zB4rJ%%|6yaln{=y=AcrTF4 zzFi6*1$P;r#P185oRFb8d#8GQJ}i8`F2tFY#7>OCKOG7>A^1G^iAjoEC9}ZK5Wh3v z^%L3it)eqgx2;ontD9CAH<2Iqox3AuX`0|!#2f%W#`a2nBYqF~5Plv#D6xJ;72!_i zjhXLyJLax#(@p9Up6bQt!rw!+anraK{$2rn(I;e&RZ;ASVib*dr>iKNKJ^>Hyu>EWb&g{jPDh_w2s}SjZHF(x+j$;t@c26YNetX1d_Cat z^)|}ZqDwba1^z=D;az-#-jefbz`d$T7rGO@QsPn3bFdqBVi!~A>s7+j1or~& zCg`V$dt$et2MZ3UF^A7{7Sir>@lWADI11kaZ`*aj$D)b|e8s~>r>>U{C;PrV)e2v! zCJrJ~;jf#!AK3~1!Q+LdleCuT-|{Xz{4D&#=kf>iHpM@L@7O^j$6B^5W?vdiEy{`O zMh<+2b8xK$HjsUsg#T`^p~{v1!dKvr&qDu<_px^lq4X>{Hp zvDb;{7L0pF@;&>RBj>k|vw)>6105(g8b!v#-`Rg%%1hi-bILT*xMqIomISdv;%9;m zZmJ16(KOairKxqG=Az^HUZ4r)Z=5K2TD~K06@_#IToe68^pNbA^Pz`Bp5BvI6PLEx zleeUp5upe4o`EAZE1C{mqv{*?Xu8B0qw`R=P2Ko=owYfXJwPwo5IrOBIsxb)QV?@j zH4;zsHh4kZCEMa=^ajn|j$Ik$n^nW%;hT}6)jECR{hGM-!`b*$^Vw58sz(~q^n|shX{Yp$f)CnD6R~_?Y0x}T% zVh^D>=fx2h1>g0?8anJX)-uNMW$ZU^%*FR7<1J%tjHu>5*D~gzZRd>njGa}>ks~4a zbUCp^9l#iwSA@(n##HN0R5whc+ORXC{jJ%|BhB7HIt1SerrLv|=Z!u5=D!hV3XN_c zAs3$xsdmynk?+XGYFQV#)&*xhS-4M?A+pfj)Tqlns~Ov|6xxRNJv$OzYZlj`mqiCv za#CcWlewKS5k6lnxa(9QTa-P++?w1|a`M=ar|iM!x6>qE3wm53wv5$SfjuH^rL1ll zo^0#7Gsr304lO6;NW_4@GjEZcBS80%c?+eVVy`5gVhrI4xy9f%GAB82A$uYKpC`Ke z9!~aM`pLL%YEtv+E`3+JKpFQpQSK(5&8qYIUqb)kbwaNWXP};~mqHitbv1vY^wQ&< zRlbg3FJ&#$$R57s@3~QUK95@0Y2S7Cs3PGWHuEiQE^Ny*@0l`XaMszD9V7nmgee%XU4P9YJQ7 zF{e@)>54yUV*Pfd zHz=7sayv2`{r{;knp(OR5AerX^zFsy7Q$m+j#*cqJ>CxEE%@a&h0%2n5Lb_$ zLM*u&_fg0`#$0gN*Dv?vMue~T=#s#5x`EHRCH|8~F_Z51J3`vv&_$|!*^qDXnM?Yp~dfQ#($L`b3))k z8~my~5O=P^o;`#uP|^{1t2ToRp|~yjU-^)@367&jfyZsaf9cP&;4!#7>K?Ii&`YJR z86V|BtJ0rDG{O1+@c4$B!KOjXo2CVm&wAu7G>~kslD9)x_m8}#PHbu|DR1qG1(%x0 zJNH)P9kPvUWNmxMWREO+Pdal}+!I;5`U)j$MJFWg4_S+^;9OZdtx?HZH@!XX$=YKn zW?8x?Yq8x$U+eZSeENI(bhfPZ^vMm3ap;T#Ep^M;PyZPj*oCf0-Ql*l@-b|GN6F`2 zJ_a3_@J)N%`Y0dzoyD3VPu3b6wsjdVB0pDVvkoqwm^b3fg3F277>;k@1WMI}LJVD7?16k{h_r{n7@3kx$&u!3|Ki<(0ylJ4|GO}A^c-KYeWZjt>_vipM?h4;#%~CvDXw@y<&iJFtjbi*|>=`?6{MUVWo}P7VNP8}_ z*$f};a?<*1F7rl3)QQcb@ECh^kYx|l-MR2$)=RlZ;LGp^_s9{E&wb(B=i@fGW@i}d z%^>9>n`Dmz`%=*{56~uP47_CA@I1q}jd0wZPyoI!#2bhPv^{3|9fwk&wX zW?&o+qhnDTC1cNIMmXJoqlJX*4umooB!B{ZaHiMG=R+P>A( zov%fI{s?_<#^(+yp#4l*5M3I%d4TrlB13pzheuX_bqvB&U9!JZk?@|gcm!}XgQ7#E zFSQ4q4L_l8zTwRF|Czd$pLWxLeG0I@vR=0)jK{Bidrb6XdqKVKynTu077d8IM~~{( zzdp#^597kR137!yd;_?p*T$@S?lS_#=4nN2y|WQjhrf4aM8>|FaJ(vP)tW3NLbx z5TkhHF6JPP=!s=%oLjZ813ENR3`5^7Y0Rq&?70{B?-gFmJ$$l5+Ku75akOtCaa1z* zKBUbFZRv7DRpLv+2LbOX+2q^K_>1rh#(OZwyt&6knc_^!;j4iKzgl z_-mTrZ4srHi2g2cb7Y$#!L7!`o^A8Y@xDFhqj9k*uf4%H!+Oo!^}}<=;E`pOjq$>Yg#FC zcourc4v`lpolNgtH-dh#KH~A|x+d`%vfrMj3*SoG+Vl3$*duEvibhr&x##$mI8#jc zDZGK?(>m{SCSVD0xSsYR-$np$S;s!~0CUry3y;>AN9lFd}RY`npT^L_P8}GM=WeuQNpC@!f zoNK#IJW7!A*qe^^7opaeDg92<1JUmp9YL45W`y9G-W~TsEK*{%#E@01cwS@J z;(+-4oXiv0rd7a6*RwNUm2wm~@7|N$gbsjPi}X?TRr;Kx z`|@;#I*ZKAdk|f$koFKmmvxzLKHQ#e)<4KP!3tt1o+Jil6M9#BI&1O3J^C=El{Jne z@ndIGXL0D@d8=<+Nb`?s<8H` z*(SCJJPaR|#M`L39!*OpjyT;OOq@D7I%S&ViPXcLx$Ji&y08;^1sx0fWM?hc@P<6> zu2SR)^P|@IElRI+!7t&jb}6=9Xk^^(pAsPUAAbz%&sT>SCz)50wJK7V@C?ykV-fkC zNUiUreL=C4P3n?yvu}Bw@e{n*6til<={2-h=6YteQr33L+V{B=x`cHqjp^)*9k%m#QtR^(!xg^cu5ty zu@8?d$?fvS!O{nTomqyBMhvSxjWHMqF9zm`VECjOho@BiF{wZCahMorEhzTA)lvo? zM5G?m2^~`~Po(|}vgSVp+nCfrpHFsw+vi`tNRAKpPa#LcmcV@=a4(DyyA0e10{2K; zO!f>CIj3OXw;sNZji>I-II7?u?FIj668;-~_+y_mRQ7^Dx@@E_CT)>3p3Ftf>A)ap z529XTq7(gqLj)cL3>n`(iD>wcTUrw{S4~rGNn97|NEG64E@S-J|DQPEL-I~foA%XP z#k`+61-TaqT0yVurXBSA zK%!spw6w|ly{?V1Wp85Uw-_U-lHJ7cfH%VXnEM+R zS7-lOjg=xJRP4CasbDMehrOT7+aF{;?MdX#w}{J$>rVew@Huz`{Ehfk_{WKK*+0(N zvr=s`W}KmvpFgsChqQZNsmG2dA4;R%+%QtW#Rbxnv5* zn^R?tlESN*2Sq2q*UMPS+3!v8;m8S(e}wjH*0vjUvouq)kJLu13iLu~=qlx7C>4Gz zYm}2XG~meT~K$X z23b$jpEWw9=*oaqTq?XpVnqVZ6!f){63s1sO|65GmLF960t zU2x0Ht0d-R4}1?8t(?i4u7ku;Ux)uD5_MP`YcA-o)_Iwcwun7#f7DsT`V(Sj(ci!q zcup~VE_faChHpMrYY;mWJ}zjX?dZdtJ7`WB$Nq?n2e>3-11+VhGaOh$V#=PD((gb! z{-d$X%eCV-B0gbYdx!VFqP>X3odT0Ha`vadTi$_B$4t(TNZj1cTzpfCtOv7L>mvQK z4wlizp0=9cvR&Nlw{;5hx#P6Nhmn(B9l)6N0lC4LY9BfabGD1nZ@~BdE5UX6EBGbr zxy%8rB4=4A@q*BV+TRMUXv1exO^{ly{L>p`HgI3}b*d}v8 z&=F>n4K2OCI7_YasE=xfo< zF>HsV{R2**1D4ik?ts^TH}m>Ao6v{07?cmDK<@p|gEQiYk-#4eeo0UiKc5HC)+Qos_NY zo3^Sljd&UM>;k?{-Ss@L2e!ljp)*= z6_=XWP40MfD)3bHXG2H0l=YjZ?K=ft;3ae`db=4B*|6@E=&iZwQQqBcSo6Bj#hPNk zlQmD%pl$B+o{10G7#;z}^fNL^v*x~xpSH8pY;Pmha||{$_z3TicdbZ$oHI0(jjP_r zZ=5}bIpt~Xox%g;xwOH)YkyGI)4_{Ef{)T((Y^7*5Ko+lxoZlrMH^@rHuGF?13T7b ze+}h_-rAsi(4yBnl<9`i9rKP#pX1pZo3IIE@X(Reb%?R`+MVEhF6=wq&E2BpCAf0b z7!EF8f&Q{42cKS}=B}HET9^<~td&T0rfpZ_W-^X4hPl$dCU9NGu(=uDQi|;>YprJ-l<&|>fhlX+R+j-2Pd6}G zFnmcbn z*2DP=vgX`B|GxbD^XD$epP8y9-}%}%=FhY5oAJQ>dlt>jnmI53fw?0WWIgcU{Q2{K zx*+S0teJBt?}0h<=1K*Z;kMFlyk(*`XYMTRmiR5&+>D9g>Me@(%4?ta_^N(d!F^-*>5lNey`2a z3U~O|Z;~(N-LFf@I=(C){SB|&LqoK}_ozpHC)2s_Z|T*>DZQ@cz5cXI@}<4*mtWq~ zhjYtH{_b7>>2LPbE%(>bKe^Z9!=lBn;|21kDLcyZYeSz1=lrN(3J`r$Kq<3I6N*fn8c{!=&IR5)S4&42jS znye*(+wR^v{J|^ly=~{OuH2dP{mO&?_}y*)__sfQ=BYdGyKU#>pMRe7-HY=x`#iX~ z^udLHxZ(S=p6-}F`OPVJ=D)Z7$+U`>*I$x&e&XPgs?R#teq+VI{`nVsPyG7Szd!n$ zA6$D?AXk5N{C(EE?faG}j>)Hn|G4HSKYsf=wH3R%ZXEK^?>~wqTby<5 z*RRk2=Ei^8_Uk#__tdlC+kg1RvT-4U7 zp3PpIxP0%rogeN9{<)$db>`#$_Tgt=?CCfB#)FrSA9w2yU*7rS;S*Zdwte*D>3T)k zf8Ub#@rK7Mo_qbuZ#C};ev!EHqbq+h=)>$kU3uij6?a_oz2~AoJk>e%ubw=4%_Tpx zhhO`xEx-NF{h#jr(?9NRb07Kb`h#!Id}QM8KTrK$)~I1WawpZV&7QE~@HHDoe)ibL zQ4{{*qAzY*yx~6v?k#v@*%ZWLM0dcYppBKf3RpIS=GtF*ASR6+fNxfW7eYg1HY~zF^+G`|R2G%$a-T z6+e1#&V4hl7&FFyIyZmOeRFl{q`Mn(~FDxDt*ZAAr&sKSH{Th|$zyH4ESu@rL{*L_6!W(iW ze{SWa_mjsOpC0=h`HI0?->f4aH=^~&eXi9C_vb$RPW)PJeM3p!xP{khJ8mhszxt(X zweLQ8_rd80u8l2y{h`19-GJ*RAN{oM;H7_m-BY#S5AQlwcwO5~2WkSBzkc0ozy9OH z*FN;wb#Fe=u;!mXeEK_9<;L3Vwi~bcZe`1LXVN!Z`Rg@HcSYa$hvC=G`|Zq}@Q&1E zeVKDZufM+8nb>+_!eQgqtG|EcUynU;!=lIU_|Dr8Joe{X2RyicBRpoEm-h6!mV2+P z?|JqL&u)0p`#r2uD-8PAEYB%yc;@R;aJ@AmZJ+_I9td)NQUt37qg{W~d3?zQ-^D5UT5-B|KLl)aKX`Aq8n3-3Mh z&pmaP``2>+9QK@b4tpM}?WXPTdZ}es&$ZmU`n{gtJ9swCe|I4HIRE-h?q4nMeoac& z@l|<{vdVka`Bm@Av&rxGv`>C--Rb>a+N&Rr)Svy%SCuLCFFmLJhx~hw`1fb}WiO!r zQ>cG5zmw0T{sp|ZpjVv@{})b+-7|a{uebR_lHtNB+8h zbzhYCJ^L@%{pa70t3TX@=lyvv{GIv>e950Y&jKI*Pyg-D)C+eH)C-UK`I}rPf6FsT za!;PKJh3}vKG@pE!pIW}97bbtpwcMBA zS-q0nKX|K`qLcN)Pq>zJAJ@s>axM4dcLRAc$$d=^1PuO?BN;m9FZ?xsk^jZN=>Orr zzCOYkKf6uSAJO%1TpZBk-at~$hOVx0LGJ~*H=14WsytD@zYpxNmu(RMlR_FKTRf=G~G{k zlS`WIr}^ZP9`aKmxukMGtss}Q)=%rnC2jQ6E98*;Ae$pPH|D>p& z`jSf;=%*}lNjZKROD^eZKgGx;-QcIm27jKv;8!mT+%~+DkPUw?xz*xlGgfZ zJ-MWfetLym(q=zxA(ynnPrJz_HTY>ixuipWIz}$3!%s2~`c;4bw?Dr-tQX$gEc%hA zJ$;W}*ynD&@VyydpSsTa6YVPg!)tH6@hd>}b + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * gcc -o cldemo -std=gnu99 -Wall -I/usr/include/nvidia-current cldemo.c + * -lOpenCL + * + */ + +#include + +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#define NUM_DATA 66 + +#define CL_CHECK(_expr) \ + do { \ + cl_int _err = _expr; \ + if (_err == CL_SUCCESS) \ + break; \ + fprintf(stderr, "OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + abort(); \ + } while (0) + +#define CL_CHECK_ERR(_expr) \ + ({ \ + cl_int _err = CL_INVALID_VALUE; \ + decltype(_expr) _ret = _expr; \ + if (_err != CL_SUCCESS) { \ + fprintf(stderr, "OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + abort(); \ + } \ + _ret; \ + }) + +void pfn_notify(const char *errinfo, const void *private_info, size_t cb, + void *user_data) { + fprintf(stderr, "OpenCL Error (via pfn_notify): %s\n", errinfo); +} + +static int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + +uint8_t *kernel_bin = NULL; + +// inlcude pocl float to half conversions +typedef union { + int32_t i; + float f; +} FloatConvUnion; +cl_half poclu_float_to_cl_half(float value) { + FloatConvUnion u; + u.f = value; + cl_half half = (u.i >> 16) & 0x8000; // sign + cl_half fraction = + (u.i >> 12) & 0x007ff; // fraction with extra bit for rounding + cl_half exponent = (u.i >> 23) & 0xff; // exponent + + if (exponent < 0x0067) // Return signed zero if zero or value is too small for + // denormal half + return half; + + if (exponent > 0x008e) { // value was NaN or Inf + half |= 0x7c00u; // Make into inf + half |= exponent == 255 && + (u.i & 0x007fffffu); // If value was NaN make this into NaN + return half; + } + + if (exponent < 0x0071) { // Denormal + fraction |= 0x0800u; + + // rounding + half |= (fraction >> (0x0072 - exponent)) + + ((fraction >> (0x0071 - exponent)) & 1); + return half; + } + + half |= ((exponent - 0x0070) << 10) | (fraction >> 1); + half += fraction & 1; // rounding + return half; +} +#ifndef INFINITY +#define INFINITY 1.0 / 0.0 +#endif + +#ifndef NAN +#define NAN 0.0 / 0.0 +#endif + +float poclu_cl_half_to_float(cl_half value) { + if (value == 0xFC00) { + return -INFINITY; + } + if (value == 0x7C00) { + return INFINITY; + } + + int sgn = ((value & 0x8000) >> 15); + int exp = (value & 0x7C00) >> 10; + int mant = value & 0x03FF; + + if (exp == 0x1F && mant != 0) { + return NAN; + } + + float v = (exp == 0) ? mant : mant | 0x0400; // 1.x if not denormal + v /= 0x400; + float mul = exp2((float)exp - 15); + v *= mul; + if (sgn) { + v *= -1; + } + return v; +} + +/// +// Cleanup any created OpenCL resources +// +void Cleanup(cl_context context, cl_command_queue commandQueue, + cl_program program, cl_kernel kernel, cl_mem memObjects[3]) { + for (int i = 0; i < 3; i++) { + if (memObjects[i] != 0) + clReleaseMemObject(memObjects[i]); + } + if (commandQueue != 0) + clReleaseCommandQueue(commandQueue); + + if (kernel != 0) + clReleaseKernel(kernel); + + if (program != 0) + clReleaseProgram(program); + + if (context != 0) + clReleaseContext(context); + + if (kernel_bin) free(kernel_bin); +} + +int main(int argc, char **argv) { + printf("enter demo main\n"); + + cl_platform_id platform_id; + cl_device_id device_id; + size_t kernel_size; + cl_int binary_status = 0; + int i; + + // read kernel binary from file + if (0 != read_kernel_file("kernel.pocl", &kernel_bin, &kernel_size)) + return -1; + + // Getting platform and device information + CL_CHECK(clGetPlatformIDs(1, &platform_id, NULL)); + CL_CHECK(clGetDeviceIDs(platform_id, CL_DEVICE_TYPE_DEFAULT, 1, &device_id, NULL)); + + cl_context context; + context = CL_CHECK_ERR(clCreateContext(NULL, 1, &device_id, &pfn_notify, NULL, &_err)); + + cl_command_queue queue; + queue = CL_CHECK_ERR(clCreateCommandQueue(context, device_id, CL_QUEUE_PROFILING_ENABLE, &_err)); + + cl_kernel kernel = 0; + cl_mem memObjects[2] = {0, 0}; + + // Create OpenCL program - first attempt to load cached binary. + // If that is not available, then create the program from source + // and store the binary for future use. + std::cout << "Attempting to create program from binary..." << std::endl; + cl_program program = CL_CHECK_ERR(clCreateProgramWithBinary( + context, 1, &device_id, &kernel_size, &kernel_bin, &binary_status, &_err)); + if (program == NULL) { + std::cerr << "Failed to write program binary" << std::endl; + Cleanup(context, queue, program, kernel, memObjects); + return 1; + } else { + std::cout << "Read program from binary." << std::endl; + } + + // Build program + CL_CHECK(clBuildProgram(program, 1, &device_id, NULL, NULL, NULL)); + + printf("attempting to create input buffer\n"); + fflush(stdout); + cl_mem input_buffer; + input_buffer = CL_CHECK_ERR( + clCreateBuffer(context, CL_MEM_READ_ONLY, + sizeof(float) * NUM_DATA * NUM_DATA, NULL, &_err)); + + printf("attempting to create output buffer\n"); + fflush(stdout); + cl_mem output_buffer; + output_buffer = CL_CHECK_ERR( + clCreateBuffer(context, CL_MEM_WRITE_ONLY, + sizeof(float) * NUM_DATA * NUM_DATA, NULL, &_err)); + + memObjects[0] = input_buffer; + memObjects[1] = output_buffer; + + long long ldc = NUM_DATA; + + float m0 = 1.0; + float m1 = 1.0; + float m2 = 1.0; + float m3 = 1.0; + float m4 = 1.0; + float m5 = 1.0; + float m6 = 1.0; + float m7 = 1.0; + float m8 = 1.0; + + printf("attempting to create kernel\n"); + fflush(stdout); + kernel = CL_CHECK_ERR(clCreateKernel(program, "sfilter", &_err)); + printf("setting up kernel args cl_mem:%lx \n", input_buffer); + fflush(stdout); + CL_CHECK(clSetKernelArg(kernel, 0, sizeof(input_buffer), &input_buffer)); + CL_CHECK(clSetKernelArg(kernel, 1, sizeof(output_buffer), &output_buffer)); + CL_CHECK(clSetKernelArg(kernel, 2, sizeof(ldc), (&ldc))); + CL_CHECK(clSetKernelArg(kernel, 3, sizeof(m0), (&m0))); + CL_CHECK(clSetKernelArg(kernel, 4, sizeof(m1), (&m1))); + CL_CHECK(clSetKernelArg(kernel, 5, sizeof(m2), (&m2))); + CL_CHECK(clSetKernelArg(kernel, 6, sizeof(m3), (&m3))); + CL_CHECK(clSetKernelArg(kernel, 7, sizeof(m4), (&m4))); + CL_CHECK(clSetKernelArg(kernel, 8, sizeof(m5), (&m5))); + CL_CHECK(clSetKernelArg(kernel, 9, sizeof(m6), (&m6))); + CL_CHECK(clSetKernelArg(kernel, 10, sizeof(m7), (&m7))); + CL_CHECK(clSetKernelArg(kernel, 11, sizeof(m8), (&m8))); + + printf("attempting to enqueue write buffer\n"); + fflush(stdout); + for (int i = 0; i < NUM_DATA * NUM_DATA; i++) { + float in = ((float)rand() / (float)(RAND_MAX)) * 100.0; + CL_CHECK(clEnqueueWriteBuffer(queue, input_buffer, CL_TRUE, + i * sizeof(float), 4, &in, 0, NULL, NULL)); + } + + cl_event kernel_completion; + size_t global_offset[2] = {1, 1}; + size_t global_work_size[2] = {NUM_DATA - 2, NUM_DATA - 2}; // avoid the edges + const size_t local_work_size[2] = {64, 1}; + printf("attempting to enqueue kernel\n"); + fflush(stdout); + CL_CHECK(clEnqueueNDRangeKernel(queue, kernel, 2, global_offset, + global_work_size, local_work_size, 0, NULL, + &kernel_completion)); + printf("Enqueue'd kerenel\n"); + fflush(stdout); + cl_ulong time_start, time_end; + CL_CHECK(clWaitForEvents(1, &kernel_completion)); + CL_CHECK(clGetEventProfilingInfo(kernel_completion, + CL_PROFILING_COMMAND_START, + sizeof(time_start), &time_start, NULL)); + CL_CHECK(clGetEventProfilingInfo(kernel_completion, CL_PROFILING_COMMAND_END, + sizeof(time_end), &time_end, NULL)); + double elapsed = time_end - time_start; + printf("time(ns):%lg\n", elapsed); + CL_CHECK(clReleaseEvent(kernel_completion)); + + printf("Result:"); + for (int i = 0; i < NUM_DATA * NUM_DATA; i++) { + float data; + CL_CHECK(clEnqueueReadBuffer(queue, output_buffer, CL_TRUE, + i * sizeof(float), 4, &data, 0, NULL, NULL)); + // printf(" %f", data); + } + printf("\n"); + + CL_CHECK(clReleaseMemObject(memObjects[0])); + CL_CHECK(clReleaseMemObject(memObjects[1])); + + CL_CHECK(clReleaseKernel(kernel)); + CL_CHECK(clReleaseProgram(program)); + CL_CHECK(clReleaseContext(context)); + + return 0; +} diff --git a/benchmarks/new_opencl/sgemm/Makefile b/benchmarks/new_opencl/sgemm/Makefile new file mode 100644 index 000000000..95f0f2900 --- /dev/null +++ b/benchmarks/new_opencl/sgemm/Makefile @@ -0,0 +1,44 @@ +RISCV_TOOL_PATH ?= $(wildcard ~/dev/riscv-gnu-toolchain/drops) +POCLCC_PATH ?= $(wildcard ~/dev/pocl/drops_vortex_cc) +POCLRT_PATH ?= $(wildcard ..) +DRIVER_PATH ?= $(wildcard ../../../driver/sw) + +CXXFLAGS += -std=c++11 -O0 -g -fpermissive -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I$(POCLRT_PATH)/include + +LDFLAGS += -L$(POCLRT_PATH)/lib -L$(DRIVER_PATH)/simx -lOpenCL -lvortex + +PROJECT = sgemm + +SRCS = main.cc + +all: $(PROJECT) + +kernel.pocl: kernel.cl + POCL_DEBUG=all POCL_DEBUG_LLVM_PASSES=1 LD_LIBRARY_PATH=$(RISCV_TOOL_PATH)/lib:$(POCLCC_PATH)/lib:$(DRIVER_PATH)/simx $(POCLCC_PATH)/bin/poclcc -o kernel.pocl kernel.cl + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -o $@ + +run-fpga: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-ase: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-simx: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-rtlsim: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/sgemm/README b/benchmarks/new_opencl/sgemm/README new file mode 100644 index 000000000..e69de29bb diff --git a/benchmarks/new_opencl/sgemm/kernel.cl b/benchmarks/new_opencl/sgemm/kernel.cl new file mode 100644 index 000000000..17ece1d16 --- /dev/null +++ b/benchmarks/new_opencl/sgemm/kernel.cl @@ -0,0 +1,9 @@ +__kernel void sgemm(__global float *A, __global float *B, __global float *C, int ldc) +{ + long i = get_global_id(0); + long m = get_global_id(1); + long n = get_global_id(2); + float a = A[m+n*ldc]; + float b = B[m*ldc+i]; + C[i+n*ldc] = C[i+n*ldc] + a * b; +} diff --git a/benchmarks/new_opencl/sgemm/kernel.pocl b/benchmarks/new_opencl/sgemm/kernel.pocl new file mode 100644 index 0000000000000000000000000000000000000000..78c58af3f68e413e20e9bd308621a8c192f45399 GIT binary patch literal 185630 zcmeFaeRx&Xo#?;!*=O&4@?+uz*Vb1X0FID)+j+WHjfw$j?U z7oBOL)0z1-apwgPCAtyRdK(ZV>YZ_P&eU{nJ2RvLv5r$h3kklAKsO{>9TQrTNWh%m z=ey21Apz{|eP*8f*DuerpOdrq+G~B+_x<}_-?h%YYrH@H>Nozo_ROAt{Ljz49RH%N zX`0Rzlfa%^b3n`y78vuwM(vD%*~~LbmNl63zsFYyMFOf zt$@a#y7y1ktp1Z#|84$Py<^|dwQZ)R1r4U=G?#T&#IF^=L+uskuB08YgRxHJA<> zCTZbhYa|%BMoTpu*4*+RYSO1cpT2dCW-O`F{3Vk$<2p+Vwl?a{6k89rHEF3{TaWkl zYN@-sHR<26=>O;RZ(H<#lJAolBisL0`v11{zi+gE8Kb6B)7+uIxrwp{MUmo(=vZ8w7R!?b-sK55IRyNR}&X!9U#x!i~L z(@&$e^C8;YYU%D~`E1m8@@YJsoZbsP+f40GjE2u>#{Npp-#EKXH z_4H`*p8Z<0o!l%J^E;q_|+Pfy-xm*jF1aDRk zpWwpgQ}AZ**4!9vW3>$VxkZiiQ?KnTqRp*Q z-7S{SdVMFKIlMV$R5O5o>>PjJc{%nn=GODSom;S6=GLVJ%Vlm|qjMA3g5^=&Sy8XM zu}0>}9P)UMyzk8G(Y$MSMI3Xn?pRUHZ$yT)!#tY=ea_3!@0y4Iblvn|`9Lym9@gTE zxEh|;++91SI}K)r=aJ_%T4G9Wz8}x~_x}I9FFJ25?U@M^ts#<{i{9`+3)4q?+Y|_|4%DRBJ%uCE4A$Bo!QT2 z>QmVU`pD?+LGAxkcRPAA?UrArxwBr>{6!{q!o-&B(S^6x^p~ama$WN)lgNC#f@j-T zu@(1UyUpGHx9WS2UzqExzW$ZJh0kWfhckO?>8F3?Y3DPOMsc;Kf90uMd(ji_tcTIZ zGj;G&?ml<0+}-u!EOb{bdgz|M{<04;eU^PNzR$8g>C>14TouW2@=E{jUD&_zbo_C)@;`K?eUHfC#bdRc zV5|{$KDe95T;?*D+03QtDm}1F&8TdkuX4@1=cJb5iIeC0w8URRd)s&#ef0c}a`&l& zv)pH1EO)oJ&oXvnzy7#KtC9Jei~dw#0`7m!!vC+paV!h}(f>pE-w^ow`deQ2=d=sn zbmpR?=X7{jXAT)Y%Gx~f5dyQ}sTwo=J;z#P$S3cf5?s)JRQL1VFmsPG_b79ZGIx`? zn{GwYbZ6acy5*}(cm90_&kdd%?(7|gTlJ#hM0Lx#uRZNn?1%(W`kdRO8*Yc@yB)ee z%hpp(!;!f0TkvN`jOr!2JL|qks>v|o>wg1|cW5blk`XtD!1WF--n2Usw?oaDQmw@c z@hSdC6|^`APw7Uy(W2eU*uBb(uB+Oi1^8I*{D1+@O7P@D*R!@_yqIH$LFZj zBXRTF@=TZacpgb@cv^Gv3N&XzA$B5I|M6Zut(wo&TaPexWhjWIl_KqXx~NwGSM`z~K(fcm_K&8+*7b zqWQZTbYtf}&EL6C_n$KLc;jAZ^fLG`BmUEiba(E3TDk<Rmc5rL7!BHKISvfY=`CueP*8mhNKoZ2f%f^mdb-Z zb9K!r)S%-xq0MJ?*ukZSI(IPNSd|PBiF0t3;&EJMj>45){3-E9mek=B&y!kJUr}gRJ-|*^f zWedbF?CUT7K-x>&w$*xV&0Tt}6J4kUO-t~Tn-a*C>LWN*o$zX-k)Wv~9j|YKj@Xs@ z(`hHVH!XH0d@MQwk7Vo?_KQB1FP-Zf+0~`*ns4Z}fsGBy%hTd{XA%ORjN#lqC*f&% z5hqH&ich5fQea=GU=61}J~ICO>(%?e&wFFxf~U{JX7ppr@D2Xomm|TtAQNETBU7^XtZC>z5SYNx8V!Z zo@=McC)ds>pIkc)KDl;A_~hED^U1YS*YqD947OH} zjuYH3_S_l{Y9r9fPRH$`MBEzG!tcC1NZa3n_jAZe#H~6Qac3WoxN}cM+<7|s@iN1~ z&-St7#6%IkGp~c<8;R}}yBQT2jGgV%$MrGm#f$p!D}DM%5@VV0o`DU@^`+%(u(d}2F+ppyQmFV;$?vQC?yR>gc_&!gg#T#G_p1tZuil#P ztZT&=+OBz8_kzG~FR59f*SfP#6$RuX0=p@yzRx>_-p}LvlId>6DPsKs@C1i3uqmd-4Vt1O-d$&+Ms*!HtVSpFYJRH?pE6h8!?*m%Ux=)3 zo5Qmj+F)lkkQ?B>g8Mgbj7T5hy=Tq^>kGyIEOo7iOJ)2ZpZrN7IgWz%QfLS)qO-uq zEUx){|MR(;R}U^W&efbhyCLRRw-x|eZpXDF_&MjTUke%N_&&I-{*Z&~( z;QvU5H@t9tur|LWe9W2+Zi-S(+jOCaV=G$VFUz>c6)n71dTtSVIN!ZN^Q?R=ReyWZ zyQ%pW@6hdfumKwnjpB947Cw>OmXA;8m=mH5XZ)VIWrq_RX(I&OqG)iR}n%tBAxYWGYE(7W<10A6@D+IMH-a=*F( z9dat-)km4ro{7R&^wBM!&OP~&HT`AXA_HN`T?d7yiHm;eSjnQ%akqE=*KoS|f#7LP ze@kbuZX+W;HxR^<9ispm%uko=%Q2Lx&2n~U*OM%2D48g zXUPKYi@X)M^W?L=02qttr`Vl+nT}4fnQLJ{OeF7kr2_){(tzAu=KsW9{BL<%PJ_e5 zbpDU3|4T|P56+(cD6sp}!~&+b{cWVW4>PO&rcQ`pQA+D5nzfk zU(+ctvBQ;mP^jkz4e$*8VF$n+{YhWakMvQl=Ofqo@O8dhrRVo)$ufU-JMxmJc@dMC zsC|O>|5l?{H6suint!U$)w)GCCWK$FPN(eENw@rzv;)`oM&0?xd6tZNr9;fI^9%C7 z!a?TyYUre^duB;AXc{8#d8#lNJY6h)k~g&tSw}|=4)VQ(eA~goz2+sG+c4AjSdvv47LD2$iNJm8ZV2w6-97y9I7&|wg97%O_M8a#| zM)%opGG<6H@k& z*w_iFrtSp%$Na&6RUJ4g(Ncxoz|{*}i8;(SlG=0*zpO42ym~GjyfK_~hKK$MxhY8v z-y!;BRIkzoeiOaXToc%xk(~y(LH7n5r-{5hGhU{eXM|Hti~fr;a<%fW{3_i5PuTr? zHFx_F^5p10;B=pQC!<5NI#=1CTe@gBRz{mI4@>arE87dDPkC4R^$ww9N|xOw^HaK8 zY+JU^Q5|mItA%%TKX*ZYrQTHYFV4ev^jUZa+Se6%d$-CQZ*E(T&-u{eE1Wz{ck=Jm zoCk`~=X=mS(X_Yxarnc|=xxSypPGGMccZhzul)Ml1>;TdO3bzLu8b+;2>jtqj}He$ z1=zrC_=xcD_9OHqHu=0A2#@q^AKzDJ%$sK3EB&C$S~B{!`RX^m z_`}F{|H_Aze_`*j!ur!U!q57e{>_7epSGrSI_R){w-o+W_#wugV{2{rW5h2Cf8zHB z#Mr?%=b|IA@zGja`96Z1#(yi1uYO#2hR+UpGwd1OmI+nF0MmnWS1`VQMfhal>|i7I zwZIr%)4%lnzG1uZWc($3D)8#{yz!3eZ`&u~r?!kw^PawJObq1SPtli*co7CvOdZ`jEP)@jb4 zKae)&6lnguKLmFVsQ!aLAO0uFub?O1llOve^4?HEBwRoKy9YN2}!ud&;5tRD2Z ze#Oq>H%C&NIubIbQ(!UgvNvTcZwq?6q_b4UlkvmHdOt*N3>i0AcLur-vc82Z-+n#5 z^=o?kT^ajE#(r-^=B>tkl5rVBWL5fSzK4k$EbpzY^U%@7fvM+b{GEgO^j+-Ex{Ung zDe{`~y{>rFCeM@a?f4oQ+w9IinBUjGtP*{IjmO4LHE$3-r}Sv!;;86+Wv{TE8GrZ4 zI6WtNKiuE*IRje_91-u1?mt1V8;pTYSkJn_J0sE`HjRAokrCC$SUtI?r%>5}=n(q3 z`89B=^m+K!)@wwE2Zi^J>hJK2k6*)Fgg#jv4lEby@VDN-K!+!;@@AM*$LeozcQ(EO zzx<2kFFTAUcFDV?%65tvuOKrX{FC;+G~WbvHD1O}y(4i()@B?e24wDUy?NnybG^yt zMCnWVka2T%FT7#?S?Mp;1broD*)IL%Y|xmvWqH?+#@i09(wlB78=A4p2VNS5`-?Bx zC)Cq`f0Qz69Lg84vdzuU`Q7o*CFDE8zWcFu(TWEX?SpQJ8-;+Sh2TwXEy; z@i6bX+?$B)XZ{(Oi~s6^=TqZ6nq>Vp8~%P zdmYqI#@-R@E+71?_(bkgAHs_d!^;mB;U}_ozU^C#Cw`M5`6Tg?+*xPH>%2Rzos+jg z^Inye63?yVCR9)3g#0dZowDD&vWeL1ZkhLx3XsNv#gxK z7xK<+-A|xL`y}6xqkT}c_X85&Dq2|6R=Mz zhpb0aqvMEg6uX#T77}OoAGm)UPc%2L#s7T!JkK0puF3N;Uvu-fc&=jMtQ<7>_?F%s zFWhb5%ZvUAqS%L@_IwsyqT+t>(G>5f96`=UJ1=YDwOyYM_McA6`$5-WGIjcpmWpmm zcnh1aQ}@>9CsGsMLuXrsenq>|Ro?y+TCjGS7OuAzFy_VnF?o}Z@sF_swNnJnxcw%% zfvt(S-IjDVV5e`vm&^n9+sf%r^kp?Uh9710+^CK?em>uGs7Lym?kqV&K5Hl$UkBgR zpAfjUHOE$d807roBU`_Ep&s?V^7x&|*Z-LwZSK4yoM!G7+6a6Z{I5J4tOE~E*!wg2 z7vb@&EWWyJR?t`lZ^!WAR{kPf_QEe6ThqOTZTLX7s~q%fK+VJ&N{vM4cwcF|Qe+mH z!~Pe-|Hy1PvYVA}k=gK$?~m76&CUOn_~Ix2JalXYd7(UVCX9jIJ&O$#A4hkZSd)Cj zo}BeRzb^ic_zdD-8?WP=_8&N<+719_3;~2e0QvDFMeHu_g}{L;(w5*>mDSI#_rC- zw?5YLrtvKLDLePfxJ!R-h+jD-=E&tp{N3dJTRX@%yhy&aoqX#-@~x+e;H^ICN9LKE zBXFJ^+c*B|TS2`=zNq;XX#Z+}j&f0K%FB*uU~!x{P<_~-F3H_t^sJt8uak&n&4k^C!kVXdQ7==E&& zdwKTzGvqPg_X(Q!LEAS)w@VBnahX%lVL-FL&gpvZmaPeBM=X}L^AgX#@#KF&7BBEm z9vjuQ8UN&8FOZpK<>6#=y}&5A&B^gNp02g0kEiF#e;Yr~lIdZoSwB|p@b}k`Z_{}> z^t*q2o3ahc=Ow0aXV;PUVJ(RKw>#@9d|~WJl)T~w?ALHXg7=IdzrWbogbmw(TxbdW zfbG~={C(_G(2%F~buRlvxG`_8)IS6p$T42@y!nr*6*P7h?;3IPE@!OM>98n&*xz5M zh0|Ui4r8~U^&ZK)L1G5)gKgIZV$1NcokH>7iAm=_Y@qXXe-1e?*7Dr)nZ|kld+GlW z{MC?epl%O8`S-|2FYLO`t0(?GcJ$ZyC=szCQpe_PK@T1)qrXeol1t=TJjqcXYk61b zOJDDa4<)u&Y%sF;p3+CPcIk!si#V=xJdJ9)u}M z&atvb7@wGQY}_IKQSyA8k@KH&amKu?|1=-J>DaRGkx$gagjpqVD*jE>yKUQNm2Xqf zq;j0@m>ib#-Ql4ie*wCSOJZipDI8llwB{K3hg|-kTy)&Z2UX6a{9&{2&SmpePUD6F zl^+wCx-gyxhGw?8)yhd&d0(ee}QLpl28nhT#P zoqDY7jCyyI{b_lw>;e3HURQ2@B-{6b3*SfAY+ydctXo~3!%KL_w&@wXkW1`u`9S1d z=yTo|zu2dNKTeOQv$l%(;g$1r-uxTkKc9L4cRnyG|B#tmw)j`KqjO6|NM3KLk zb*aW5CmrfQg?}CD8olMU#EJ55lk#=coSs@gs=vIS9#ZcKpF4}srJd!2_&Kj>@l8Dm z@5Wj^_29p1^HU??d zZX!>1x4bVnlRiaHhBt1=#zIYFVxckc?m2X~%v1Ul*sxQB89L$F}Egn6ca8&-8_53?KWB$JBqk1p?*%wB2V+tRtuQPEw zFg!1K4Ps5ozr;5G{dnF;e4hAtH~o)^FOU8(!*fey4jmV?{{!ONUFYFj`dUzUr?lHL z&aaJS{n}-Zbvb!4o^L+PI~l!NBC@*q7vuQ(b$IzWIbnJgNX6yOJ{A9jAzb@bSRQvfgo7p<+Vmj+Tyg#d*^&i%5 z%2@}Rf1LH3C)1`psz&R=Ca6NrH)6p^DZVr{w@TVER; zU0*71M@OB~nJ=)l6Q{3Yt?4S6_XRL&f93GFQ<;JNV~{F8CGl-Jio6VMVgQ_(WZS0nYkL^aAu}0b@lK zZOV_w455|KX7*Ki?kxFU2b>Sn@2Wg_i|_5gpUiWsj>r1?J6&iiHCXxnoMe8S^+;n0 z>%X6>%Qvdp^WC|1rmMYAU-y}k1C^RDX9Dkj_tWOK-c@9 zY`2V0CT#=y8J}pku@4sGM!ajcU+23?%b%rN;N4WX$l+PWl5ui0yQuHPS5zNUsZEi% z``i@Gd!q1K_}|3eHG)R!3L4+kyjRcx)E@5K{LdrdlWWtdrf()?T@c;zkKjKdJOoYP zk2>n>+VzwrHcO|@Fp14dM!XX*VKWcZ2M^+3^)Ic52CVmWroDyK|Ge>U;PON|7!vtD z6~&kMG2@dvYS+EQ&|GAVwFJf=0ygw5a7I#vLws_7$WCPM>E5;dcjVSh)LKdOUU*zr z>u>0SSCkJj>a!HTzoxV0l=3%wwjILWzwV3g#ys%j4!9Lb>KxHO`FUEKt<}a~UX6Tp zQab{C{ViF&owX&kogV)6xv{n)V{^(jtMy-5_htQ8)_w!lgB+=O_=)XZ7OmT7xd{y!+$Em$lz` z-j8+f2WPAN#qd9|o7XtFOmru7Dx}s7d=_s#uk(xF7-z$3OO~lRhhr;$=`6#Z!FR$_;33u9rhCheEn`h-p+Ap%(L1eCUU|g+ z+*lqVetbJ)Ups^^4~~az+2RarEzb*V!sj{oBrk=HJf7uKa$WaYsZG5~hQb^AKUDdJ zP1J{G*Mx;1vCG}LHBoT#L(wPbYi&*E(YKJbbSnBn!u!QO>!KXdZI4Hdi(<9CQtd6PVX*=$JdoyT6C zots}80Z;!+`tbL)=Dz>^7(c-tr6ZSA;HjIJkE#s1~o;CjXjr^mof4xYdQbBnvL?#IQ_%7No+MdGg`Ni zj0!xWQ(Sx)(J#z(Z!iI$t@G%S-|egDUr@fvvSri8`zpy<@Zf)-uTuMI<3Gw*>1_Va z@8_!!ui&d7e*+>%*j*#A`iuP~#3dWMr-@ukjjgPsdvEt@acVAQ4<9iizKQHvqJCpb zH+v`Au|LPjVNf$!u>*ZjhrA}Go`m|6I`ZJX)M{+g$H`>pQS=P^HPrr;qi2=QCkKUZ zx*c9euJ5$O)<~|5dp{1SAMvJ=yTdlR1ya9qA9|g&Ls_S6>`Az_)VJ7O6R;Bzk?V2w zIn)OI?|5gt?WbO+bJ<@}%9WB*|sBM#R@!Q}B;R$L#`#OscNPfz@gSD^~No<83RkeOA_GaNfEqhXy z7!`F(j5+!e$!+-+$tA#Y-D;^1W?TpVpMA5pg_?OTi1tgId)9ANHM^>o8$TOZE(6!d zIq-^aVzf3Ho@N&rQy53~n^M;rbW;;sYeoI4sC-(^Aoe?I#f++j=nYx(A-=TsF!#Om zVa0$8f2vO48LguZ2I-^TB1aBww%Rf2%UMm|jNwi`p~eWeSi{bsJsa664~t&y;mpcTS5R=59Z&jqmqp zztJkS^G&7RCOc}hE}@RDU-xc$$8x4tQ`2ci;y!b&csb@j(==7qCgYQPwA4G)pYED+ zxm)qek^o=Jiyn?-*2{a57uxTlo*eutn%W(uZpBb3a~Sq_cTCN-ll`nN-!E)0^Og7D ziI3cQN!@r(pYE2=gy$}c7;`q#7e4T;htWeW!sdX_FpC&wNO2$%iW6+kbSxum0Io|LKLXxU`>6eFXJP@(#2+ z=g!)|XUnkc{mk4$CbsPpI7X0N9COd8y8+Ms=(e3Y%B?lJU%8j{K}+|pvnB^p3!(DRd0MZAo|5{a z!hxy&^1aNbo_aUh4lZVW0bZ_J$o!)0O*x#68TRu30C6d>T-e8qffA!NPxIkfFUFdc z)v0?HwLsRL)NOTBUlG-7hNxe+hrX8@0_Mr5(LEV{7XEj)Lq}@ul?*hB42a%Hd`rI1rXCjMo%460L$)E8E4bFxARm=_s=gu_kh7QdDZh0Q ze05s$u4@)L49D}H)xs|}L*Ip&c{d{KQhUnSvR5Qkzbg^mwEdjFVy}{0(b)or!2YqY zwC*#)8;|vfj2e|u6FGTL-XA-6r9*%dot7Mn2WMN29)8LGz@5E|nCa*TKKKml?HwXL()menk@h23=6T3YssHHKIxG`~l{_k9ci? z=2o1X@6H;S@0Oc zuh^iqJ)zasaJ49Dyh4?9|IlJYwE_@fSoV8_-GVn(57HcRZI+z+SPBW*S{meeL+M0>&OSo&vqB*OkMA}RnDC{9ExE`CSWMfngYHwC@ z$eNJI2Xgy!`5b$ROl4)Mly$^~=f9it`ThlwBZbGYG9>aNvc3_0h-?HK^q2bW4=BiY4UZ7bb(#d(-jg7R|Y3FYvNYt`z-!(`h9~YHo?nWj^mo{OiS#L6i9{ zC|Qux7Z>uF@Kg?0DYG__>7)5ZWoKdo)G)KxqZM7en?CF76Tu1g;zpZPJNK!r;HgRa zpZoFsW52R`U-&KC|6-XNF%b1fClle@gQxx7`jtVi@WT-MD-S2!T{~ug|I@5tPUQVj z-&5IDbfWNh--2bcjM;m!QT6Fy-8<>HMNNBy&bs1abXx;;`1{kIwQrg`i#4F{Or_s0 z&6^R68E$=A_K3#q)d_z#wz{G2H+Q^(Z^yR zd9M6#C2MOIbWSoV7irG7C*gmX#B|*XF2AZ#3*MQc#bfv-^}8nz$JVF4 z=#h!Cr`}l$&IUW6ccT_gJu+fE!&p`LCKc?N$inSbyfX^BySpa`f1WonR_a&o*9>4* zb*4%ey@Zb4r(lyg3m#?O#JEb=xU8i@U!D1zLL-^CR}zaDmG$gBY)$x89rV}4eehVt zoOkWl9O&WiPO^s&yzV;5zT@s+`%folD8IkI>{Vp@*UVY>z9oAE5~g=omlbq%F!w>r zY3#wb{;bG$zl^2YuHSDujW3y*`$s=;pF4?7;8L_>+)+HYoDh0D%c~MX=lIqREq?cI zXuD5nK;1%OlK015TF}Lu);HQt<61k@XUn@hyEXHyT6flW@oazg+0kAnR{MF!I!4~7 ztamK0VXOZY+$jBfp`9IThaKf_oAYI_U66;oiQm2J2KIKdPf2|5{lp~VgUJ8q&fxQ% zQ2O3$tk!!uNGX2LbLf(KEBrylNB(ov3_p^8?o#qRYL86zmSLZAa?R&QDn^i)fS6%i zTtM7_O;RyKkdJNyR&qq+VXgQ>c!%z716m?>6^P7mcsiSe1!kiw;MO zZe)Ay6p3Gnqo;7r4i_T9+hTGlz)o9H&bH154{^5JtzCanhDQR7r%3-@pyle8UF z`#^)n9@!tN6Td}$8G{(WS#BkzKkpX4ubz_Wvzz;CiCIq)ziQUGOdl_e>mw}5j3@k3 zQ>1I|m0UA)^kD=31^J~I^eP%j8{N>Lsh4x4*fTWMj<_xMNVwHb%Xv_NbzC>Pi7}e0 z(HT{mTh=oYKGr=#pUQ7X9wYvdYR&kit)

=&8oZ%6AWrSUS2CnVzBtx1lQ@MpriX zYHrJvH2aRuh#ht8O5I-p?afNfd(5UL=H$qzKf`$&f-A;RevN-iCHkfg`6JKJfsNZX zg}v?gtdohs$w#nmt;rsZ)Lwjr%eN?9s&vzs+=1$gJ`Cwg`dO0c$D1a7tQ^Yh6C}!-)GNzWpV5m$4O}8Un`# zY!z{WH+={g@bM)^!N(_O&A$0;{|$RD*}vdE*zhzp+jF329&1~3E*WR%#*_K*|5@f8 z4LaE0Cg)>tR))|ZfCgfJGju2msn?D9D=KtpC(pg8jZX=mq2KYT-VaRnaN}1hcyEOk zwrX3*mL|2{72x@WK8az_mguz9lo6S%%Zac z@TGWnugSW7zsd_bCc4L}*8{VkoZ~iX0f@!AGcYK=c!FH-ba=(G(&0~8LpY6pCv}TQ zwh>dwdlgD|W$@LTcI1q*UTooI_&?p)?b5c9puRrsZHy|NnxR2UTlhpYhf^J#UNSbv zuBGF7tkb+TFp+a@^g#AvTZ@3DUo%!Pc9W^4-hCH%(IMy&_u*b@a)Eau@UjOXys3({ z3k{#Fgf-M{38^FT_MXU>{H>ZpSG5^Do~H+|=dlk==CVsqz00%AJ#>|(8C~dE^sk(e zCui0LQyP^XbX$5xB(E4YzeJ7Q2J#?zmJdu$9(Bp^gjySWw?>CPPlcssY zWN%Kh+V>tF8W;?$h2j^S@>hVrtZozjLALNEXDWH}p0o>%6<>o#!1EOBj=;Ou&JQeP z*3$AtM+Z%JNu2^Xw-ukdKR|apF^KLEeG=8Z!o8N!eU}y%vTtXd-LK}`0B##HeB!`g zAImFSPL9aC*ndslB`0ZeVQM0H2&^Ao3l4(N^bj2;SkPi{r*2 zyIMHsa_BcrlNh6SB}c zxeXmJ{O-L`UFOy2PdA<>*VY=1) zYWA=2pRNZF3$f|_F=y)}^5}b!O$(bmq_uaJ z2Kah42f1_~#;;k2k1}KHIpDn9U$IbQ58Xt;o#-=#zgMdB+{-F1DTle72Q*XuhIdO| z5x5)j?l=<*>a zyR09Jp71v17v^{)EFE|o`18EzK)%vb$Vx^>oq@lKk4QV<2*cWZ&Th-_Q#ftljWAIj zGOo(q^-;?v_>-FA91g?%)dS&I@_y}JCOM6k_q;86g?=kM&<&l0Uu^stbcN6~Y_?B? zH@ku#;9kEO1>=<&HW7aizhd6r& zd%QU-rhny5<4^^2z^*q$Ig{i}BFMkqKws>LM|UM0`(1oK_F9bcY43=4!_|2~!42#W zUr27}OgbohPIorJ&o=cWP1F_PgNS~Xca(oJtt&s=x()f-$UYHhO77lYL7Q7Gp7$bi z-3i&pA9NveV)F+lW1oA{;SBa8vi7g)%KA6|$Y1fZsLBxmXZ*i?L=OL16TWK;ORqi? zSW0h89{FR}S^RlP&G;lSgbkn52YEpiUqM^OLmy^xM+Us}tV7*Vcw+yD{D0cO&&Q7> z$IKbJ@UB|(czggIRf)Wb9%a2II)r}u8u1A>6hFhr+BS(x+=q$jA8Q>^@e^$%M$0|N ze~*Muw2nAUmAd#Mqj*N=`rTuEar_3CF;!gAWM0&^tt0(C_nGW-T_1wpw(;dkJqI7W zV8@47>&~=%iAAV;q`t0vW*)x34bM==cQc>&**vp(W;CJvV% zzb~-Yakl@ZIeKc{mxu|Ld_r*9*T3{lugIL=b}Mqxu&+$z#rl`NLSFtFX`6#1HM~L( zo}lkH*x$ZocwVO6=5A`~Kkau{uzoO%FS3GqK&JL0I$+0VR)^Wz;62D$(r{WsH<>e|yiec+x_~J2mA;y}Hjn1}-v#Hn9 zZPw>3V_uyF-&n|6p5WepqY`_vLY+gbV%_|qsKA6j4lDeg39javnVcZsphLZh4KcD|N+kD9@8 zesfdWeKIc+PJ8?#r|Ejm-l*fukC{=4OLDrvZRsEe9~f!dPrO@yOC~R&_OH^mi7~Ks zsm4P}9^t7|2JreT+QDfQKB$x0kVL9R@~p(GrJQZmzj8l1HguX6>V7wLs7DXw@buUT zv8l&1{8QY(9=u;?_-FGAVa)6Thld?28CCQ;)^a8wry_d5vD;1Kh{!x}Bfl9PW$US? z4$ToAMNI6hrG{n3>W}E7&v>(9l)vL=k+Cb-5BKE)&i*<3c6PjH+4FPAUomGiwhSlR z>{V;|MPTFiD0n&hDdPiljk}kz*@s8vszK!9z$5IF#U^ItC46W_HYX7s&-YLEjwrn_ zo7!sZQ8pGHK*sa4u`uR6d4RglujmTHou-BM@3E9?Z zPyAnpY|6XjE55wLFm6Atdyf=w)&jBk1l{x=`snHlWDh&K^siI)_JpzgIPo*JgW8@v zg_BG_gW**31IPYdbZa>k{@{k83@+f|7%-z_faj>vfth~ZPBozek&9p(IU97_MLG~( zkle8{S&4~b3N z((Y6}>P+~g7EXVbbvF8yv8|bA4nL`r*m&{=!8UBO#Jt2|0Woy&DBlm`6ErOpSdFo8 zW_01;h+o|+FLt~sioG$us=>W3+ z^N+V1Ew6>&dg+6p>1;Z947?tRF<-$`(x6_^`u*^9%|{=L`^WYXi-G$GWZz2JJK{xa?xen+iG*LcaM}pd&q|&eWfTCYBG^s(ywuIy4U+KxRJqCEdo*DRF zT1_J{+2wmL=6ms@F3I<0KXJ18P;_5J@_>PzNNGioYIMC$Ycq88SzWPgMBNK~G-j`&^_8 zJ_aZL6nOX$wPL`Dj@yFm9Joj~@$Pw>a`d;<|4AGI2_1Tjr)$qeiZXH{~(rJP||KC=wFGyV)qIrCD^vE4^bIbFiL7r{}=t<6} zkhtbpMu(R@Kz+Ct|IBuBSG%D70xgUTl?HY~YFojv{S|-3OxvhVPFA*(J=-cLJeB;a z(z#`|*z^RlgN-~j8F{dk|6(O=zbiwNQ66}#13o$)b7#W?IbM33ekM=Wi6dEmn+(qp zOHOI0%_*(s%h=5c|6Jy5`Xd!9p*Qjzd^Bf5J9#W}Mp=K#Z4r8t`*^H1?ZvFp9FK(7 z;Bue7!Xx&V(0{gL7K*;y%)Xw|@J7x;S#dlTK52hd+5}PY^YqE&=#YU2FGB~|a{gVw z`QwTY`j`G#wPUR<{007MRG$zOa9)7;*UXumciyGuD=}UU?vH~x*z{n;dGKE*whdD| z@!*4($ysBZovm|5b5_3z+-3EO*OlzB*L!(?wC~u_`!oCxO^N%IT(N(~+hdFVd%>~l z@oV*MU|de;4Cq9XWf^+3hyAgnhil!QTBF>uTh#qg`?1PV6m#c4# z{=3)Znj1O$DOn(}Up&WcX?L>Do@C%)pWg3)!~73`0~_>zX&m8Y@anK1NOFZLE@&iQ zsO*;H3v+P+`9c*JG<`IdhREJYp`WZ*6Vsib{$I{pp}z%ME|#Ho8XmACZse4ly}+7L z5B+YV&35Dx9d`>hG0OksQoYE@n`CdkV;_s`jO>``8pkJwKecBB_I(pYe>ieZ1?K~( z^K1(9B+tRQ9nfq-lDrMPGhtPM;7AQNx2rWr)^Kah%o=XV?s0vDC2NTT-jaJ3FCylu+~XOWz>gWbDzAQ}JmF4id8iO0y7=T+-IN7&1t zNiXN{z00`ovQKZw_7+CJ6IjPsqpUT6k$P`(;$Iy6j=u!EqqXtwkZOmoH6r%{+J&cq z(JoQvLG3KY?vvYbs8MAN9=(HJEpNsbRW_3R;=>s`IqUdjWhZAaZ)GPB{5|p8tnB}r z$Q_mYRrtG0k`sfbQq}+%gZv_O!^9oOSWoSqZgfoH9(*;;9to^vQLkqhKBfL;{R-Xa z0JbJ-?xwLuS1Wdw+@z&3ug-{^1LsAV*W?cMKK@hMsb{|vc14~!#H+4$g7&4E{wK3m zgB%8SIq*|2uFF9sP$<9A+aHSet+@OvV?vzRr= z=xJh#TasZTb{?NXdbJ31G`cJogUFfHDLFTT`0hOXH46UlSKX`;s5(K`5Huq!8TyUjC9q1g zaPQy;;QN#>Yk{-SJ?Jg3bKCpkOReeO{GQ;SeAlmXwB5OxxC;96&aVvOpSEgrY}3%a zQa^>?`77{b?2H_rC^}B`o5+H9NB>+ImvbT~26o**Z+os{qrj<>{w^8SH`41MV7@#6a?d{Em_(*cLjM)5u+RLC|2YHiI5htHL z*HHt05v1zaNWBGn6yqjw#Io(5gSYbJ_uR7c zh+b@8Ytr5=dx$q!cSo;!Z*{OPq04a{2>*#VXc?kH?&P`kY%Qc|5H~@Xl{{(C-j=8+A`;1Mcvp z!M9accrgw-@OhyJV~ZRbD{^yXo`v(2FYG+{V5DX`ZIn-`>CPR~S^xWoIm)-hA9NZx zue-B_wJc&N`OevSUZTIMuXE+UhBs_Kr|5~j&ppF_zjoeN6u+4Fj~(4W{iy5_9Twin zll%C(gs};aZ98fuvqQRrRe4_-%*k>CnbrUfsx!{ zqdCvzcNgI2LGKmTotX#oJA!@4oD~f`#KR?O9xLify!@W|LVL$T2@v-hw?RMFu;X@z z%I7%CZE~~V$=*>SewfrKg->!;Df&sR`H)L-zz_cN0~y-N|4V!vBIS0$i=4i+8K<#B29yt1-#7yYHe-L}> z7N4P>g)^=C%N|fQ%x%ruWn2Wr~8Kb?g_ik!Oh) zE*%p=-vaRi+3R;&{_pGD{9E)@MyIlt`1|?WD?UQM6c{V&3WBB@&AsAOKI{F|YN`H2 z_hg?kx!7h9h!xR`IN)8uZ7z$^L0WgmrGPX8uQzMAAn zHvgm8gf;z3H^cWLKgB+K1jgq0yvJTaN3SZ8`Y&Tedl7gk!8foj%}+<4@$Q~HfqoR< z!*`vE-;Lu%hUz!N@50w{%hKa^54nRZ&##m9h_AuxVxLzYbefQ_M=Z;qa=gU*xgBMF zNaET^rdAW0PqA5hk+XM^74o3r`oW+7-Z5E`H7xa^z;_l|S9*iBrV9p#mMTFOdaO#^LoWXUy05TIlnEVdopdP6`R_MKb6rdK`f(Ja&3b3v(Zm6+H_E> zrL#7F^dFoC>Om&$kTdRnYVaEg@*7I(cSNfeNsfy8106p*$@(YxBXaTNsi=QM)@L1r zmMi}WUVvxdJ$y{%!%|xbT$}~8^th^xW6v=@mqA}qwT@t##hLYlx{2dHb^VN6QD;d` z(SMX&l$_;KK2z3NEjhPQ>U6wU%_ufSfyb8dr6$@fCwIf1Px8;Q7H+Bc(R=cqw3qi} zj-&6KZ=?FYWSj1N(mqpnB(;Fq+M{5oI#WNnUTV5N!x-!dU=11_$9e$1%>}h-^r7lt zup?sIGrn#A%761K>+r3JE%8tLv_wH>51$#WS)!qb*n9Q-3G#c?WDz&82QH@etd;Mg zCXs9I3D%3PXsWkb)!^;QZu@HX!)9wY%1_W2*IZdAJ9^rm zbRq-Gor&+tIXwao{5))cUuRuMTE)O3Kjit50jbIQh+L-B<&M^dLvK}oF|Kx;8bx>k zzvzdIeV5Ecll!zie@;A7 z<_&LK7<6xuefi{$h#l`7`h!5~;u^QH&c(XR-=C{1MwZPP385(A=Jz7Mh0)a6@0NjVM;4?a&7o!P1LRa9Wlxw zWb0iO3%Ts?2v$@jWX&b@DEm>r-d2(tIw|$gk>JruzZBWa*7$4X=y!aWeri-& zdJOs(T^Vj|dOL^faC+&xvM+cnF2cWP`#|=v`EwU)&e>Pf-q*hmZoi*!h$FlkhbH>Z zCD{|jekJze#`EuDeeM=&pNFnS?ciSx@f&Qz=qB{h@G!W?UuT}gsOzMCPyi2G1GBxa zE}G+Ps+-|g7V#7AANU-~Oh_{`w)@nVbuUu!8R0qaH+O%=5dO6PkQ_%-T6~=N>LGXsIk%Q+ab!sCy{YJ6 z&2jJ=|G7UzuTjU;s?YGg)dtO81V8OpdTZOJxzE2i9eJAO^p>jkQ_(GqO|GUlCwHf1 z&+1}Db7~KAvI@QS1uGtfkCC%K87k#d_wE^*6*P93K|`AvY`sPkIrCe|xrv;ur;Y=; z7Jl>Ap5%TLu?=g5yE|AbydM67_bY&VS0goHP3WhU_zLv7b_gGwJwo>k%?P^DSB*oK z)6i>)EYs#?r)UOsvHt}gY>+ctOTccZ+k<~H|nbfe4<+q%0{cXx3; zbsC(3JNQ7($Ys7Y+4=s$>AD)aqjT`180r!sJUg4(YMZemI9|qH2^XlorI&`1mr=^}($x{dWQnAZ&Cc5a3 z@SV0-!$$Mf_z=1VKSA!v|4z|OlIKAGadvor*^h&b@;e+yUo+5W!Qo0|PV`tC{MSlf z@6x6vOf`I2Pnj1aV zZ}DsrIy@G1v;N=MWIGM(?9AMb?o_f_jchh$WDl73J5z4Z!-@8O!C5LFc|(5KYYe@n+SZA*+)LOcQRP_8EOeRr)VQKz=p0x7QQ%pA2e($zMR8z>QqF> zrM=pYh_S?EuR(XVP7gm#@_2U-MZB%H(%Ir;rEGq;inv|g4;%4MTI0!qmwhanICK@BB!siKR!{8ft5u*oT~bL@k@K09iJ*c*i^BWo%u=Ve5Ld z__{Xg4D1gBenZkR7ir;t|LwVOi!HT?Lo$wB$gS)j8Qn*U?}41mB=2Gp$D(&1BK~=_ z0(!Tyr;~i9B|fH!E`x{p%~!VyzXsnI|M22kcdG;&pV0c2)$+T6yP}dOp>}H6QF3#X zdU|;Ha`yLIx3h*>g>I%s)5LdMR(n%eWOBa5qv)ne;iEHZZMJn$2Hq*vz=Kaqj?vwY zU%b6d&4qc4h)>D+33`p8v$k6Yj5G14@TtV#tVpW8;NmYy4%bll`98 zac(GkEeBU?smA^6Z?g4NQ%5A#*r+*gTh!~_&HWB)iTR)L-TCi~IEO4f{OM!oya@aA zs_{`ePe+3$Y{WKT#P4vQ!WI#ui|!li$I7`6$#X2XZr{C>{7lCu`_W$zC@{%rjHxsR%Ty{;vBLH-tSmQRkF zTD<*H=DS_t2{=FE-Q$8!c|Uxlo}Bqcejjxs>$#sZQX8&Mrt+@V{lyo))AF|L*~q-J zL2ABq_;uVqAE5=gLvYU=vb1obyO>Y2=#XKV2cM@e#@VsL49@mUud&nV__~wKgFa|4 zHEptIlK=G@_Ws1t`*KfgrtHz+UjO-fi@3K$?(I2$uabM!>I_EWNZy;o`dwy^J$+{Q z1zB_$FBl|8Qg20qL21X>Kj61<26?yELXTq$%ZIq1*-sd3wbeN)s@+!T1)mFy0l%B( z@m;~!4c`uuFI3-wt$PXIYqH;gtGk--Q#9{Mc|Qx=R;Aw*Tr!^M7ipInyF4eOO4q15 z8Q>9p15MjFt4-oe_@F`Q60vXY?2|$ZwU6v#ylbTyyeH)Mt4H?&%NZ*E9CDKqTf(o3 zPvK)ZLqK9?@5cY6J5Bfz@M^$s;tIdYZ$9{~_5AMhLM>iDM^E7+r5dRF;M`thZ<=GE zC#IqUV~!r!hUR_8(IuZJXJdu$Pf0s5Y7A%0(SnD_RX;jMix<73ryBlI^Zw!$@<_Mn zPBFgP$Ute0r7OQcVjj^oj`0P}`|c}w{-hu9(jA&tSv{(=CFUD73Js`r>$eFBB z#xl^=;?unz)#K|rh&i~Lh75n#wVXZ5)wo!TpV`a38g$k=Eg<<5?+y9ApI@<$iTn&S zre4j6+tu=^1^<;?%{wm*r|giq^eWva@PzlgGUQ!Xi*3TTu`c4pqKx}WI#~ZonmtfT zcHG&DMinobMe-JH<=6 z*YfVg{L(o|@k?UaR~5gAZWFtZ;}PK(^qlh7;1gvd;2Gk+Lg$u>gy>OlVfni@@VgYy zX&q~-a<3WtcuQ%*%a2Blr=zst_j|;4@;t1^f0MO8be{4}`dbcru{>*3XY<~r^tR~I za2mhmK1NS{oW01bqoVH`M6b3SkiAfxC!=yAV&|nVa5N~si8}Anwr|YXgCWjlfUn5& z@!lq}GvMtMHn=+5*FJaGvr(Cg^hY136yCs>@f!-*`Ry+reiRhhi5* z?wq`7O3tM2-+y`$^)BG^NVVFFld%WYX~{WLhdhLwl{m3Qx_8S0BiL{|`yP=a`!mQJ z=Mp1l?(;Tv&AlVRhM$eZW1rQH)~Jqs)15y(tvi#ewRmF(YqmyyfL=^Bd@T{gc#pY> zj}WZKuG2?+%4+;&;U#_#tCjeBIsVbH4%VQkAGeXwd*DHPYa(cB8&UZkc_;QE^FJcG zRh{2xv@S#*UX2QE#?fx}B4tzD?c{&9Z&S4rKDf%!P1%;LT~RR6x99-zA;Q6q;fwBl z&~XlXbLL_`ZoV?Z$DPEYLI)FFg70SzCHQU5sL+;v^`K!9a{eK5Bz|VC=B<4v!kH0i zV>iB_P3{VxFl^jEl6q-B?{+4fZ&RO+eG#24m-K5?TRJp9?;GM5ioO=zDKw35=uLQA zdZz{8U&eFn#mMYo=*IaGGUmB~sWP67t9($#mA%B?on4bf*HUA3gj%v`#`96#p9MWP zqd#ZN2Yx;unT5_J17Fc@r!v{xX4{tK;IZUm~NIF$Klyrs;q-9L@faCW< zH_j;#+DV=X{!@0+oxM-=u<+ZctyJ~C_|`!by+FPRK6*D?oX6f^75lw|jDY*id%(T$ zvFhi%ABI1FL4OzXpjMIL!R84UKPz{${8`Xu_kS-n(5AK7d(MeH^=^AX(M`?_6dqHt z>fuF6>Kt_M6={bZJlvK*Z-E2$cU#Bsd7(4D0Kac{uFckJQ{C8v?E6X|p>zBSQ|TWk zik;q4p{aZWy4-knFZsQUju*dE_OXgyV(urQE43J{3CW`c)IvEM_olthR`w3un)dEp zXyOl~@kyd7t2Ght?j}xoR*Qe*UE<;TbdBBr`S|L0sS#}@2eUU~>|Tg2>0&>Z@O=VZ z0?(A1R<0d5zNEh?^H6mgqxtL?uz|Z1;O+DA6|bw@lIm+MelYN)fCKpS_@rm~%^c*K zv-jlx%s3|%ZbfH+7j;IV@_EF^lD&Q98J!;zCE;C*rR=t&a z71Zn3Xpf3ZZ^hP1+popM$-++bAVIGc6a=?gy+?_?Z7pdb;aU|?NDwUn2NK)}Xh>KB z`M#genaPG-zPI1k?~mUf^O~8ne3s|)e4hRDJogC=v~Ou)Ki0wLvVc{YlChxQO$L4k z7daH1$}tMdg!aPI*TlU1ChDs|V`i*{KWyXOJ(_p^ZfFQP(FADaII$aNh28l(e=GJu zD>TiBuDnJ^KaPp70@$fs3CQaK@bVNJCv#OcO?;F>Pkj3V=Ts6ho*;9_7SFf>$?B|Q zR?TQ-Z!*T1x?9!yTUmR?zQJ93CGjh(>F;6Tb4SUUti}p_51)$PE3qA+BgNMLF9m1d zDrehVfkj`tJ+KxT0@-%E@KBL=6%ML#uGp3sn+XrgBz~`sF?GfyM#dU8LxV2FJdw|o zPyJv3oj|wCtH3+>PX)3>rSyf4JS*=j0-J$w>469Dc@_KEOPrS#8lIxvQS@~10hr8E zXK#Q|yLgZGI&Tjt~JstZIebz~hGld71;3hh+@b%~#eN@}5$P;2KZZh z2pT|4`vje{VS|b9uY;EJnRp}D`Hb4CV;BC0iXkgSPb;XC^H*64-nq0(f09ei%`NNS zr}Q_PaiscGd51-pl70Gj_gi|w=k}YDiDXYJzbvq8i&fw2#L!{K215cHv6n^1wFd=0 zj8W;Z;#W=OxKuV^1w%gvXU{WfT{$39^Q3Q~E7HH5%~t1XN0@=W?f3XF z;XiIAItcM)N*+B&=j;=D9r7t-3)~qa-XmPVedL1JmT!umQhb;2lH=U((bxOTRs3w6 zRX$AI19QUfqUPM%%A8j#f9U`1yru0sW7?VC%$M>1VP|;I%nQG8}zLOS$~R>wXE<|ZQ%3CQnNeJ01aut_g+6ni)!qB@_nz; zTGV;$sNRUK7gqatmF|DL>^p;ZByL4$lZrJw-Bk2M`h{0ed+`E)FF$Gq(_@wMH%1|} z0y^c*MlMj}ZcyV+me-z4Ohpc#+SHvWZY5r3ZA|h(J6Yj?eZfIwan_T#48d=ev$t5< zq5pe|@Y|Rt<#{XA>E|L2HKo2A)VJ6Aq=KEIEz3>(!PuSK8^T2f_TssvrPw*!s0Eo} zPOQ_^y-xBJJ!T>&2yE+-Gm8~0liz={%cslOdVTpiSB4@6j zV_+*X1!sKZY>%Alk+VMIzxxGf0cU>h^3U~frpFotZ{0HTlf+owgSHXBDQ9?F4RVr4 z$LZ8d4Ln9ExKO)sJkJA*`QtU~ryP!Z%_4N-J=8!muaFo%!4ZkCPK<%ZN_^)^{I%3AlUjxe@QB3pzRi+Bw1)!`c&Ljb1kbn*9ar(_g@b@CD8!e}OZ}!;J}L!xIzY!#R^Y zoHNO1L8nKmy(N8aSwS70DgM4FJQPF*eOYLkjK`&4@GM9S->=Ep3NJhat_B%PEMIT| zdGS5@mU@}!VebmwCi8FFE_evN+rin{-de!Z)(4V#p>~-cykfgMdp@`;cp`HvCDt7N z64I&fB(W;9p-olYi6_~EYoIMvRmj+ffX|j612u3n#u35Lk@>K3)Y{(@1Xjfx8(|{ zBPM(+I{vPZv-E_(|7_%7?&FUvC>>!?8zee9ZWw)PjJY$j@|-ITY3r-(`Je^QQ20*$ z8}(jZ^|`J&joQzHLryvEiN7@+Afcg({ zl}Fyr9K$&ry|sp~uK3tewlb3+ywoRq9?f zxzRd+c`RaOe2KM(o`qN96Q2~eTan#E@Z?JP4*Bbd>!QZYzLQ=hJ_zv zRRsG%6}cSuQnRt3^s6rQJH#es)U$r2^@{Ea&48z(+k6)~fQ`t!ageWj#RrKV2L3UB zUFZ!kA|5EGiE*_uE{)n@b<`B;LeDG?z_Y>QZ%KN4_XDQtEZEPw+bfzdm)?lUH|KC}SH~hdsHz z<`Nkr(Kf1es$QBIpj3`YjxPSH2{}VZ~*^i zkQtz#1~9k&N-g%}^rkia;g1ocWza z-XXs(yDN2kr#}qdn(Pnu0`QGKk*>1@FMtOmum7-rouycwO+MngH46w1%FR&X?e8&*r!b-K5&+_108)N0o_zQ7FudN3!cxz&lJf1 zj*__*-C93V&fJM@Ox0Q){{VEc`EYdH@ARZ}O-qKJg9fXViHe%K56i*kE9Q>=i^lht3=vxk$+;=6b2` z_!agRu>evxHLm;l$;~rZoY@DQPC#i(9<$N2G75%o;Nu+we)W4#!oBpo&6H4~|bSLrtOPXBsg@8OKw2$^1`@+mAHGkH3o{6#KJwAsTduqOWsQYGWPQCdv z!GFo?;gp{UNX>BSgLk5{peqgvSo4uJg{HFJvVP#@-sG~Jn8Ye1-ya(%2dA;hJo_y5 zoRV9mmix%}r9BHcCg*d$0~~P{wua?>tL8n{^QW41%~ye=Y1p^HE8qLNwkmS`q{5pp zTm03xwDS~#}5CT;Wgg|uCMF%2h#Ut^!?FEnYZfqQTnBi-dfnmU*T!t zlx8tO0L&@y^`_Ahv_wYRN%fwP$S-lCEDjJ@AYuQCYx80ms*x1)2#|FET zS3ewMF0wu;y3JSy((U)i{nl4zjg`*e9O;>TX*RfW+@6PQKds>R7X1mob*?NlTqz&m;+E={dl8MKq zJ+F%O75zoEm*zXYIt;a%U+O=H*rn79&Jle^+LN}N=`VOaW4~gZN!~5&yD3;L8r1FV z_|4~x{U`m$e%(6*#=d?s=WN3+@An(`>%U2joBiH1?pJ!+veG*2b&XH;ANTriksBEs znLlRe!rB4%zd`P|XA;yjLU(-LRr$QoXR1pM@$4^^|2nlkZf^09o$JEnlqy5^ejsQC z;fF!!u&h}C-kyWZxX9=4ss{D7i$j7Z{&=5)Cl6S+U2pkw$1bK~&|=yJoR7;_>o%YU zwX~nSWbtt;16rNS8SRC^cY_m3Q&4cG$iHt<-jWfM~w&eY>=;E*V zd%5pEPT^DXwU*nhVsaYK=#1O5@xPVtjX9I6p{tR~G@WpEEt@ltPB>RSJcsf9U(yNf zG|ogeCcsr0TS~{p-gl<(%1yql;Q{F81LPE&#W|dsE9DF$_BCXb%eOhxUf6EQd5p=m z@XXRXEa-^%+a&f!_EYluTF&$hMW$z5)CrduUh#KD3;j09m(1B|Ljm? zjUe_>bdLVd`tn8OlYVVKXy&Q5=bB2tSM5HGEO6b?xST~x?lQ~0q5|E^sgA2!s40C% z@<*$jTuNq%?C*!)lcvo9zt{Jj7clHk^Csopn;aT?wf{4lU$SI9#Gbdic^|se!y;E8 z*BnEZf!`lG)5;b;za$C&fd?a}GXj^rd_eonr^vO)n#fHP`pQirGx>6%FDEKo zU2NhjepnYMD_M&@ zLDl$m4%GfsXuZhaqQ6+#4F<5G_Hv3hU%l*hV2#ewD+@Tg9=(-)I)FDX@1ea)E_v_I z{rA(PEz^ldUM}-Y^JI|)ME*!jh-2T4>pp+^5_QzSYb4Go!`^$N;boJra&(Gk+nU}1O?+Lm7q-Nl%Sv^r zL!Epyr^8v8`9sOA%iide_MD67>DWO+iYHz@?{j2=aXo$bFx!@b88WQc7AIBzGcw_| z@_t{qB`=$ok_oE%$OL`HyJ6cs>GAqCvP(Mp(Uo$up#Od;+14K?^S%zwkY}STS7?Bn z5g#lt5gG{YkozQ#T{1n!XZ~s7r7!wAj?Y)a;5uDe85PIhOeI! z$G@+GgJ&DPa{lIJ0)rxK8Th%7$2WoV!?2HGLsPu|R2#T+S!38)9x70BHT>IaX@Ty* zi*~Pg@bBg0&UYFw5qwZ>NI%#}()>Qn>n-7flZk=Z-Mo-BX&JD7==h=c6UZ&S>(bmI zvYyCJB14J(D!hf7pQ8ryBK9Tnt*kNYr0_8BEb#EX-nJsY1}@0b$US0jK_)pxx7g6a z*hVIp_j?2IB_FwTqTpw5d(E#Uu!;5RQHO-z_3BOI8dKRedxW=(>B@DZI_>>|5YwBEUU^GCGl<3xe* zs|aV0!P|ldLYx_YMcH#MA$DTtvAEF5f$cJepqqoA?`Kyj`;Oo4$oKEueR<2@yY8~) zPONc4a$9IO^jFm?Pj1^-I8eq)Uie*!XbSTn{{gi7|CSV9(iz_L!w?;KbY60ZJyxmk}dt<_O!z9%>%FbutqLQ zuMyAnuF=Td1D{8h{dB;1uMvM5`{Yk)J3DepYyW4I{{IMYRCFkfci{Jb^6Xo#)MVei zq*>^k&|~9Vc>ct)fHA&K_rk*W^&oT^z8?=b?dyK!E`p98j682BKgO1BXL6_J?ED1# z1oezM+fN`X1=(-tZhAnT;eH|Oh+UGob>dTJ4S`iaYK^Pwon|a`O;28Oa7fx-t8BE? zNQd4iA1k!snA-on^kOyFQu=n953au$xq5eBy5{rVB`3u{W%cn*xsq!v4E;&_r~aOL z_bZz>7}2`V^-+m$$j_^>IFtRer)$oG%VP*4}dcgO#_>}Y{b zjRHOs4`tMvUEe}h$zTs##>9A5Vq#gA@x{7cf9g0rn|DId@w`8(j{FPw zRSU==J8=)b)jjZ{0lw8~)wl7!o**8C_5ucWQ+RL4$wxj!-=)u-TIH9hV(;RUs60Ww zusAx!1L0`li)M8E<7RY188$oN?PcuoFPXr`6n!8%@ka72W`I}3u9b79CM$wWS{hV& zhXjTNb1IbGfOfOBh2BPKCnJ#7J_ zXu;#m`NeGP8rXy5*pGqTcs>&ZW-;az3 z3*648ub^6+f;}0Atd*?IxG$0CR{obU&If4sMq>Wte;wnGlP`WxM%ye68UY;7g;tys z&$5XXkeot^FP3E)g>}pkd@KD3`MoTpd;$yb*$jflEMhL@5p||3nb&oIH85R+>-T4B zMVTkR)ick6!K`JO##lp)o7^TkczqY}x{G+k@8UcEZrF$Cp^$>9;8Q<%o}Jw`EpJBR zyt=S)UfeWTJLB9s6a6qup8+SfGAj{{hZCdpFfb1(I52mCo$5#WNUzr^?QWT@+Bluq zKA{6$LaTDb4-`cHGTch&Lgl;aOrXoWS1tJyu~qmPs_ux3zaHLK5gi>jqOwn^=^!!l z_8(`0hg*nQf)^be*}y&uxs~7=K7R%0`s3Y+jqt6!b&|IRfBG8qthzyNRfpuY%Q5OZ zI4gobJ%qj$sy2v+jX2>o^t}$>&Wa<_Mq=aI^#4w`;tNVf2Z!~x7n=W*`JfwzK#R)6#VDJ`A0 zK_d(|d@zzI#*Q+#>2!mAByqLkFL!peYPQ7N7w3zf1sy#NxraEArQ`vfD717Bbmc|t zJdeYN%izy(l~0i0*!5e9`KZzR@y;zJ?@K>&b_zT`4&K3YZwQM#C%OykTagfXPh>r@ z^=%pXXV&e#e(^hh2Tt{;KjeL$HZ34HHW0s{>mLfw!514Bz9TjJ?%cBCcPdv+7B&LL z=Hmav9{Fy1tP)!yW9>JugG=7As+26h78vM>Um~yKPje8)5#{W*RWlZv!unnn3Gu_v;YVJLEPU+*iQnT~ zCVqE(hvbyxO#LJ1QrI0N=cd?n^0oxU9-ka?73biXAAHr|%t>@KbaE7S)zR!>sq=IW zFdtv0C;HUTH71lv{I)uWk{G){v+jMJoFP^5=;-4r4-K(_A0zs?pdx{PyCT#r8S0XaDEuXa{x@US) zLp2L6@#UG*0?y*ri~7aU=9I^sjPfzEAHhrPUkh|USLrb9KK}u3?4cici1>`i0px7y z2#`mGoT$hmth36Cd$8mk^x6XH&%nNtim?Un_DD?l2t(p_bBMDYqz43-7%MU_^do0w z$Qo1)&a6a+LWVZYljQshCdBu`xl4)jPRG~EJKR5!7!yZ!VJ`CgvN7=Pb$sWmXBnq@ zCOPK>zIn9%$V^Om5qSX^EDUjehTqr;qbolGuE?ZMAU_cY8XZ*^w8U-~ zYnWr1@SO$VBJ_~lLFE33a1UBqH8%Ag`*py3$!QI8&Ut)a__7AnM;Q4>{N7nIP8p-| zMQ9*(S1iUVaEBIWIN{%4>&<^A?p!qOHqWFE+sXyB|14|RXIz}sNiH_C`nKP8u;h2F zpK6yl6V3Y3rN|bBz(n)1YvRetv$74&q&QbD)m5HY*4Q?&yUF@4H@`19Cb%f$U~le_ z@e#{&Q=*A|(rE_Cv3e`}e+c`3h%pBI7#$zN-XFr=AI#n#%-$btoU0E`oLiU2-p@-+ zi02vS>3NCs>LSnqYo?q93Lz)I5J~jJ;Ub&0tuG<|6#maWIhQH?tL=r&r4olLIEAc5 z4%Fay!58?(PK7sjWDAdb$GRgM|6Vq+x?-c!^>jR~*N@R&gl`CSR-rp&o%3ReS_za^FCRdiZ$9x zybp5@gX7{ukeHv&FOciZEObp`jdD9jORUkVNc&0r2N8QwLrkru_?gKE)i$e{nr0;{ zWy}IcV>M%5RIDYn;3(nK$+^ddSe4|5f%mAGhZmL{qL$T#_MnPbbli*NqZOVtQfy)B zeUTe_e5JsOSip=led4)w(oazp=e>%}gpZTO3MW(iL643ACK8YL@8=5NXRZa({`-RG z$PeLUxHDhygE2qjUn>nKgXB27BU|L;r`>;N4|EQaJ#d5cB{1#T2Ltej`M;OiKg6(= zJSpob|NXpZQyCZMOr$=`d*&0K)PUo+b;qRww*x&4SW^xH*eRQ%#acolr?+S)6ypU&yu_T@W? zn|MOn7Jei2zArCIUNP-qnUk~Ss{hUT%amVvz?|l1QRDdP0dqR5cTPM1+{L~m_7A?& zBWG-W3;XLMYEIHFZK%Feb4tE;^>J6tWkzZ)|JI-PO>LOq^Wq9%i!JhC^CI!{SCattCSLkejn)9E?Y7NSQoW4*x=qHk1tl zTzdjMLw4$iYZujy5E;{|M2D=B^@)(LUuY?7L|@RXc9Aobd=fBn8IO`roa<%{QgREi zJ4RtV=v*=TMr%+XybBd7`AhPXy9=_3JN$VlJ%7s--z)QfVoeHH_5g!Vx{zB3;9lfe z&u(d@)_^e z!|U6HuL%tWPKw`ylZO?Z>>Q-{IdUCwOoM{Zm9GhJP_Z~EelC21=a2h)zVH6o+~kx- zPCo^=g|B4CL+r1&826~Y_LgA_TkrR@L#T{o(F6VgU{ZRlr@ zqGf9Bfw@}yC9kIFM_G@}99aRLC-F{yU1q$8IBd?OikwJ1Hgm#;mb*2uDtAx7y5n38 z85o&TWRA@{dt{PS+sUQoF(W1Ox#6jz2WA=PrN_FJcS^`xh_7{hq)yJB_R2?*HweLuhL`pCw_6y(>m-?r!lWs8tCwg-Iz9+aL2 z4mJxt0-x3?c}Hqw7M2CEqlTiR`Hb45co}p0mB9PEGWxx70QzCOE4$E4E9`Nyu?4s+@Yk4Lhb2DTgDcPnUQF>U^xZx@i*Y=l=Bs?u}C*rQF-ObyRPyB zBns+^(XWfqtBX^)2#OiY#iBb)zmhAZ?S_$c=p$WjkX)-$BY<24!e^u8RKWJ7V4{3b zk{cytGPc2f4vT`44+MVy(;ODY+PdM=mx~NyWkB<2H!-dOdIBw`e~G(rw2VOQO!h{V zSzUWG-xZ+;tcnbB7_T4KR8 z$=MbiCF}Tt?i_5u{w8ZD|3l>JZRwKSt*%*tzeVVM3Gx8BA0~~g{Jge!PHQq7rom;|zi z;0*ZGlS{)Dd9VVSeZyw_{u=^}ti&6oew#bzC3JfAlfo_|xuovbw5 z!Q~F=)5^p~L%&=*LceNEwD&%Aty9To>|vfg0BpJ}qYhgsHEzNN`wTdZ+e03LLz0I8 zU#9HE8;Judd6Y3oPLH6nLHYSnx+Fh}Wt3sd_S;Z2fp*-G%E9s%+FDW5udQ^ATd~Eb zZS?89QYqWK__kC$B=(0kt@I}@a)Xn1n3@j6?3=qI1B|d~suSCuRnWu~Wmfg__F! zA>S(hV15UCvn9+rf5=*?dKkv)y5X{}X+NFA7#Y8T%oF^3+e^^^RlkCEu^Tq;MMhAx zAzDa&tQ(r2H26-8DP!Et$9Se6J(WGJ^y_}K^zu*tk$o+`8DjFjEWC&QPDI70(&Nh+ zOgzK`y}8L0UfqRWQ-+@R0D9iz%I~0Z3gg=lTHu6LUKn!nqw{r+Wv?NpiO+AS zIXW?+Tr7xT#K3_%we>(2;ax|9{wW#FUAj$kvNCEZ$cN$ zGw>Olf$!i#-F>G+w+Fo(^X9!nF4!|-&MmCTbvT}2xZ!2MZ)hqr> z;z02YPEzfKiR0GR|BFxeI&0IlQ0$85bg$~^I62vX{c3ps`RGxitGArn zH-o*U_8>WQy_}jj`HomOd5>qAn^8Dlvva<#dzlx;qocnDEzitJ!$JB@=4T!>p6dtC zwgj-1YXaZoeDW14y08-brO%a{*W%l!p3!NVom~;fw}igHyxWU(cLByw;LCV83pH7H zj;w_bl#`#bP$Qt&|Vh z6P=yR<3sr`*P|;xtq9V^9#TS=pebVtPXNoo8gnr_d7G3dmD(!6PXV>@)WvORWY)~ zRLMC^j==_eE9A%`H%U)UVDdAne5SV!^T$J*$$L*z`NibSfyxy|ox9|%!_>GE^}tlF z&o0tdMVeSYa^aUE^L^g+M@-54+mTo^MN2$^5C2ycn(?cRTH^VTj_#%#t18gjHo_nA zHLnT5J7++jJMmq&B9}EG&o>Y&F%uclgceKQ#NvQkF(r_^weuMJ<&e|yB=Ic3<%fqk zkI8pdI5$!6*TNOwzvO^PEm&B&y{*6IbmXQ@m-Ub@szx1%l+CF=^SL_ zQpj>M!rktX404BO=yt|w*y#ku%&8FCYnr;U=RVa$tXXk)^cnfC>W)4q-woZ-=bKI@ zhac&(X4eC2-u(&r+H%dHY6D$Ta+n!WX{T88!uw;KM`2vsIS+NQmb_^f=gK!xpQi!2 zvzVGq7eYH)X=k6%jL+15U_LSyXps6ZIn2Nv$#LCJCi;teB5wt?Yyanaf__e*;~=*q z1Bpx|a!}tmmp-zP{!z*Mqsvhod9t zI>^afJJ!%`FStZ^uKnNMUN|40$z|**a%M|T&IMC-XXi)fd)db{=dF)&B*s&0lWo zUWJUoGO5AU*~;GGx%*r3KfDIaV*#h7VUk78-vo5s`F;bwOxYhn=m9ugSd3l^evPd{ zw{1n<5E)Bs0TJ|T>7)52^af&~HRK}vP{&3eQn5!Xk1DyNFOME5zvHjhRICo;75>c{ zq+)hnr@w{BH;gYFL(l3|eOs9eku~nsoxSzQQj>Jyb5j4)`E(;|_A&1u3!+~~3qM9D zZ<`h@&1ht?xcF|WkhOElYjB!-U|xFRQh+(Il4HFcgi zh8%Ngs?O2z6kJz4X(=0E$*1xxzR3Lp*9Dr<3cnDY_;d5*8s}m+O3h#4G;_bRI`XjB zTBG{(DyVNX6MgE5eSZHH6{>H)-qLYo+>uA=qce>o@F4N+P@9wSRGYg8jz{ps22YaT z`3+~Z{xW=>1N8-e6SjLB3O*0EX_yK;ZSzr0YLP`(f7DYWN^n-$%o(G%mwAqQRPYCT zO!J?k1>3>3$>1I9H)^|vePsyxA^&9!E1(4>P1G2o&1JMnO`}$UjjRoL4IavR$lO)C z6PKQ3PcGmcVoBIj5(7qE346h7*hf0mdP8USP-}<%z@E%H#=T4Mb7R{TK7O!y4RmR1 z&$|QgP;gUVn8wF+o6i3FpxA`cc$w^|-!Tv`1#aY*Z;Xx;eBHp@!0++$oAnEJz#A8W zuR)1Z+^+T-dxP=(Lhv+=yUc65v=be@Pqh&(1V;*aKhP0m--=C2eD)g>^2|8NiM0XU zp-c6V%xgRrouKv#&*gANxSZUss(+!kZ$!tbbzm=}n=5;i{4baGe&1(2OBvt$pVz*V z(a4?*CNt-v1u5 z!w>VE{KgEUa0pVI%M zKg_a1Q^=1zMNj57wp+u(#F>S4X9RYX8T_VJhUEQse@r}fbts@@q8ad2;`Ms2FAe#! z((KL%GH^t$%X7xm5P49cyXaFQk2pgc(aFLm?NFn-PrqEBf_$&#w=PzBd2h>`4j zZ6ntbW4h z^1T|G1P{b#Hb`{H9z7YIPs#nFCodKGWSi!0Lr>0nIp&qi@1ZfFMszGJvnrlZqJ__kB(o;o|uf>1x^>Nrd{?7 zvE3H$N$wKfrG_H<(HL~owb&Ur<72a)=*j3vtI(cWu4; zmi6e%BmbHn+ruAy+s!A~ZT#3%i8&n@mnt!<5}PV9tLfNO;!?3iNDgM-kI7nEgIHJW z=TcvSxWVg{4Lz$ah%ER}?Hzil=s@Bp0x8@JsdqzOqrj1jZ7B`6Bm-m59BZP!QBzjI|dyeo&fmB|{> zCu>BUl;8sJ4GLZ$E0J%tESP-EoSvBR8a&$!Vw<>@J&=m;WR3qv@ts01BKvycJBi(F zTX}giyim~+7r&>R$7w6w4Bvuof)^6=mRvq)gseCGY?pjnw`EFv=br^XlS9sLO2sO^ zqkM-UY>8^mmpq64q+R9%9cWC9#U4&wrhYMf!60Yti0NB=q|mAa|JdgivIYB8hpx3J z#?@)baOh$$ry>?DB(@KHfsSSxv?c8bF7?Fr74A{7eQDfcd^_FQndEhZel9k1RsZRH zu4{^k+cd#b;7_cF%3-k~oF}o5E;b%#?hf(|EF}K)XeYk;dy>O*z?*97UM^$r{rw*Q zzdtvLA6>jD!)6@TQQ}93hA(j*%os;*qaV0ksrb?IoFgtx^obwsO!p=IQor+{z>2=H z9}`3Qpou*pGl=h|P{tuNF7YUQ<-M-Bi;Xe4C4A7ku$q|A(zv~FSIi~9>8e3n*((RT zB{z=1#wAW5xoi_LTzr?;ACSG{l-3tm600|i{Bs@mYLat~x_5^6agAiRrIUi6TPWWqGtnT&1P>jGYC2ON%JI_J(1OChfyxE_^ zabhq>{!0DEHkq8iZj{h9Wv|Asll-{rIdyN?XlyoB)VVyJI&4Q2?Gw6~{IrX>$dYIM zw!SUxa(FxH$@)X zgC)!S_SQ>#KNGy7y~k4Po+s-r_>f#$zdONM0=52~UGM-uR#S5Sxx-%9eH=)|YJvkj z>rZTtx3EgBGwr^fruE4yUU(Kdt0$+w%$ZJ!zei^dBAevSf*+@1-N?5pvVoN)@r_ee ze51r1Mhkuc4gGV9j@Jc+Cnk5jNiG;Y=*#6Ii)?G2 zFaJpTb2B9FbUpEU#G3A0_czA9DK+jiJ-xgsd1mM_)sD(-*Js?cn|y5@{&2`=J z@I;?4eOY7~k)8h%?{bM_OkPpDv_CChvN<_Cv`k>-5_=79JUHO~8`y8rcS;@-oZ$Oa z8K<*rUA9H+j~ij_2Fdw_J%*)xGg}R*Lubtg&gi68xvVQR7CNfdHArsTos}YA5u+>X zI$PNeeI6_Du3}v`r{DuWQvBxfjl@r0qSlr7d)Bpzb*2C0Yn8{0FUC372u?}9Qg!ZK z`j#=sI@3;O4)g%JGssjh^!xrfefO@l%NZRdYwf7_<5*Mt4xVKoj~OyY~i zbIC99CAO0j&WAhg3Z5k2{Kav6+q%kWquN>4+YWGacC^4hWN)T%Jn}U0iNp&@tmfsP zBp=C`D||rszQo@NjRCjjrD&SsY1qoi8<$CaL-WD}v`*-`;%o0IU(y2gEb*4Y|B$ot z;333F$oYx>&kA3I&dwb$o|R5sXn$gizZMFH?48sa?xw9+baWhhD6x{*%Tzul{9K6% zWr`k3ypi>n;w81bDChgWEVj|ljhED>-P98=*^`fncuC2}^hn`xm%|0PCKJto!)dXY!;e!eWmmdNC z)UXvfh;uOw#G90xuR{N0JWnn=Q^7YaGZ2^dv0O{Top`U$dSW1jr>12vm1DRL{x}y5%?5KJ4M^ zefoqy9!cYhCF?&Q|8&n->v*pjT_0Ok^~hg|FRn+%1hmMa!M0f?Z$%59L2tvqo)a1k zujQQJG2V-;Oh_J#F?HlC)wF)`k<}x;Q}fzDW598h*G8)*9P162Zg&TwlIy4 z$OJy_w)MqD!6oAHI@uqu_U`Rd_Vv0%Jv!NKnFS()FwRw}|I|BH|DOYs}sj z9N5fR?i&>Cb=rsFJHJ7b_(_pv1ss`NeGWNZ z;qB(vd-~v7AN>5oz~{l)|L(m8ym_8Ju!91VYJtg;%D%8~+r6ThYior+_2S6p^**nQ z{9a-mMOS1!@w*WNNqix{pOik-`6YaF&Xw>QVghA7MCTAWlJ%LFwt@7%xA}2w7%ILd zI{pE0?`*#wFm;r~PvK%q65nf2Y#H_@1K+UNAKlsLGo!H`qd$fsP+o`c>OY(RD=hXUr zZ&Ttowh&j&(JpS!N)Wq+4PVD5qw0oAoLOQt@*uH1VpsF!F6^_DR_s9T%8;?jIAmPu zajLN~uH@7(`Sm7;iwfCOGaIy`7S3hi^OD-S(fqyW%;<)rwuRHd(nL1&8^6Fy-6sIUn9_g>C@vi5Df-RGx3sHg95X z_|7$Q+pzDrC$OZJ+wthc)f0%D5g#t?F)!@8$>E3bnJYUW@_1QKyrlF6O*o04;yl4i zc}DOKIYDB@PO3d5v4@;@XUzEEu>X8mt70@0V|b?=Thh?c=x!Ct<|+7}hC$!Cr>}|s zQs$`c(Kmf4JdYL}UoyH{z?1+w%BsB90ck%4iCz_|=uBCh;zP;lX%%VFDfi=iw4FD6nGeJ@}D+ zj(EhOa$WBCnGHF#(vCX8er=Ry>Pfk_f>a^7Q^H8*lc>;3@FInuz459gEvN4HlJ{i)pNdz_+j`$yagaXx`Q8;hP_}uIlfXNvPpEDFB{2FQ z$9CVTk^3%#eO|?0Ahuian*=terQvb4x9FwVTHbIvHs%(YI&~yl$lZ7_Viz@FlP%Kh zt6K0atijH}d(@e>tN0(@7jtsdrm2j7w_6nL4?$W8UmxhGiML z^{<9*&lqM%UIsfklfGNfrNQlc-_~s7R_GA#-$E_oyWi$_Yqxb;NVjj^2)?q1wODQu z`m-fI^Y5?u)G|xO2JxAb&s?DI_SFpmHRoCT)tsHX8BeHGvu8ZToLh+Z;5ll#5^pH| z#+>|?EU(H$N5=-uJp0danBg@s&eZ(u+zw)z80VY@=F@kc4a_+`&xZIvI!~chMa+-2 z(UUjrZ)f~6$9DU4Y>?Yq)taQ&Nycp7!a8-HX1K#Ll+Vn%Dy)0kS)XCRcP8_!gtk>c zOXje)YTSoY>(j*g>{ILGg_~k_XFhAp`m)AeBfojyo7+Tj5vK04MqbshxVLYg?75GemhGpjb!cx13hsFqG*Jun0+p4gCs%=!Blz9eO8x9L0j~yJ6gZGyq#+&yX~KB=h=ps*Re~priXNQSFUawI|5#~F(CWO&e&n_QR`%f z8x7}f)+}7FyVFhGuB<1|E_oy#ZFsYmeT~nz+n$XNRo3}OpK0FmS9p(cuuqaF_wSUt zQqZ{9>Yt~`= zCc0Se0j{xsj0XHr4Z8Qx&6<7vM%_F87GV9V<_)`9vl@Z(>`ejdA@N zr(2j$M#ylEfn(Hqbz1ne`@g-)aNO>!r&cid)Woxm^}uC&z&efJGY@Odu_ju4iAAOE*kv5s}-x%7N^N1nAF zV!moV;C#<~{NLE=VnWY~#KtK!?tSd)ipDh`_LkoYP6KOTEHJmWLzlr#wFWSikBqM^`Qi5cMZ#OU*x$LrTfk>{OYNf!7 zo%YO)F|T5K%)a0-^%S3s32k+$kp`Y7YdL4G=D$DxxIh0D$LtyPy0;8GyOXsC4%Uo_ z?j0eQmEhpj8+H5ES2Xvuh=PGT9URQ(+0$<3S@!I5VATTsT+BHR;_jT2jo@Dk^_67L zwm{1o`OTi?npfEv_fEo-PG{YruU=zIz*v>f+p@rc3;sd&?XyF0g2mV3} zx#m^88k7EN+4tUlvH!F0kMIp$b$8I-><;$n5#kq*1la2VZ|)Aha*G zP3>`OM;M%g)(9VBzk3;{>vmNOw19PajL*IMpb-r*;qTBZX!ib?HN56kk)P8(Ywv^A=&6}u zr!*K8eWxv^O>|B2Wtr=B=d#X#o4ExWM@6?c?`X_hTCRE5d{y^8cv!QC&I3QUtoP=u#oT1q_wP@Bu<(j)= ziSF%x3f_$Tw&Q8`5cCOtXUz!emb*mr+PM~iK2+u!?!!T3l_DM43cMYryOAUCV(9!m ztZigJym**SOhL@Per>=S%KKOH{A_-QbDYi~R;05aq+iVMXffmCK*JxG~_sj;)4b%`* z6@b3dM|iqc8-NGj|0z6uh94vWYA&?{DKbGOo0VjA-8iJsJi*YXZJA_@B`hu!Gy;cBDJtEp9OE z+y=vKf~Q0_GpAPQV}maI!p_(aJY|i@)y6tl8ArP9!?bZ)NcT?id}zDw+{!xU?H%n# zptHnv*yVG<0c5kAh8oT->fR`4)+*#MOS8S1&_fG+L0*%wC7|s?c_w(X=C+Mw&m8`i zbM?VItMfkEG6Pm;H#i-`PSOB8F4w$ob?9C>cuQYa&E4c(q4u7sab~u&+iP#<{ZI_L za-S3H%yOH*#WTQVW+%KCx>QrF7lqjqrx6#II&bH7((Y9op|NYB*R(y8I6!=be>FZS zWNI$F4_fS>v#B5#zNzTQpInK-5`R=A$apy`WKKdq?jUzOdUCFO7Z5|$aZv45-VwS9 z|LhRoJ#^_rZ7_p(xh}RHwYQ-G$k%r0F!L%koXgf`S)!{?UK_^W9`|ZqLdN)&<~{U# z=qh~Tf*1I%)$Hx7iBp@Mf?;Pb46BMYuc%Ynqi^OgtU_}xHpA91Xn#`?HaniZ3YxFx zGx8I3w3A|A6dlZK0w=ldgf}w(egACJw|8f!?tSZ^?zIAAC9^gkDMBWY=VWd&59YT& zJzsvKyE8X+U(wSR*$c9s(7W9|{OxmM(|h<^0kM0jm_5bYgk}?~?n>^3G;a%&yX|<2 zw*`*pxiiwdtp-guhHx?Mw5#Y z-jV%m48HUkHB!FbkG~CFs$#^1zo|X~@Hg>QW%u$n#V3Tfr+AzHzYlMl3E#l>>}bKD z^0Q2ucA*oRoEqt0u$kDYZ+U~0Tn|(srt+Q_`qrS zue}uhtnh5b2~YaD-$YguUod-=eFHvxWTp8U`-lG@`v2v=@U@X26h)v(CNUz@Gj;22 zQ@4Nmf#!9x4>F?YWk&l*QyKiyr$bQZK2{f(*TlR9H zt$UH>_^zr%#+w(gGrwqfxgSuEk!zXnAn&yp&OINX@57U@Pq^1->fYjAS}l5tyQHJr z{^?QO{>c&DYJ%sT!M%2PeWHeT_Y1&5zSlDjO>IQ zN?YENPF-YG+G0NFipEk!|3&V!gH`an6}%76D=%04&I{IXzOEd5%-i6muWNc8&_iTU zdEd^xp7A2besv;qXk>nczySLF6`}d;;Q+GvI<6OuRkk7fm4NPU3$nMnb*Tey?~my2 zu*cwuz!;s?YaOOr!{D#PNm5FyO{0cK9)Z zZ9(P`I%QosRC6nZ)^Fh)Y9Bfk5?a4jv(j`b$Q%@%@@YMG1>`>TXx7QC48;_!zql#i zf_AyXwx!xWpM5-)y}E&QIe4EvyNUibs4-dj9db@NV9(qjcGJIlX2I8Q%pN)~@wHZY zknw<{RnYcu0b^~-b)nPPcgdNI9VEZ$Q^8S%*IH?Y-r&*;n?b<*wp~%;^*ul}iprX!$lp%N4w@JYVq=`fd|i zF8kEVz#cFI_(7ZTK@l^(@1MPV`o=zz?o;Lf-8fPt{77iE@J*kVt38;$#@s$g&sXLz zv>tmaXUB+}{?!!Dl2uUKY@=3GMH}eivUb*TQ+FQ==~g3n*TEiYA`X3A2xsvW&6rpr z@a`5lM`Tag%kFk`mFkv&-FmC|l;G#I15YIP4Kb!oLG0kzMOwKBuFjkxuuI=pwkc;> zQ@~le-*AR}W;l7*2b>W*pzG@b#1g>wY4hfd*egT2oClPK7HdJ&$Y910t51d=s zZ|oQ0)%Z?xEY1>QD-Anmc5-hB*PHmvl=G}7oioE(eV=!0hsoSEyKRVW-`ULPPVg`i zCMFCSb&ckbyOjI|&}(ev)cuoh2mh9`Ij835OmCqkR7mpvok-s9bvcjS97wjjdBPd7 z%SgVTal#pTx}H4n>G9+bPWOL*Sdu#1>B}Rm^KpFhyuadT^5dI1<5$AD!(GQ!ds;&~ z^=Zimqj2c(|93EiC;a~uhTu{=ez2^>YQez}y73hJp^xL=WWUq|u>qW&O$hV*xqDo?FCX{7aGuS)Ob@9nY3m*vM+*PV>u5GTB0wD26EH{_B<$I}hh ztTV*_l~~h&Y|eQ|Y)x(>{AFen=K)$d%h7?qPdAD-;vYsna%+ktwjP}XS|?}DPs`L5 z&6ojSM;{fs5iLC1j22L%V$^p{<|n_UK3<65p=jabL7^4aHAh8`7~zz*PAbYmf4O}M z=RV>g>S+Znvo0txlm_QKEt7FAyO4d{h@A=FH0N5A5AHi@P=7c*CK+?IU{8=71DXXc ztGsf=Te}jwFZsKMA@9|XW}miVciGBy&a;MhW9R7@uWYo~GKjU>*f&43-O{Alx2)lV zJt!kQ1RW=Ag-m?w>kHiQ6mnrtkGUPth{fSB_Kj}4sx^QM#t@qAJ~S46YzMrf0Y02W zZ%SrZrk>%2YVoTBbNcu4f$vk>k3p|x?`M(Ihqkq|QgRn@{P431)!!LhBSwq(8Dbyk z|8)LKZjeqZOuv;4$acin!`r-212h~NTVz_`79CZqB^x_VN`JEd1)hm<(4*X1&BkWt zEyo^yOFllbGxB?&_8&f$Ozb9 zl8>6ZEM;dI*(`j49PJb77d@4~D@W z7_Qvj<-;(RWgR8)?WV`plHM;%bw{`pWXIKN^I3#PEFEf)P3tUDPfLD)v zTkyu9Mnq8vnK6tWTLN#s7MT#4$)2+doc}OjhYtkoxtk5If_vBwuz7P9x5RMTP2F4Y ztVT>^(0zyBoxF2TBYTvKOof zU~kZhkbCXyKdEmwgzS5`R&(Dn^~Bh?;dQKoCO`+IAFg{<{}sUYpmL~ql^YG)_;16W zJ2TZL&&(aq_+}!@Z_>m^Vue5JwsxAjeWXE?SQITRfUJ_`UcCN_G*sxhSY>#GeMOa69{8YrtdN-jPk3`*yCbWbKo0YIXvf6t;VN zVYA`QP14?6^bh=9bCKOE??eBXtJ}orx=qOXInYh|vnsnJ<|yEGZX)MbnPLCvj|z^~ zHuR2;P3SZAz-=b^`8F8tpShNJ4f%f+-~UeS&G`Z6Zhq%Hs=L>rPb^&Mw>$5jbZb#p zxBc?dn*F0y=pRpL;?MPtJf+(UzNd>F#!h6S0~lgMh6dgkwv9{C1!&`I|E!A+;LUpr zKT#I4(X*Pj?j6kzJq65_ zd}WN?L|>XeRky3&54by6-^x4j2}3L9?nhTD0j4|nULo;IMlE<`RY0qN6LUp>0>=iO zQ2L$Qj2?FMY2x^fu{QKM|7*Y%+*!QYu%zAjujyXa)!6j;Uih9?n@w9)$Gfc^S-O|A z9Q^rE>6Z$3DqmH806X^`!`d#m>uY8iLn?%j{{!vLT@S3et|?;QVscjyL~jdhH;_viJnCR1LcOE@L%;)oh-7WteVf zJ>%maI;m}*i9cWuW1-)#J);}v0rOY*on6B?vf+o^`^p!9?U~5kb<~K7^DHoW1-$yF z|IqE1`E4?Ga$>7>&RNU){Tt%}-s|{e-HRT*Q?rX`^MdD)x4D1eF80*zy7yl{V=Tbv zL+;Og6Mx{f^vAx>+Gbc+(SFq*S+`;Z4{vS)ye(#5yrK)QwyrYC{e7(4BWHvC;~D7D zSNnFxtlJb`*%`pM;y;xQ)vLIQHsCjz*U$#fW`0|*r45%htog^#n=jVwd1G|%$Hlt) z5b&+~0Q$xEk~YJ-nsLu>H@x!m`Rvub;Bs{5y^Q->-Fi0&oG$gp6QP|WT%X;_GdHF5 zZ|nt;TLO0F9~cMpExb&#!wu-# zhE1tvZ*j9>O-C0}hc1d2EX8;|rcghlj4*Lwk#mA!yUi zeN|KT-EfQUUGOSnJ5TBLo`F4ZvBSQuGwpApM=g32{XSp!UiR3Nzr+skMSL{0aoevo z@6{jZ_N#AzgTU*+o4P&s6ZZLbYyr$QR3Wh@ntgtSW>>Hd8Jn<;gJTy$lPW9m-PCLL zZ!2|s9lodWx@phc3;nwj90u06ybi7Svf<6UjlKD);oW~B`|5jYpL!kG3B)#WH~yiw zpflymu+_g$+@DUoqRu)$qVeac0O?L@MXuIw(CO59x?;@kan0yNXf*sYY>lJ zTZ|4YGMd?`Inxe~ko)dEv++BHgYG?@@TeGmu6oY-#6!|YbljexRa6Z8n-t7tT#P*= z|7G0XI^tu-(kFX9I#JCdq{h94JU_%LNnhfB^vB}&FMWUhd@f`@;9eS5LuyYd`8(X& z?Y!BMC;eD6ic)RWh1{B9*cgWC(fmDO?uk8}+81Tn*04@r4;Xfc>t$MkIVR9W3~IGW zo>zEz2pXM5Y&&(&X;=H;H3pp7* zC`aN3&|Rc$?7)YDv}thmi|;aO=E-**XS)vtU2KF-#_@43@{bcZKHf#@lXCTXu z!{$Q18-)WC$p!R(*n1cFsH$_}d++R-*^>~G$PO0~VPFyx5hFU**uHI@QEqyyqD4UK zDbUT$O9iWf?dds9oLm5@1~(;Ytsr-;^=PLO+WH+-DUwx0DoYfY(dx6CNCxG^evn>}eYdM)-Ot;Dnj z6lmbRKT@$Byl0MY4n&Ubl(wCs%%Jc{qrm*cVg7*qGa4C)jtJhT>P+}+SkuwqVH=_n zcW;m1l}33%Yrsf!73P}xjJworZj2`C_W~PY@WE5&**?oERP8pN_hWaQi@)Rcj>yoV zx)mIxMW%wYb9XWaNYWPetn=C_d*y^zyX{O4*vXzd&t&Qrnn2El#7DY0n`s}npn!0pIf7l+-vR$a?G z$t?5r-t_{9*J~=l-QMkiPHE5Y-DmKiZn!Bl93H7ld&SrGbbMnuuo&()o?&b@VVe>= zz^LVdqn!Wc2NuV{p8#{yblu1w4?nHIrUw5TUFuV7f4TUs7Y&!aJAK#-+_|&Np+htM z;X>k%MjcEeN2fa|{S`Z{E^}%tTW1}kRwsU5jWc^MbjY0Dn%bc7DZHkIImRn8f5uLX zEU0c00JEvsPYWwr5{n8kT9?Bfs$gfqotbG!Dt6A3% z1yA?Hl0%EK?OSJzH7<_nMp3EgK&*{tWf=J}zAYuzsx~cw|6=0sUOjObKc=UEtJ?SU zApNKn*cq13fCe=7aQL{xe!}k)z8a|kH~oj{FM50>GE=T!I*dL2Fn#5oxdXkmN%8VT z%}xck#NwgoRq#;-A8aQ+a8uiQso;W)ebVD1AIG3BY=_MuvRiE^`1T8 z#DLyy1V)Ckt@})$)z-%R4*8PRAT(uoG?mtirg+BO!=+@WT9R}Q?jnp5g_3S{OOJ7EfjHRNngK3WV=nOs~<7h}9 zWZW4Sl?(4;KLpALheiX7QKUkhbuIkLrG2^JD>xe-a9qg;>)cc5I7{F;_`%cf@WA0> z|M!R2$aSfK%Q?x zeB02Ttl!=)yfu+kAG44j_HA{hRgoolW?KE>$IQJ_--z7EM@)2avq8`lX5BZH;QJV&t%}}No2Zd-!>PWM&}(8 zd!2}G!MG1dy=Oml^waI*EMO_iKnE%g29fdbclKYG@{-r?JYyMI{APXW`Xs(WVrPO5 zuHET(f?32*WvMt&YthHpUZ4rqZ=4`_x_DPC68Gx{xF-6G=po_fXG0H1T)ii2XH42= zPuiMpnL-cfJ%b9gNH80?2Guu-W7*CT zPY&Zx^a^-E-R0Y3R&b(b?_gdT z!7ug@ig8{Xeo^pUcdVhqZeuND3}0sc=8n18{$#vmtc{Vi+~>E9xqo}FF`xcM%_GPW zKYV&IzClUds0(1{qw@~^i^OfYIj3GRsxD4Dz=A_mwWKRU( zv!t`{fmGk6pN#9~MzyYa`2eJVg-qlt=rvB(vScLJOL;y}z1xyM`t9t2Iy zfrg%sCN4ipJ}_ppM@%FOn!9|c&_*;u-^E8cT=XJ5@Su!?%;`KmNE?>wV>0t&))V1b z@9acxWxRUmLDBxH_v|4jKC8{gfX}C8_Um&`TkJ<~-8>~~8H}ays+G*m?nizOU~cBg zY`LE(Ums08yo2>!cvvN4p=5S_N3y&vHueXTI!UufBGdfup3>Gx&y5- zWng5aLpcIthRiVi)@lT4(!3AV;-bU&=`2hxM6vQZySMF;>x+;`$FuoAIGe0`hVYC z&3eVc&e}gFekF=z#M+EWs4?!SJ4xGMpmMqOG`HEQpRjx z2@NS)qV4p7wr_KF=d015-$vh?e%wI?w4ciIp-Uq-57Hi8WC-u;@W|TF_d$5HL-u!y z3-9T{BY>mj6CEOZnLVT@{Di*whBMp$cj|iNY$6NTrvv*-8}!JPmt)tyB`SKdeP4s_ zymGFVi1&{rjvdn@zrCNeAI62a137!y`VDZ)-W!eFaR>f-HvoI7|3+YcBYl0-aE9&X z%<1gR6zmgU|18*3K5&oB+sB%b$Hx@hDH|S^m}BY0Z@DmJgw{4avU8{GnI3fT8DMFVwJhk6y}J{E2G)(y2P)7P18>8N-_DSEC-sPp-%z|A z`#<}zBD=&_p`=gZC_aiuzs(xNQ9b!^7Ux#&KM5Tg%7-CmYZmM30(}=@S~Eo_hGG$e``m=Un908Y!L9C^3C4d8G8{nrSO^!T5?JwJWttcPsZ0H zH#Y^e$iwK1d$$vt$J*iH{_q&$gm|AaPk1&=qsxW~KgWh?zh0ReDXWaS_7LVD;|?*G z@brl{Hu|i9pSce_3|`_aI>DX^=oVG@eb6ptBY|&={WVeEpmYT_2NIj-^EK^m8{v)q zjZwvyC#P(`T2Oo{fGPHxMtGa4^b*nE1#XVS3D5eK*kF7vpGDs&2w>0!gKq7^|K`0Kjkx6!Yg|i51iK4msW^05v#JsQ+WG*t zh*sWj3rGy0)i71)20zy}9e)%b<*_#%@fZGKv6`L_Ok zYz1yRn0s2Lj&4+gy#*L;+S?9Y=!_Aun9x;algv#z;8)tXL{8EHvB@J27V>^m7_oVv%h%$kP*1@$I($(4rsHCQTDK zS*%eyW`BPIybBkWVwg--bR0-hKp-WQE-e{%L4EY9NTE(I~C$v{HjbT6Pt_d_kbOYID!tVdZgf)-s$&( zFH&-)_>k2qe_kW6G)-)N&cIX5O>2OYu7?M{sPrkv(&s|mlc&?wS!CAK`_aWpXb(Pgq2apq{kCkY;eO%-H{e6D93Pm?=v{5u z#NvT_^kH%fF^(j$V-L6-e)vJuUh%6z*!P0=5PVpDfy>0VinSo@#yf$1I&;0Lvi_K( zc!9*eW;R?}6VU#}YL&SMJPaF^_}i$p9!<-}k2u>Nik~_;I%SsR$&3%$i`nl;bYaK; z0y-A+lQ;JA8{ROLc~=GUg!R$Lw_X`fH#$rg3VxY^gg#P|tbY>r0ug45NsSJrywv{2R#%G!V2 z@t;ea%HeGG#SYm0)V`LdZOw&)g26ihjXvNf?al?H4{D95A}~VMh%9WE>|HrR?Ag%D zaD4wl$*jOp2VPQxZtTG$B)Pr#@KEW4z|N{yhUsL15{WHkXKt$j^ z2)LJ+_$~wYLBQQ?jmn-uBIgwBa~k05%<BkiOgWcdCOu>JX2Y==#`!;riKf0`0 zACb5!B8NAMj8MMgQm2Bg$RGB8vTnJb^|a;4n@jM^iRn)6PvCR# z2KXEPtMHFg*|L9}vuB0o+=8!rAU)^^zNVeZka%4B>QnJb>F1tQai~*&Ky1Sk!h^G| z$tyJLR^pVFaW0v{@um!kQBrs{>yYRK*m@aDIs3g4K5U+H`G^027TJD{ZdDA_?4x^w zHFNb6Xy`R%W2g{*EU`)WlP~qjxj?cP%|eH9v(i_eOsqJ8-_0{o#=ecS>$05;V%jQv ztxksGovq3)mXQMtR<+m}e2;A5`|rv35+lUEL?=bpP`rdTTk!j&=3`zg-^=+XGS2e- z{u8(uVKc_Bw%(^ZH~WaE$t6aI6kL%ODXS3PBEBMN&Sdnp@^URv_A3K$F7aRyVqK(PkwcZVv8$~XxNMg-d2OA{dhTUf@-6eUTL&;EKA_kaRqaD(Va;|C z`VIJ=y8>K?zk**9&t>&*5jjho#5$n~wZE0&?H6W@DwVaeE*)v=c;LQ6@bgEae^^s@ zf^!2!7IvMxC;CX?i`YUBDt$s^t<&20BNd+pUvnm-gFP4s){F;tD*{`^_srTmj+pxi zv~eeP(2dvxw#yn2bVM1nLFBXpZYG-0H3q8nKYWQ?{U97Vg-#F?e-&vz?T~BL5AZXc zw#juZG>UIyw=-HIvulW{Ftd_A|7rGeLa)PjTGPf8(`Q%>H%DcC&#v(MWd4(2&chr? zNB2*&2B5D6w?vscq~<^11Ug{FPA$>@SHPQf{ld-YLt72Xi@9?f__6q22X@Nb6&X4P zT^+rBJ@62jUy1Mani_ns-FXXqtHaZ&^)8)S<|N313Bav#CvX!w+kZ5^F*U!TJ*>&g z-Xjvj6%Msiwwm9x)>LZv%dlq`@OA3P@w@@p;sY>sf+o)d-Vu0S3f9a)sYCfLu)ik$ z2%i4Un6v)J+JL8bJJo*nE08hbed@NV`vYgjKE&7+lElwo>OiFn`iCY1YY1JbSrwhWr}QAbw>2o;_M*r z))|rc9q3|>QQ%3;(-df%`@Cmi12*zaU`#*F30mat=dshaw_9zk_<9yGrv@M49rA9( zY>07&hMME5_puv?i&#^h($+3KK%Pq*>~A0NNjx3A$S?RP?G@b{I}HBB$!MZ_E_2a+ zw2L|O-QWiE*aZ7)C_D7FeaZ$cdc8xLi2%Ce)ML`;Sa?$-bHXS*w1B#fFt%>Hlbp}R z{7z34Z&mUVTs&svgNqlVzf>1u(>tssR?SB)oy4ZsqK8*+)SShGfYC7g;bXv?@nS75 zfqhkM$1+CfDr$VB-=cpIE0N($*`dbGVjN`*i=};y;JS=qQxm+Ug1N86T2DVD-=UWR zQ)1dyRss`OH?W9tV~?Bwx;=O&y1`D(TG;?CT&~t=3?DNv1`W|Vj!7rJ9!$Y7EcRs25unNBJwn_S3gUqvkz`iHQj6}^w zwZ^3O#_;A?k(*l>bM|8@-^e+3ery{XqT-i;%!ek}gXWII=t{EZ(*Cm=f8%bt>4uxW z5}JPh-FMx7_no1;=ie9l{_Oigw|{rRU9-PC`|kT@&&ue0=L_FhFyFpw`n?NgF1kB3 zYyRwe?=HA6bnpEO7R>+NeW6=Jvu>xndvBkAw^T43)0KA3_2aeM@1CPwAG=<=d*_p48bOOqG9zv_**cKQCeVPD3q2mk%8KmT=4zx-apATc^IW{=p4vUb^&>raivDCNF*a(tC!y6@K^9qt{g3`sJ^$ z34ZfT`_1PpKmFx%e_-cdeaY76zWUuiz5nh%*0m;n_}uD4FVFhn__{yd{PobNyze9? zG&~l*a{UKiUSII%A8i_S<+u9$_1e<)e;M@t+~2JD^0;3NeR21SEAM$F@ywF%&-=Hc z>%MjH8{7VE#ZMYvyYd@f``QnGbNPjRfA`7RH)fWdv*`7oAG-49+D+@Ok6JTdefz@M z=l*Hhz?wIYUzyRccEQ(r{CD!o|9HLk-(JV@v)W0m zSv#O@)k-w}u9|t*UGr_&jcw1KJ!`f?vWw@<|L*LIzjN2j+wYxy@vPYkFaF-`_u30D zntS*C7u`31{$2LGnYZ74>BZl<|Mt6PU0hV;J-vJOqPuSY&c$BFPy6C~@0%sJzPsRi zv+W{VYST2>xppq;pXH+SulY*%XI|9JZ*lYJBW}LUmieegnb^UCWmo-$Y zeD;6*hvcE@tJAI`KeBLQvE*x34E`>8^zf5o){s{X-S%=l`DG(p?##SeD>+d7{a0gG zYpeH_PrYp6)!MG>=YF^L=T~cATR!8^w1Zbim%a4B`TyE~?4)CVsy{UNAI3hq_ZxxT z%_U=7uRXXk?V^{){_1Cc`2N)o{CVulOZHX&@~tPo8risMZ@BfEFMn<0iLsw#ufOzX z)ysAVfAg*UtLHyAt1z%DBURtPyZtY{w8a_Ua_Y(tj2o`_#--fVfQzvbQwtK4VLb-({y*ZZmOdgtBi|LEDSy5;_3lqL7Zcx`-{ zHp=&Rkx!%SI`Y&rslSl-w*0)S&TZarx!;RDhkCK+F<*U6D|zl!H;w7`TkhSrz3Z8e zXEVI#lgSr&zX@e4VH?3OOsP6PFOO2zwr+KP-n;T_*^aLE$+gD!lJD|z`MssC=R0!m zZzZXJSFiei<=xxj-Dhb+eg6XeucH2WT&JE%{V(v|3*G9>ebalN`(HE`qeFAB{YXj-5DK1``i)L%s!!u?d)W4zyT|BJ>}>wKY5{yMKZe=N@F`RD8W^X|vgA11?3{kbn(rT)@B z<4>N4z=!|ae?!jEOEUZEC8NFkWVdU1CQ0te^AkB;=`yYl3~-ZgpkA_v-;%cRJ9RC; z<(^#Ek*AW}f1%J#&ymmY(wGbNlGL^QmiuyjTel?lL)W-zEcqZWZ6Z%y%Wt_a*SX!2 z+}HH9G=sm?NcwyI1-{_V{4f3m|1baLcnD|w;3iG~p{}3TH%*g!gGhPnJ321&xi84Q z(WJ4@bado+_uMD{{r~@;ATUuwhO0llv)gCCqnFIG^^(^~oj3nq_9NBWtjK@-{IY)E zZT#qczs8m%|NZyh5cqEh{67eRD`x2>lA>OkNG@rTm!^?Rn&G8+c*{C0<%g zE~&~(kC97S?WIlRl3wuA7IH~jy|jy5Qk|FfkxM$@r6c5$n!R+AT#`21O+j)=IbIq> zE-B=tLUKuCymSS*q^OrBl1rN8rD^1nW_W2HxugYNdVpL~iI*0WORDnHW8{)ndubE7 zq!+xjgcQ;=Lzj+X|JOA2|ZkX+IjFI_<{ zDe9$(;!sA(yn(OS{M= z)p=c}PS^U?uwNk_cY zOfKo9m$Z5GpA_^`4!NX3UJ8**D)iDAa!E4({k*^buRnjXgSq(uS4Vp9R=wo9TbNJ% z9jT+o9}D~>WiLt|FpM#bJEp0GZ;TjTR_T@-%`D%rD=bTZbIg56~U>>y5~N_J>#OB%IsX{)xjlzXfUE6?BS8#SYnm3yA4 z9Vl1@b;|p*t0~@IEv$l;?`388B|L+G6J^E&mvbV_NKVWipcxZc*<4wnJZ_xoO11JD zVdXV32hR=4S1ZVGP`(+U{KH)1i7Q?WQvOQHzhBDV-dVoXQJk;gvAJIL#f67^N*SxC z?22%Xh8Nd8mKjy;U0XuAr2**=tD|GI@PqX2LGH!5M+%oUYHQ2L%ef|nD>eX+a&0Z% zPR>+36!1c|ZZ6l?R&LP3i+Puo-S86LC54yb(Ycp*mjd&>y!(*kyh|Ql#=FaShtuiT zlEM$~pqvVAEuQ3ZvQPLC$t(1=zy#OufC-ec!oC?enykE27jO)hG%-fo+l_oYaqwCL zKFSlV`|lLY@WR0x2(L$;>My=oFR}dPC+BM= z8OC~ebO3Lp=W2&2exsgkKh1kV;pcKs_^-;N-gU^k9;2!I_vYs)PmX)@H7}px<&#vd zWCLZmyt`HV&+=|dkKtv04xXYfYVmP+?k{FXPi?C%xP`Y!M?9nxIVRqBwW>YU-$7P9 zcvW?H^)JhJ6^{s1G+b=p*9mV0XidrXV|Gh=TW>)}VwKOG9Md2>W~(~F_- z>e>;;1|nnbYQ!6u{`<$>D{Z3PfAre_N7`|~YybZLWcxY!i_=4ARLG;}pW+xN8 zj{RZT@u%n>qR2{#B3n)b-_r(OdF*VX2dsN)*^TmPb`+w_QI)z8#tSjLQ;&}@^+e@q zJjFg1&op)=n1E;BJ$R8B?7sO|V2lTz+24rx@p{7>FH*T7O?IkP(JPtg6h9luSiA{N z5w%ni;JsIod-*P}8~HS+5NLRD9 zPJm}DLQfN|{Gxbsu@i-#{)~f;%C-22?ZAK?4@N(&8JmzZg~-EoXC+fv-6s+i+5vUsoX2ol1DbSGA`_Jsi!e6X^cx6;{v`gPNNv7QH;|CjMD{- zQy$|4{23P?a9LJ-QQyL$7 zi?INHfkr&o(W~L_a@t(avB+mE7%%_*jK$i|7>iQiDq{g|{FlaJ>)Nidh|c(X#=^+c ziP$4@IW-pZ*8P{p!tvwfjE*aMu9DZGs{4iKIq)^;0yvz5-imw(RsFNEUYAo{4Lp67 zEKm^!&DlrgeR)@+8H#7=CCWp;asqmCWfZznWjK2ZqMRhg4jVf=B4w3~BXXte1iMgg z>kzq;e9YGlj<|9Q`9+yZmrj)p?dno?@$GtvV3;yX=f6w2%nAO2pGhtvZe06;rskLkpWV=)$~DTI(k# zt@V+U)*9rbwQ1y}wK_Rztwv6gGVzYjc2*LVahRyHm3|^>_oB1xZ*^7{bk^fMDDs?$ zqmnc{olPQo+MAyu6VH!R22p_M1(u&^%+9j3lnhp7QO-fec_=tA3_Lp@ zo_M~sjBjMFGYYwuS2`3N7z%GcKRh~iet6W4=fm62hqs^4{qy1J=UIKh;ThW0cmdy) zo5D}ij+I1jgwAP~o`tt~7X8Z#7wK7c0Df8UV4}P! z%F{i(<}n#Z%BLNH1$cvVy4mkqi;RL=>h>}Bt>*bbDSt?OY%X}HXG*zFU!oz{sX+7u zqLlnjMiu(R>NIB=I}6D3N?*G@>y*#Hquh-;Z4%FS@RYvt9lGTw@v+cq^#$;YV%|Z{ zEWtLwebw&2zC*;DuRXco)LCb7rs$u!;lT1-sox>m$;rgV(Wf?-F{CZRvw#OX^#K>n z`KM7t;Q))JqcrRL*9PMSwORH6_{QQ}V#)E^J@N7PWD@6 z{C>?Y`g|OiyvcJ9CRf$pWH0OEeN*avQvm-A{q1ii*E5H#djLKgOlJMztBD`~ES9|X zg=?IJ89B+v0)@aPD^Xdk%Xmn%9AkmLEcG5#V`1-VoGjyE{oq>7<}{o{*)1{q`l`wH zo?CQh2{N8BikQWGa}YjiUN7=m@I-7|adbM%-%KCc>9`N${ zIg>7pPko)pA)*oGI;4f6<)H?2Wgy?;d9k7Jy`jkT^NmrZ=Og3KN7kQ@%pYQmE*%md z9UFo!Fa(|8JZN>8;z9mQXqtLMTYtcb^pv^vd_(F0?*;#pxeay3z>lgIx@UH3$tPb7s9MIL*B1Z7UGV>a2Hl-8Yj%{6_^&M2plpi83Xel)u|C`vdh0_} z4|y%8ejI041wA{=j-T)(lfGs;%!%Y1JGVvJeuIh{QNN?J_#Lo`u$mu=4&k>?{m#ib z*Ew_I6QUK^5&s^)z2XxYw?fBU!1FWmd#Dkv%?{_q45LWTju*wUjf+dOow*q~_GEU^ z3~677-}x+}>%u}i<_!0{oT}R$a}x8GbNqH0<>XY&u$G+9Nt}8ghcU8(wS65^?`xU0 znF{AQjX}mCTu8srz2V24fbu3f_Cc->A}?lUkT^Xw=LXut?pNrHMX@y6G`^osb@Il;0)y<2Y?;rNm){klo4X5;t`}g(nvkym=+rrSG&cfv&*obIZ_IiqIy|bimFpbrZEq5Z|3aEXBvL-}2lz*zj`>+rbgg<; z#f}55zB;ST$dse=c_PGDwVY$@0>89#Ie;akG9pnp!VyAgg;6Sd5leG?Li6^QjES||$s z(SP92uAb7yL?)59+nQ)s^aA>umRNQcy{t6N`Tf}rPT`ALr`ukEZgLW*Zxepf$ya#? zd=tJ=RqU8`X=@E&1MlU;TA{a1J!z_HXmXH0K>1lNT}^*3K2kS;6LNon7GAv%J30K1 zc81p+ae1i6bCnF5%!%JUXte6wWDYuger=|dDc?%D_8xdl&ZKG5KgGL6ws~cC@^Ev3 zmb|Uu*c8-6cd@FTIJ<|T<^^c!+vicwctI-j` z-_`plOJs7F97yhKT-~!QqUZt-5S}^X?Pit}eVwNAOATC0SxtxHz+_+~v{Nf@iac-@ zWpDyD?b*n>LdAHc=OME$=RP`=;NDh0zg>Biv-L{r2N@wdH-r08AMijYVAlx^+HRh{ zT%oRj6OzGwt}DNy$*A1=gv{^ zNMyXP#8kSEz^39ap~&3Fb?fw*HhUaa^i2-j z_nDi18Tgt;`nYTH?-^*kco0|9uCVOKP;OHm_yTt9DZ z_PgzgWjmu%r<^2CeeX(L_6qoRPF=3lC-o;EYdQ(t7*emZh}~FNS2K1(%eP#EZvDC* z`9SKvj=Dc=mwu~ypQK*u5L%V;>F-|V4FUVTm80R&*^Xb&aP^%xGblSdJmMVem&fI# z2Chr9J7w}{xvoXmaAkA2=*^5{&6D!s2grD2>>&TO!siqptr+hUp0DH;veVUf*=4sI zpA){H+|l?I16fTw((KzBz6W1tXXILV!eZ79ju71{I&%Z|;(bKg!Y{jhpWND*spNo< zU9zWCy$(zjKTp25@^azfPUeD6{++z(@yqFpjE~2|X-jV&{@zEuczE;@d#HatU2lNoO^2c+-sz1zFK zQT70TKPgMfka|;cFL`az87VJO$@t2gWwn%-l0n_(EmP{Z^pqW1zCFYb&DDBxdH3ed zcHgww#3oE#9-MyMx5M7|+s|nC13lY)+aG$hd+Si-Q^4W-9&LX8w_cm!O`UDt(pgsL zTx(MO^F7|ziOebD1Q zD}9M(|6bnJv#ko|z0eN&e+E1)ThUNat?)mtyVH$acc+`<(9PC+3>7Jl9ur)G?uPvP zF?gKeA7h#4QUA-js(Zw*ftR$C@soDGzH^derUTDB`V(Z{f-Z`lXPL3S@_xcx$(q4y z9-V*}^3Ak{C*Y&U#NLn^dnaqcdG>7qP9ZE+Hgn5|-1ouX1&`CveKOHqf9&c%R%Ryn z{IFkW#~sH_=q$Z(`Te(CoM1gb*$b0J?~WHze)8If&sag^LRMeRTE;hh zkx$Botost3^@wg1{4~CdWuD!<`@tSKQB}1N{qy}UoY_rZV_kE;s;c{VuI9q7e!9Cn zj&5n+_QD(kU0(Q)<3m3DuJHR#nzUSo8SmMMU zE#a$<+GDFGs(TAFqKUpA!m|UJ@w{5atLzXW-UQZPezwy=OlYti1LJA7GPu8;_|sp9&xbC-m|As${J!#IE6i{ zEf!e>-jp4Zc5CePcG5}d#rsx%r#Bz9zy0_O=<7enN2}^?OAhvLld+NZdGmua&LZIO zgt^1DzX*~Ghc zFEk6yA^$VMe`uB+NmBGJG@HEbr#*RARn<3{U%VV24Uf&kE|iYVggTJBXOMxS0F~U+6K%2U~qaf61-~{)VU5@$UX@ar6c?zdJB&8+u)o?_WjsqJLngYiMO2 zjof8dZtlNpJOh97`tH_y!yAU^mEGnXDH}1V2swDWNHve8%HbIJnPi>h`p_P=-Fv6i_+jjiH|!Vf#g7C9>X?fr)PdeVB`my-Sb zPl2adGQLhQc8>5Z8Dq-)h0;fXA24b7)Eofa7JHg&1NvLKt-za|+eE)oyA}cGukj4*O7{&(`7gWWSE@OV%rO|B)9(1}{`a13t{Me@dQ@n{rH@DcD)v{#g|&`c z8Lwx&>yUT72|EV(-j^MwYVH)?E^`o>msx#w8jRU5QoP=tyfVtzF&FdXyv%3cdGhPf zVlVyVu})s=>L-8fMKhB^$pQYw(nf)8ijI5Wbcs2n=Xg&4OV57h3`yqt%evDYbJN8= z->Ey7@q4i6JHwVJotHU9xUdwv4{Jf#zr!OgK^I1j_^>N3L4KX?6XiR@$zbQJWyr84 z(1jL74_J+iMc+q0Ipv%rS~uy!3EUe|7=vx^%$)Frbr zPRDm-as}}Y%5drcjlx;%MExwCnjP8V=XTn8g6vy z-#7eB;8%>j0lz);pS?RpU5bUxe*$&ava9O=T>-S7_4Pm!5o z&p`L@t*_hLkUIy4Jxcw|NxRQGL_dmk%`;N^Q)-?u+S8wk(3=iS`Vsa-JsI_nlzA%p zjnAG|eTC9(`cx_#XSkaU%lg6THrYAN$t1~~S!@airnk*Mfc+t5KL`nroBm^E^9U{X zADc0$NZB;5<-}I(V?tBC=kv6|=Vbb|#1C#(HV(Jk{m17YxSnkJB#il`pN|Km1&;7GSTBf z2h|+Oe&bM9d_3z?6~B#H_<;)kTKF~EQ%aa8%D0tD*HL|1v$&Ig*}vPPz7ssR#-Hu5 zrnI8xyv}K^jZyo$5>9OVBc~r{w%SY6zwInJ#SX-)+N3;t;u0Nut6%B7)0TY3T&S?K z4wpyn@pz;+2dUDo$4)j!z89EDnZhTN*DdkpLY3X-LfzWCbr<5seLr%52x*nhOw^YJ|Ywv^HJ&Z=f~B40ee)(8CEooJQ)nH>XX)CJ?)hjjA2$Q3Vi z^2P)@)UmqgEwtfzfvXd&RQe^d`PV&hBYGwC;|-MGZGO4`B^T!=NFR3gdjG4;Z`XCT z?}pc%%o+0DiXM7x(9>%tJyvg}2YLR;UcPbpYL3wABX9M<|7V1zhp|JkM>AY^(#(PB z`+L9Z(%SUj^}Y`d^qNydFPVQJ`#(~87d-IyqEm^icT8|(?kLvlS%1ho$Zk?&IN?&K zjkUSSZ8e6$nvAU5gjur*v;JaqTbn^g$g!_C#?p>J^5GL1bed2EK3Z?QHn>j~TGnm!V0Z+n=ykimpD?aH67scQw%LCz&FPh;|Pn+Ss((7)xx8W%W0Cdt(JNEOxBt%k$(4TqxStcZ8T&K_|TaS zYztX6rV&+Zm7Qx0z$|B!8Vl{se^TRuULn_UPUeiu z37r>~_A!9ju^hb*{Id^r`PA5i4h9VwD;b-@OVYz5EIUEwX{FRiJb?7 z$C~THjIH=!WyCLtWkf0~i4kD^_u|qFV`ObccvPuBtbIgT_xQyIDn4IUU*Pp)^ds5n zJ_Fsl>deu2p>8?`_a98xiqqS#?1I@jjAf}tTm7^z(00C4v! zSft=AbxA#`G5b{6(Qm6V*tr)R)}6BhHT#LotH6Ih`mP~6VJ0fx)$CpH0DKSEKJxGF z$tM?fBr5NW$+{rCRr}uT{zybPVv8P zYtL@|=x&|$dwlWp)}TXmbN*KSvi2KE|E+F6b!KFIJopbkw<}sF3GZZ_GVwJ7p4ltA zcz*UfJ!Du(&LrjMaA5kDI5r)=apysz)5^M!=!)I+PgxI=Ia-(Q`N;11b;FQn;GN(U za7Z-O=NA-&7N(WG~R97s^_I`g6FCB ziCqdAdCw4Jj#4gW~i<~OhlDn1aL zmMQNTJJ=Dwg0*=+b_9QwA-;Duu{vuXVVBwF>A#UO;@dZ-u7BN4PcYG6@ZVUV@J{et za19t9bIQ+Gc57ssek{6G`I3mAgsu5653}Y9|2e~2Be;`;(ovh;Lx;E>pQ7=`*3YJw zZuBZ^IbYWu3C3F&Zwxzs0QYi=0Rky6)khgKy9m;Z9H4kH1dcq*;PXJ@H8QC)51T zqUS=#H8Iio6rZhI^-4S?3&hW)Zht+zv0iq`SH27MMg0Eg$HS?G5CiJ?q@|9-*D)4zZh|17pLHI&Nr*i+@^^=?f1y%k{8FQv4cTbHrht z)rG~d*TwgY_>&>BHluL3T)yAEH{P&aGoBw8Fy20_#W!u&jZNd^go`asTZ5bcga1wG z`0!xr!(M|;$aMUr)W3XuxN!Ice4fV3F5KafwPyIdnT$R97PcFF+oWFfHt<1k0^iSL zb=kYcK4ss=TG-SWvcmK!U%#o_y!La7Zm4#GkykpwxImvEwyk(xYyxeWI9L3GsaJO2 zCT@0GQuCj6e4GR%yCZK@KD)}78$Fx0oP+%gI;Xv&n;07_4O=s_jDggH->dkw%Ffbu zNx&B$>61JlCuOipbI=$$7QP{CKFluzTj~2Y$_NB$7y4AGw5QXL+G(YXvH&)6#%84% zl(MY3luaGs0f$r_$rXXqR;yVE?Qqh>W=`6nZ2EOI3e_A9?U-MoK#y3?C5_?}{KqIF(;(xks;JM+vw{skHE!(%3lQmfDUeg4<@cz0Q z)gLvc=FZ%3URy4GI33@xb5QC%iDwOS-TQs%uY3nid=efV(~W2KA>q()aPFKm zg$_JoIeh36>`gaf=bDLq;~v^rs^e#`_`mZIun`~JbZ9B>8t~*qhkX@3{wwlW?|K}* z*2fp$I5x*FS>#uCow9B0l71PnbG6t$;c0m>>O!tuP^%lGO7-|C+JWvGzYrW54UX!% zA#IEoT*FDrW1(HvK_9O4h1d9Go$PeHV9B6J^-|uwMoWnQ{i9|YKJD;G-Y;01Yv)$# zN#CiH_?Dbh_TJ|GE%Aca2F2Hp4azS2oB{*<`iLLAjNRGrh$ZB!PD|{kdk>nZ-Y)HE zhjyU{U?D#0UQCwG1fHf@65lWm-Hr9Sm7IV&xo(hAP?lzA;GeRN zxzv+}| zz~zx+>7S3-l)c`ZVH>|&n3vL)-enAJ$uTyjYjJSa4zgwysN% zx8c8Tw*5$*AU=Ry{5^aY{12~Y9PzbRG*BTlAbcY_Tkv0Ko3YdxOE-2-V(5sa170cy zlM@8>WLEmw_&RtnN^ z$GZKlfY!zLl)5EWBvH05n!JAX+4$6Lif)BxOFP1}{cpBq<8~u?-GN4-Q6t~yhfY3} z@4NS1@etYxPm4)eDL7cw#X2Wl9}O3-W6mVI`loBjGPBV#f#u>RXcAbKHNlI!_%C>L zG*z~gE3lQm2|NXEJpZT@ufQpZv4IaTuH}ZDLL+zwFHB3pSH4NX75j(q?#tu(wVJ$# z&(&F3TUUK|V=&M`R_zgcgOn+HK*ak(O z!3zT=iVp+NKsW@i6#POqfJ2l|8qN6nxA~849ro|VKh;X&c}uh!c3mwd)v%MT)u+#w zoTbIG}i3g^3+8y-4&0;mHQ$q(oOnfX^ z!}`?N3tYR8zlS3(9;|v+_zSp!4Du1b=~MLGiR0bim!b(H4?pls#x-5}^|vbh2LFC^ zw-(0_E(+fw#yK2%M$sC6{`kIaW!#z9BQq6kbkae|jfxIg6B7D>Zr_l+dlH)RXepO< z#Iar1{vxig7CKUJ>`p^MKSJxAIwZ8=EYV**Hm2$_Vpv_5_QX{ zx=zWNU<*FX#CmLm7jK}^oqU2 zdZ6>~n~FSS&VsB+G;O5Z3hW;nDTngbv;eC{+TAGpSmYzmmHw@0ZT^_L{zm>d&APuo z`iEbg1S0KkwHi7_Vy4_gHXRXdCJw5QscY;Qf` z)Z^^UxUM@) z+;PL7X3}LHDzQ}#yPTbr#jg&yk@(M0e%b7{`Ot7#X{39bJYhtyV-qKpj(UUdA7rQw!g^=mRxBC4q*2g*3=!>kkx+#Hj4lDmb2aE zu%+~Ef04x6IqA@w=-unCCAOP5CDFZiFeecmM1G&;WTkB8DpF&5gSewpB1bJV zUyn~^?EU$g{g{bQ3@4l;4+KUQ`)#P)(`(|B^Wit8&>wb&oyfTAfyB0>vkqVmE~iAY zmLoA5iEZc#=dMt^RPm;6wgcL%WQHMSNjVeTa_qrUhMe3cZDF2)9m%>`*+mq8<2!e+ zrm6XYE1%GgG^e2r9>APea5*#_o$?YrVKzs@RqVOId&QOX!Q@0t=8oazoCZW&X)hp;LaysD`^IjFon{3N>lXW%Y%D?Bx%9ZQf^%oFS(ZL|R$ zU*;(2_}Hw8oA=5u-}ag13+$aG>+#vXfbmRcZR>*1oM)%%lm77g4E^>wJBe?T{V~`p zL&iY$t1LAR!;<*x2IEunba_vn+ddPW5q4_=(|0uT<^5xl}W5j-}a|FZmqv zY2Y;z-pm}o-o-cO$-(#(#=^wzu_6#muGp#Y1K9W&TVFg6+j4532vz2=cas_Zla~Jg z_L=>ks6B3`Jgvp2%w$iJ)lrK&9I4~}d|;1Xjvt$=;I))Lv|dX-SaaH-KB-^s$+Kjj zzCC$l$4U7f-b>jzTCzmyPPMcVcc4GgE=}s>UYDMn(&^CU!iB@ZyGwKjcq!c7 z=4ai$S=j|GKfEVUraS&-Y>w6V0x%bAaND5p;t6cML%@|lpd8#Vd*V2!DZFEOsu><31OS5K7rS3|nG{Dbu;=-sP=F6-8#j72l&dS+M28@I0OB(D4FVgkBmMma|V2p*#ER{bppw&gCKSm4*+ z#FZ6?+vQv2KPOe1&Dg}wGG-afRp7a~LdPrY>y}VA@6bNk&p_xqd6TL3c);uFZ8&n-uA&p}38;Dx|I$;tXkbwaZ2Ybf$s zY)CG?xVWLp_LYe3`Xq37ZFHYuudtSYXJsb#bJxzI{5tV>a56+j>^}r9rpw8y_In!# z)WG8f-|cq_hS_BqLyYy<+BW($JEJ9FRjz|B#u8HjUmu1%9U`ZQmKfOSF17164xk(# zzp;JfnD(HS%P1=<^I*$djosmdl4ap_hqUmM$g>THpp(^Fv8t~Uyl4HQlKVetV*apB zeD=?auP*}*W0C32L2G4y?C9H|%>XjFO|!4v#A)|0aBBP(_zHdTmm$;Pc|LHbaRBnk z#i8WNmUj6@$`SspYUx19<)W*#^ya0bSrvpiYJI_my2z*%RW3ydcj_TZMG#mtv$fVUHvL4BNfirrOU z9A38v_yXe%dw?(NkQd!M{VJCezg4EAnw@hLgD<(*_S zl%!r|yE}$2o4`+eic>I5?kH$Uemnio;d8{MvFby6MS5m@BRJ5&I0?R(=riyN8PjBy zc_?{Zs7bXW(BidscfE`a&rVC3$?MWj1ItEZgNIiG26W+6tJo)py)W;1^&R3wT7fMm z1xFSBQg%*H{EZ@0HGJoX?Gc_Yr@PmfdWqn?j0JR{a9zeh+2yzf4sRwiGWOA*_qxjG zxq82M`7=`GzoBe3f3y4-x|jd&;1z2!?%IXO<@hc6qGPg_%9=(9y^gtyyLSw>%x>!% ze8W8ZX=1$DE2eq+T4PTheL$|4``DA@RMg41#(-Zu9r3M;TIL7ne8gIG;%QU6eeGrG zPM>Ru4HY@MCP{+Kt4BiB!?1@$lAa1D{FpaOML2UK4l}KossW;!u~VQ_`$oH zWbS3BoPgrnVn_a)>n!onG0nJ$IfMzGQ-)fT$wQ|z!AIKUpg#js1KfGW!tW?~Xvax@ zpD@t#(Ic@jvxhFYtJXXoZ-GbULvO-IS+DW6!JlR`KS73~XBeJrlX*#aIdl5QHnywz z6Yt0zE%hAzJuUgf#&)YRUl(1Z6VCA5ctbZ`9K9h-ooZfD>HpNboJjkl@FwrMuD3DX zrtz(OJ=H#N!Hk@qt6PIJWG;f=BmQ-v;pyo9COCs1-;Lz=m^?FiW?HuruM7_m8?5Z- z>L6R}ey4Mw-xr8=ER5fJfu2}&D|3Pg7YZzoHQ(^Ao#ij8xf!}B-#$#)#hP!}g4=813*UQ|q})0t)dWSMz7>o`4OiC!;br{*;R%X8HnDTu5OqKgN?g&=D> zCOq3@o{hhrZn8cXFh-XKz?%SgkuI>0zmtzVnX2|7R&(8qHlMT!eVn%Jen#5r_)F7h z`!n&$2Q}lCr_<~weLmK~!TT7oH4UNE*e5eg^jG;V`$J>u(`gAGdOGT&tjlrliDbb4 zk!4=PJQI9nzGM0FkjE#OXMG?(Hz(vodI#SW$Hea;p!@88W}5wA>yV!1tF|0gsd5TC&wdUIt*_{sFNFgjelk4&KsUvxE6= z*<_{XxbdsJTS*;q8hyncMUUXraf9~8r`7^fA9zqIz9G>>vDjIeujaD1RrB;6@YtkP zIaZH1Fb-w#p%k1RJ0vpopo>4*<;39q*~OnnUPuQ0^}uki6^QxNcpX@E%E6{0e84hm z{l-3_dD;#Ax;)C%6O}tPOL!D>VrwBjEJNphLK(-5ck?>&J8}jZJCAs{Z}nmCpEK`! z^*uw(&z|_y3p(eP$pI#@YOCIIO!OYrUiN-+b%6RF_gtC1x09~Qg)VkKN}Mb*(WRH< z!&5z*gs&&wKiSl-_(CDR)yN}nF5Ci*XLxgA{8xwnFW%lgKC1Fg{6A;T%$(dv0tZ16 zNn}ETNGa{8Y5VJC#sy;4EvY{RwXSH7tG0Hx*o$<#)+SCaTut;KptU9fl4`BR9VK?# z+N28!wYCHoiJ~=$2MAg&=<@0==&;3GdEpfMn z6Nxz`#&*t^iJ!ia|8FPuww!p}d&!Y~1Um7QDLS!d&ri~X3TVO81C+DGOL(rm=~CVEW$d{h z+JM|N3QoWTI-kMa&EW24aCeCXZcgX!rgL}Gxx4Ay-8AlQ8h1Ahnx7W?ShH#AdZwbE z=sP`~c?XFJ=>t!}8}87m^G4x~+^@WTyUJS=cU$Lq^#5}1ro4;2;wz6B#x-5KeP1TA z@aW#2cs2Ju~pGW&X8ut2^pGd}+di z1vs3>nxQeQr$f;|Z=BcT)zCohg|iWx4YchP8VD|kZDTwQ6nRVH9mMY(DnN%bb!bV{ zuqOq5c3Iz?vHJlIy^HJP=3^0w*D;EK$GQscIdzd9Kj^bo9Yj`0%CplyfVPzzJ)Lzs zjO)(z6=%SAfsr#UOOLN!9kntaF|3S!WQVSxlYV1>J8l?Dy9|}riT)!pxP7Ewi?>%B z&X3TCUgb^&qX9V!i+p#+ea;fNo8^JnOQtrO#@MyHCW*Y^z}I;m8##6Ekn`nEi(G-N zJ`y&~sIye$jl)WYm3!{axcOu#_Xog$wX_!{`G@GHjYFX`b-y*?W12htG5lNb$Yb0Z!lKWEU?rX7cnk1>*H&> zBLX|88k(o*;j1ZnSPwlkfE9KIMGuWJ^l-Y+!^OwB>wo-kzESsr`<)%{I@KqJoK3*> z|9NQS43l%2&Dc6PH#rj} z1GYwp-H9stiVP_9)jN}7Xl$K3=Kd=>nb%|h2l$zR?4=b~p_84p^HjVSIqI}{pZ6;( zX%B_=`7GpT$BgoRmp+Wc+!_Iq>&>}S}0q8fZn?l<|rd0ySK zNk_HRyfUYIX5afFuRFm*@L%wZJ4cL6yCXcM<1fVjiOk#;dlH-9lM4wPt`z%&5t|k8J88sA=TDsKq-nxSX24&lRY`qI zsbQIaZXSGRYz<4Pc`0=)se1{(IXg6aFSVna(PM7JKR$74Nc?RDd(m;ah%eBU{$g>@ z?6Lr{qYM3_hs8G{+im7NwjS4dsFM}S&+t3xdeDJRQlCQfnof^~=dB?=Tnk@VkL_wJ zXFp$aeTm7A8IjmlU~FC=TY9c(6h)^fUP;cjvI(DweO1xiyfS!tgu4TeJUWH@U@G|~ z7&T-2y)zlcflaO8QCC`MHaL*NrPmo}$`lk!~TQSreMEV_`E&%2S~_IdAN|n;M4fwBY0vj<~_TB{9ZwUpB9W{+U)FOXy_{ zc|DWe%c+I3v@6Yh$o#526+)2Cpg;|{Ew2n{f${+&bpafJuHi+`z}!`J4%h`j38 zCpekZ3lRC5J!A7uKiz&s$4jmI!`AGqUFWmb{b5pLKd}?*)=iXJW7N*psnMLIZ?f*Z z3We+H?vU%fq}Sim*>Sgr|C}j$pSoA%&)7|-(7)|gusnTu4}8vj`?-=e+xy`w=pP5B zM%;&f^})zqtB4L zcSz1p{MG1m2Z{fedMk`KUrXsS#7=_)rZ40>Dzz8z869TajXYD&y@bYH2~YI%H?~yU zcj!x!n{Sz&zAe5-CWVZyN$zjW8IF1TB%vRc)Ty98fU0Mcl`eJ;>UMBu6QbB{z?}&< zW(w>?E*d@~Y^BN`j(p_#aPL4SL+`&I8R4aX=fm9xuO8*Yb-r7qS>nSj3wS=<+-+mV zaC7fK50tt9;Q1JuC^{fART5XAIU~4o$~w0FFRWvm!j=CQ^C%mV}Ce(AtT7&YL!*lL2)@bIcdQe+(;QQEiEMipg!9#bT ztNFFaqKZdiU%c1jlQX-fC_XuZeJeh>=NIU2Gn0R>#dee*D@5KciB58=C*uQP4(yA> z4WoB-;!ka#ZnRG2Gw^DfIp_pS{r2I0@RazMl}mM_m9Z0a$(p)>J{jpT~@OH(?C)U1w6 z-3;`*k@Xj=^}BDh<0DXUg7^?L!_7^+Cvb6sxthDP?_J=1G$y{lnb02Smfg1TZIPwE z*<15xfj{=HH&SQ2r3Sr<^W~j44D_GIB4})NV!6amA$Pt3oQy5Lu1P}UgucmLu&?W# zBlA)xa*|_~PZGEbyBu&!URP{g zmeK(VqQu^Q*$^2?YRd@EcZj`oG9STia@1#KkaO)fz$cMI;QO4Hl9{M4!u|KN#?#0~ z4aAh9w_Mc~2=@_hL5^ZLfF4m={~2&AUHZ8t_u)U4zZMVKS2m$<;CF|v+OM^OkHH~l z$s+#8WE3=>JztN5q^;U5#&xST=fS1HVf4?vyD~epq`<)%Dh#!?4(SE@l&px;C9Pw2&77&M=-}DLi{c>>gNh|C2 zN$!2-6QSAV@_dy8ZU}6JE;+%jfV;Zy$apxr{{85!=Vo&^u(K!dJ9e{fXl`5Gv*a!x z_Ws6(H9c_92{cn{S-$INb^_(O$?uwtCC%B!RgdJxuI|dV7WEBD|2N{X$RB9Vj#vI9 zB7KVN>$eAEg;C^+@?2~`lVVp1Jt~6s6@ouI&|edS#9eU@`94VfU4c3A4Dw7UuXRwK zlV_kMzEG|_$6A8T*6GeIw&aoAc;JjEx-)CUc32gd8=~(5_}pjj#_!BN*x$?W2d`E% z>p>sRRr^?4o@-|uo+oE-1t9|Hy~fp?A3p4`*{bY0))EujEO0U($rU+FVwBv6s8tGm zQa&GSDHiZSUS8v!t^B?C4P_gJRy!35$&GqiXkp05-0+T2Ju%9_5YT zQDlNQM%^lr3y}NKJ#L@G^X1-rmBfjraIP#PIbRickPbZ24HlChA$kI`MccBUQ+Hy} zz1)Adk`1bhPFltAA^3gRd>bCf*{^^XWtn9uxq%$q0a>H)f7V;3be^Oh(|9>}-d6XZ zqK~2zrjsw9aqa|X?b}1xWwM>b3i5?a?z89%r`L%%-%ODM$@M!XfA_T2`~`aD(Nuhi zA5Gp~dJ_7QX)GS z@cL-xsd!s7gzY99xMEK%J?XAL_MW3;HL;P@JSRNio4t!`zF_Lt}i^JSDLRW&&E1?;3&#(4q zkM|6*VrMoWPkD63N%QDR>KSL{Z0J)O&$JS&rQ@6L__b9@JjfZ3NR7MS8uUnz9!jc@ zXyHP!Q4xQjBWFkPe_}tv7LT0@KRI`O=3dTn*>AuFa0c8%##Ayav6ZZgT0o1tRBRkM z$H-g;WBHXIArQ#%{0Zfg#{Aax4?+dyL9r>u?#C7-wOsPg6+dfG>NZN8j{SVV4^PqP z=kO2qf`A`7uQev{FXlG~CC;I^8~+$Q(qJ5?5xk}5pJS3+OU@-d+C+{EqSrw)17)no zl=&qlI+Ty?hMZ4wt;H7}RPRIgSn@9sk8ASVDHG&Bx>0J6=v*fhpaaWL=^;q9Jm+q>+Y*sOA7D|Acb(;h97>E-YR z{KX|2bco!mCl6xZBPI*IfgHFrm9v(=m6$|+<{ZRd9Q4P#i&PBG_}sYEoL0ij$EHU< zZ0nHI&AQDC$cIhFZsZ?iEPm#QpRD6p?2LonT5gDZU+VP8dcfy?1Nd4iT8ESlEcb`l zHtvALWSzv8DRH@8?1jW+-naS|GJO-)NjcKCbk{ z!_Scq49kd<)&DDQen8xN3G!VvHok-TY-Te#ckU6MQ7 zqm})@3RH;hbe40B_nXW!?RTG^(xWx~JNihT-M?{x)4pEv^06JEJKm7^tRr!8RU7eh z;dhyG5xB}4g0HZKMaTqI=tx!Y=3d5R%~zP8GzxYSBUHs*TcFkxCPvcTM=Z4D;}sCE zNNry`ZSquO7T*(dX?=GWYra21&z(qU&ROV=1ajNO%&m?is`rdH<6iJxJ`CW?2_$VdHDuc|*|-E1P?oc6_eN zW5xy}H9h70<3VcNUh?u>_qV~puz8U4C{gDja91&Dl3P>QhYh+_<&b)3pkbG9Vyz!A zzPjhi{?C2jIU`_>-;+aE5S2lmM8>=PYzg*@+Mg2Tb%v+b`gJkMHNJ|i|Oi$2T$2_5LHPF!nY*A9qxIsR10&5RPIedD?Z1*i(-#o23;eLsX?D%f2WZ%dkXk8pJU(A zI4$(#Q`5Om)2!~v>ixKXJ#%BL=}z6dW0JGFNS!&c2dTTtdF^tR-VYvg&%TwI%x~TP zdSa$i)f#Xr8w1XUi!`}su?B2za?e&0$HBc8e6#O3#OKxMHuws+wc;!MBKQUF7qITF zRm6ljX%AP@7?B~J3N~z(RD?AdK zw^z}VL$ar*hjCZ!ZO#MGM%f>{b=zb;w3VOD$AB5I0}rG|F8eJ`?)OD&{{@^oGzU34 zY;NTqu?L<@<-$SVFYuntYa0W@JoO9%+2utqMFJPC#pr6XY}b+sgiu*g2qo z)DG{>`=zs5`fzl-U_j5Dmu7M2gpM_We+`WFCePHl@yf60@xbfUq^PY({CMSg$q8hn_A&}L#Z1ITWrWnXf$ z1JsweAKEla@aO~On{8O=t#@h>>p`Z)#u%zcF0OA>dto1gB2!X7K`%CRe7ohW@m%C7 zWGaz23!*9)T;wIO;aV%XBWcL6#$T|dNxtVL)D0!qvhNlxUe!W=lc~q6TYd4WD$RO5 zNW9+7eBMedF@H0EXx@=QYhO@zf4gbG_K}}ggpAU5%+*t^^|J!6)aPEJ1H0n z{8l0#NzE34C$$a2m%Q(jm`){kgD+ASQPux{xt(|>iA8|-Z=4Fh2hU$H!9#d{FEy{E z)1U^@i%>>+s;mVYbzsTCU1 zFZER1^(PBQQ4;x8E(C75YbIkoPy>a?@w=4)9 zhZp82_}t4UbT*i(j*5D21Lp;v%NiZ}rrG?j*4GZ+_F*qn|FgFC68T+;IY&Y5S`h+{L{KsjT`~4I`qU9e3hOL zv4#rzJ}=P173b-3WTbc{aUay}GA52hINe?)2El z)3o@pJ?I$zET-#v`^OK zetmbszN8G^1aHGHVyF3;clVI9a`zB9Q0nf4W~(zg<^H7ZjbpIxyYXp|<7PC__xtDk zANvQ%jfH-0fDf~l#2!udDr?6-4UNT5T~(-C?Lj@>*CKmkAFPY}6Ti8gef;;J^@^?vGeGzZtZCxM#@9SKgJMxku)O+&AhHbI(Fgn#47C4?3%UHyBR)lx{Tmb@-cZ zefyYhO)1jCRjv4JjSL667_a>2h?B;9>`i0@XC-`{F~U=CL0%SIq90ZR`u7s#qs~@* zP{fa$+|jRtgXV^aQ{6bI>^t&K+WYMPWudLAexuQ_fcx;gU(ROC+09<4cuJ@q`%nEw z6)O<~R;hDSyd}w3)S4JuXn@ENZeMHvDWARDIsm`<;8Z-WJ;%f2Hgr)rhXAw$*)NcY z(3{gQXUn*{Q@N0P{yz6evEY7EVa<-lX%Ei2zAjsY9XEMzzCef*B8dYnF^@x&SOJ0kJ2LTlpHjS=WdbeNv> zO;I$(gMY`M&^@uixnIA!KVErv#HoA+xdNPcX%@Uy)9izHLx*N*;cAz)fhULWR`QIs zX_gkR$tC5Jca9)5{fm%*WUP9kb0_6*6KUa5} zBz|T#n!P`aA8*te&87k2r}ov`)wxN{K*2GktG=`_N}Pjk@0RD_gD*8kpj*Iz{O({U zGB4+hEI@y|fksm+i?_oQlJ6^e1kH&R1Qh+T{P5}Z1)8!qK+BECc4FW2XuQaslE*4^ ziM=1rNJYan;vsv&#%f&lWs5Hg8B9&Ct&+uPAo9@D~KdlP-Q zVyM{c{X+PbH;EB#zy`C^XKY&lE!j^#m*9N_S^~~Y4g^!r0pruko3alTw=rzb-VP7k z76EQw2rqp_*_PB;cOVC|o;d4ZeR}we$LN{Ey{7h_{O!$iNWoTU25?a|3YE+wGFHlt zJleKQjY5%!JlS3HdTZ*C$8#O>UW|{-M^BvXYD(k^;Z(VvX%KB5I*+-HIvm^f$#BC@w*<| z5js+&>7Nvw^UpOTx6Kh)jJDeYYmp(4ZC?@|D)O$vLAB1heX*i+cvw2|d(EsV5s?@f zYwA)Bx)6zre5QQry*_jTJzP-@-obw=ktM2RE_CGOxt|i)jEBoGJa{i&d5F72zO2yj zB<&8Nr-Ki`WVy=0vG+IlV-4lgVvjaYGq&ny$F@e#7Q9sPu*fbwWo3C%M?lV2Y6mc< zq8FTnM|0%al#ISqFSE|VR#I(V9$tlf#vX^CpGAJmY2Jwy2H{oIp?K-4h{yyEJjB^h zh#d^tS_SR|p3&_0+wrRgG~*}Sm+BH|GV;JYbBOvzgYoK?$QXVdfX;mH8DPDTXYf&f z;~8aB5ngHSXO18;#ucqTXKE3!CSK;fKEKEUiXW;xx}=UYwNQQUmL49&{-V2U0|O#2 zi4GNBxu@|z|9Dnr3L zn{k;>YRNg-ihb{64 z1U6zX3(Y>}7x=J7rNfF}HCf|Q*?<)cy&9au&!li^OdZvfT%ELI;e*VTxrMIC{F0lk z@@i+8zR}|k`!L}@P8B)`@nuRLJx?e13B3;5&Dwn5j1cb;%;$ULf=Juv#ZM`|OL)l% zz8}`tN9|SoY~(5*C+>kg;dfDc?(SyKTa`cb|90Op_BSKiwD>L0;QzzUaG#kb@>65g z4}VB5QzE_sT7b+XIn%;t#r|qP+!_@BAU-$f+hJt=g-X`4f{V2A&%0&jP^=Xi(u(iB zWtJAwxck)m-l82=`PdOtEXL0|a7USx63 zlei4QZ&kCmM8;wMJBsn!n1k}X6-aoshy(4(->vF*y!%lFJ4IVInfQaTJ0EKe78}@$ zSC*Aw=j@>uWSW&dAAczHr21yf+D)EV!qnpl>J&X};%^q%wjgJgC|J7R_~U>_m$CKu zp-U~tv3K+hZK-J=l-jyhHR}taYbn}2Rq9A9_=JP#V;Ou7?6%a1?$)Y4PtwUQ=#7`V zBe^={=~&dv^=b_4MW!IfM{;{4uSary=6v}aXaPAtw|jX#HO8*=yf7{vsd0DF8QSNv2-?`2QCyVy*$1O9c5JCa~Z>3{=tCsTC^+tlS9FKC(Hb* zjn1vlem%3V_E<1s8fZ&f$4rv3ibX{oAF|Cn?*Wl1^>KYohMunjKqodlvn> z(MgH-3exZ6qx9~(eE;S4>dfRj<;i!7z`uOfj9+mx^}khL>ruUBFW>)lWYIKNd*$Nz zx*tc~FKz!v=MH*4JQ$n{T(Yq}VgI^S_v(MA`Zji{bFiBZUn^%}eg9Hu1bONe^s8P} zd#%0i8r@k%-8{}GY!;&vchLjQyh3951V@NtK_`XAN_=NM{#yE$NiV|~ctmZH*A*;8 zFUu{xK=2j(^h+I@Z|0YM0XjMZ+Bw6R#n}^Mjb1kcntcxT>2t6loI_6XIpicyH|Ca4 zkIjuvCntG2Imu^3r}Na^k~!Pz=%cgHyBCE={m7ut2rZNKILr&4`HA8C1vOjYg-5|v zKWm9h5nMoCd`*5!zfAP7R|Rj~DL1_&cnH0FncUftUcjYIJ~uaTO!fz_c*$9@8r&5; zk-e1>YYu-2==67zSd|sfrs|>Cqujx5(3a|IWbC8Br|rAIP4(>H4s$n%k@ki#D%`oT<5-Nxq#;6`+&Mg_a_LYW=^=ajGXk5?8K|?aj5k^b4`s&ER>u=xD@2 zHBYebD$=0^>TlRUJ3@iUa&C<+$PH3j=(_u z-*y4#guRH*0=f9V$OWM{h0}K|M`Q~7%tr1fbfvG4|Ix`Zq<_YCpM5~+F|r9~=a6@S zeI~L+eOF6uv_4=S$+6Nt!P!I4g7x^s=Lf^x$nF7naus}s`gO#0(PQS&V7#@}=U)6e zIl`u4;m6pLgZ-eIT8;3}Mr7VN!PC8> z6GRUK|Jc7S^adCa50ur;y1H4HM(?m@dWsC7XO{a?Jv6NT#BaDaxzh7zG%e&A@J;SS zE--8Jk#~?K^wL>9P43&z#@wk$?LTs-h^J^y_Jz%RN@%3?>)@W?cLsi=`mnoK_gy4w z8{dcBZQ6LLtdaHq%|7hz3mY$C?7y!M`|{GWjKXGgK7C9db~lTfrhlyu`@UA-QU(s- z->fPiP7Ij=`e^`j>#y`;cbBwp8w^L5p{RlKM3Qa^d&2198iXZG;XoF6#ms_YS zczad~S4ZQg;H%)HTV+;wIJvBXyQ~W>mvxNpO&tmzojjWNv)(d~Ut9_tG>N~dqb7fsea`wmeO@P%UzHn~2n>+D{K)6fnclp0 zNmNZ-_`?$swVW8}C)pGp)jG0ro!M&vnc1ZwT@5$eux&u*K8EQgHX zFEf?wB)Uu0JNaSyPFwva67~#p2K}K5@#Rc+2F_-F{cN{3Yr5p>*!$>_L+>%wlMFbH zh8uF-+pOoPz)9A|o_y|FGbrb0eOS+H)zezpVH4io%7X67V{>`m5Bv_wSk7&XmB^H_ z{G-Qm%r(h<63;&OGWI0(!Sep%!GE>Dzf+!<|6|g-v)Y4;eIWhyc>4UP^>sO;n%BMO zh4$?Elk7|Ww>O!uVQW;hi8%C>43Nh2ud3%w@}|~*hraQp%RKpz@xdAU-2*Sn_s+(T zf%A=?47skIbN8QAvhRBbi1)8)cg*cRc}{2_h9zFKde!J-V zW@>he`F+8Esq0}^ob*Z0aQcHM&{@zGC-|(@$eKb^Id3^X@baL$AuA%W3ho<4QEG4+ zTg-FMR?jK9ReHJSy&>aSz|mbzeg`;Wuk8-X`_{(SIM096tZP0E98DwqHF)J~pVhYH zoETJi6J&jvCy1${wq)Pg=#u!`;iYy}J38rMc#3}ZmYi1y<7-d3!T@ zmY01N+Tn+m_@Qy1656I{XO;BWe%a%l@F7oTemN;~d$di_$`=kVFpwKqhtDo;zuABX zF$XkZCA}o@y(zi79Y5U5gK7*VvwQE`FC4yu=ZRk?mg>sd-N01QaQDRx7Yp5XvX*0G zZ$ggs54l%A5MeKJK1sUGTE^4u*QotAT4s%uEhUe1+Gv^$uAB(3Lbfl>|Bc0b!f)*> z3r)%4b27d8q=u_~?YsbMSMw=-qyC8K9LWDi(cSiXeB!lN$In+vO`}OFACNI*UKwBU zic9C6kn!TxoUiCFYP=NR8PQ?r)%^6>Jwz^}UvQS_GculxWiQztA71+vJ51_sVc$){ zYTbk(`{iGrX6@e_yY}l|8MpSP1?1TV9o`?a?iYTUTsQZ9c-_wqk7cEF*z5NHWbC?| zzCdkcY-HY=p$iS;zW*Gx-yVUUHiHqHKodt zz3=r~e)ypuIxJ`AgSTfPGp_UayXrxG?L7g(6K}mgfhUhUx7T0v_Ksal#h^vB3(1e0 zqRwqx4{90Tz4V?FRvNTAn;h-6yfXosTM)(Gr~Bi>^9b)xI~6Wh?5`cW@5nrNN}zWH zE{pFO_x)VHe|O~j949ubIm^Co`&|a|v)nTkbM@Q9pS73ND*agG4<#omy*MR*jNp`e z`TmI0B6;A*-~0Qb@nie&n{ABPU#h)CeRfAiBtGHK;(Pp3EZGCoZaIh@iagA_gWNma z;5p>c<;?RkvFoBscXJ<(K>NYv(RX6O{;$g#!{)2#&>JFQvoUI|g6CX`j702>y(FKp z zyI9}dqLiAaC{Ncrsdo zI#}MblaV$2*hkSh#y;!G7dh{Y8T&pnSB<^WRQkOd_W@*q>pqA|E}HwgSrISJ*W+2W zQPm4IsqaYrXjPL-$t*cX#^Cq-(!0R#rqTNXhGS{o{MTMoC+^u zpNMU%##Yvfp8gcJ#Aa-X*ypfAe6zDIVLXOE2Y9CT%v$^8vlN^?xYfKe`ZLymY}RZj zSxa=m&b*dbE4Z)zUbYMQFTx&nIu$|9jnwXhC$%V95cz=dJ5G^nku{N<=8l$|L}v2j zLQhUqxO$I?ulNC7Y~2DA>AAkee{UAO)3MR@|HeTE0bYL`cOL)jNZWEg%>-UMg2YjAQ{-2-xzY#sfuWVsWdH)g0e*&03A^vgE13nA>B9pw$ zd&?TIC#W93_PdS$CbVAUZ_!_@%vJ-~(0e(_o3GyRbzqIoG9nAuuRnAv_jDX@-ZV^m zm0a@LpT^#&`E5&xN8Tj+Oz~uq1w{Uc&5dH;jp`nM`2>B`zihd;3rGG zYPjl`QEP1wnz$d{#qT9$$V~EXwW5iNrfyz2ydS%1-v#oX?8{m-Fk~(1gC@S9h1VXA z*q4{-(udl8D67w2oBl1St;^j=$awZ8t90xj0mT!qUUeFoVD|7F9?bS7VTKGVw#E6i z|A0(*t-L=PZtmr)k}^T{D4Af?dUx)-BehCgNGGB-Tj zFR>xW4*i)C#x|Uc-W+Q!^1~|bsTP69)nlJRuMq#c#MzZ+k{t;F1%#az_u$IF#TfDP3*%PFLp$ZK$e#tLsPu|R2#T``Tn52DUh$^YWR2j z@L}iueXE`E+7V`vtuo1a(LYNq2mYMh#|L( zoJ&Wa$a*3>i3}zBtMC?je$E`vi@2A_w{pgulfuK?v%$mHM#jqd1#m%@M(z=N3o^+m zy2Z}JtSv9bzF!-MFH?|9=Lvp}jMwpe44c@99(7ds-H6^aNB&2TO6g5=<$v_3c}FvP zZm3OHw&{xlSBQQMT>SR+8)qx|HM1HV)W_Hntnc?HoQ(PK4}L_a7Q0AiUH3OT_xzbL zJ)FoFew9P+F?gGQZ-AWfXO%tYQer0#^hbqGjvtpb_?;~LeBZxH*>}8g^WOMo=i`=fm^omHUi2&3Zg2d|&rNm*M+SpM7k{&z*J9(cYXV4dusZ8?qN9H2c6i z*eB>`lsI-0S;^0RLwD1C@(kY>a*o&~*;@jiI%f#1e9~)NeV#BQ$h^;tz8YJEZ4TMft?J7azZLuS`j&U*>>D|!j-M%?nMv&= z)@4!m%v)3b$eR9^&#az4?aRJ3<-WlcW9g8R0h0?nMK9-1~ z|FY)fJ*;sA?uy=y_IG0=cGmJUavp0qkD9S#HhtV1Gw(eaQ*bObuIS#}%ll+~hTsyo z5$|r)-77o&F&k7 z14<`bJ6#+5ZpVR?Zv@;wmeLa(6FKpgIocL7HEM|`T{ zPYvN4HAeYO(JylCi;Yilr-SxA$FAfz_I`Y_oi$G=+yl?>=LSOK{FXhn+6B}Cp^nS4 zH7aHcU!TemsEt_cDO2!=S}D{PKT1qNG?N-#X_8;T8S;NQ_5RTr@|*qm?)bksGnB8> zqkx}zN7EY3fiEDdq;ZGRdTuBS8ME~aV_q~PHm^Lx_;~Y(KXtaA$vc729NwSVO#KD? zs`=EAo!5nLwF_P}&bL}x`!(LzW5k0np3lH;3hxcrQ;-kQcbPM*QTZjRxx4rzs!mcb zEQ(HXZ!lE&lo^`yh#8t&j?GSZdpURf6DF`RMIQ*wyOBDJY2X#HYZc_wWaJ=|miblP zA%S6jGxX^wF>^;*TXRNe_Ko;~KOy@b>;Ek+T^3`F26h-LYhVZ;aCW0cw}Z^(@z*5y0_$XvKNa zj4-hRQd21Q@$w9#u$euAZ)JZ*elHIwpTHV?HWQ#R>)1<0j>_qBa|hn#3{1!1^Sjfv z;`G5U4DU04B4=5yvDN_VrnZR=Uf&M9ZYLh`%lOW}9Q5FMG@xKA_%sHd=Vtbl<}QtW ztT||WENU8@opFA%iGCPlPM;mA&xnPh!Prba2+RWt4(wfEr{_zt-{YxeOpxg_3*yRP(joP$vvf~gT%~- z|LYd;u#K1{cu{X&EB7eiRDo;w{1u#AP7K9%!MAdENZlIz>D$n=nkP8beNxvh%V_B% zR|J200DUV^Ye+t_9o)v;JMit)y)R?Lb~U8_PdJt5C>b3b)_b;h{0I9%Hx5W{)aOI^ zD0$~sqiBreUH?L8vG5C_#d62L*|rQn-(Q!T?5p?h7(-w4+pbB{*N1@JD4BB4(_(vq z9(Um9<1Xc52x-n4L$AI@Owa=3?bGwcQ9S4)@x{ge&G^IsL=EHPx-TX)VVErpn zze-EXN|$-Gv}VT`T3S~7`Ttc~TH5v{&rwxRkiW6(cN6omQ6IxQ+m^i{^GNO#czgo9gXi856nRc`7tXgbCi0%hdSdHq z%li}O_S%^EosWQ1W9bidpG!-9f@9$( zC-%r!Q)`vj5?Sk*ef8G7VpS_yz5y8Mv7aHY;!n2s$^D^^E;c{zDm*~;wD<#6lP3Qp zxS7J`I!9!2=N8j%Rh#r!8jy9|8%oKq^ci!oJ$iWD@w-^VLg|fjGBo=}>`&wBA4%Ry zs(&s$CPL(HTN{g@DV*=sVuz+~(D|H!l zd!Z|yJ<1Wd5TC4ivfpD~Z*2UX*F$j%e#UnC>OLRzH5L)Gz3q#^_$>*1%%(1N!X*F9 zGO6L0IIM*>kQc@0q0Mhng9HC+qFSRCUPN+M>@4&1PND&OW&u#rk6Q> zcqMpI{5AeIGw-q1icCYVRP-ifD&#U9zQd2iiApRjakIoDqQj@xIBT%Kl!y)0EVRUz zXO{Zxd%75w+7QX32d7FR_2E(Y;!wQ?dK}2;Asm9(aiOjHm%*xApm`qe4wo zWD(9;)y3^y_6mA!zRYJ}UrEN;f_GgK6F$R`xZNz`Y$xdSW(J>U!b|C6Q? zOg%CbGPG$9QuEIr6W5@5dRYJPd}tQAg=^=sZsdUs^)! z-&q4LLJz4OMD33pzJr!l7bV~0zK(m(Ep3%N=Q*R{%NfugA@9HNduPZxWsSzip@H;W zu~@6X9a@}b2Y+*IeD&i|`{L5C#ZCIK)vsavpK^wy)e;@hOyY0_r;xR%f$E3-^(OcS8Ptp)}Z?N zBWrkh`2H-fX(L8)iNH%}fY1W>;qK>R8{gF2=gsG0g#ZEha`<_z_Ucff$bB7e4ex_G zr(^cP9%4_Fz+;m8_`!+aSlH{;J&;_FiUV2kn}4iZCr&2p+TgYJLmBhw0ehEq5?Rx) z=eYg}N^e#B@#ClKt@}$HTH#Kw__Y_iT=!d97cf+QPtJV`fBW5)=BLy;#78>2OEdnY zpAI}OQLql3izu;(B+ zEMX_2Og*Tcv)eL7PJYb!7w$k}g4}@{WG;c}@I4rZKkWat|)idx1_gg2?r#c=? z;+}6fev-8!zkOYB7aZ^_T&y)GTi?#icl`!*usInoxom9`zorR(3EsK6?FV9o<>c{# zXDd#^capJX|MZVuY?;C}i7iXwS_;n;K2;ly1W0GsSdF=R*v{! z%fQhh#c!ovti(6iuRRw~@ix%=Q2qJH5w9lc`d+_#ZN~t<>nUStdQK-ayVxVxV_q58>GQrnMMLvLJkM#V3lf>*)Eu5G*m`{`X{$6kDZxQWd&w(uLF z_oI1{dqwF3vM0Oks(&Z{GU-n zf&0K=XY6x%-T2hzkA41YF1`HX0Oy^i_7(tFgzwU82;1A` z!??savNua)g#hc6_^p=DpOAf8HA4T8n=@n`tVe7}={#2f|3Z#7lnnx0+YFu|JB`7$ ziyLQ%jA>P&LsrZABIGKRuC zwSRQoOMk#U!qB7kwt~Ig!QPPhN8h8;#$ejwW7k8xOGu~~1*B=wUCNvZ{ zDSi)59#?cSF+uTjH^Ay|`zLFUY zaKBz;-7`n8d*t5a+|T-7JLTRa?>4sl-K-}P!Uhy7B+h_X7AGA!3q8X4ShGiuiEADk zUjXh2{?6Afva>Vhi!LiQ98!Kg3%cTcA6YRRUyzCIQ)u=*S^cp^6Ua5Z`2@T@#|@t7 zC#Igg)oONUF?morzUfCqcNHDh7had5?Kxe7zfQ1H;jq+U#edhLWSpOI2PK}`gI~A7 z|MGcC?g6H+aL$Uaf-6s|c%};TN%(byb9*vI%+;89tKP+%WsKC>Fi)4FW$NsKxjOrr z=aTfJeAs4=tN_oGc&EQEGu}ZQHaV#xClZg%p0J^1@AYlT?($i;ov$GSBU6gZvFE_B zOp+YiU2paqNtw?HE)qR3!}wTgt$TTA8FdTswKnB6OYZ52e3bJC0~vwZooBia=RBeA zf08yP_d`9$O)%CmLv8YTFLZ_CUVJ(RK5C;wM^EdM)l zU%j3wIV&X&%UXvX@b=Qo;_K1l(FJ6`mj92`3$EG7Jo%hA@q(#)#yo!zxjFBM`tBYR zyK+)aUN<5q^X?ArL_TZ8W|MliOTFvi*y85o*rWE~eGfkU#;Fv!cG)`RyMCj1kKE5w z?{v9IyQ6p(>$q3#SNW!?_c3}c$dSO~+3}wx*Vj0_FP1iRkEkfx&txluhUyGX#Dpfjed)| z@sowpH}Y90(LMy;lJ8c&C^U_6q_%*G?<7!;jqlz?q1oq_gyv0LWI>NYh0SK@>>H7t z@u3vzB10%yUg$zdY^T_CdOAD)TVkW^>xlJU8bxL|{osqLD^J~ZRUaUh-&}%zU4mX+ zlB`8g!dfm7-C5?9S}8p@{jRrw3zuN?!wm6e2uqoH>%Cr#((B_W#C?` zGL0I>O|yIQ+h!Ht%4g>8S}SdLE$2F@#*_1aU&?qry&WIKD|ZR}z4}`6S$$5u><2%I z&nVo*nGkzMy>ZFMw{K_-I>Gtm$?^RgEk3C~7~0GaIvucN|Jbrd)tZo+ZK0WRj@xy+ zw-x)FoSpn1pjPkU0jb^Un3ecjgx)Vh9-#Ka{Jg5ujm2|%ovQvtcNyn+d4T@0JH_skMlMkho3Mes4A}VncGdCZ`^(-8 z&8EKVtOek)>|4gnI})1d_xIdTw>LCJ{--ZC=OF7j-CB75kFsvJ$~*=x_sN`AIyM^S z<+JyhSFMTh-hi$plzhe==Gk|F&46VzV=JY{P0-+;0jJqr)FC)3bqMff%3ZvXIG|+@ zu?DH>;a4^&uRh9v)JL(5a%|b&7>Xt^juTKdSUzN|x{YJTO7*xETYSn!pQVSUNv)euSvP)P>K&NP$ebIgc~M|W zpRia_1$2^JWa^vK!*n24SV288lRGGS0JgE6?bJtJdZH)4>2q%G!jp0y?AgZ|s#;UA zakl;>ehv59wN|Cey`X0d_>-h-{67$z+Csg!BHfOdKBH(+u(426wLj#ysy{fTkGpv| z$T`2oS*d;)#@6QPa;_;qoy{6qzk$r-|ES|7X@Kfq!MNBBI}RcvDB2Jzq(0US9giCP zj?F4(-5vcrGlrhZomTqw7+Sjcy}##Pi*JUQyiW@6VZM_g@u>{^awZZFaj)0oVi>P( zN3SVI&$|~r?-Av9P&I||Z3r!}gQ_kJHTlu`5=GoKuRA5Z*LY$KJbPlmRz4H>x~r*I zsOUmH_{*I2dm8ZV)6eJ(Eu2{y#kYjMz`l&_aCp}?2*kPEdyx8HAo4^&W}vQW1# z{5F4zdQp9l>wl^ENpe?~a90&HdQW=*dpZIWXaU;tu`vglRy>1}^{l0rXa2=G}pK zOCR)UEL^CY>Fd_OA$X;Gb$wqr{nJt816ShX?eCCtD>akVxdbaLo+{hqZ25a=pc;FWY-4BPe^oN$!u1w%y`}hN>6FHs^fKbm zeaIKuP1tFEJC+=gC+| zO-XvED|vs=LEj% zZsf9d(H?hR$R4(+nwiP zy|!%Auf{-^lp1D6NX9AA;=v;k@=;jVOXNdcqPaJ{PG0$L`t!6Rcb3qz=^|)HH{%=< zn(-HPAJ~tq1sbIOml|f^j?}mwBNKh-oye`DckTcDPB70&bR6V%WFV1=L=GAq=b~h6 zPZpB8X!hOoKCS?M&}H(WcRK!G0LvS6dthU>bF6|jlTZ7CKiht*AO~FsIhoJ;TZh7H zF4gU8-#XJ-qyLw&Z4I!$3^?8#vic4Wg@eC`PcKrv#_h?$X-;1YpBF6T~BGh1qMu34np2mX9PJhNZ3U;J~H#CVErvZprZX~k3NS0QV#OnPu7 zy16?%cXv1bhv$KL#AhFFoo`X|HwIm|ztM^>Q|^Z!dH_xrmY~;yUq#jEw%y1ZB4ddy zAP4$ERDZ!y6?;_wfs#8$^XT#NJN|l2#pwJ-k95V|$ zSp|FPR^O|76ZjTFo8|usXg%^2`-(MOs)fx5Blw7cD{|u7hl$0Zr_Sbn&D~oR8foxqpSz?ERayIS<6UH>x?~mGn2d z1$}DsA#eW5N;S9FZ|MXwZr%sXkx1bPJV<;y^yXwewPxq|^$4DX!4vmOza&TN!{KYc z+min`VSBJO|8%fT!BpTGHXqWY7g=cQpND%y3C=2;Ictm`WS=u168ym))A6TJ{!8H6 z0`QLWoB5K4ePt5*A^*!6RzeGwwbNsWF*h(KJ&n2rHgY!LHFzlJA$wQj&Rajoom|5^ z#FB8QBnFJW65%z^V;@PV^M=lJ(QAkMz@5zK=etYsb7R{TKHl504Z5^<_}y`MD7Yyw zOyOf{O#4WSUu;4tymb3oULKE^0ypZ*?+?uueBH_3!0$QoH|OW?gEy`PU;PrN_>#J7 z+zr|1oNjzOZ9jWT?V;}V zzcSZrVW}-@U|WC>+qq_LV>Pu!s}l*;+jARva^LFH!gik)zxzEc{>E349ln)oPuZVl z6fT6#~_$u*w!=JAYc(T%pL=G}= zj(jf98H)ncL51$3Pl-H2KW=og;9xkgUwzNKe7+F-$bKbDs<8u=d{#+}=KF!&Oo}BSaBwitZPmYAm67*#FP2WLmNyrT;J-G@w zMPzWXJ(bbRP4r~?5~=a1`PkFD_|;SN6c=dv4XwG`>!~*0la5{f0<8o(+?Y4MN zYM1aXJrvQ8W}%xlU}qr5C(L=GC!-^6ML#WyhPI+#`soSs7=4_6Dt8XLvWnUdY3YOR zT@Uo8#^kO5Um3GzK-P%v*QeGfpOr4FQ#>&LgtD=VPLt9jLtF7TY$o|$Kh2@maBLB_#&j<}6hF0+J1k;Dovd2q6=Fjx$k$l~ zE>@#o+&bVl#5bhkLxt~q^;hLRzCURcM!AQqnddEPj?;sj-|!XWRf$QGXWYR7dS?d* z-8+d7T^*bh3hq_$p%(F25|gFkL#2<|56?q)BL5|8uIAkJMQmc_&>rFoHf2MLqgs4I zb=1Ce`@b6!7mB~h39?Um99V&5Y-s+^xCi*kX8sHqOAO{yd`GNA(G&;$3>94l9fY@Kr%)&{}14PKJWiwL&Nc%#BTP~FYbUB zDq7;;_mq5`p0YjgE$AkAAu(_6rU^6Tyy0iB%Wvyz=@Q@hC&5p5(gp3wSjAVA?=XNZ zQQi4vPhdYeCi{U7?2i>;52r8Fn3z7lpIkd)`tEtZ(5eFexaStK1@}~kt{scbZr0pj z;F5S&Wh7KcY#;an9ZfeFOU4mg8jkHN>{79PDcoXx2b>k@)OCb@-eYE~`BU{=x0MjL zX@aM~pI8r7!(wMJS7IL>Y&`bLm#H_fmiW^T68PrtaHnU1H?{P=+`!$-GE}^#!~rQh zCKi>t3--QEy0w;AJ^bnte;UqG@ux4!{J@JC&UA?Z{LYwlum&R)Kgv3o?>G4Wy}e2N z=smmB!mPvkfcVkL!AtG?(q>cJ=wF?IWc+AF*82`ajEWykq~?-&>EGEauwriP$HY+H zXJSuC_v5=MlywM=i#-Hid2Jx-U}JRKg1zyJYKaLgi-y;}9&xB|x@E#%?n>{F)W#9m zIK&CK8+H@J#qWxicjfNbWi9!Z#Oh6@{#@Ukn$(=5@0}5Ud!ue$C-vv{4aU2HCuch* zCiEV2hOFOS-8aLjJTx2=8eiKOv4F4Qo044tw#P+v2JC&acV4b=$mg&XT+IsNk6V51rTw{8I6qTjevy zEF*Wd?=JAb&-%B>9D;kE{X$>>y?MyIUT^~6;av(Jh!wWJot|$8jk};b_@=01+q-Oo zH(ryB_kF=D#(Ow> z6j?@O=MUpu4snd`6^-l1((;-;?)1P0ft5q-HMnu#xbOdf{T6*^+5LhO{C-Z>X}`WB z(<1iA$>HoKNd7{XVJY9tUPJoOS*w9FI;mA5=L(I5j;eF@Q``1HmB?4b=*qdSP_{#l z#|pfwIoCZ&_`r`8zgfJC_{mGvx$^$-xmI(o%G-n+~#Ymjqh zob)W{0d!}AsbJ{M{R8G6IctX;9VKhM+~UQtCixva%RnA8WRJ+Zzal=hBDDtin3`AS z_xR!Bzl?_8*mw6lOZ;t_;H11i`dx_|QfI7q>YlM<(EgdeBh0<$Y2Yw0@;>$zayiGo zTf@5=IyiDx=?0Vhf9_ZkH`itj+53O&;U@OqP(8kj%{!8~-o^QYAJ-oohnqD&!+!O&c}NhLLfAqy6$>_=nuh6prUSMtmaiLK3UF_#OAbw3WgK zgzroIozNI?YgLk_DV~O{oVs!8^fxpwib3myo-4lgn(`&BQO^=@Df|yPD;FL@jD+M* zjD1%48gzE$xb@WAxq&0GS>9PF7;<-#XV}SDkx)StdnmDz*vnKsCj4Bnx#fx;O1zQv zVeyh$ZixK8Pl|2ywDFQ!=}p7&lEd|wh?kUlOb-^GKyD&l@`HYRdd3tLFL|Vx+!*2| z=iaNwW=E<0!MPJNIYMLi95gUE|% zCEldMd=B~_;dyG=nF_usnSr>px8<`G+==)4%Ww>&@YIwHrfLi~!yi{5&r(zWiLtVe zb;nuQc(Fer?_l4Dj$ta#ZYB;A`QvNnC1oSw&x_wx@_?ce|$fM zE0&!9YW&l~Yi;Je4s?BNS+#jT7hl}4j0tFwMg2X?m%SLue;mCH|9V!S0A5R;U_bBW z)W@U_#;j)Qm1^3U_{iG4_^EYmpfTXMs%xXw5|7x?DfEVQ|5o9yiY-jxBQk-9yFH_E zQE-WPyae~-xskhl%DvtZYe6UbT6(_7Agpss@_+grtN%9(KjV!4lQZUS3l8idm-_}q zd+lRW@txnGN&KY9vI37}{N$soGoO1yjO3_wz94t3?x6ba9*Jj2eTQ7BzRUS<^<9O; zcP8b{wIlK-b4$Fj#AW`U_TB}&s_IVo-uvuxBhrMjLjsn8NVw#sii{hHcG_~zaMMn! zv;~4rN3@$HU=eKPqJ8>mFjuXE)XlAZt)P_zTgTDa%3&N|C6y5DD4>vp+LnulB;a%a zB{y!#_xrECPZH2i=Xu}fc`x5Ld7hQE&%Uh7fBo<4zt$o)=F)FHTzq`5%$Qm>U-Vqq7owW;DK| zEc|JW_}m_rF~R4#nz_UEV|C|jTZYrtmSNu!j*9_m5G z4+YA})$)-M({%AS*wM~`o==Hud?Ajkqg~yWR!Zy^K71XYj5;?|;>=1%qYo0xBYrhc z@4`PjannKcuBfzC+9B;qwNtf?b|q(o*;rR1?SWu@|p7|IDe_ z-b3KRzllwJ+1zu>CF4TeQ)$hrFRJ*XGBwu~koXK4M?1_JQ$Ae!!vdZLJmM;jL*7e{ zXupuVIViA<%KQG=WOC+QFZ@1HCoxxEz0{e;ygPX3gefBgUI6>vHl%%e8zjC~+8}M| z|9sGPMev7!~gSPLd9s7j^Ud! zd`X$3vE9m*&r|R}1%v*5Pu-LGOX;I}M%~n*@H}@cv1-iAdcG9K_NmCZ(k(l$F}M#t zskpV=QP8s=Q_-(2#Ff`wBepJbHYjJdiXY9u=SF-LdfOoKCgNzpt7ubD#jkFTXcF(z zA0D))4<_INa2_r(g90ns-isfp_lQT#l>73$U!N!wy76NcQqR>l;+ygBr{4Eq&z`El z)ow|6{ZPD%egbm^Yt93>q)%zmC!rDP6Mg&iK0yQMP(EyFhxglSn-A}o1m1r{*A0}` z?7Rk944gNe?R$5kv{$`rhD2`=U$o!~c;Vw`U%cx4mQT|A{67l)e-f__AL#pT(`o9s zWbR$@1Ld0+Jqf&%^9i-R{{W2shhw{!YV3U%h0ZIX1!B9EyeY-!v^JcVDAG&twY+0@ z)TQS~bk31%VeiJ%8CHHhKG}TDn$yDELN$H{zT=!}tCHX0=9oROS#viq-`R9rvxYWm zZegcpIqZ`^FRHsuLku%3s+)7ex>;awwmHAcv57(*0cU-fGum4;`@sbMOYF09_Pk#h z(d`up+9hYhMRfMcj=3wd4bwDq^Sg#_6=WN-FN2jVrtTJOX>j`|`!&m02p{76BF-XS zwx8?P9&<=Yw+idPS7=y^rRQTmn=)sI16J_a0Y$wwKYK zP>E(0Y^BdF#Cz}_XSxz^DD}qdtd=ylGJ=hc51M{n+Q)3eZK9oi|E%;5Vwz~@gZ1>M ze?RN#bE=>9@&DO=3a`qie~gWuTyVUN_DdhztfBZI8(P(vq{d0wY!xw1okI*KJF4c) z%sFA*ZD4$|fp0PWtbn(b!%H4yY*oA4{qbpHe45qxxZ$Rl)tSW@Gro+m+sHNFyH!n` zQBlu&0c1;ewhpXxll_$J))j<8E`a(A>Y-Ee%7}IJC6{1^3+R zn>Y*gdM&?LFR>c7F;*EOrKfqUIO*8L5k2jNjyl~PS_G_L*WBzv&1?kDD|ZLX zbejpDrf+EMI(_!s9-`udaC9;dXc9LU0;b17m@?*#KV# zH`N#{c^&!!FF7mToC{9*_^QsVu56}#GKQ)4dDpTJm(YqiB3(D{;F}a}RMZ9B=3Tmd zu1>|Q*)2nWw|C82A8FsIo|zJv%4F? zzZT9{5}Iv+mo;(?&2rDJXpFnv$fTi+JN(scYza6|rR(lVQh5XGK!g{9>#I-dj^c$U z`5yQSFXWzE{(4O6OF;MTaq<5{_g&=hRp%h(t?YnKyNF-x3P9@tx9T9dJO{Tbu+iE& zH16pMpT^CDVQ>y!BXS6OccVjftFi@Nz_>g``bjf9qCO__9exGRJ|2@jaI8o(ux*wx zjJxGqV(tcDQ~*zFZbgn10_TzMpsQSTnx^B=^w%%Qng)qqK-Y5C)``C2#q~*SgNk|h zA$wL8D7#bktx|hJ9N4Lu@3%AmPYeR*tE1OEWS5*9SX^4$gJK@AOUG96~%0zjn5u}U- z>ojNNUm>@K>`=DThA*=wrC#wu*5+vLj7Ne0uVeO|omnDlWWE|%^SbEIsX1%+#Ix9` z1HyJmFevuUshBpwiLhT*WQT5lvoqif*oTj!yvKdyY|LF-rn$G>sJkbAu34FnfS>zz zxQ}iT8fZHeD;bYJPUbyX+gEbE>sI_XLG)B>IL+)?}C-u8_a{nDxQ+jt9Y4DZvO$7y3lN6cE+rn_@F zljK;n=8ilM-R#?8g%lq-sr=wEEr;)E=g13YIql5+4gPN>4=$>@9?l3j8SL#6I{lCt zR&D&yp-mz)Oyx&8e8T%J&s7_b+bxrH`^leAvhQxY-dukcTFgYwwy~~bm}V_#(ad#a znse}R-95e)*^K^n@HuD*{)D_U3xc}oJg&KI+{=JJRHPfu&x7bH`8v84c$=*|8C}R? z`1}gSHsd(5I9n&CAZFcB6EHLRem3u~(S*$Qs@?k+t6U5w1^q-v=Xc zXH$lrAB8SY?M2>q^_Yzry4AT?H|HaJAAD1HjgtX4xXZ92rvh%E#jwH~G_#3kk$Tn* zY#^p80Dq;9@LVksKn6ejF*3bC6JFt-`7W~Ue$8IE6Q4{5u}C|E_Q#Qs=mA!OBz*`T z<5^FS-BN|FUKOxEC=S>~J2iVm1-umc@As}#cB)6-x2hs>E4VY}!Vtu-=wx6wlvNFO z=Rb?i0H0e%UXg(;={5v5)`Cjl(1s5(lQ;+JT9^qOS}$X zcY2W7`4DAA0%m6qI32@JQV%?C)!Zo^x?2X`QkS`58T+nq_FhC|&1`3n+t$YSp%{GS zAv@NY<{X~FJHVy56WI%2+Avek4?`0}h>P>r+qs>TJEsmFTLZtQ>|){onJfIv_@q!o zbC7-TVsD*IIeXzp6d(ClM`EyKJ}M(fds!)wE` zA}6kYkvySU4bKv%w$g`TXCDkJXKHSKr<6zC^dY-kvu}!o&1`soQ$9XB-kk%_SN$3J z@7QSF;$IXS%xwZExo?N-=zsH6i@M#Vow_^awC=V7W2Lhm?#f3ekoTl-(hvG~Jk?*W zvEAvLdan3si_n6MC;aY6uYCKI*z{icmP72GAG4=qoA7L6)g9S;Atl?w?A><9{`yM>K23?bt!YIW9h!nO~@vIP1sqO1}G8YVuLO0;UznLky2L15+L+`gd7r8d_M1BT5DMF0M+yT0|KcZU? zoY34(=pdSlU3NC$KHdR;>(a&U79Gu6UBv$RT{?D=?!3pnuH%|@KRj+ezU+;{TaTg3 zkylor<2@3v23%{n=_fdkk$VGvkG|Jp*egz8?<14&PdIlB(B0LCv;=mF^LR&(^}t!( zy1z>|n~-_Kc-DrjFWo>nTt7StzUu^_r+}N0#3t*~%!6V>E<{#!Y3}2P(VfskDa(Dl zQx{#8vgi-CqOn%-f6+UwU?nnd6W=5A%F2|ybAuaLUsr}dWfzPHlv zpuOm^H%_1rjr4CUFo1vGAUq!$4xpQF<9_})9#F44Pdvfg#YztcS__D&3J)@yvY9W;<~%5P3p$7J zDRXwF=2Qr;-^V)CetaquG&#T2i>K=VNk?ODXUwA$KR@ROY zH~o*(SxZ*V*=BWGekEmKi_6%V8zZ{&R7f`)!MhGMW zg_fNLY?U=F0jqVP%qbzyDF>Oz-Z#XUHU;s6;}>b=8Msly^_bIcm4u54xm-T?u?X9g-vTS}&>9w>g&uf=42EC!WPD{`i zGxvli~g>ubWfv$yqB>hnK{hW5shl(x8nTN#D1Ls!g4SEq-&D`lg zleL8SO2hV*oje=N{U%betY_`EuMDU4f8R-DOW!r?)L`9OdYH5nJj@6a6NZjjt=a5d z%KioLYkcLL`zN{0{Fd@L`~9=$ws0m?NcR1^n7rG)U_VtDNVe>`XpcB#BtM8=v@?h5 z$&(+SPc9kiU4J;=U)$;FBaHKT=H~f+)7j*o3t8hgpLK_a&a3jY`Zms|Wj`2&L+$_T zV2Dik|0oQ>r8efl(n_Bd91LL_PiH>#Y34VfmkmLD09Rc>49gY9f7zwhE#z`8Lhh(< zb1njVRF9vbbM>k;vjEzGugjcl?%1D&nd9Nyfx9>Z;fDiwK7cwn2J zM}=?Xj=d_9o5L9uqrM%Xe{wD7RFLzI8-A6pc zd0Ig;QX7;QN`rNtW`uUFp9~#0;%8!Rnsu$oCz`tr&L2*-N!py7b2P{v1DXjgt9|8& zw{|3UU-s|HM&H{t8ai#o@A5A9SaD0pwHi(X$-U3V`3RcPWP2KrB8GmKtJ{#k`Bk(==A2LvM1pF__CnJYU z+}7KG`%F@e@Xx`*@7T8t8Qc$sC1K#x3&Y^2!Z7O+7zTS`xVhni z2g6vJohGqutjU>J!dQu4=I~kjQSOz?y;#VD<0jxJF%mKOuE23qe>es|ACB|?18|(5 zf@APEj3C(#gqL+?~8*axocmjMt>fydy`Dy^)gW5yIt*A3B<1NFgD)!6d zovQJ)uNYl^wr37;?==0V)bm+|xR4l&T~ z>d}uS+4F0aVcqwpf}?o=yQ5<_ z_RKEeR?Pl{MWO(3RVaxa%Yyryn%2Zu!0QZsim?ug@ zH~Nj{Zu`Avg|-6ov*<+efK@t7cW*H9GxP1}yTV2;_d+4v$XgX~zrfgR`xARC6l!jQ zv*myK1?G|(h|gFBE}ci$s|~sXfWuEI<6kH{A3LY@yyo8UCEa@M5nXH*=R36H?%~Xp z(e?=T(xWqUtMY?@bCB_^SjwC*yrSwjw$glHdXRjR#4i~M@W?EOR{6xKbT@4tK7I1X{-`CcpsdRCJ?6nQ-5t0Q z{P|GXmkM_(URUz~R{HM^vq5m#72Kh%%#TDKpiT9<6=L({ZnXF$y>NI8OokCv%&+(&<`C%!;iR$nBRs$O^gKwBfgY9;S&&(^K9 zUwin6O=?B1WIo_1ZK2+;{8~4z0p{DezG4IIxB_{|vm5>b*j|a=UCS9Uaoz<++rg{9 z`fs}R3fB?Z&Ysw6oCnr0et%1QfcG|1+E1{f-`A{s%Dn!0^lhF`J_JqOt-G)On6?0; z4|!g-hxx$UsSmnOJ7Ab|D8KSe#%-p8hg($&-p+(Bw(BCR%{dYF{yx{^vS)*}yZ}3T zp66#wTcq&HiUQyAe^NeFw|ov|Aa4fTMj5<2;ATBR84hKbkDkMBzDc(p8Kb+)XX?&6 z;9GeD{zd-yDZ`vcyB}>c+_FieW4asMi0yogcHgd>e+UAnzwz3WK{;LAU)jn#3w-+< ze?dl3z^eEy?SQ|9*K1a|9-DkN?ch2%&HENU=>+*cSgBbnx&P26HSg<|ok0dtUa4hR z9M$ZuK5UqCu?0%^Q`QRn0O%uS(^zv8Rx}JvgCj5CQ-qGMe*qgFzOsVy{vI8IGOhI2 zHRaz8x9INmuhX_`lwI!{_ybql&~`S91UeG`e)63 zeTiA&KvLr(AQA8#F}W`*M@Dqq{Vj1&8@4;uv z*5j-HfVe-MctxFYUZ=S)lNQOI3~_kZZ+ZR%zw>p<(jDSna<6^~{q>`W+nTSV2Zhn` zBJAOTeg_UjX2P>4+}eD?yOkJyi+*ntms5W#hB;X#X6sONb1PVI~p|eX9E8w1#@W^Z4b$B zX}7zL_?U6j3C-tDQ2hw0cJE`KA7YiHE}4Jy+TztOb$@z)Cet5qF9oZ?iEgEThg*B> zJspFj9Y{;qP%i8Xbf(|}HJ9<9PLI1G>=f^wfJjvnbTXNBN zaybJFd}Tjd9sj|OQMqHULeJ2H<`ogKL!?YOPe#g=GySAYaN{GR2o?>SQO*2om+jt>_-?5l@$GRSC#0cGt4%MszaJIM}8z4zr*t5=W zr|gxJyV+}JGOM0Fcc2k`h@$rk+?@{S;bG{Z0~%S~LM(7RYwt7`adI*Z{Y!Vh@MCyjJ~|carImw=b_3IJ}*x1a~iQ4|Ga< ze&;=d2lc~Eq2b)Iy0lkfZO@g~lmm;aLdJ89%~pI<;s+T2Gr>{L{|W(%kHDWWw&?)f z7&8NYT7gdu{&)4Npql&3BzAqmRkC+y5PyMZJBtmSb5|%gkM&36j|Rxm>5fW&#ZRk? zO>JiA%wyE*te;oo%-#zZ1%P`(4~3wzC;fPsE#j71N{#x};(s$AwiS$nIQw~PQ!55$urCS=&R-#N{=Hm(~J zR*4S8+<1DLF(%Hpt5|DQ8*qtVbekJ=x0yJmr+};4_w*?Js1?{5X3&5JH1=>f(_{Z4 z6coN{CBV&4GyO%6uS90b?^l}fr#I7A?nQQ?x3(%??k4IL+}x@W=vDAh1s{ATL2y&s zah>3TjD0eAhS*$q$brO_-~q86q*1^~a9ArDpL);EYRkI3jljrIzV%=vXm)mD-yvVp zn}ntepQZwr(G<_HJv^EU_%wySqG(FicNmJMW=8_~v=6%-`e(j5^O&}DkTxzq!u&kH z#Sa7S&_?PH*ZO{-&!jISA!Dg%>}bG|7@aHIWE>6YgN!@lqH^I~?1w=4;Lz2;Vmv8N zXI_iAa%o>C_zKSEW__gOgE{OhI?f~T9OB^VcW(Ao;{OljJ|n+Z8OW>qT2 z!si=9oLNci#031)p`a6j&vT!cq_|aH1b&A2odvI-!Jco`-O0wfZsDzNdSl!~e%RmJ z7cnc+1cYTL$QkU>lFFqIk9%_i2 z##H!wHS|TFkUds;HTnXupIQMt-&1XeFTvY+9zN*tb^4PWx=r|cz~k#3l=%_staL?}ZYTl%LmT0}e1qPS z_fo*UzC{B(kY#(O-w9THiV}KbEdO+_PI!?2q8NfBFzHv`w zNQ^N$4|Utrjlb8~m`B+|^wKTSi}J1$fDR(XF?U@v@kDQc7t~!|7dN9fY4$Gc$|&Eg z8v_sDjts5U=@akQ$F1)!#HU(#!KAHl5|1NCLh$J-Vu`wdF*2_dnP*I>)t#trm`1H( zXGJruh0G%@+)X+T-wLML!=s-Vd-%!ypyDcTM#r{qY)fWI?uk(whw z_mFuDrJrK2B%fdm;R*R=;5ITRHE$t%A^@L9dix$u^^j5~}5yzSH4dRrt5!ow;V3njA~dyHe{9UPK5wV=cfvF<=q_4H zSaVj7@OaaD+UCn_=}&T_vc-_uccM2yVvr}W^K8BxEgTKtL4GODr6;53Z4x=xK z-fj*M8FuTQ6duEOV{T|(%yOZ{uW!eHRTy(Z;6exdswxn7uE(A|jxA8$6?YTc!G%!V z7X7bkWZVSD(WAiQ4&lG_=V|a5TpoXy*f{8=QrGbZTT1&}Wdq(k%+$y(6~iTgv=;w!jZ*3NBKvewP$jC->7^Z>Im!;`hx?xL^t`WHUEj+6^rKZAX@q-l|KuZwFpm8 zverP>dgHw{X2E+cOUAPfI`hXn8iF?s6m$7H;yz$@k-Y4{|(<3|ckl~N}*kHTZ@(czXo zRCkxai&-z_o`f&M8{Cs8MLrLLZ+{ZE!8JR}*lY$V7uh6x9N1Tij(L?C<9M^I&X~hM3GP zx~sn)r?22?Tzqd5j~OsG?LkM&2*bZ(0%Oa9S8NBy;V?QDWwf7XK`tyGDz4;$GsDSoU=|Oa95 z_SZG()|AQkwQq}wo@_5`(w#SkX>RF|xO?i9ZvD%0=6)C#)*Z;%%jT=VEu$f3-F-Ll zdba|5ssG!+{@e8RUBelQ;Td?3o^Qh#6pNk-04BkiEOza1-;!=mQn# zi{bt7;{9o2cT$h|_zlI|@&B_AE3!*s6-usfPZFbe@($)8PU^|k>6}}2xC=ToR1CwQ z9qG)g3+%ZU_wSW_fqVF5M|K)xbmP>Z65^<2?tNs36WY<^h7#gS!UqBGDc|PX&iIS) zDdj#>ttIES!1I*9wySgta&v1~vsR-oHq@~;kGaF-WE}MiRkYFH%Hb9FL+seFhP&c zqHl}~=t=(&dK)PbtC8(nXq0KR9qJudv=x-3V-XLOd&_&RJxG#GaTvThtQtQHUt}?_=%$W#-ou>AT9Ump9YK$|VXWYp-W&HqEK+j4#E{jhcwS@ls(|?Xob0pMrU~Gr>$%x4sr}Y{-=8ji zJm2r9r~G}&&o?^WyC=H|9RRmB>7(ka^f^!W<>`EN7MWSF99^u0_7FptbCqsB(3xR2 zEoYrzH8B)FBL-$0dRJ!#Yw^H6`Y^klHI5|lV`oi~|!(uoHR_9Si$pPXpKRh63!a3gij%qt@gdO0RUmFX69t1-4yiT-?qa z5Fqv+e+=u-*M}G5&4}=YwD(bL9vrf>XLD@Z+V6B6TH|Kvl_tZ z4YXJ0dWza9YZql5{>TXpW1UKK2K!=%?ZIka%X7Bo!9l^`{jf$Kh?Dkg0qKL9BdQ3G zk~tz1-z9rjjuL-1v~m@(e>utY@JR<=l0Y~1;gKV`UDZ57`XI0~E3wgtVYTNn21DV+ zz&sfYpHt)TgsML#^(WsC69cUU#lE-ND#3$@)MGlK(+cLvw0}m{{D)v0lRD`0x!!LF z{`1GF@!|e?sXgMJ@P4h~+BHhI4{buhNUBQiq8j!T^iwjzJn`^mg*IrC{h zL*D!)aXE3_$y^7YgEzq6h+l<&oXwE^B-NOT-j^JzHY?`dcrLRG?UTMIv zF0~G|;K!_O_=WJ`40HBc&Agp;N{?|anZohbG+Cph@M^&^(FySNGL~}odkcIxa@OM? zp(C1Ace8F*WNY@xhG?Q#FM)>MQa*+X;m5KziFooygK{pA>_xM}Vcg6Co4ee#ZN%L? z7h~)@IlC^yNn=f0MXgM_thH2E`^WF+GsoaFy{`M zvnR1XBI5xr$=EG897~0w8y{~95B5|j{|`#!Wq_;)vsmjQ{j!c#(#F2Fn&7fs*6O!)HuJfYwB&n{b6y?5 znDqhq!I)|vItz2QE75Pj_sq56I{X#Ie8afVRo+T4=yV|kFQhfeLA(+B*=o9z^$?#xCxyd zK3Q6mvTtY)bMmtHh^*nt&FQ9WW#4opDmCI|*s}}xI*rqL-UMuk0VtTM$uohs1)(ty^MA|@_QZ4D_OsJ!Tz4W3%rDGMQ=AF zA{#cH7riw!pLc(UecF0{>k-ZSw58)G8Cn0`iPYSxk$@Y8m8o1GoR zdQQNm1|Q)a@~#zWigSjBvT@b>_>FTXFsD4Xvs-w8JeM}ucN_`IdOCPfNbphGE4nv+ z7~+YOF?T~Tw&+3Hh0VMK+`x`?*N?KYdhJefJ{R_#?&j}M@)BG;WsCtAuSI{^kcUsNS#vinMJ{#W(`(mrH`i!R)lgtG zl6d$y@MgT2i*xa>itkv)2wg>ukMvvg57tVgIdgZZaWff58N+;OUkkV{W7ygXZ>hlc zm9^IMkI8rFrNERmZR;z6iKiQwtZ`$HoG`jQcqh6+y=Ja&f)*yLIU0Gc^n?-6u(JK2 zm#VOqkny5CNAXW@jk&X-9{yP`ZDF66cGY*QH9=f5{f37?Bc45}`mhPU?zKt!oj~T< zKW5((WQLolQFBacZw%kYvgWii=IqB*Uc)(dA$%LvF^Nk+=0g+gL34LAx{~aYwBC0<_l+<4db?FEHJ(!RcX@xPurZTbwkm$zj3;>G`kXI$U^C9S0E zi(XpX?^^Et+3b6E6VHxc>HR)1Oe+bd-sRqI-u=9ks^io0g_&NtqbWB!Tq}{^sdV}K z7gF_7=bC=k^4=`Imwb1>`#Z<>_2KfeQosAxf5Vu*y5;@>`X~1$`fZ%(!{7*Y9i;4O z{7yZS`tx{iUcWjQ_}6kj=d!VxK7aMXO4SeU$onw|?clUSp{NlPjdEcrz_IF>a`@6sT z=~GYKzW6(PX8rV|ysv$sFni$gRTaxuymiyJik|G6H|w?8-z$83*U!?cU)Ve>`KuWt z$`c=UZ~W4lfBDnjA3FQX^Z)kXufIL@`ar(^(&WX~(p`riJ5lo1%vYVQ@vd3t$NZrF zhd+4Zs}0qAdu|?i|8MulQZ3Fo{mWPG{qoj-sQcxj-h1j<@Qt^=w0hE|_W;FfuMfNY zo)&v@;3w{ByF;J6w>c1-m~&z8n@{JiN?vnl)1LQs2me%kFm1uZ|N7pCA0HSz=H_G9 zOrCV>cVF1^gE3RuH+Jm*!92aX^1tsWcz?^o)z7?g-CwpI2!5QrZvS;Z9R6PJAFexj z^P1al{Q5J|@1F0T^TnT?yK&f$?J-mTa>w&uz2}2NfB3t79qvz_-+b(~1wWaw?@x2S zo-=;*_uZLI8*`^@IdS8baUVXkb^MgSz2f6uX>8$*YsU{Dt3Mys+o4vM;WD`!~m?%&Fb_>@6|# zt~d98xp3GA^Rg4~el#Vm=~wrD?UH|8Q{H*|^1t&_dV0EhF7$NuobEZ&v!kb^N9)nL zwF_F8c3L~4?a)dz{{H%|#fz8Pup8ShEL>2iknGxpOYbSX_WO(PT6ACGwF?SYT>GO% z_t`71DPFStnq^CuF18omwP?w8*M5KbqQwiYoiM?Fx}C0=ae1 zy+10nC)iS(roqm&VWj^mKYIU8Pw_5C$GrSDFONO$wNAe$d zGd@+@e6YM=(u%3t?puoQsr}7V?Q1`~^VqzjQ)7?4a{piair*1d+m{f8~*9NC%%O|>{zl4@dlUUO zzD676`^Dt*D0?4y>Y3D^$9vm<(^uzC|61-}#-4L7W6u-6`j%Gm{F`2y*za2IEvxH$ z7UbET{`1-7_xjf?Wh-GD!Ou;pIzB6pQP$3Wb$-^n^6ar)eeILq8sAI4+t1~C`@T!x zk$eAdN$THyS^Y2j_qO}@nVL}FzexYrQ2#=Hr=CguFY?}t{p!qo*MFb;pEnj)=M*hp zVlP7-`brM8t=)CseT6@gpE;w(+&3zx=&nVJ3m1GPr-)45xjF~!t1xHGf-(1vxew)O zD&Ney^}9FEBcDJTenu;K``^7ZsNc2Rn|-S9*|Cq&2}p8(H+hwR{S)%F-21$gs^hcr z;iu6#KI*IUv))zh;QjvZ?DN|@&~KYOd+qF{@AQBF@@uJo+GS-<0w!{AqhEHJ52KsN z^UlFR&?|&iz!`6a8zs|9NAp^}diNf4#qYFRBJz`WNi|^Y6#iA1=dB{CO|@ zwfYNu%AY*X0U!Q%{|*15UNUg7UUIdcclG-%&m_q`dEPdtFHPe2kt{C-v-Oe#Tua)? zb?UcV%RTwMk35y+{)>5DdY=4FKTZ6yUXuDP*K%Kef3IJX`#CpzX&U)ZKW!yX{g!LF zFTXSUCAqKZfq=nZY9vFK{e?g0FY-V67yaM-H^@gg{|~!JwKm;) z=QooE-_!EvLm`bVN&fTiKOyj+5cppRfg2X+C6Z!(x`|xUEI-X7mvpC}7LrT4*H8D8 zODgeG6}hA}e%eSbX|tcUl1qBgPus~Q?eNoXa!LFAbdX%q5kDO#mvq`sUF4FqLN7(h zB@Ob^P;yB*e##@4G|^8tkV}gB=_YbXv-~uVT+*F>T1YPGUO(MWE~&&%RpgS^_-P}# zq|JWXN-pU|KW!(Mw8Kxk$tCUc(?N1cNBnf0T+(Sjb&*Tbio6shmo&&vL&+uO_$iNE z(nLSqKrSifr<=$n&GOSca!GgkX(73!d;N4jxug<5Rgp_t{j{B2 z(hfiECYQ9&PY1~*9r4p~a!IHC)I}~yV{Tni)K7!RB@Ojc4!NW}KTRZ;bc3H_kzCStKkXowwA)Yn$R!>0 z(-Cq>$NhAgTvC^xw1xDa6!p^}a!Et|ltV5l&rcJ{C5ip}S%3exKYy|Z+x&>9BmMDq zz2uv>VNd-BQqLuSOyFzr?@qn>=Fb4t=RNQL>E-^-SyKny$@pp7y`({ME!AsUK>L3H Ds|>~% literal 0 HcmV?d00001 diff --git a/benchmarks/new_opencl/sgemm/main.cc b/benchmarks/new_opencl/sgemm/main.cc new file mode 100644 index 000000000..2b72d1e59 --- /dev/null +++ b/benchmarks/new_opencl/sgemm/main.cc @@ -0,0 +1,243 @@ +/* + * Simple OpenCL demo program + * + * Copyright (C) 2009 Clifford Wolf + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * gcc -o cldemo -std=gnu99 -Wall -I/usr/include/nvidia-current cldemo.c + * -lOpenCL + * + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#define NUM_DATA 64 + +#define CL_CHECK(_expr) \ + do { \ + cl_int _err = _expr; \ + if (_err == CL_SUCCESS) \ + break; \ + fprintf(stderr, "OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + abort(); \ + } while (0) + +#define CL_CHECK_ERR(_expr) \ + ({ \ + cl_int _err = CL_INVALID_VALUE; \ + decltype(_expr) _ret = _expr; \ + if (_err != CL_SUCCESS) { \ + fprintf(stderr, "OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + abort(); \ + } \ + _ret; \ + }) + +void pfn_notify(const char *errinfo, const void *private_info, size_t cb, + void *user_data) { + fprintf(stderr, "OpenCL Error (via pfn_notify): %s\n", errinfo); +} + +static int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + +uint8_t *kernel_bin = NULL; + +/// +// Cleanup any created OpenCL resources +// +void Cleanup(cl_context context, cl_command_queue commandQueue, + cl_program program, cl_kernel kernel, cl_mem memObjects[3]) { + for (int i = 0; i < 3; i++) { + if (memObjects[i] != 0) + clReleaseMemObject(memObjects[i]); + } + if (commandQueue != 0) + clReleaseCommandQueue(commandQueue); + + if (kernel != 0) + clReleaseKernel(kernel); + + if (program != 0) + clReleaseProgram(program); + + if (context != 0) + clReleaseContext(context); + + if (kernel_bin) free(kernel_bin); +} + +int main(int argc, char **argv) { + printf("enter demo main\n"); + + cl_platform_id platform_id; + cl_device_id device_id; + size_t kernel_size; + cl_int binary_status = 0; + int i; + + // read kernel binary from file + if (0 != read_kernel_file("kernel.pocl", &kernel_bin, &kernel_size)) + return -1; + + // Getting platform and device information + CL_CHECK(clGetPlatformIDs(1, &platform_id, NULL)); + CL_CHECK(clGetDeviceIDs(platform_id, CL_DEVICE_TYPE_DEFAULT, 1, &device_id, NULL)); + + cl_context context; + context = CL_CHECK_ERR( + clCreateContext(NULL, 1, &device_id, &pfn_notify, NULL, &_err)); + + cl_command_queue queue; + queue = CL_CHECK_ERR(clCreateCommandQueue(context, device_id, + CL_QUEUE_PROFILING_ENABLE, &_err)); + + cl_kernel kernel = 0; + cl_mem memObjects[3] = {0, 0, 0}; + + // Create OpenCL program - first attempt to load cached binary. + // If that is not available, then create the program from source + // and store the binary for future use. + std::cout << "Attempting to create program from binary..." << std::endl; + // cl_program program = CreateProgramFromBinary(context, device_id, + // "kernel.cl.bin"); + cl_program program = CL_CHECK_ERR(clCreateProgramWithBinary( + context, 1, &device_id, &kernel_size, &kernel_bin, &binary_status, &_err)); + if (program == NULL) { + std::cerr << "Failed to write program binary" << std::endl; + Cleanup(context, queue, program, kernel, memObjects); + return 1; + } else { + std::cout << "Read program from binary." << std::endl; + } + + // Build program + CL_CHECK(clBuildProgram(program, 1, &device_id, NULL, NULL, NULL)); + + printf("attempting to create input buffer\n"); + fflush(stdout); + cl_mem input_bufferA; + input_bufferA = CL_CHECK_ERR( + clCreateBuffer(context, CL_MEM_READ_ONLY, + sizeof(float) * NUM_DATA * NUM_DATA, NULL, &_err)); + + cl_mem input_bufferB; + input_bufferB = CL_CHECK_ERR( + clCreateBuffer(context, CL_MEM_READ_ONLY, + sizeof(float) * NUM_DATA * NUM_DATA, NULL, &_err)); + + printf("attempting to create output buffer\n"); + fflush(stdout); + cl_mem output_buffer; + output_buffer = CL_CHECK_ERR( + clCreateBuffer(context, CL_MEM_WRITE_ONLY, + sizeof(float) * NUM_DATA * NUM_DATA, NULL, &_err)); + + memObjects[0] = input_bufferA; + memObjects[1] = input_bufferB; + memObjects[2] = output_buffer; + + int width = NUM_DATA; + + printf("attempting to create kernel\n"); + fflush(stdout); + kernel = CL_CHECK_ERR(clCreateKernel(program, "sgemm", &_err)); + CL_CHECK(clSetKernelArg(kernel, 0, sizeof(input_bufferA), &input_bufferA)); + CL_CHECK(clSetKernelArg(kernel, 1, sizeof(input_bufferB), &input_bufferB)); + CL_CHECK(clSetKernelArg(kernel, 2, sizeof(output_buffer), &output_buffer)); + CL_CHECK(clSetKernelArg(kernel, 3, sizeof(width), &width)); + + printf("attempting to enqueue write buffer\n"); + fflush(stdout); + for (int i = 0; i < NUM_DATA * NUM_DATA; i++) { + + float in = ((float)rand() / (float)(RAND_MAX)) * 100.0; + CL_CHECK(clEnqueueWriteBuffer(queue, input_bufferA, CL_TRUE, + i * sizeof(float), 4, &in, 0, NULL, NULL)); + in = ((float)rand() / (float)(RAND_MAX)) * 100.0; + CL_CHECK(clEnqueueWriteBuffer(queue, input_bufferB, CL_TRUE, + i * sizeof(float), 4, &in, 0, NULL, NULL)); + } + + printf("Done enqueueing\n"); + + cl_event kernel_completion; + const size_t local_work_size[3] = {1, 1, 1}; + // a_offset + size_t global_work_size[3] = {NUM_DATA, NUM_DATA, NUM_DATA}; + printf("attempting to enqueue kernel\n"); + fflush(stdout); + CL_CHECK(clEnqueueNDRangeKernel(queue, kernel, 3, NULL, global_work_size, + local_work_size, 0, NULL, + &kernel_completion)); + printf("Enqueue'd kerenel\n"); + fflush(stdout); + cl_ulong time_start, time_end; + CL_CHECK(clWaitForEvents(1, &kernel_completion)); + CL_CHECK(clGetEventProfilingInfo(kernel_completion, + CL_PROFILING_COMMAND_START, + sizeof(time_start), &time_start, NULL)); + CL_CHECK(clGetEventProfilingInfo(kernel_completion, CL_PROFILING_COMMAND_END, + sizeof(time_end), &time_end, NULL)); + double elapsed = time_end - time_start; + printf("time(ns):%lg\n", elapsed); + CL_CHECK(clReleaseEvent(kernel_completion)); + + printf("Result:"); + for (int i = 0; i < NUM_DATA * NUM_DATA; i++) { + float data; + CL_CHECK(clEnqueueReadBuffer(queue, output_buffer, CL_TRUE, + i * sizeof(float), 4, &data, 0, NULL, NULL)); + // printf(" %f", data); + } + printf("\n"); + + CL_CHECK(clReleaseMemObject(memObjects[0])); + CL_CHECK(clReleaseMemObject(memObjects[1])); + CL_CHECK(clReleaseMemObject(memObjects[2])); + + CL_CHECK(clReleaseKernel(kernel)); + CL_CHECK(clReleaseProgram(program)); + CL_CHECK(clReleaseContext(context)); + + return 0; +} diff --git a/benchmarks/new_opencl/sgemm/sgemm b/benchmarks/new_opencl/sgemm/sgemm new file mode 100755 index 0000000000000000000000000000000000000000..cbede8ed0365736e8bff9f1b3e7acb2d9c9491f5 GIT binary patch literal 51840 zcmeIbd3;pW`9FSVCWHVX1PBPoGQcH-EhG^3#Y`pxGmwoeY%W7GnS@9-lL?EJ#Uhq8 zra~)Lt5sTUi+1^7TU+ajE7oq<)|M{zgH~8N#)dNOHO5PWA}esLO5C<*YDW^KXS#``9-ARqFi2un>8?tdO|8%3h7sGJZBk zlIMlB3T#-RRc;;1MOoK4|5K2zGs|^-{mJEomWvfy{V=z&p=M#;+{W5DjSbBmJLl|N zyl~FKyzKUt?0M2|(kJffk}9c*WV9$GpXx;z^KU--(0j#qIf;mrsC{P}C9HKlqV=>B`!;pM?ZAl>ZjgNKk$M5wD;Y9>iwV}Iw$*qU(^qs|LO<- z2mQb|^uy=!e(1l{k9_{w4}4@l^f{XP(zk8>)O)%g{`{9R^-*97$ z;m%jotaaluz|)P3jC2jRs%jDV7~@!h!nfd?Ab--?=TY!<)W_>N{EaY%7-xq|GI|@Q z>)qmU3(TrFr0FNt`xLN77{iRGrVD!XcAKs@U-N0zYhYa{Z4Ebji_}^W4AwWbGzZ%w z)oqbr&AAXE{_%?)j>ZVN`*(1-1Tkk3~R z*nCiE2nAc(Bj}E%KxMEpC+MqK2n{*E4zH>MBtzl0HX+{F9=I^Vp-PZl5G-38QcQ(f zIwEXVNVTZ0uCb$it5Meil{M-j;l@UzwF4R!q{fD}1w&i61?#FC1j<$z)io_`5u+`< zqoKJLSfQQOL1@!XXi8msIK0h(xL8A7sIjFzY_vhejHc?w#+DFs$bH=o_P9}pX4e{Z zt!)j>kvdHXYFFLZa5Y9kkR0kk(^TC6*4n}Vhij`N)yS=BZ&#TBp@P*#fdcQwjlucZ zc`6>9XN%3troH6U))$7YPPwA?7;~B8AMHuvDm=?(QxJOzA)~QWNe65-7yg|1V@zF) z{~U9YHJ?Uid>&Jd%ZO+M;(ra5F`rjPL&H#7&iiCOGK`LK4TH$VXEnZMuUqrhiBYPY zU)$vtJV(!0WeMpSY8a<&@GKkrj14~92Jf-K z=h)z9ZSZUx{Cyid#|F374eo_#6I+)x9}~XB0wUbvaZ8Hug%VS@eIB<&2+xz4y6s!( zmdHTd^^zj#gEqLOBg{BtgIj%1_$@ZLwO1khs144#t?QT#Zr{%wx53Gibv58V471v?{P^lWtv03_o$@jG0h?0dq~nVndVUM-6!cOOjGT9TO~b?X%6k)Es`F= zG^gg?GD#0+np1LbzNDQ@bIAARNcw|WNOP$7x+VQK(?gj~m-OpQb7=P(l75+K4(Z;r z9|JJ$_e^sr_nwjTFPY{L?mZ>x=a}Zu?L8ssCz$4t?L98(2btzj?L8{#?=sCH+IvXS z_cG0)*}G5DUuBv@vbR;zUt*d=v3HB4KhHFWU~ieEKg%?SUT?mnKg~3UTyKt~uV$J< zt=BE-Hl{hmdebF+CDRj`HY8ocG>260*^k)%%}l$QJ|pRJra6RqPf21&@aY-*_nnR`csHEpH%^}izNYXQz=FsTfC+R6nb4c{IN_rgATv~g#NO}a)b%&6a zEBCEH=kr(iJ74zif8}ghWl{Hl*U=aL?xF1xtf2ql+^F|vx{ZGfn^ef06=Ol5%HKU; zI70uD_x+u(M#f@DJO>;OiJ_ODJ5!fEY|?c?|MDj}3Sw!L{~Zy#7yJ@Im4C*^DDQv# zW0$}4tpD+zOZ<*!{LgzX{FtcbpKI>bc`X&;gY3PybtJzHgVTCK z#X2omitaenA`_O-jzdPe;VYJKltlx2p zwLx7b^_K?*CV}Psz7HL5{7A_W-AaZ}_GGf1`*)u**wemK1i8p8#VjB&e6r_+!a_d~ z3te-v=c5lOgaLojsx=I8>LIfzAFDI3ee)1x-ucK45VpVb{(T5){as1ZIE>Iw@8_OC zcTB*f)-xM*oIG#{2-39f11acYf9DhE++8Hxy&{=tzXNv%P7FJ8;<^(Ntp;DfoaygA z@IHz@C}lgJd5j(1vk8oJ9=IFu{g3c&MCO9cce30@*Ab>WpZ9lUfcXrH-vA z*fRtzJml{Zy8iAXoRdA$UH;DJA0+)~_dXBI&I8A!9^O?wT~ZIzQqSG0o(_9GDXizN zf1#d}2Nr|=%WQJ@5k}D<)`&SpSK2-FZuUaw6H@JCY-rCIc0%WYd?0oS0jR;;E>*s3 zz+f;SRqs+&e?U1|P3%U|o-9<27P36ZaCF=F=(?W_SnPC3Hk;0I!fw7zRnE1qkIK8J z>&lm_%6Ho$0 zif8{*>0oawQ2J=)H^795_&1`13%-cuJSyUuDBkn*KS85Q%7aX+RQd=5qvLX@U9xJ- zUN+_fqDo^aNc@3lj~M)!he zg{c)UgIdp*qr^io;>QS#N`!u05vFfnAC(_Lqond*Qx&=w z9AH;igKi8f|K7W-+_w)kk0AryD+W<0vq`gSz^JKiX<{*0yfCJ$@wiMeb+8Yn42!9g zim9`MZA|S)O+6D8QwPD6n@n|yRQ#PjD4t@o({~I}$?WtUKY8FL^f~$jZCvqlQ0-|H znGUF$qWJFy!M^wx?d@LhLp4x(wzE{H?@%91uZ1K;lAl*hFS9fKbCB<8_y;-l9Rkxb zHoA|nE5W6tFjdi5k1pv$fZciExF|61N`YSmzg^0nh#`i4IHL&Y!QsSt3)TP_->f3C?!%_q9s)olVQ%X!r4Th@W9>_PU z+sj$QZ&8}V{30OqY?kgB;B_mF_!MeCdEj=^#C7c>jGe>uH|)j;1lV%}ioP>!=81QP zr4K!gmHwS!S3J)6DT!}Fe7G!eT|z>PpaUf2@48=ly}Ns{>imriu(`a_;J-lUk3A2l zc|)z*?5HiM3lqD$^S}u(vHua?RYQLRX1k6sEe+klsiS+riGj9;R-hd{fBice+H)Fr zi)Yiu^Y~BZSq=UF1<&6?U5e+YglFCr&r^hFriJIJisw{2&v&B1Jxj^+ei5Ie_Zpz1 zqbh;ib05WV(su&gz=ii&9iGzRnS2HB(cxJMyH{kfYzNDB4Y*>WTZX{RX?58I-gaOyQO^ovnVb7@~pIxch$y~lh`jzOB;Wo7Pu_z z)}spe5UTE34+>Pk3FPf}WTtDt6B86~ACP+Y0dfFKL79l_fzo;4r&1g5s6-Fe`Y)Wf@~XRFl1wA6Et zs;Asu&#NfdvoF3LDtbaa`*l4xOFh4qdU#j$7*Y?@QqO&=p4TxfqSD*Vdd8@FtOYoS zlA7^^zw;FK7dr>O?Z@zZV-!u4=U?A{#^E3I1VK-{J#6ENw}%b)-GaIJ7R<#vQP11M z(j`P!d=s^aS<(~uEBixtz{#HHknB8gT6pDM@mh)A>N>)-@VZ;^y3)?;^I)^55vAGF zdq^G%YV^GR7AKgaq=f6*M;KER%omaFlAO4CLuT6U?puHdwk}}aSj+~9e*O_<_CLbA z;`)y9F}eN$c*GbaYmVMc;O{YD8a;m#$@q>^Roa2ldEksx zz`Lqog;c<_RPdgvV7k462SKE#iWQ(Ej*(QBka|T(@vca{eKC1uT1fp$k@_Rnm?*C; zBsEx*I!;nAzDcfngcR?J)Hj3_(?aUFB6ZkK$|R}BP?`fpEovuw?gX&&z?(vicSUWJ zP-9xCU8ksd?bLn)o_em*)LN;Z;BB%{J1f+9SJZ|GHKv7HiK52GH=@lvNNQ6xHQ5k= z8q=Kz{vp(OSJWOEN1-t-)W#`l->_3#N@_2>ftIRmVps9|wf_03ve%6a=(!YhqdWcd z&fOn&cD#>Gy`y8^wY%R(HAcq_sPhqU-IESV*qZ)*jGJ2YX@D?|{fa5@_atjjgdSxv zHIdy7SzP{P%sq6-v%!Ns&2K$b9{8fB{V79SO>inRn^S#2(zj@AO{L#PvNr!*Qiyf~+`%^Bx+;f@da?cf>;FE`9 z6~6N%1WS6_i_7yg`=!p}-M+TAmNs|R_J(SAYh81&xh2w2w`;~y_q6uGMw_t;C!FD0 zccjJL*iv2V-X^E&*#^$q!)@-`a8rw04k0zXwI#$O_xJ9&D(c?JlV* zDw<)~>tE`gKCOMayDc2)z_ER;ds^*e^ryOnUi9ocD~^)4C|KxQAMpBum7B_Zh_CWg z6;;l5v&vdIF1J+}rDvV<%dveHxjC;yW=s}}Ul0u9kamU~p}wY4<4 zYvjm1J3CuiU_Fv>-kE%sJIX~u`!iMl1lw_hjLLNiWRXzBd1xHzXt#3~>v}ntj7D0YB57j_9qLnbc@cDv=V>p4hgHPx-YpjG~*E7U85f4PH9x3(kBzDAC300#_WqB3Gc>`1{PU~j7^F*K`?|7xZQ2IDU z`#;bxY-tX=b>lGABxtqOYOPTsnkYYUk4afAw$ujVRn4exY^kYk4DM)Y+otCkJlYZu zfQl(xYX%CnG_^K{BMmLhGYtLI({x#6!hCj1UkQ(R$#SA<58BH^ANzdhNh`PwSL7F) zlf9+I#h#MFU`3^;97~7pu1G^uSUpKYjftgH6R5oZf9vy=6vo$v2X>-$A#T;gy0eVc4Wv(2D?Vd%zT!sti9S@8 z)nq+h)_!Tu<<>y|pYd`p5N{svqLkLEsZMEw4X&3)YYw#K=%?(gRYLPtil;vc92dKm z)k0?2z8LP8&Ye4s@Wh|bojZ;26vBN7fB(w4b7v7|yn5~&pKBcY+PQN%2wy^2hVY;8 z8?_?LhZpS-!Yv4oBRqxB`kjOIY=-0Na>KDR-End1z?4Idf$40j?4c=H2-11J0Yvla4SX2 zyM_-V0?Ypqe|zDT3LuQ;I}4-bKLq#~^5wY|tNt>{mNt!tY?&Ws`GU0c8=VDdV?O8d zrMbJ43evJ}7~oIKxjxySws`-*;w8fsZ98X$SL7E$Qz%NKkk>|^5*+-xgZy}TD zf3E*X;6D=hj|BcBf&WP0KN9$l1pXs|3zdNN{Jr(Oz4d%OOf9(*L;eRw?#W%8os&01 zL5`WQ>a|EseP)}OTn7Ij2rz83Iz)iXfs_U9Ihnd}z5Y61qOy_`=Q;=XhiBE;Q zrspx*l!a*4ml1V!<2E2^0DgyMxClu40A1ypv5e8rfj`%*#}IX<49JD3U5qFBG3&Ty z3m>~iBf3JMFHc2ur9f*ZAbNv9v)zbxGn$+-Fl8{tCm4PS47(Ul3ZNZHV@gQ%#!0x1 z-NtAO*h?Bm&XNv+g`|tW&*<0C!U1EpFnT4LGGOed8T}CK4j6Y2qiev|fQx^^=uk|E z$+IY*q&h&8XNv^9D3&}&TKNcOoaDK}Vk&VLisZt?T_hqZAnsxj!^6Z~DlI!b8PP(a z8^J@{$*Y9IVsMtcTB7sOs${=Je@onTLbsi`6+&SVaVsS{kGNG59f9$gTrI_hGg>Rq zPeHoLEx%zaUV(IzTVG;y?j+2Yf0>QKNl91-2EHCb)R~l23~Xmg(yM4r(gGl+oF)-h zvs1cnHoDK5o^%GeDHXsRgdgPL1r~GB;=wCGap*@whJJKAa8n2E9RQi84%)Xsg6kiX zp!1Is+`y5XI;eXH$fXYYoLhn$7f5h8D8WrvOK|fc32yzS1Ydeag4^Dg;LBq$Tv7)e zT_V9vJXySGX3z56Bj{x2lBzgL1ExUfp5 z4*ubj59dh{@+=)8ka!fs`c{?%UM+Om1NiE%mc!qxp!0Vh2 z6dOf&YQ?>2c24j5LFYh1*~%Tm|>7&Aczfa9VW zW1eA&jaOjUlR_>s7BTY!&@{$MWm`UvvW~TYu8S5^IW-*^PmVC4tya!xc`=5{4!;Hw zIj%$2jnV9z&>l^WT#M~_!D8Ie;IWcW8b2dU$+`2pkq3+=2_XJNzk}>G>pX5 zL#r_HjbH_9kX=R;5zP40D3*Hb*U%RenOhspFQ)q|1Sowt6foNaDjaX?}7t=Esb#xg3)Z zAJKame?9n17f-Z1SlKH4P7VgQE5V4TeBPO9t)&p`19Z| zeI_b3`XG#-89R|bnDv4g0y;3cVn=EIHUV>sU|tLbcBB_2LGy<(o?g^G7LbpCoSwrL7%ozo){H4U zy_9GUV{j5OhR@49g?ZUAVx;2@NOt-=Q2Y_29JCKyGl4e!5dua#s5Gu+0A$UY0l;|2 zP}4B#kse(FDx)h|$Bgh*#t66Le)KcD>Hu?@p>rD}#t73f81iE7UGcdyH==_^%y!%e z;jpfg%-+nxawBiXOc%>8bzBB80WVoN#>@tcW zwk^P!K^#V6h{nv1F^EQNcKjZrhFQgZ;fMfg9J8=G5$CEX4tW|)p&2vJQfgN^UWYaj z(8ZiOAR99uWKOFiX(n_GIbUOrNN;8?`fWtSVWJEL^psUb6`X|!3ycvv9S@_WEI6Cu z9dV80))^|Tu$1vJ^e+XdD)Xjk?AYrZPhlUXI`(?Un~;#pHN@)J&p5aayD|V!9oy|N z(F6HNk8T7Lqua=|bnIcrpC}xpuV5}SbS^vgh~rHt9CNRU&s80JtHTK}JN78Ece1eD zY#n>du>ukx;6V#VckK5Z55lr1{-3Oz(IRZ&PIc@Pj$_E*gFim}KbjGH4brjCIqI-* zFe|e!9O>9!I(BP1Yoa((7lo!f_V*6XV+7O^=Qm^!LvH*LOAq47}N)KTHTGzjPxDa+&vYW?kVNjoI7ryaiSZIrtt^J{(x7V=9s* zs1(zB%wobOI(6Bl~8k;c6?7vxVgG z;Zq~~Y1ALG@)F8z#>&0d%8M_TRF1r{pC#1=A-qt;xjYALCvwttXw2B|VTcaD63dWt zMHu&6nfw|?ymRGI+$DJmf^uH+6Wp0hT1SfCB`?EwK!=7#7CQm^ForOQaU6S_z=JfG5dQ2|NV+Cti+8FUeOl3pQ{Sy5&MRsQn$D*MQ$5Psm zgPv9Up3`c>^Jlf;c}4AXUR67tzo_j`4>vrEYa6y3gI@S6*ki$4L1XkDupl>B#qp&;1gGBqMu$??CZ4pT>(k*xG;j)(a>6#Yo6Zyk^b7*u z6LMYL7t*&9<7+g%I_kzI8HF6<6V|yXe!5>qle*mS=q)Mla3+BWT{4>V7m=J$;iCNM zp&8AZh9?_JH{q+|Q+kbAa$~Dh{=gJYyUwHmr)EI-jC=lu z+k`I(F*~%?TS^)NW4aw)xr1 zpL0xTrGj&YR~33+C;qbpa=uUG()%Lj+X+AW6sU0>I4}Ddir`b6PPsnBvJLOgNOun> zxfAg@sS~G*A8_I<@dHlG7T4Xx`Bb>niFs6`!s?oKV}eiG_b-;F4kB~mpOHwNxJW#Q z6BlzJq)uESp2LYt#dA1uxw!Bqt`yJV#C-7_PV`bQQzsUR=WwD=Jckoki|25nUtES0 z*NDq-;#zSTPAn3a;lyHb8BQz_m*K=RaT!irN0(vJJ80gd8HcdF8JKc2?tWU7Nei$% zPn!94fqsk7JOGl)F;`8R^{_ynAaor7N#7;z>|YA>G@$WF#t&~k+Ync0?i@x3;==qU<Yil-Y%f13o8B7+5%rA$q$ zFb(6kKwXnGb@-zQ*YfSVQ%5j+trL^a)RCV?&l(wDg*h^Hm~?2yr2tILxD(iz(W^jU z>ZmU;u>nytnbcf#uqLfRP16Q^glL)@LOPxKE-mYfp8}Bio+7m)3-N!mQ7IXdF|}lV z$as3jCXA=dj~E}5kpX4Q{FrffMi%kUF`iYFf`OK4IGD&Ox(<@fbVy=xQTk*goRY{d z`ZJ3sNy1HqiWb43%v|93GwONDNHQV+=>ypnp0gNKS>t8TO^>%4q#nMN zF6*~Ws?_%h0TG-ouObuDC<^>0}< z=|WdiqR{qy396m7LbB*qmjarZR&-`op=8n3uCh3r78@QnG$5-<^5}n$%liTuJuQsT zDX(}~eT>jQ3Dk2fIw@P*%f)Wyfx~Dw$JeHgbk5(<(CoVF-nXb!#gqw zIs2KzlNEbTHygTv)Ogrpr*=CAaMnZY5uU%;%6RUaf*h|{30cfyX=Uz+oC$-RA4O0S zX)+ei7|3Ww4Q?|Akq0B=70mWC1~ZW4N+W z*t;C_YSy3FGM*(#%M5QhrtPdSo5GW&m>`rv==~0+xU42p=ZRBH8an$e&H|UQG*6-u zOMC7D$*c|1r`+7DK9!h#AW?eWcd5UR5zJGpSVe~CBNEx=phb8jn#CA|&6+)T;g+?> zL3@a2UaG7hco!m_^*ds{z#K+w*`B9Z@>`C_KTn*3doEMw|y^>H-9=WMfTgX(hgTy||{6wn9 zphQ_iB>&#N@-JbZ43qrlqWLnZEBaSa(raZ5zQlZ1jhT5er-q1t-;aT1XO{I9TB^b# zR7f!_c5mrWxC^qL=AF4b7K}B=C|wEF%=#wp%;jmJy=>zI<3?o8T*-KP21m)vLdM5rOb1IdeT=&^I$3rV z<5?L4S#~w!IT>9n>t}p%#(GSrGXsq0XWRfSo4JN@f5w+E3}&w7{=6*X4#tZZUzfpy z%b6vNmuH};F|&;Eii{J4mor|OF%MlZvy$X69v#Z^+n3{2=2S zF(?qPW_(k|!-R(z-<*+6I$_2y&Dcgdjf`KGF^_bb7{A=`eglJi=GA1HZe!5}u_26# z`v9A{`*lDErqIE>f=K-Nig+6wMj#0~KI_i2KnQ#a;8{n%jQqe1bn>jPaQ+A+6XENE zu$u_q5rkPp_%9+<(twyXM#g>8Em`o=yNR(Zea^)%psqbAlaZVR@9+3G0Y)5E&6z-R z9FCFS0AtR?O~9$T96FHsrL-@j?PD^J(%{XQ34`{ zm#_scdffwjlT-F*$V1?}(-A!=(M1qzcBe$MFs|l~BV#MJqu)X(wB86jiWbkkcq1uH zC&EOL;3I@iBGh+r5-7auyczM)yn%6UNxc7rkh4z`oBn;3praWBGizaXXCKmR!}l`Qn(Tot9)qZX<}F}f9U?P46w$y6C|UOB3FHw;tWkz< z&}8KNz{w*MRjKb%m;>2U96UWyt&@@J8GuzaJ5zY!iApR>jdEG~D%L_Jv+tx#yi+0N z>~FIyk6aYHo?Do|SER#J6IE2?J}`xT{iMC%J}0vPj3)6dKe;*Mfrc3a0PkQZQO=!g z1kX#9ZO-Eq!~5%Gpf2L-&m$C-!}Auy`y1xuG0syJEsazXx{XEmMS&ZpLPUdHM+@92 zhM~A>0M2x#IO!Ox^fPWsT%YmJ_dhlVv)6rSqhS_9U=H&jqE0gjZFQNsD3D~Xcf;Ll zZo@s<nytW3F@K6)9c21Y^i225C-U1#bo8LuUQ_QbH9j2NE)6gvQ7%-=q-$cEc<_^eq zx>>@FpZRaJafW#haAul(u6CBmSJ%uopF}I>m|sFX+dK@toNHbM{2cQQKypnt_?c(k zi?+`O1eGmuZSNz1Lp+yXvZ&Gq28b-u?3iutS z3;np=ESZ1_!n_so+-W|C`z|vH^1Rx70#$y>d;#>YF_)shcAGp+-DBR56?d;$3`y=Y z{{;zOYdX>1Pn*Mmv)^0>NnU62Z25q>5V_Zz_d+tCF`FTWgJu<4-D$pp_-Dq4~?*z4Oa}&7woSB2%8_oBi!-vd+;Q6rmU!eba^F82y!OVg*ZZZRa95MfnUcTA< z75M+6`P>wEh|DsSy4CzKbpA``VaVq;^Yf6v?dD9h`pf1Nl)A&b5z@QUk7gpi6g|zX9iWn}<>F*UVcXpRb!wqSQCcpMk4mW(a)VV_pMl_nJR}l)h=c zi@LsLz6P4#Hn*bo@0eF3{$HjS_v5Au9NuSMhc6H)cBBj8T#&F^Fy@d5%UAo`>44G8vB^}D8|SM^KP{6 zaT5$0PnahmnJ3M$(7LD0&!XO^&C5~mGiDm(f6}}R^}b=|gU*}g3eb7WtOw3t&AFiP zH}h@u=~?pyNaOG32w=W#niwzdn7>9V{$Wl9=DX$^aPpq{B}bDl?|_Tk!j*~`fMBU1S3!rsmQjdFRYH}mp`!R>Z(;JAD& zSbG4`!jYSkp^G0Nn!ot~WSj}&doNv%p1mBgef#!YJ{@xATe{Efz4Q*C-X>tygKO^< z<57mcBtTWX_6GlrO01u>fQnp>+qZ<##=k%iyaFmP9JhanhE^X%&p6&;^PPtqt2cvh zE49_A`C&4W;skgen#W6iD~-@``?>`veGe*E2(H5)UQ<1R#G1G^xelMbCiETz=4d^D zqOQX|yF-g9tgCL5s z{J@^jBP8`}TyMX7VnTJh&%x7pivLtCENIg!t}r$M?vB>+p5EL+>&9lSxw1Eqg-wY}!w+ zl8TP+4IRZ0bNqZelFq|rp+)F)$8UK4j?xHr5K zBzbLAfpH)QjUIyzb9_n(mj5slZqjEpuah87=wKD6Ka4N)L#2%S_8Q>=%rd-cRA6k0mU%#xIf_Q# zoq%*8iu5CebT^QaF_H7KDBT-HdQjI6BzgP24e1wAq=yvJw}AAjrt~=|Ft3gCv4CT+ zJ*G7MhTZk&G=}vPE_~j{a6C@;f$Q+GJ>e|3lry?iU@QOurLRw@T8{5F>i?L4q!6A~ z2;bk0qT%NzD+1SQI=iEEo>yoOfZMt$Dz`!-eKm^oTZQy6kbb0*tif?Iiga2bodD8g zEHS(+q&K5Te^5wI0qHLqsYKx#!}F}R{kKAT7D%hGtns=}1;%zDQGzck2_D*AzeQtM zA_|Sm!l?VR65+u<@@2TcqN@0*NXLOGgx6XX7`H_^d0nBM0w+ZYNGGC5Zz!Z+1L=zi zNUuhb-c(4Zf%LLQvKl@ZGnwkMzbd3Z0x3tYAQsZxDAL~)(i!ypo&+RC<*Y(@1#Mfw zF;$JC;)8G+D|SJ%IFahKi+L-!EL-F*u-VPdDvgQ+>N|V9Hq{~`PH&wY$6FPFMI390*RN^Mt1CG zb}Uv$8Utj$VF%KVm4qf~X8wgZFURdylbJvY5xBW0Gt&DjnL%d0mBze%lJeKpwHl5B zZZw^Tw}fV*(GK|ylJoFZR7Oi=Uho;Sn-kF$dVBV!pC zpPv!Zq{g40#U@X%gFoXyQ_1Q?e7+2V!FD;;GK!%QAopCumBNNh#t{%AX_ipgzVU-eD>K?J16b{Jtquc7J}b)E0Cp1dX9$QI01&AV zIMa@20cT|!=wTZs_Uegv*tmmj(I@QQ*`tc!+-TNylkXHsd{r7 z<+B{fQa&(}%DKYf2#7kaoD6lG3&KU>K2H}K?!EfMY=6)$=X=6;k1Z#3!7C;`?LgNNQn*e z(rDd7V(L1v*lWF9d>mMU*RPAlw|9nP|sXS+f<~9yBmEu%HtaZ?v;bz$OCN zxp^{oY|b;9(^ikVQh^NZk8!s zcw&hLa-}$ktMJM`OTbPC$GT?LV0;!5a2mbbvN`^pHWYUURuKb#j=3G}ZF3u%LyaA^ z;ko$c=G;X_90;G-4lc~o-`lQl?wDJH?`g)N7}?oT0^TB(g)d3Ytq+Cfo>zL2g&Idf zReV0_^UDi|eIP1$ZMdeRzAwuBhUzCbYi?v$Yq%YbE`0nlI~0P1419J}z79;IBCj(2)p1E~Fl63|jA&1pvEzl&=lfAr5^EApv=@hR_cA+$Unq;T;5o zcZR}ve=ELa+Zt&DI{FbU#k*MR8tRdR3X>`Ql~bY6+8VThRFU=w>aT9l1Vl*4fE8f- zM5-pP8YYzF9gAU!657I8`!0Myw~247ZE0iL`YNj~y(7fBbw=HeTE<%2!f0v(UcDP^ zX>C`CXkAdgPg)&mAy>YN-&5+gwZ%ih8h8b6v0Mwi^^VJgHO$} zLsqia@SW61FoGOr=Ssh6WNu566JgC#n|cLPrRcn>0G# zLK#+Be3hb#p-oL_2;Np%3jk-C)p$ds?lG-9nrn!YvTVd>iGhkdCBQjWl~IVI+|dj~ z5~WIkVso|B39R~Xq@^{2YTC#I05Ij8);kVdOB^X3DZgErvI57?j^qgL&PZ~{(Ukg* zlvlT>YCLNUoQdGv(J;c08>9NydZ8udYmqy!zNzotY!QLNdwD ztP#$+Ba-pVBNK}yF;ykjjzH)_E`#LXD`0*c@OR8&ovxH!ygRc-DKz{nmq}gr^qtPE zkCk1I>y&h@Mo+a@94C2| znBrVI(h1isxlDPMy)-37rBJ)Q>WL@VQXt(C>&o-vQ*4A(cPpayIB{H?l3z)V{01>c zavi9;e!J&TlEZb6!#TsTd`$}09uCgdV0*YVShFkG-dY{PM}{lB!6M&!U(x)a*W>m3 zf)#&FA72A36l5xPCHFb^_Fc=@fAZLi)Qmd`S?phz-LOVU$O zQR)?XYf1}(-qMoF^3oznuU{A}Dg(vRRjXhgH#YLe3_C*Yq4w5h$@ls_ zE%TKG*W(!#CK6au3yWNKcpsMPBz^5ISI zM%>$L1=mx)T4aH*Z{y9v__8=&Xpg4ilj93^s1JmLL}j4ZS6WpGw$Po@CH!Q$l$WXs zs2jlZAiF3~QHj9Prs4v0TS+vjl}oe=?-Q={c`MP%7JMKc#f#S|b+gJ+I2b3s@)C## zJ-D;3t%*9A__6XGzPwON>n>l}jf|ew5ez-Sh?6@^5O^r5c4Q{h7_M%^ z01$GO`Rbz50#6Y>^xjbu3f0V{wuB+0g_4D+ z+Co%d4EOB~Z7pi7aw_tb2jxxhdS1s@5(SLACN z!)m^Rl11yhAU&wA@RhHv$O*#YYuHYEOQeRGxuLzbU3J*1z^YOMpMlug*0RIuL+NBp z{g~%rb3uuP?=sJ7pIQSFC5(QNS)I1)N@M#D>tpt4te5Q$R``lAT4YiIY+leCon&BL zwKUZj)biF~s67bNGz8<6x~LRBH%CTpQ*|3gh44{;X*f_UIw4=9VAu}4N#L7 z5O1q)w#H1Z&9n~e@XZTf1>x+dm=}cM*1F4R3^&(D`q9sMjS=96h$}tl_Z4Bj4mPwj zup)xiFA4^V$}kKtbI+d#)48z`+XF4oDr?0IRzQQA(ZJx2hR9Z~EU@8Od|t4uph70Q zN@(PIwP5x&Tjb7*v;nyt#X1v)eL*v1hiVTB6jum-M>D6MaIKrQ3NcR1r4<1vCf0;H z$O((V4!psf#*nSO_FJ{rin z&_o#&0Z>33Qvxj;Of|(iT~+2w&>`vgV5AQ<(mB*5OM#TG$;8x#&l12;!iKXsvb?6H zrE#{quDY>3ymIMMu}o!i-Z!_4lwpCTNhS)lHc4wMHdR#mijDf#U`t(HJB%(_3S|_k zwNUg^J~<mp%HC0;bOxuaEz2TIplD)+xIu^XCw9QT}{!Ff|n8zv4RhY^Y?3(g$OFdc2^y2g$s zm7}c}Z&?+sIzd+bAm4Q<@Kh+6-S!F=t}gc|ovPsCC5(m}t6ST{%I1~c4z|FS{k6MGLRAyn-L0Dd7SeuJ2R>t&D-Je5qF%-U8Go17AUdo zE$sHHZKksL!XV#f5ioGo!b`Jut$`M7$0uMetQCcMoW?quJD^BvW-j+^(EFhZX_)Ha z3Iksb(rZ?%+bcY)e8E*!C1Ml-9aF88*-%9b6 z60g6!w4}7E0(%>QQNz4N81yk4ybmpcy{+~fF?&1S0#LIC9E56!NTxNT#H<5bYbqhW zU@_-%ncrkf+@|aR>?ztBTG|>SyKGaWngC<_>xO2f3u>*aDhXgaeZ-jJT1V_m2sw!_E%N-u`IAr zT&9!(+u8t&d`CljSS_N;+iHZbiq1lPb-)haLS;{r2_me;#`hD9k%h{AA(n{jfpX_9 z)?7i}tIJEP$|{WNw)zm;(@e98T|#|St4{?4T^DM>3u}!a+#lA$tkk3Lbv7q{omJ|G z-5KP9^@Zy?H^it@SuF53m3WFOWVh0s;4Q0ctCsCKSSrSttSl{or6;=|tNH!15ZYd& zO``HjRH0kr4VL*gRRm?%MY{?+jfUz*y4+O5W#RHvR+a|}sw%bOh-NBD!OEm~wDst% ziq5k#cfcnQP#vvCtg=~v&ylgQGGHrfi9@eg74UUoTPio`h(au=SP&aH*#0E$veg`h zHBG+7C6)*`$rm`p)fQHkWGJrPjTpB>76fc^&L0q%@brKPsR7Yc!_A@PXW=5PzDp&; zRr$Q|NhU>Vv2~!5$6ckG_93V$wVKoM7-u4U(x!lBPai{yrcg_bNV6;e(^qC2eB`i@ zU$rYO-xMq@Sc5He1rJzc6EC`7x*988)ElF=TsV)wgwym%TP~?Uxl7mjpl5o|a6txK zlW(!LJy)E13fBidsLcZKw+e`?1tf4~t2)w05MZpcez-oV`7Ooy$+qCygsDX#~pFFBJCtrEttgX|b2#&cO=I&t*~txdVabG&Et;)(ZDZ#DG_! z4H|{h$+mj@g{#%rP^wEGA-wyWK*;Yatm0QU^+Mm5h1kBer47C!x+j87EifzhM7Op) z%r#b*RJpygX65FpSDU-%G(|d^!z=3XeL9?jB3TVL-wGU{&smt~o>T9hQ<~$RgRRO6 z8~~%xoH{63Q$u?@T%Jf^!x`E(2g()+V{Eqp5uU+%x-h7nN`Uin`uPL|HrI`K%r(B0PDvZFZ& zJFTzzbzwDHUEd5BZjn*J+sg%A#YxLMF^g#`(yp^Tp zL3}#1+(UO^g>h9ox=fms;6)Lbo*VVX$?`8MwJj=LZODiGIFs8J3acRZd=lr9he63F z0y>DNYb)|>q7|TyThw$Uo96N=n7LpZcAV{~h7kLv3x_(Z1TAV&5rc;eGST+uLA91u z&IO66>yW4!5_@ErKVl{^-yN2 zwPnJn4pLiP^jZl~p)-BDOO)e~Qw6n3dUhM9=AAopbB(JyTB!Ln%wgy%Gfew1Eu-v$ ze3&%$wT}?L5N~V+~)MVv|+1D%b$XWEgIbgEQubGMQN{sey=W; z(_M>B_<^(IM%An_!G}F%4<{duHSv(VvgC3DwbV-7K zMOtcc%0+EaK5PN0y}gK3d0hCAg3QURRl82@he*o%$N4Gd=tvxVb;^3?5q`Kighxcw zF+OY`jwI1VH%A1v@G+CBrRGXlK7BPTWOEN-PUx%U*1}S@qiF{RS{>K&zESn*u{uGg zkZ|S>N1F2J3hhsrvZ^b5rQ(j11q!u*Mw|~O+H-ITg!ycPJk&rmZ9L#14nAUVL4FQx z&T})ggKyvsZjZ=`oIXgP1zg|O($UKAHnR$h+TeOm5xy+XnTe2i-BG8~=m$QtCi!Bw zD34AVoqOkt!|oiyS|wRig|W zDx58&j^;YlC2N7vsGfiU6LFSN^w^_%Ck}VzlyIFK63*S)(iEOs(^w6E)?6H3&fSh# zG`tg!K~@6{)!xbzfcCk38j`bBsBy0P-g?xJ#~Fc-E5d&Oe;Ra>I-^ZgOiMh35P2iJ znc_I_aRf9?4$Gs1M%j9C{EN@ezK69=xTKfh6fTF3VNH!g=lVGZmev!YK4F&T$u@&?lQ@Ix z=p}EL<|&IA&SV^EsNROWW%G*sel1-wc_GRR8Sl9{n5VQ~7@jxS1K3+i-6h(2W(iiF z$Ff<7%CQxFGC{b8dM0vZ^|6@qc0+U}b$l00>}WJctR!z^D*J-RYv)X z0T$CZ&YfLHPqehNN^L~2^ec^8R~1+fZ)I$!*j$72U$pWt@PV2ar_r#vurN}P8jXW=h_E_Sf zk9M%aPhYmd?x$xHl)4uAR(rggjD~jgFoHBrk1Kf+$+FVbJZQw85dvR}^l@kzCOue0 zn3OQ7M9Oj(o%fi6#?UUPGH7Ddf+{N$bnN6+-85aanj|=#Y*s;oQCEk9cRaU(hQNBQ z@X51YdcKfHrSPb?n-5_IxjqCV)%EcyWyRv~PYs=fsuIr|Lk5&6X2&TnOw4U2%Ew2Y z|HsRPhtLaPsYo@?3gO4KFF}X&lnFMY*^oMjE4^~tnXRI!&8m)5q5}*yNsd6@r=IUX~u~@;lm8; z`pPDwV|4VpnDO}dp=7?>4wASFU)gl`3CGWZ`nX(%{vmxrp3Bg`gFg?hf9rl8d_n?5 zC0&O8X}K*IzkR^(9{zsL29hX#UJGqbGtMUT7k<$x@ErcW%yvyiocQ|^;EMovKn{lr z+=9*ec&);pqYwEv0Zuw666ov_{PX+q7Qp-B|ES=n8>hAYSoJ<6@G*w<{v-?j9N?@s z@dvy;8lL#Jj4>!k{PSL}0sOxBxdiwQ=;w#{4SwR)7d}6mg5R{Br{`OQ&iVS;AowHC z)90%-JW>C7z-3~6IEepb{M{0IHuKMnYBoBmtV7T*xp7oD^Hz>_c!_Ju#P zA9yC<>BdDydcHeO%yas~N4wm>PaMB|8_)>!Z{n}Z4+B2jHZS2fLvkGjd`eu6D)F$U zllZ=r#|3_VfkF5oPG9XE5BPBBMMg?OyJqx*zqB9tbo}0HgmM0S8|Vk0FE;IqpSFJB zGeu8g^ZYE`#2fm-f4(31tNp-T5O`nwjP3{iknnT<`ZW*ulxL!ztn7zQNk8zKe&8Mb zz(3Oue7fj=TJ$Tj*01?*?g#%{fOCB1Ykf#Zd0tQUga7M(;644o-`DiFc-$n*pZ3X> z+Rr>-0?u)lIF35NS33ASR^ZkYRKPdS_0^Ajon2q>OZ$O0OTA;x>&L76!N0Q~_Y>RW>PIl5Y$N45v6JH$J}XCK0~*^3q~!Q(c;+VhcuczB`#N3U(w zyWkLwwC%zk0B5Md+K#3s94Q5DDeUYTB5|luuQEJFMY&7*IPS#816g>Yz z*%j(TOL`XgLyWSmW!M^R&Vys=0uPcJaE^UpKktCs9?yyTg1(YMo^b|o6dd&VHPDY| z0fL2_;Ij^Rkr&rS?5FJj?F`bD;^-3_vS6jB0KRHNo}A6kUtJV{qiA0CyzB)yNGQOM zWNXXR(~NpkpNQgXmM7dx3d^hUH167PTXVQkJE{{=E5Z?#?}0-j)L5>beJc((m1*!lF{~s$F_aOg{O6T&PjYdjlR? z3>RQT;ddudU^zS}8m3yLe8CCzL7^Ml0~gkeP$e3%AP5DIZ-@GALL%Xkik8N9U)iei zik6C?FQEjT(+LGQQt;$?XzMooSh1lomYatjsVw$tg%34)G2$X&OD4*x5W}Xf%JEGC zs4X0hhlCneha-jI?G2%Dps+nATd&;V%cnAc?U5OQJ zz=L+HTH2(y+Bsz4`cA|F6OxaCh1r8Y{^HNY=xUBsYlkfyj`Xm`m#kAiT}l9QGKvQU zgEj4VKwf!%>2gdsf6Dc3*9COjP+NN<3H-D@gx@W7$ov6OsV>lS!3O+5r~sn{v#pgA zC&Q>3TXP4VnkdEzjy3b6Z#nN*@@N4KkJiPxJW5f|B~}GdW!LkD&eo-+wRl3lp*g-G z+hjrt%A+NCycEY53KP>PS?Zf1jF3G3Ml)VYb>dr$CogWPc<~mVCtf2PCsH^@L5O29 z3Ab7?I%C^fjcgpHg|j^cfjMx2=@fnroXxis)Xu>#V6&OpS`A-XcI~ca&{HA&oRWjb zklOKdLR=zF031`z8Xk?4zv)fu^2F?y|)$8WgS`e{P zs;F8(RS7GO8mjRQ1MozLY&O)-$mY=of76>S2Y0~a`T)2}%4337R!vP?c)OK@0TH(1 zkdoa@`9H_$VWA0a*^+^7_nYBWH&Oo2=<-(Bstdw=6FFJs`7Rh< z>+y#zTeQ68r?kSGnQ6Nc<#z@0@W#?8|CT?~3M0C##lO`~E8K?sk8R~Gzo!-A?PhY3 zf0nWMS5WopNA`(D&+?C2q4n}ZtA4Az)&A>HmgNgHLCcS7g{O7WtFp_XAvRHs^6XOmaO!A35d->%FjrE z6PK42er$_NhQ2MySCK@zRyhlIC;*8t<~8Iij6dT2koGGPcWgi9eLGaCSysnsB8lbc ze@cWAwRN{D@VQSYKVO$mZ0E=ORr%tXDzxraUG^}civ+doJE}x07bje9{4Kzr)&JJ~ n%i(SHJ)+U;#E(>z-)y&ER=pN%I3j(OfAoaHSeZ~DA!+=7J!KJY literal 0 HcmV?d00001 diff --git a/benchmarks/new_opencl/vecadd/Makefile b/benchmarks/new_opencl/vecadd/Makefile new file mode 100644 index 000000000..f3469442a --- /dev/null +++ b/benchmarks/new_opencl/vecadd/Makefile @@ -0,0 +1,44 @@ +RISCV_TOOL_PATH ?= $(wildcard ~/dev/riscv-gnu-toolchain/drops) +POCLCC_PATH ?= $(wildcard ~/dev/pocl/drops_vortex_cc) +POCLRT_PATH ?= $(wildcard ..) +DRIVER_PATH ?= $(wildcard ../../../driver/sw) + +CXXFLAGS += -std=c++11 -O0 -g -fpermissive -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I$(POCLRT_PATH)/include + +LDFLAGS += -L$(POCLRT_PATH)/lib -L$(DRIVER_PATH)/simx -lOpenCL -lvortex + +PROJECT = vecadd + +SRCS = main.cc + +all: $(PROJECT) + +kernel.pocl: kernel.cl + POCL_DEBUG=all POCL_DEBUG_LLVM_PASSES=1 LD_LIBRARY_PATH=$(RISCV_TOOL_PATH)/lib:$(POCLCC_PATH)/lib:$(DRIVER_PATH)/simx $(POCLCC_PATH)/bin/poclcc -o kernel.pocl kernel.cl + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -o $@ + +run-fpga: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-ase: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-simx: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-rtlsim: $(PROJECT) kernel.pocl + LD_LIBRARY_PATH=$(POCLRT_PATH)/lib:$(DRIVER_PATH)/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/benchmarks/new_opencl/vecadd/README b/benchmarks/new_opencl/vecadd/README new file mode 100644 index 000000000..e69de29bb diff --git a/benchmarks/new_opencl/vecadd/kernel.cl b/benchmarks/new_opencl/vecadd/kernel.cl new file mode 100644 index 000000000..16b243d58 --- /dev/null +++ b/benchmarks/new_opencl/vecadd/kernel.cl @@ -0,0 +1,8 @@ +kernel void +vecadd (__global const int *a, + __global const int *b, + __global int *c) +{ + int gid = get_global_id(0); + c[gid] = a[gid] + b[gid]; +} \ No newline at end of file diff --git a/benchmarks/new_opencl/vecadd/kernel.pocl b/benchmarks/new_opencl/vecadd/kernel.pocl new file mode 100644 index 0000000000000000000000000000000000000000..80f1797b2dca086c8beba1f4a1b547000aff6fe2 GIT binary patch literal 187461 zcmeFae|%NdneV^%+2`zi@`EI@LqJ3l*!h8!QtYv&<7@8p9Lo>2j?i8NZM_2BR{CS^ zMQ2**v@^GfJIMh=iEae7-UbAT`kk>lr!}41&J1aRSjQ=$0|`|}pc@jbjtMPEBw)_> z{j76NNB}#%ukXC>U*Ei5=XG-S*?X;LJ?nWs&yV%2b?#f^{@EA5@;|g^_x$63dG=?q z&smzL>Aa%6`m^=e|Cz^AnRNX__60?C#T+%;?ifKEk!o8m)7N4^i%VORJrv)$#pv zYqTZhtLx-mEhKGiyIc*5Atf) zW|e4u(?ZR?tw%GG5zXN{ zzo|^K&B3(aI7ti2%n^U!dM(v>SaT|Vs7ad!ZF=VQnz5)_^A?q9#!aT?Z*9`;$(HVK zOKPcJOON&TYN>m>HEG{AY5y0rZ<(}zlFw!Ik!^n~?SDhs-#6O6^if--Y0lHnsIg@D zQKI^`bv^A=U!k>`+Woc_SM=_zquqK_#-sVxJk5E4u|2>wk88Y~h8}Ha1MiJ|=H)c) zh98aE&Za@$Bf66u)a=_E^_|JxnzNpJ*K^MX?&aldd|9&(bMHoYewce7ly~ms-Py#w zo4Ds8?&ak?yq|WOw4D!g&+Vq}Y?k*XeJAh6Gl^-v%x7Cf`*Wl56PmHVO7r$l(u@w~ zXHuhXmzg>|)KWb?TC8Wk7W)#%Nd}imWZre6wDQSuev!OG_o`3qCq^z0A?R z5u>VJ^D5dk_@k?NAM<~XXHI3kW`|9!7T)rO(9g>W@j1l1!Dn7hn9tnr zMEK0hF`-HLX7=z7FD%}LZ`N+jiE?k0d-AxKmy@66TRzVS-wNcNdwF*XxwnveCU7q= zr>Kc`8nm57+;e+acZ%h`LEp)Hj&F7(tQpWhc8q`MxNK_)W9#`3$L24Sv2|(wG8tRf z=-7lde_2?!mpAB6w25&thCIGU-*@KqXzq=>LUv@KZku7vYeI*#!+bZ1`MID&zi%Ag zGxgK_Wdn&=Z8 zUuplHi`zGzX`j*8fAnqId)E#NP37-+t@;dOw?5N*CNb0JnhoxVUinR7Y>B4z)>)b> z|1+=L-y=GBXhuOR)yw%^`w@Oi7$P=PmBL4 zb8i{XfJZOvsBoS>IMaFdr3zI=n^r!?>B{aiCcep!tcGrF{t2lf;l*8C#;4|r`y zim*Ed{5O;z;k8DzR8u!{0rpvwxxP@@B|EY}3s&}~{fDP(!HK)i+tDdn@IR)Uv-NQL zV$2qQ#D@ms1yeI>7HD2gqh@@+QTKN5o->KGOUYnLO z+Mw?rGxUi~6#A0TXF=a?=Jgq#1w);RR%k2Z`XavPh3yPh_Kec!uZO<(qUS_+6)kgl zR%mG5$2b=#`N+`FF`fqFhw$j-3pBv@Q5u9tLId=t%q)+T4S+|ZG@QIV4H0<4c*M@j zT&}5T{)Wt<&;Lpn@pqJbRW$##@r*vrtJ!C`4VKacv5Uyx_tc!Dw{5HSy4ridx$xc_ z{A9-ssbn&)beT5%Lp5=?E*#=|I@XYc_vlr_nKbvNMX!R#!y_U`YR=GK+El(ou5G~s zX}k6-dYx}I++gQzxFMEzHZJ~{^kLt5P2AP;LUy>}20x5Us`g8veZHbKnDWHP_~(DF zp8rFh8%vkq%P4I|Kl2PW{?DI<{B=W`+ic~#k4B>YS~G309YkJxkcT$t<5kJ4x{doS zJ-D}f#4R-yPNvMC#r>_-+P09!{mZzvUvsQBd;#v~wKKvyubpAudF@0F9bP*_yz|};Plu8o;5UDA$M<*Q?cV}dlS!yuQ&Uk z$mw)sV7fE++N+&8iL0I2pS{|dwd!i8`u?lAezi0ArK{`-VEjsLxmUw;jTV@EI@DJe z|EyEJ1G@AgXEv`nyyogft~mibc*qE%R=!vEnr3gqKi*c24`%7^t5&GCM&giL%~)@b zCUHkwPdd$a35hFGYr8b3>|J%;nfazE&-iPT*iUn=S6!&P4dz^XT`RU=yXI=$^L(ql zq;`>B=gd4^?r-^k6kRRx)+kSs($@sc*MQuY}lx&hle%94!sIzSEKXt9JciXZ$4PC?HazT<(|n}Y6Gzm z*A?BreSJjQ2<|(3-d|rR_P5kAA1Rgo{e0rbLSnpv_EP2$S_HG;$4p*x`TXtKn%e*` zHqO@Uzq}>t)wCD6WqDd`{hf(mp?1Hw@cu$~qZ#t5>x*pj{z7N_D~cBq`$!z>JpBq| zJ`GO}@_m*kH+Fr_-Bgf$rat>j4ft8{;m<7X!iVnsCO8`nihlLSsfYeoBDm$noBg%< zCBft7EO=9tN^a9-KE$>$7x)X(?{PI3?khb@Wj^e0-J-c>zLsjZGvVIWywp8(r|xga zM^@XJpIV+d4vt#4c{RE&aw4(4SA`9ZOwjt~X|w%kdx>2@oPaC^-?QFS`~b_>lq~tU zxBNBDAF{%N?Q1FsUn|Fd}roX&fbRa0X=b*?me&N5`W};}c-|e0MHJBE8Px!Q^zopY( zw-G%+<`j=;uLe3JdVw?R5#3L&gI~$C_l%_(yT#Tg!J^ZQ_YoKV7kIOngITB1vqS;c zMc)dXIr83K0F6boQ|!#TLI;y9##-p(6UsAg>44C_*e7?9`9FRS|C{cXGw?7zjsL^y z|B{j`{c~qN@U8x|GxPq4yX6C!w^_b*AK#yo|EC*Hd$CiQFpRl+u{SqSY<#S~*k7Gr z;x0Ah`#%9c!uCeNLUhGinR%|H`76G)Bn)j4w}Ey_npfHzuGai~y})OV>}dN0-_j@8 zR(qk6`Nn)T56&#cRnZ;+j|-fc2MuS=aXuf%UaZRJrS-*27DJD2d`u5PO9-06j5lHz zM6_65mF^en`FnROtEWbv|;P?^NsgeOjX2o7IlKTT-6L;bZGABLPzTs-Ix%3xh9>mRwtZ_({dlYzAx;|J zPeyT-dyqH5jpkb4>J05P;0@U8Z=5Rn_Uw3_YMvfUiI}usl+mjdf8$l_27JQq-={g- zkKp%$f6(bXeJX>YS7XlWPsjn&cSD}$0q$g65Els4sAY1cgj#*{3%L&m4DTWnjl z%~1@u?$d(1x}U$Oy;65d2ZAF#+sC)n8Fi;d?vr-FvX%_qHea`<|G*h!R~PIOJLwVINIc@q zK8{a$x^%4F1m`w++^g&<_Z$BY_ik9E1w{p)7i>|uQlgdEO<*lCfgQmQnf(a3wdV`Y ztQ}xh0?ZQLcU}wb>CWsK=&r7%Hmy#0i|#MX${ZF0PubtfuW(EAxgHP04}5|!{xG`T zzv2<)Us!w0pyAA|$g{qtfAgU5r!ARI`yH0&lp?>1Kc|U0kU_zYy8} z+H5cq8y~K-lo3XqInyr558OYFBX9gS3+z5@~HT{d<^{p2}a$WR`&+lY-lpp2(->Cns zQqA4+bsf3?^NhcQPX%Ayp4U&Q_O^W#d1}k}R1bB-#|u6cZS=^z%YEK+JeL&tIIX!| zc_&hN^Ah$~WiE^&+Fs* ztsDQmSMwR>vH-c4evfPZCQA$UTg)^5YC%3W{ew`7xr3e|_j{J+J~|;}Z^Ty=9Amy^ z{*av$!J~yY_*OG9d(9u&d3nZ8YsOXTKIM0wo{CTLYiZ90PlJuU=WS%f-PCK!^Y*qk z;mKbToBk2}wT#$TuupIPv9`1L+=!AJ6Z#6yrGshKd4KRK4PVp*dknX!+q6w`29|!+ z&f+&lQky#B(x+WuGVby>q%U_1xLwj&D*Z|S!Q;K}qc?{1>#sY@ybqG!N0;xs8Q=Of zJ@&TreJg#xGa}`N^E3X=!F<{-c4l4?!G?yh z%Y3dc9<|AHNKp17W|$oyn699k~M@HgMR2*cyoy3-?5#^N`;I~Uu4U;ep@ zpV{;$cFA2@ZP`WiSCHus`ANHJ# zNWVF|7u+)UoV1rpGQSeDY?tp)93kdG{5%aEX`okD9t|_ZEG~vTGI8xc$)WI z=}yGo_61U)s;^)~`VuIYCvbO5Z%%5lV47reJ z?&y9J9PN{QLvHTKFdY2{MIisGSMh`bMl4F&40}teoM(R&yST0X+OB-;d6EryHGSivp4a~1ng5~ zB5aW3NR9R*zESj2d6`d~-GAVLaWc`|ycYlStqU@9fUzbn#C*-oU*~%j3upD9(ZjcN z?|SiG17BY7#}8v4e%kX%utdfE;-e|qQ8|K~k9I-Vf*ZR&?(aX7mgoJh!9?oJAuSc& z7I)`2-=was&5x%hyaQ&NggG<(rm@>xTP*gE8< z;e^nwtvR;heLv?HAKCiFi*eMw`-zq4*Z&!gHh10?OpWZ7xe@v@{9kd-Uk4wawDxE6 zFCybvU3_)hOuwlb*^c7Ft@vfICzW9kZ2aK&GFO)~lgg&sl=dgj|~@YmDSI#_rC< zw?5YLhVdNulpTAf-^IT$#IGC^bL4U)-fr^#tsUeWULxPxPQLXZ`PS1#$X1`Uqx`h& z7@_mz*tYRk-}D6ITds$sE(>-zt9;{=t*(OJV?gTk>g{9MFgPw!-g+ElvC@m%NJb*$JO6+!=nP z(Ecj%gYtbVr2U^{+P79ppAus*Kf{^%Iq=WpX>OhkK0PWrlF^UNzm@ze^TJw3sm#}N z+0PZ(&(D&_K;9>4?t5)t6Kt0lMB*~LV9_e(>~C{e?=IaMXYPo_vUXnL+1H=?6Lj$+ z|K#yetj+i*|8|kiEU5^}BI|`l;cZTj$H{b^HEsNSuK4%y<1CpLltvoH>K*?6`tkR4 zUdjADF#ewM4a(;wrf_D}llNgQi2S!R^ICji>`0ir;s)&3a6z2s3_riW*xrN<+kjqZ zar}Vo*jW61?33S^r}cF%`AD!aZ?>%e`WwhGUh;kOpRtZ_>@40jV&`2+UuV)mQU0*E zzfcRN{%Sag-g(Y_H18IP8Qk}_-Qa5a>@ryXFbNn3DeTV$UsSEOY0PGO|6q_me41E8K{dIRQ zcIR06qx4TqIyUYQ|0r=G&dB*sxj17^)_?Rw+jtnzmT=+Z{4nP9GP~Ho?dfo@en+$a38R47{|k(i@j+13HG4^e7XMO?-ZsU zD?h8A-DG`SzE}1D`OVn_u<_As+w(4d9<15Gc#2uKx-Q3;;I3`cGJHwiP2cZ{zRUbv z@Wn6nX^@XIJZ+3gw593ctP7OVE*B3_J$Md41PyVL!_)g-lEW%&8BkQl+l*ORqDn@dDaiYju z$huV1j}ta^a3a4pbzSbVI^slmHmQ6aHKwQ6kK&j6(?jYxk#l?D`Lw-k5I^TNEw-sA z?%rCbrylw@jl9jEyD{%>f5X`{{27w=+?zJ&VBo7cTkl)Q$m-dw*R%dmc|7D>^Gv}AS)&a0wiyO%GO}(X zYc>w+FUFX)8GM8i_cmibbeO@zXYzR`zsb7KvBf>I{-V}KW|M=tXljH|8ety}9-I#>d((tl7-cSr^k;|Ka(W?X3T> zc2mJR(A?v!-y8=Ih=<3n-yBX~n~EKb z`K1El6xOB^!iT6co7WuSS;=fQ7uvyZt9ik%kk8&VtPxfw3XG4`7Z~uYuS72ZKMUw9 ztmdZTc+`-&lDV06ZJskzKG#F%Bec6J582{#JM<^=oa*DzzWz>!xeXZ~(eu4)68SOK zBaKC@|9-4K->7cScV^c|9PM4&x<4X0P`eNvp8&re!ar&#hDZ3;&F9|pX6cr1aQ%Ti ztu}AuQx|x41#?-iL2CrMO`}pruJ4Cm_nXe_&$2%ESu?}W(-Fl}k*9I|oKetsY|ni@ zSnqwb-84R$ungwU_(;2jeK6@aw;j%Kf?cz z$PjaaeAI^+tDZ8&X6a#gip@%d+!HSo3m$0jAHu)tU);bPu-@01cIQ+7^ZLKT%M)pT zNc8)37+>PY^iS@nU3cR{v(Yuy66k*j+Q3`r45bQ(c<1_%70+JN-5dMw%B`EIwUY3C z$hfZ7-@t-bln*lMvlPF(rnBX=@;7_79m3xK$`jv>ap1=ta4HjqBlwe_r?pvHUF>J8 z(XUQwN1(62C5zixTT<8Q;$NR1Yb!D~r+l+o|CM!L)_-N~*JnM*mYRn@bp5xYWDPM{ z*l^IN%d7#r&F2J*-~+tbYRy&mvUZ!{>6Pbb!sopl-U3P2r$=ZOZR%Az6x`DP zzRE9bnx>8KYejc%O%$H|Q1Ar2*4A_$eG^?vr@}AB-CyoAFUb+z@kH3TB(}WRZ+dfl zY+2WQLx%RFPYcan)^C!0jI{Op{8sn#U4J*851pULv{nASacvzt@Ra0D7|XB0(1_ne z{7C(P!qffd(%wFFyX0l+?8%#K-aX<~Qv;D4#2%e4H1-dsgC^GIBjgbx&4y3yoy%T@ zots}C!GHPR(uTLMHTU`N$M^{r{tNXR_bIs(ITu-jhkbtI)hcg|P1F10dsHon)JeEn zVw;&DF2SEwmkXzaPXzKqdVSra1U8f-j79uRj5wt@G%S-|MSr2bU;cWyzAM<9rqE3SyW4Okbt$A0JDB$u;zK=cT^Yxrh=vA2l0WMlVK(QB!(m34IYtzIog&86&NB1XhFkv$vK zZ*1wNcDxaBeFDJj`TiypTn#OG5u+u8C@Ud|Rsok{1>F0ir7 zeG=O#HGhmn>i!tV!@05ftn0|w#_^>8=q5W!oN(;uKfpiT`&*u8o!dPmy3?PQycs?) zIUMO@@qj1wX}wn1DLc>S^36HC25V0Ftg~i#QU03Lo>6~4P1a_V3^&U22M&AP`=~8n zU>g5=QuCgb`tb!J>fwIm4|P-5qfviTztEXVf8=Y(30Z!mp8g+M=**h2h?=K`c3yA|ztc5L4U@NS!s`XpGH%tE+smWht zRMsytuF)4sZp*7oEP|GsR!iME{o45d>>Ioz&?4vkaKFU4=e$-`vzvBudoZMKTl`h% z72m{YO&YFd6&aK1NA}xM*Xnmu6I*A7z3Q;MoAw~~J8VXc>iOV?toaaMntK@gUfM9D z(1kx$FZ7JoQTv0m(O{AzXKuDyQEAIwP22S0l$}t01Y68ud(fJN?o3wf)ew6d$1;>Yt&kMRYK1X6g|QB%t3e-4K`YX_G+2$6I>S_5L+{s z?2{DPI_*`34fkQ0t1$c>$n>W*Pm=zeSz*?KpmSXtzFwGlIV8`V5kJh?enuPL?$Lgu zRchyxrS2vxY_u+-j;>#KZ#!k$Q);N`jD%tyW3BvI)O$8LMb;)`Wj$Kz6!oXOCSU1P z{%eVkujPghhcfHsz32<~@1mX@{;D~(I!c|&p;E>$?CtKDlDkj#^ErIJ_pGJKI^-S^%b9dgE zxq&C2Z5 zU6WcMb0u|K-PBiv_1Yoo*R7%Nq=ulm>>ZLlMC>JkUuy4=@mxd?it^5TyTFib=;d-=>uS-DDm~RunefTk%leepx&XO4 zqq#RV%RCIn@}ARz&owi@^E2aaLf57Cl)h!JNUC91Jh*N9d2jh%rMH6FLWj`)p|rH_ zGlE->^@xrdRpAJF@{T+|cI*m6pc70>jOBy9tyT{{Z@uTt+C|KC^gR!L1`Sq^ZNba+ zz33#oZ0H4xFW@h7^j@xQX;*kFV-tP~-}wIBQMp2}T8Y@Jk+wxzosx5r`7!&~oXP}is9-_GD&~BFtP!1b<_<9aeZ*_?G^g_9 zTxaIMT&E(!=Qz1Rv1eeRS*LIqxiXy!WJ}}=+kgzwKCd~t=*pocYv-DCQD zn*O%aK6v5m;`J=A=Xw1N{WYro_8OHxCoT>lleG6V?d_ty-L&_@7TT);^OQdPUb=JR zgZ_U~a(EfqWNyUIaps&A+|$85r7Pf`2JVq_&`0MtH!sWuwcU>h|4hGOAf0O18v>(s zn{_EiY_!we zr|S9#m48EhKfc?5A3+ucx7g$9R6M72jXHm7-}W%?#P!%rr5mGqQ1@A-hpY*SexSF% zkoU1K(W$I1onRet{)Nwx5k7xf^hoh>tPY9(h^}u0577;OgZ{I=dCk`ut;oCBj)tKT zuW}Lj`1uL{%-(b?yhXE@?uB0V$(4fNx1CXXq{bHST;lPZ#J_G79gHx(c_s5i{w~Hq zkui~}9IsN5x_G9I=3AAWi4IW1%wCUHuy{9ZHZ;Wj6Q$6RRQEYgZ-q}uX@B;Iw~zhG z;=agRw*7@NHew*^k50yew+7F6yY;L6UXh0(*)JJ)cI}uB|Ie_7Ig#f_eNSaq!9MZnp%jlA=yG7Ayevi=Ajs6h(nEmB$&tN__ zKJ7O((GNDg=`ede@cEA{#~u>1U@KC+t+d-j{-c$4Xz%F(c+~^lJ%Y!Xy|MVI=)BUk zHS;4^Yo$*Ixj%3_!Ni<|sHL&-vHSSe+&|Z@3@UfaP@7k}~ z%!ju-!5%*Ny6dDCoa+9K_e^5C^85SCUq!cn!#|269&zvKGX1U&#y)7;O+EP5 zpA_Bhm%h}!>-R_Ork5j`>qp;no%QW^VV% z98k9qpXB~om*#gdru9vh-L%%qwAu1D-`$@1u12@lck$i+>~}|d?P%SnZ1Wg-pYqlV$}#XcqRz4sH7hz}zFpFfMw zcLF^O6L;0orwpV%Va? zA)_1JUOQRhSK{c&oHN3U7&7%GOAk!*yzEVMnqMCGTu^rSywXXNb5vA6RoKEkoJS+~ z4yt{iep8R^57mj^!k+X&3}7!a6Vjf$l+UXtXWH!M`dVVvlfgjneyHJBc=|PqSKRg{|>O?5wNnk zS94k>r`dOOR_v&4Rq5Vx<~~xTxsO}a#GD)%^=CM5KzKzz%CGU3R)IJ5=pTMe2R3fo zWL^0&WyIj*BUrcAWRFH_FTTQ+TNIWmY#Nh0P;JqMA#F)Ji!$xFQ>Bd+Lz#Vo#2Ms~ z?AujdMBy9HWzMQuUL~^ffyl1kJp=|2^NK82%)qC-R!>>|ai@7e^SG}z3GLQUT4GJ- zk%3HZBplJ*2YRueU(%dMW!xvz#&YC1%sLSKby|8yf+>w7&QtjImyuoiR&r_x9UHJ! z#0l=SA!xwImly>fpPV)O=CkcL?!9dL!h3(iGt_Kf!+hqkwsp;A1K;tf-t{Bw;YN2Ay|*(L zww0-K5PyE5`doE-I*F&7qjk1b|2*wUfo1Kxz0+pt$jZdunON={Up z>3G9?SEgM2YYF|L<{Pq50wWSqJQi;eh2;|bZOEj*DmpfV>al1q@SGQHfjNg#kw;zD7ko&T<P_2nMpG}g@Cy8&ZtQkx+lb%LkajnQ6{cq9prtK%GMwY-CB7iz zP&$rXOULq9r+IT=BIkPOzU;*|7eGtDW-O=gWJF87{WkQ1A>fGfNG~7DL6eDXHRsTu0cBIO&ts#{OUyt`5@%#ZRGVCT zM`VR}_U1IJeec1cfkEG#FMh#kZ#n$SVw=bhx`i(}L+O+IlvQXf{}M6+pC@B?gxPtG$C{SH27sdG7m@95{H zapREHFJp2`;C){IV5Yq@Y4Ce}5XR5wp098M+w*(d5!v&x(Kd1+JWzJBE2+g+cOVl< z>`af!A!XzxBO9viaGm6?--qv+9NlH|74`+uBav;f%6n&aM-h^Az@M_X+f5yH>0EOEN~*FOpn;te5y< zm(=WEON#xP@qMIf*c}R0_c{XH6U)0=%KLS@6OQZpt4(5fCot`r6 z6Yqj`TL(tu8EHrGTjlsl2KjuC9vkY`$mfUMhHlp4Dp{*SmKye|Iq>9}!?Y{D3wzO6 zpMkz!%|_H}oCJy9$q; zU5DT=Jl=f>{<0p~zv4GSQ)aIxcJ2znSY$HXpgYZ39!)z#A1woy@4NhrPi&pR))m`j z{aA3q-IQOLlZ~Ks;4SFSbHfAq3a8MO3`U(rzKV~?eb5mEb@`lKm64}l>cHzkydt1q zmAmVsmQDC4HN`m|2K#FUg1hs6<6I#*jh1)ZEqR4rD>Bf{Jc+zm_%mRI%xTbUod|BN z=v8!>16g_xbjjTC-LSM7+?qEGFMEvLS-C1JyC748lAkPpOYY0|ccRUz6W$ivR$R$1 zZI_If-#9i^qjtXhkYK*E3mLccI+1yq3-mzAy3B*h%kddL91QaFk1(D$FSO71>i&z{ zUzuzF7b;itq3ypow*7xbu2_@FtX+s*_U^0_A2So5h+jwSk~uquT;`Z{4W1#+-oYMk z&Wh<@vC}wI$r!NfjbY9tIUD!$Z#KY-9kKAPxNW_S&&OViQ911$ac{XU&o8)z{o(V; z?VL^fh0p8uCgj+eni&(C00Uie&j9xd06F$pfmR0KOl$yTm-pm3rep$>zfL< zC6D}}>nz@!gl2q{7{Wr%X@k6=im#Yk`U4L$xg!JFdCsQpC^)hIef~dV;OFBFMZITP@-~58C zlccU|)_l$mk@(5BDs|Rz#`6-tUgl24HNwj)Rg4tH)<^NhqsT&(H607gwurN-*V8T5 z=S*Wxy@}kI=vtoe-g~_Yd$L@e!>VH4{GqVWgg*`~2c8pJ{YZTX+Mn~59@mUJcZA%h z!eQ3Ik$d8W_1zV@xexL!{8xFd_#I>Uj!-I$pN_jI>vCLsGB6|W+Sbj)naC^gjvcPV z9-kr3dRuC4&d8Y{KD8;nMcsp`huw)*$bDdN+W7VrEhkm``On3@<@F(Z6Z!7%z8!L& zJR7o;=(k1f<*1Dq8nHM2Jj}jW`b<#Ar&G7SDs0>?G`vlW9Vs-r`QFOcQtwbRIL>cQ zrk$tqLc!E0KCqKFbM{6(XMW5GOI(t}0;i>e7<^!)Z9nmD!_rJ%LhWDW-Xwis>rzdJ zls+O;rw!=!mbb&xFmg~YwIT6Tt>jsWS4%nDs(;0PFgCE0^L4MAd1wHKa(sIHgxJ*M z8Tl!0WDnkNGV-(e#UL8#f`^A~GZ9wvb*$yAPfkT}z_!{W#u3qZ=th4t7-i|HWQS%8 zMiCR+YpG$GzWM{&=ri7^9F_0bIdtqQ_QQRlfU|$jy_N0nIrjV<@|IsS8e0Zs7JJoN ze(78IJ&In=e#-a&UE{80Z1&+%y=oM_IPfU@WU+}EeF+|3p3O;w$IJawy(0=2W>H&> zJ<7(y1L$~uHWsFybq2My)ZJEJLCq;OwzEG={q!n6-%ssrJ@vScut#xlJ zpT&d*Frf_Jl6`H+#V5V)3*&Q(tZ3~mU_s=TEEa4|;!~6uV1dDXIXs9BFVa)PF$uV5=LAl(>)IqG#4J4m<`n;5Uuo6FdRu^U>XWbT=Q}r53n758cf}ck|HQJajjT z?ncqwD3~AhKH6^Cx?Z60lX$1^SosNRLI#mjKOSm8&nMv5oCw() zPT(tK{n=?}!M1v%?^w&r#$7W)wfWdxc;rtl)KeQbChUS8hMhl*?{M7o^HxRBal=@C z+)(>Ew~4MH6Q_o?RCm(wze^nX20CRXA~F{?ctN}WXba!1`iOVf(#B$p+q`e0_!~ZU zo%_j=bIu)pzUZ|073AvUG0RH$%f;X5Q9i8bc`*In51f*}h6m8nU6ZvR5}UTAohf?Q zp72pEnD!j&Y_uzVn=>Lg{-jP~Eh z<}llr)lRO1d6PL&K43?j+MR^LSA0Oh*UX&Mg0U^ZIQ>^RS-!`B57;vU-%G1)A||_X z@1=4te$-{&u8+zvI%6z5Ngl(@%;~F^*_QS9oQb*?V%y&kKNb9vI)qIAo|u9B8F`ZL2Ln9TXF zVoRoDUpT9h^DX5J%ZeH0*q!lbSjw4~a*ieEUSe;qa%LSgoZ0QfF{@Z_xni;->ur?> ziE)loU!be_#YWHEx(KzS3&IkIrMBVQJY| zadZb8dAbaJu$2E|CM>TjGbf`m@OTGubUfAF0plJ9gl0o4FGGyObyE$k_{Kw_Df}_VE^z!)UiMYbcc$Y|WIfg8|I9ngi~g z%HFE}&Gp9Z?NixTIn6Ij;YR<;75=V+N0mo#932q!SN=}KKQV8xrV0j|L1kN z<|fX5N)!m~myU5;+9}i7lMEf~)B8PiME(=#zy|$a>PKW5zS`^ul3byR3!2CmD!V25 z!dzTHzEH&l$q&ZPA-Z=`=1P7t2sPjSN^JCv;lQUSQ3rhjzDd z&vx_@j9ZFL4D&y^R5x_;HrbnRTgO5>Lpvrq#_@^4Pp#>`b^k=c4_nTu;Cujeo=st% z|6}+Fha;Ia+(X#vF>AQ~ zH)=Fn)^O`0nKj&!-Q(H_O4bqw%DDi@`8Z6J7!aQ-D=T2m1zEX-j@|zWbnI5L@_(Zr zl|zy}W5|j@eoJIUe9nxlv@)k{ntxdQPHJxk`0bbJtsmGXJW@C<7;^Nd_;*ud))U0C zrOc6KI9i7m+_B|^y+F>|!S3BYkcfR_7i$#l#AD>k^J?^1VJm&cHTQ1v5!Mpsq?dE} z-lpHT*{3&Tx%0!{^vz?eQPvsINWC{X@y`u@(_4hy(b{-+NZp68H6qu1?hDR9qgA5L zgW6e)-6ywWQ=>|b3)}%$E1K~|m5n67_(;Z1&OBbG?BsODt?cB1zbAg1nf-qgxuXiN z8h>|DVxpfcWetEn$S+biOx$sd_0;ZZM#p5XAy-qa5#L-Ac6)}AQ|e#VFV~F@XiHLa zH8?FGsF~2 z6G0Pp9-l&d2{$yve!6cY*#|MSt+?Zq^7?ogixnnh}%?{Z{zmn&} z4WEpiq2m(;;{@MC7u>u0XG_1F6FJeh>L&`{1@CC%CBe5G)_j;xLcK42^hO<lhYwPpFP)M19=iZ z1iR0CDW8e+MbQ5+G+xFxYNe)>xaIcak=P*h7VJ@sMTjGoY`+27%9G!-%8ny^seP?Y zyG!>FZ?NtTuDWk_AdhC+U$&6X&XXm-`{_4KY75^68vi-c8Pwai}JM8ys7kowWi+TRo(GAp(vd4^i6#T(Ves15l zWUZpDk~-vyJ=bFI??X0UunX^>7(8nIjWerW?r-vu4dJcel5ZZ51RDoWUCieo+(q0v zqX^v~&pyPuV=r`rxt%RPV()TK=6`Zn(;_GQNIPdO%XdfH{YZUD_PcgtS$mOj`;HRt zj^jo4!ojrs{uT9D>>p?^N;N(km)~h|?|#wrsuTDX^(EwfCVICE9@T(-)yU7w#IHjm z)Lo&6d~b68uJD|C2D!&6?--H$Ovdw37IxpHi%z<-%spu|3vPu&-51=b8lUKw!bFi zAP;^L8!Tpjgv1H>7M&};&$$yL!L8v3ly8u%`M|El4q@+O*1On1=6)TvsL-m*`3>yB zJufth{fFK<74u}{n6_Jy^Ujth6+TK#n8$wk{23GcP4A}3%M|%T>)0=3q0bU8Ts|gZ zehb78WUt>D`MN?&HpGi zVNL(y&B(pzPqD`yfw4J0_wiT2=v5_B|79$1FM=;6_y*?X<>~0N?!9FbXh+FCa@VQk z-8f!ksCF~*E^-|+O+9AykUPlA{5n~W_!6=%_IbrYJBfZhYMS2U<0bAdtT5|C64!<@ zwVKTNWQ(;IIeQmfArBg?AN<819FrB9!%`m#edo}1g&V9rMcoHFqLM$9T1#XlZH&Pc zBa17UI?UY{aK!|#1T#d>@5o?J<{oOrrnKTuWpKrhW^g5UkH3Bv_!Q-y4r;Y@*5;4? zgWX6y$fO-|#@$a1ej|b3@S)b4b&~1@lB1&jK*!Hcu>MK@h+I5*D(WB6^_d5m%N75G zEFd$;9zLe>VX3WzF3tj4d|cJWvF8|{%b=~WT1SXPiZkm8^%KXv>-t%zvfh-OqW2iN zC^^feVuq}jo)+lv^zf%2IsZ5A=Vcw+D)l*sO@Ea3>#_Cj^Bpd za^|0JvA32zm;6SR_;Dt29he!ZgC0xzmzrp&g4_*zKFL4JTDYm62lwPTxnG`>F^)cS z;U3lYW$)?UC--OSj-(baTYKaW)nw`?*Go;;C+LGc0jxoTajXa6+gwzeMjM%$JhNSF zd&alzU-9o=RXx5Hu_gX#pB693?BR=qYZqzYkaf~|;RN|TYO;tM*aH_;d)6v;QIp7P z_6gRD&2Xx>M%Cb4yf-e_r#)mLIQ_QPgtH!4oh7O&Z|PImN+H|a!% zmX+~u$vHhj5Ar;0z+Zb^M_R?eqCe#M(E+K+`hZ-f)a8!WhBM!){$gD1ID4az1^l8P z(*H_o5wXAbfCX~4=datQvmclJ>-Z~X1w5>yPFDX}$~sHk`y%|iBssnl73>v+57fp~ zH!#l~X{n8oeYT@}309-q#9hwQz3{RQ+;5QB=}P|$&+oCOxbOX-tWVqXZQ_w~cX-=; zzk7@9%O`h4?6`91kA10&Yud&-7wax%*CVUYVEzgk7UB~$5hFEWoBL@Knm=cK+^F13 zjZhQ1Heb;bqee0~L@l)J$E&1Xk+Xf>Xz665itnkpw7;%r5_LanqSL*JY z)*s^ol)J}T=lbg!iSLMQs%|C@p?CrxP;3&u?j-7oGN0KWJwol7 zX4}_mG2<)fyrHneE<#VE19Q|qW^yocrl-t*%H)jOn~uy0erk@ytP{+~A~hevcU6-n zduyr($w7CBJbx)O2O4?#J>ti{P)?-jh9S-t76BeeTt?`{nP#+waD0;t2QFp^4t}3HC&> zUx~fAvHW{jpIb`p^U!s`4*u1UmfAQBHi1XO!|)z|opBPQu9N%y0%X`6nB{(P!8P`# z`srR(A-^;GzrmrO@GRdIJ^xAB3q@bZPR|Xojh{)RtYN-CuleV{t+@{r&Xayp4}Len zyt1F-L7smsk=pnL^n^OT#@iFIVK60<>vs?5>(EyIzzwm;HxjA*1?(@TexdR&b^kY< z2^q=s?L7Sj-3?WKLS)YU?Y*BcL_V$0kmE?E#m9-Q9zu4|b90FnLx90#xW zp8pKEMjcbDKHdF#8*}y&{As`1UE4O*dEupL=+jiYw^Ti!3U8rray7jLuRxn?hw#DKBlOjw>3%nO)ih+pJEg%)yV2S-a39#=w2Ky>RHmb(wq1JIC=b+m8(&FZ6SQJ#Jup z#Xv^pw7PkjXIH%DQ)5hC#Xx2Z;T`?G>lRgBk&|gy5LknsAlKx7yXZE_bAW%G9o}F5V}GOk4#&~g4Dif9T!qdFjvwnOGNGyXUEZW0(C z^}AXBZ%SHr<2oxdwxc_hZq}fi$&Btn(|&vMEqXA~+Almy<)d%t4||QFx24m0_WrA( zf4}GfpARUT{xrXn#P3mKUr*u&w|mM?9oep>#8{3LtK`dQnO zeP%}=lh1zU|9|$-53Zf`*L{LoLe44Lhz+oq*CG>NoV^bkwiRE_=6mW?1mn_fT}Q}R z6k)GHceYLsKTYy@_YQ^Jt(L-U@v%}CzgtDzF3$%|_^0v=xk&l0mbE5;a^x;i`hK4%|M%Vx|&mm^xN;}m%rOILB&x*jdIu8lea z>wTZ!khCKU_?^$+oe#EHQj0hw{m2WwmHi{5`$+LU(32VDT_VJ>;O@i3KaW*1->vNF zB%f)Dj~M~Wkl|Upi(icIi+^}&t-HzZphx0b-;z3hH*i;2@+8zw4ckg@j#5vL3}4Cq ze)CTC8|h+mRo-h!-EBcpg!3gH1DmQuj?SvJ+13RadMDRF4?Zn9MrS*I@%A<~7RE6m zJ|*WT=(UE<+HO5G&cL6-rxJgYeS%VlDE^YUwiTR)Yow=t*>_es={PgkjZixMPHTWoq_f_-ECSULBB{1xNPtgAq~@@d6iQ8?E)iS@=6JyKgsy<*s?#s`Z0 zocs4FTP?pS-?yase!_2*%GEKe%Lm#M+-&Bq@mzjhf~(2JqAWqMuh?Rp({;%OBt zN0t=O{?5K7+C0U}+`gS04~2j0@sH%p7U3s*E@L;nACj6*<#!`5axS7e|Nlrg^-NNW zfbHKl8GDbMzh)ta*!+IZyoxZceA)Yhk3S24fA(XlUAJpdo}a%3p5>FHrWS91nDK5` zeDa&j4|w*t@Kc@-9&I3JzLDQY-N<_G4Mu9i&52arb-K6k;%8dkl06%lXEsR9myW!S zJA*^!g4`jzXAIf7u*16;PqSdiu#AKEGnex0SYd`|d#2S|>2z$}Nyb4N+%Gk4vS*V2 z^;-7+#K3*ICN@*{XmG9n!nFllTO`-^T)0-nwHkE>BXK0pO=A5nv&Wt`GxCBi+VtlS zk|Sv_L;j%LN8jJ$w{Zq}w$22{v4s^wT+i$$^tW2-92IrnR^|&i7aDzjH_hdj*0ZvQ-1EaR? zTZZO-)7B-QCud^??@mtJQECjQU!(aCldFF08h&&56+PAXkDB{euaHMts@ui*Y9j-s zwWhB80*QG9Yi#4wn)|I+^1P2lwbYpd#2Dd1|7fuuJW!0UzmcUfl^im>T{m*}D6gi4TI}pzuGNBB>olL_PpmiK_kMoOJ|^-r%rW(9M$D>_ zcg_E=Pv{B0`pS@dQysPm+s3+x8x7O%D`|iID{1yXDcx~qsX4kt ze{y=`8_@j#YZ~lvGg?EM_kBKlpO(F`;OAECFtiLE&}6JaJL}V6EbG)w)wZ!r~ zGB(BmUFc8h-fqV6uOs%agyz4?(oCEV-|#K|J+}F=xLy2DBldR=r0wFxTx)szQhDi| zq~s;OTgm4#_F#y!8IUXTd_1>F>kXGs{D<3!-;+-c+S$ zQupsYvw(UR_<5v8?ZwI1gPOGDr>R38LeEO<=mOncI?wPo+{wO2^vL=I`o_7$=$Z3^ zMO}06h`-_IBeCcwb)z+`W8ZZ9FV5(8S&bHJ>R`>*$oIj;RO6T8ew61JoA?O+dh9xF z#3rxCUlv*7_pn-tzn9@39qV8Xiu!R29sMdYXl;%A$+i)d-;rmc?=$`*g01TOMx%8; z`tWL4=4Ra7&03&rinE>k&-QJqR>FfNC^A0d67ADANjNx#YmrC-@g?5^x86Rf4i>Ik)DQ;iqGx;K;g+zftBp9}rG zKROf4TcEc^b>yr{Pd$88PlfK#?9GN|d&SsTY|Hxhsbe6=Qibn^-lx`1)>Hh>XliJZ zyvLR^{iBUWmEK``0;s4PQ!9B^r1z){mIMw(-+;99Leg!gdxJt9>$35`? zI8>#@k^!_KCp`}+f5v{iN=t1n)>EFLyCX*=LQBtn%-Sb7Eb=yLD^w7CpCp_z zTjR0rZsL^ZwAfePCLV4`*INCbimiT|8qrpAFndGB?)hLz7yG$H?&DwyGE*8cbN9jH z%lex#4pp}?n$La_8@M|T-#!&v{wtMRQf;lp4~Cu;bU>dToAeyNnS)+)_MZHo>F1>4 ztzZUxQD+n?pGSNw+1pn^9(inTnKKH-AIkXdvafe@3;uYC&%W1M_-&ii$824$;=tJ2 zt(reP^c}&#*u6dIV>@;(A70ff9}E2MHduEMzZaP*G^*B3$ zIK;EZwbXUTzz|}hIbh{1$s6aJ{>ImTBKbi(vczz<->DNH$7QVo-l?+^@Yh4ged4_%?4Ie*yRR;lt$MwO-e$=;vH+(Y|bf6G$pS9a0g z6JqDia%Qrk75)xfD&O159T6kN_xv}-XZWgOO5w%Wygj@Y9|GU@u-H)Xca;n(I$I8T zHF?;uJnHw_p=l^CH8S?(gXdC>C($ML%L;~PvD+a|M;_qGeQFPm+tnKOTI;8J&$Lf9cIj7nyAoH4T&j9l ze3!nux^g*3K;~A?4xmqk7tBQlbL8Hfk1qbjmE=m2$>(Al@Xr|I=>1jfkGae z;v9;@x5vdN@UbEO)@t%#U~3by6ZxU$zTeHdYD6=hMPHJOz-0V^xmKFi4b7tmbBNI57xKI)q2#82&kD9i(%Zz2Q>aEnP zfL^cB9u>8V*m|Yy*J9#iVFx`(&}#(&L9MlVPbK#D){+(yuC)RR38E$7K!O_q4GBvi z-}m!5Gug1q_uKF5_s8##dCkmOKFjlYKF|Jnp6|Y-Y%0Pltw#C?BV%0C5O51d18d@C zKJEyLETH(II!Bk%kqU~{{p8e^)7W41@-dV^Ffek8`jRlPodZyqE zY-@vBnS!(M%1z`~VV^~d!q^plrImyaKOpvOnUAxlKIk1>N3P?Hpxv<<-oe^pk8twB zfyBDH^Ne-n=K+6Ho|Sv@zMDZ@N#o>rt(jLQG97Uf8|@##=gB!!YwrD;H&f)59sO)F zRtUKDStm8l6dqWDo9Mj4*Q4w75pA;~oF!AaH2b%aTI0m}x)CDR%DP62@EOsLtZNzv z`0j9Xi9e@SMv0-7Nj^JkF@1df6KL`F3~-~!Wv_@{11+W&!VzcyG411Y&V~&pzP}Dy z&S(5BT<0@lyN+G>D=LPp3_Y!=PR?ItDR}47F8xU^IXAbwf1lFdNXC)sQ{^2NT}t-p z-`#K7C7;@FN+yy$t^BgUt}V9oekXI;K4+@L`NfhZVnSD#xX=0V^2# zIXHWsN#jz#JgRBAI%UVg2bm{*3tf@^#I}7^{FLImgqNJ;eviK1XRhLBh`c zR{2B!PvXRrLs9vwG{0x zkUY`~K6V&=EQ{;FZp{+W-5S*O6rJ1$z46cP$XOlE=~(2<^>YktN2cJ6kDTq1b3Jm_ zXY98=11;dp&prOR9?tYwgW#>(3O`DW<~?W|@tbmnx78pgd320Uy+l6a_>9+ct=ahc zi=PaT&lfv+4tac$iSss2bBVPPotW5CYGgqpP4mCifAWW0*~p7#!<#C8bqe(jifL;k zpCX++n|#Lcp%xF3pH9(G#afvC|2(UXQA2UyT!CT`_@YeGa#l-GV zt4r#kVhd65@$1%8+i@i02+u-ypuX;F9b*h+n8cJI@t}#56S)N;A!X9<3WR%U#0U`x1!gH?9E(xkGSMhQpeJ*xd2=! zQF^&I4;`gX-E(OpIqP_r)LL{ZfhlWc3Dv!0Q>0LJzcrzBx3XB9EBNSYy-8h!# zfyMl>n)Tx=q)t+F?3+pFWKqEM(UO~O;$xCl=c3iK!^T_qb`q*X(I`KYgpqbZ5 z44>eL#8)RqL1QJpa~1wt>Xu0@!vuIlZjn6-7NVEsO}$v~75ofJ9-6z0%Dx629S-dr zZj55>iLpkn8xGC>4EE{IU_1 zTXzZ`Lhp8QcDA<`@YIcgWL~IU<_E9X>CT=H?h2mB+{%bGhrfh$>N`oS%4}#;b$8+^ z_TV~bOLa9e_7UK-)BtX(W(Rwiy+It`Soto`CXYYgb(||dLR^;~iAsHsZH4Qj#ZTf} zDAE$tFLZ{Y2j!LBCOV@3d#cIrutN@qyNfoS!|$N_oww~8sUs$QD?0X`khAQR!2f*Y zVD96OEGiprP#YvVGHw`sYK*xvv+|s44QcC(?D?Pt&rtYI{TuaO-qH(Qb1Jo;2Zx*r z+R58yTk}4HtxL~V@xOg)@F+Z$xChoD`nPI{Y>c!qo&ohA;wq24ojHngIC^g_vGIe6 ze*sQow*#|%!0Q&`%Ju%Wxn@oMT4GEcc%CUb8gWo{gPb{|0WoF0_C zV>GcfGvgAQ&VOJ%D;TAgf&9(opD zg-?7!*ltC355beG;5+26Bd&`YGY3w44Gn?hWqUXyY#J7Rj5QJL2i4?q+;8fv?H66@ zcZf~OsAv7k>J{A;ngLHmxA_)y02`5c%OGF(iVqS!4E$sMy3iY7L_APV6XR-STpG2* z>ZmEwg`Qa+NY~J?PIUf`eUm3OsQS`Eo&n!vPvil!Z2`_5INSg)MwhXr$EZV4vW327Z0&uqS79Tq7 z|5zRNSyRt3itEt%^nP{NlR4xx{cCmDcQgQ(GH?L@X4OdI#E==Fp9V0u{z@(ORKL^j};b#hDe^bfaif(Nzlrwi?8&kDb z$36sIY(5$t^CUDe4oyTh&D;3ztOb6s^PvqowO(eDSMZ*kG_Ll=PgOGwd`woE6+TXu zR@8veq;6_l_w$pP z3C_4fpQb2YVq9QqwNqH*>V})7fA|P_XV_=o8H+53j1Vj{mFy(COVvk3Hg%`16DK>J z;pTAaLlxu88RmAKNB{bH$)!2N$P zvVPWIs(GzyS}Qwjr$4u{aB^<@INlEezx~pd`yJZq%$Bx-ecN))1*v%w&pz%-<|O&S z3P17TKVRU#SDu&u38~##?Zd^{ow>)$oDjF}k~Lbg$A4aE&(=T7yyU;L!h8o?qoPg3 zp{Hel44!{mJ#TVuYT3Qijh|ZT%ZIcN&Nzp5?~?m&%|+mRtuI3^YGU1krKqGkecDt z2k%5@L023Uu;wFc3Qc9bW&Oa*{mJDyF^N@3zB@Wj4o+i@dH#9oIVHDBE%(BAr9BHc zCg*d$0~~P{wua?>tL7cn^T(QX{TG3wY1ntdE8qFDwkC4&w8EP( z?(E~hvBN)Sc>Onk>ub9Gp7ebceSdIT=B@gDoPOz}w-z??S9oeTxqJEJiDEtChV(3C zCSC*WH0i+ythX5IQd_P+=IMO%xC=ethQNYUxs!Bp(R0R+!utlsrq$Q z^|wepYNZduhkTiNS4!sgX`9H)$=6yY8psWdBj8MJy4`>W(FZhPF0~}^y(zi72|wJf z)2a<6v-|HmueIFA^TaO`OLgtiEx=UK@Z=lIFB7`$=FGyzz7aV#*qxm5XpFhY`lRSK zV;M-d-y!!~KmJ-aopYq;_NCe2%1L`3vi;Pe-&yo0{MNa)*pxGTZnoc_rfw)n1zK z^y)CwYW`LKImE7{UT}`+Gt!>4lyns8%*+UVc$)`YSEx>XV-5&W$ZudKlU5n z8Zh>a6FFxac6q*MA&yy4s!CZ|+6viCzlD+oUfLWgC|0`T@6 zWX454e^)iAZ&(}>Jn_f-G(360y6t(xpF4Ij6@wPjF5!G!zFM~dHK?WivqYeR|OA=|^~f#+h)rrg3TidB?^l^FxPw;d1rj0r$so|4{G!h?^Ky zm*d>{!W;wnS@xNVx%z#}mz`-#m3}PphmsSOUYwRcdT}awRb$Mpmvi9A-;Et{uYCu8 zvznOm&83&CYxiZvyg?s`@9~?7R1Hk0em{07&S5?nX5Z-s&moV_qMu#Fu8S_+%6>Qq z?FX0p?um(wcgYxS^KEqKA%I%l=;OyN^@&lzg@2 zE^7)oji-0U?b-O>D)z^miA$lYk*YMEaP};pGmuU=*FHLj@%F95iGkc9Tr(3iJVA zISGvy`9rs=C&ORNvqio_o*OEBRd`~QyfemI$XkaazKqsM9xVUap~xCR?4#%${h#&a zi^xa)+J4x~Q*F;Rm42_#fyuHl_(4`&~xdOT71hNeL{>Zsj zw($8SN%#*u7&)b>1HBgBGXnW?Z(*w;^3rHzY$ZePBTj`Eu}>t{Rbwk_Ku>=WTVfry zMC^0eA@1J0veS45e-7|W&zZ4y$h9<_eYh=otM4_&fNWN0C|OH%!M%m`i3V_A{Vv^% z{1;;mo7{@9mW(ak1W&40vLNyS?Khtx*CJ~oH;wBnH;K&T%Z0w2sBm?$iLdxkU2NR~ zlPGo8*90HRp>{epx)Z;1kwJjh>jT!~wxraf@1fI$)qelx%`zsDtHHTrDOhh4n;K;)0axH$IRxbE|p&rwJHTSnr7a_qgg7+yB{Do55C#@O;;Vr*T|4Z?3k zr=(W5GdCxL9O%?`;+*HgF6gV!wJFfG87aDUd1_4il)OL=_@NT7YFGUxZY>N$6C2@O zd`~MwW|DWS6-`t$b@kky`8XSQTrBS?`{d-VZfjZxH1RdfUf2?It}4@|4t4VJoDOGU z<_{#dE_+H)?Sr(*{RDV{iE-lxa}V|x1VVYVX$Gh|q?Elybab!5UDYchiph(&P1OWS4gIqbn6=QUCo?x}!f%=6xBQAzOQ6!;xeLR%CU7lgnb;F{)o>ZbHl@f5*vc-a3VWK+lHHU zIASeCewfET)nf3tRoJJ{E5!dUaduO+FFR$KSBd{kVoJ`uALG1S$XF9uOU%tV^hLg& z+^iFcdg$Z1Z=FbHTz}GeEb}taO`MjR%UqEokmaQYxzc^dKV&3E!q+c|IaX@Ty*i}tR3`0wT8 z&Nmyc5PVQ=NI%#}()>Qn>n-7f6N!P@+q{r9X&JD7==h;`6UZ&S>(bmIvYyCJB14J( zD!hf7pCbnHBK9Tnt*kNYr0_8BJn-HzTX3g{^D4vo;m-d6%fl;|sC%4Gm3vBNVQ=^F z@4dwLcV-vix5*#)uHxl;spsssEqQ%<a9$P$=S@z=rO>=V>8>TExS ztQ2Iwp}Xk;d4~JNtRr?w=GKW%oizkj0jV{vu6LTT)HOYM#o-}od#$q3QX@T0H=qqC z)c)_;*DKd@Ev0X#`QXOOk*oLirE5O#U20+0naB&A(7SGn3p&jLRbLnLnnY z-ZA|xpV=zvv_otE(wN9M)ZxPzxUVp0sAuta`D+x}-XBl2OQd!Me5^Bp{>zwC`*4XX za98xU4-FR^vAd8@?|Lj?J(l!ubK__IHVZ$NHU-Dh?TYTrzI<55X9zBV8(wRzp1gK% zkaL4SK`tR)^X705jyz$`>Wdrf-97$3o&wD&d@O};*ac>Vy@joUV|wzk!(B=zTR2SX z|8Dc{v~L95Z%^w9u8EvDbF8)oogq4MA7>vYgoVdg*>yvm*K6azIU+t)@ux=djT(LY zrsx-W&Sl0I*wbNWar?D=WADc&yLZWR3irS>{JEj%0Ka8h*|xak*TX-(K*em~>r-b0 z%JR-IFtRer)$@h=WP*fMhcgO#_>}ZirjRHR7k7U%E zU0*|1$zTs6brhQv{uzu`d7BOY`??=Y7p_SPNFl#G|aV}BMrmvt{ zo1%RghOCvW&6v-T=T`ogGtP%-_ZDLQgX<4wY9*Pczt%I) zqQR_XxyD#SjGNphI(U5#@VbY1#Bbp{|5n(C=aG^e`|FDL629ft~6{`be+W8SQSHsMP(=^yw@!GBe7NZ8LGb%7k@pxuQEC^ZbW6DQqw_V=IuYs1P`|n zvji_XT-d-q3b|F_8a{so=lYY~iOuk>ybY4K27mfG^sKr;Zgq#`waYQ;J2)$XKRtxL z6M&pKl^tEW)^(p%LII!y@Q*Qm0*q)%r&G`A) zOL-VV>LUH<)t$ryO*B6IbiUY!2mM`q@#;5epBR9+VSH8(B!ni6*Ofm-;2`TF>l1}$ zvK}>p!_WwgId61{Q*h44mc&{|vn5XA9(W;sA@M;~wZx)j#8H@ygILP|&v*QFTB?1| zr=?3a^rxkBs@k}rA|bo4Cb9^ycjkq30V(9(U-l^3z|JP98zhd;+v zK0$tC*KZ}}qekz?JGYg-EB(mXDe(9tcn8nDIV|#==q{{pWkTdVk@dvZx2^EctlK;N z;&=WIoa#@1$oo8XYCv#oAbvsD-xr>PFE%cGM{4%ny=~=hRj!&WYy^zW#s7&t^6m6k zCALJy+HYQmm%L?FD_MR$FwhgfKwib4?Cg;JLmgdge(Y6vfXwOY<0>aj(J62MUVSQ(a9h$sB7qSM}V&u#P_8an^5I_7Je&n^t!Z(bQ_&v^L;&;b)NKQ%4 z)IWwUh224NZi-DOZ(C68@yQ|AaSo38!B-8=oJ2=LCr4mc9myV+I!_k>^ReZ6qE8K7 zV_dn!Z>w`CiO~x*>;Bis8B!gOjy$RI&=4C)E`0hv+FrHQ5)NHyJhm z!HbgX`E4%vz*;CW4Yg9yn~t+4oXmcv!PDaHj*^l5QxxyCcey-92>^}biZtSBUc!>Cn$N}VR>j;oXg`B9! zBCNB@i+i~AE%e$V>CeEvl8Uhf@AgSd_;5qwc5{fc9i#^Yml!KDFZ3g4Rmd8&6r5Rw z422ABny1P67fgung>#n@=betPm3O#*DlsaK?802+`Q@YF-5dDMSI;s|^-OZkDSY|F zNOyIeAb)Xwlzj23Z;4ZYS6M;w>q`ym2QGwWakg;bIL3`U@W7QK^DDT0J`T9{Y8`d&#K{a?W{dU-+^H)JG`%1Agx;8K;cV_$)M#x+@lA z6}Ur-Go0}6Zt&(m8+R_7dZ%Ynhi%mY+W$Fg*k@dv)k!Wkv--B*cDVGnteSk~A!tC7Q5-xcO}1;+#zWgP6yT{1pmd2UTK zu}?b9AURg=VE+$c{|_-nfgdB|L)iO6*!zRo`-9p0gN+OI!HEm&^4R-%iE;5f<03sT zaZz0aI$-&6xX8&bL=ru5xX5O08%v2lh5v_$Il;y!{HyJStz{C2D>#L$MGn;9Siu+g z#%_f--^msp_m=gYZ2WuK#OjKTO4rlzw36G&P_&u(k;7Q*3eFWKbtH(DK_+(QzRx+r zpXu&TPj-@zllpl%+*_(8zrDVIdOPAH%e5Au`zt&n{+v9z&W>lXaY@Y6i-yW8Pn;uT z*wwRtW-Zx9jN&wbm(T#A1<5B`UrE%wrzKxCUr7`L1mw$}=e4CXqQxTjHNW385AvM$ zn+J19JU0a%lbXj*PyWurUa$6nob{;Ko#Wm9Gu=9Qs?%8-zQK7sYXUW3=UAtZHG_I2 z862eaRyCg>e!9aeKk=Xy$zc`0^<$S;{$9of43*!LbHG}2&dg#Ldy13WIZ|Sc)T5kr0EmSZIFISsyXj9#Z34(IYr^5ulpje4F@I?kN3R`h3_-hB5D6!!E@w?a5CJP zFZjWjpY^Ymg_A*YoP8%- zoBa3ht$ad$yWwHv?`jw+JV5k#fo1ZJQ;(~A%g2%nZyNOr@x^fFRXqcLNdDjy`c(5{ zDclS6#7{C-UxIhZyr$iW;&RUM zf@iZ&!FN)zW&ifier%b-HHj@t;aVEc6h2ied}2(mB+`6k#tTn@FM6`^r2>~&8!I9{ z*fMZ*wBomtFIM6koOfOcsdyXceRS1@$PsU+==%0x@`mOveAoH?X?kQYH05dG7t*dD za|CaLMhnl7ev(^WIjQQ&RFd0|SVO;EbVkK5UW8Y{$8M;-2K(up{%v2qo4AS9(zfs$ zq4#}xQSzFpkII~!ZP)!T&R?ed$^+&!KZ_d2GX~7*yxuwO{&N@mlGs1^N{^he^$qN= zkEuCHyR@PDPR%L#>Wq`Fn#=UmT>iB`@0;8(&gaFIz!qEN;pRo+=d+-T0vG;w4CwEV z$w25~aM@;{7~@%6-x)-m)NWd^k4dR=ZP+XYy{77m0fEi zdfI5#yNYvV;L$u{?)H2&B=SvSR5^Pcd2~c0_O}fw*#%tM^+p%#ouTFy0$1RzZPXgV z_I6bdF42z6%@SE5#5g5>tNyDeWuDd&p?@LzmvJy2u_0yhTm}3KIoeP*2yksRc!uoM z57#cM9WFAaRfP^&E$b5@U%${&)`-5KS?wZcDETB{bFVt0( z!aFs8blod{%09x-qvkf7x!uRykoo)Wqp7tYB8TJq?uXA$5qJ2Zw8dQCSM$U#E^}eM zj~abst^_m*9SE6A>MBIuV{csCzpcoVjPIa~PmN853g?*z9uvj zI4OP)P99ZsvU8B)=g4)$F%1erSH2{?LB-;v__^>2o^)M@0_u3QhOU){uag)i(&(c787ScEQ_0ooP{1?TvX@NW8#|o z#}|Nmg1-~AOP$=T38KqN4u{_Og7p2^?4J07Y;2!Gvlr)_NK78YS;N~;!rLRs@W~Uz z)HAoGnzOfrb5J_I=_f^Z6&*HUFG|z)$UebeH(aT3Sn{yqzpGa=&M(-55>M^Juhrnc zTu;kA!1OKFS@BhH<#`p)RAD|3zmBnP&nJkv>KAWy`0CZtMtW`NXP=^FYVCo!TKgrh zr07R^kIfue0iGxEPJdlyypK3+&ZLT*NIW)k!iJW+J+LNsU%>j#g&Hz2GNs5ITX*-! zB&oKO%ghr-O6GIJlSL2AGA>Gwbvy5rlD80F>&8f(oIUN8k0O6EkP*n;d3N%N$a8A{ zr)XnpKI8+92NI*OyR-KF{I(k-n-i0eUsLfVcF7CM79lub5Bdl^C_4)rY!-S1K5bC) zj?~C3E)QZy4Mj)t8L?0CGUoJ4kqd+e_2U2blsvogkTC`S@OQF{L=UB{WvTy4kLABB z`_-?R5}7M;Sk@x+fDfl2yLNs=|$AA(5Sbl z8&6M?x{)utolV`~E$7|JCr8hv9my?V;yVeIW8-^ha&*l3Q=;PsPqv^((c(HYdfqL_ z&iGJ@b&(;IEH88+Dz;N>I&FKK-;~%W=SE_^r^k`m%^>)q^2(EUUF8Qz6xB^Zzn+3# zJtdWkU1#DjmCdvmTxluwUV;k(} zu$XZ5qXIuCN9B&!=tp$;o*WB_zmdbjSYJ0x`f`y$tPE%#?Iy-FKu@5>^e=H2j+PPd z?OxR%)k+S{%F07lWd=EnH;!p5+BT|W2G`8X50U>#>KUC@?a6w;FQvVt<>5^i_X_2=W9C8MUOpmA+&@16y%3c`6AVv ziHA|^3(kN~Juq-Z9;}3B-@FyS|E7T8uf)M7Mr~60FIvl3$E!lrkKH78pA60tg|P`6 z*vo)TFz8erO}$_GUUUrkT}Mp>k7eG{X5qo;h+weo=9Sx{`SPE-*sMbjt_>Iq&%Z9? zPF9)i;BtrbX=P%gp9+Itte)~Vz(_At*L0ybTiQHQOR8aH8seFmJy>?04s z5y?Y>ZLO^7*H*g5 zt=QtzHu`j4sg!MAd|N6W68l4&R`w$oxxvXhN=*l1_RYN!a*1nJ=kW;kP3DeFrfp$uOoMM_{|RpmlE6D$zIb%b-hCp<9ycCR)~t z-6+-`ie_2B`WKw2R%a zc|S6Oq7Bhv@?+iH{FK3WVpKWfZa%>?{phLeX{BHHrKLrstN)RGExs9I@;)!ThyG4Q z#i!Ea%Na}zRUvMo>YDZl~WkshR^~htn$K;lOLV0b2R%NIVH!$ z4nC3nxk)d{*2-MTi6NgWvo{KEiQnA`WFjYV_Wj-qIrE;asl7jA)tJQS`I0JnFy{`0Kb*w1Qz;&ygqehH?ewO5c><4qY#&CQiO1)=l2yS>|RG&)4jnFY8|BrSa&Io_$(De#&Cqx$MW8&X%`{`}FZcYGlfq$@(iAGi_Cdoqb_kbXg}WJKrDo zINh6fLEO$PX6$2i=Un3X;g5Z3gV2G2^Z|c>CcZ%Y0qA&&4=JCZidhEt+O*i^3T7$1 zQRkh2SKG}?{*!#?9l{^dbVPiRisz>Ng6Ix12EiS{Z{YJGXEYA=htZNpu%S_totSfA z!u!M>2B`_N9vvIFeE&G~R$%7u0k6IT`qUpTo4hXsiJ(CVx!amRu;{O0;M{{&Z~Bsd+NLwbRf9ylfV#Q5Is zedg-b*scyjm)exQNa0zG{9CkN%s!i!O&_!4b{JcQ>SL4a!ToCgX|XE>znS}vgyN&% z{LPU=0#8fTN_n3>(b>s7-k1M!J-X_B-YNo-SJQhks=L#+tgp zGd1#NBhNVja$U_02PI}g>O2{f$SFzfbS1Aa9+3ki&y>myG~gM=t7Kc5n|j`#<7q3j zHl-KYS>s>;=?#&`6`gyQUH()ov1bl!Fk{iqFAg8q%KJ9$BGsC&RftWmz z`Jf|Dqia=9LAIDIIfuzH*nn?^99iTh>B$L9enyqg^o|05JhYj-|16bXOwJsrTw&C? zOWskS#+9fCrgD9DiMA%v#QKp7zYLl0)2=^eO5Wd&#JWjZVl_VeUsh_yFE?w6=R-QW zn{KSBL~q*+f5g|kE(GtK4t?&#cioCy)`UFYK&-?}WJD8MEO`^B1l-C=f#e;XC)h7X zoQ|i6X8|rhILdiUzO%x)iF&^luK4~X2TXFsQI)HUHK&dZuwj0#)HBHm6O)VYn!Jo> z$rgTT2mGA2A z=yUSj&>elg>2z|~u`X+NJ+S88ACa#u*9@vQ&?O~@nGuzCrf6RHV2tx9jB6+7p)S{w zx9;Iw`4;N)G$40Qp=Q&i(2iExIUqFS6SW_hkBkKxr2b0|GjK<8T=$cS{>q+s>L1w? z^m7Uw2e};?NMs_BgZjp~^pS-mFPifpwU3d1gf4R)dXpRcHL$!{ce-kF-S!H`%z4_^ zg1OH7BO~ZK$jMwg(a>!#xI%Ysc>ip7f&K?$-2z~LC2+hwYIU@9+u=V#TPLephnN7I0b`CRpVBO+eS3?>6Ael>HHe9)Q!u zQ_yR{uhG@$wynq;B4ddyAo7skNAs`H8;FJ0kc;p`ofvsU#U8CXuH=rsJo+#3=Yev3 zV)SeDw-EV;@r7gPS)HnHD{~>T#{IgpzaCj?f-Zbc>VG;PZ)VLtXY~o> zm@89tj!vfFy7DPY*#JvFmS^!r9vrwX(2Q32h3LeenkUz|5W7)IK2bQW>&D$nBaeEm zHL6dqlKMt7(Wh1)@cXZ4jhl*i4C44 zzxf-^X#HjQI*00u{w8eqHxzvuY|}6mc-rRUn$#kTuKl2=MwH;J;EasX+s`~lJPwXF zFJ+(qIa;(6T$>2qv3?_VYS>qXpda#I*02&(SHh z_+_WrlM8r#DGy(!d~zy_K{At-q4wS)Y@S`uqU%laPJEI+}L)7j~{Mc2VL6U z^X>pV6x3?cUpFx~@O!NMX8nR4@WzGU zYml)N?o@j%#9lkx{4>GRH10C5ozhNpkj9LfwGP=34N6G&RY43M^#RAx$dmMBZezPu5GKwntUJT8qfF;FwK62{zx(gRV=oN_luR@ozDm4a&-G;? zUsjsk89@e)$aQ(nm>ePxDs&fpO5_n|Xd^mV__Q5rRQKtZ>yxmLG%8tAwH>PDS|u@( zeXnii+Gh0KMpf6ze=fwBu`>>DJeHQ##15c%9(l+6@jT&yX};Ho=eg`3Y{o;yrbIm# zWLLh|LX+Tu_{;{04%wq8qw^`bU-aZ`b< zH>CCCD&!QA!NvAeMlCndlc`Ij+9T&<+u^IR&&p}t_ zk^3Pd^K^2~qleRNvR8nww7H~9#)$6Mp~fiJrk1W$Jh14bvayR!lhz}nYw-A`tr!XrpK~geoU)xyZH#ajURg|F{cCLQYB_pVpAn%H65EuTq?E*$-(UVFp=Z?viTnDvmiST8al}u`8H`YLr0&Ozj@9uo@F~)fk@z0TM`GO; zT$$-sOw1CWN}>V2ywEI?*aBDbug+~6+c$31&bc`5@#o+|d;@#5bhkLxu1A`B&vV?w>M>2ugm+GRe_fyTsW?BUd9>KD@&406_vn7+lwimfW}k9}?-Td+@c z=vsSXOr4ethc5SWDr3=NV*9`s=xC-vThfl;QcrAO@jeyXm&Pr|x7(eaNnS_j=VCKg z^`Fk?x^4<_nfmT?G;OFRx=d8aGxVq;8h3m^6_T}n)7S=?T@C+3phbj_gc z?3Kgak{d^0;}R#3T)u@EF1{=356Rwf%Ib?OiPbA0|6IrYn&h0L?w#R%Sfg7vO8&VW zr@dC-$=ddd30-Usm+?FEJBGWJ2YO;cy@jofjbc0e|KK z-W*KfI5C)ozf`}mO(y4W7$I~`*{kvEBtPtWPTeaQiOr^(I+tfthwYf6eL@$LA9oQK zS^9Iot#3%XoL?uOAp0j3kNJ$Ojr{M2!+w0{IxijHd8fR)a-HAKIkK0Y5*(BN(22Fc zFCE{xR<5~b8E02J=70x5#=l1T5Zv?a7Xkz5&Ew`xf)n@-=O}z2R@nMsW|0#%=0JDw zO_9g;aOrZty^Ye|PXw=M?}^m9=gGPYJ|vgb?@e%)K&^jg7d*g^)s)m%R{fX`I7FMfurrp=lv_5&w3qOa>>d9#@ai&w^@6nlq$R@e7;K!+0H}b8DY+z+c zeB)#l-zYJM(W0M0L;swj<8?vdiOD^$lM6-<`f|C*B3s`j9_;(7UEUWuEBr?2l=P8& zb@EAfuJAVc8U!uN3Tn`8_JEutT*Ulpk(;ES-==6j{Rqv!qTyEI3qFskL}ozVnfk&? znTvDNOFxwU+zg33-AKG1v8KB>{EcyMNsT*APp@uDo*Q~XwWD&|^%*zqCSTovKb$eb zAI}^kJkjS%pBGt1Waq!cyIkTJlh@QP>rcy=;3msMK8YH*v?keOf zlNeoD*V)Q;=<`^CcQxy}H3c8|k>WR3Zzg{73bn4h-?OgOtSkK|U#&V}d^XOxMsSLJ zQ2x1h>08Di>r6YDInV>>&LC64(C_=F^xeDGE@yOTU-TE@|w`GEp@_yfUC2mNqvEr#)`?o>)XX=j7_tswl zhpyiDv9EBJv;VtGcvnLQN6wnM+~oW}dn|>U3v;@i#-I7PiTyXa3g5-*4JlmT$NGaG zH|-yQn@fIyFR`7Ra6a5=SMVhH`p-_{+tyW18`aM8-gbbav#SOEA$v28Qt`H~i>XNk8I z{)e2E2M-}eLe5Y0e^&S!baw85@vL(4LI)G0{IyUpWbdTba4&7eq9fzjLy48dUZ(Of z;pa+>D_8VT;*G4o6fddeMLFO1d9jUtYP_U2_12zv$)0>n#7jy(rpJm;A~z8)dHjSk zEGu8dOCBuYYz*;|;~vrzW8&ogVBLwC?4_|=j~dW+mpp_jZg#cM_{hr&R^yNXgbykh zTzw4qQ^Qu|AkM`!5N}dpz5@M^@jSWgOa4||9o|=}yRF2^~ z_~UHkS#s(>*I)Lr?mGt?FZL(o9qjwiF-+yTb;Lm;f82RNN;VSyeDxtE4=6el>6YJI zd%uUT_vsVe9BbbV}DOACJ~zPKJ46VM`y2HR$pz7Z{Y7QGGs zdQNC0yq0r(aG-2ED{-najr@Ir{1ypzgqYiYxG~N zF?(BZU@K?2Z&tL|X)nNcezPX=lOoFsJW}zKPchCS_6;$Tea88k?6H;m)xE_M&yc={ zT&eEigOv9wB)&5xZ!YYWH|bmAjU_IV*qHwB`nb4ctN8Vh4=4@0R7<{J@+V-2&9Tq3 z&mqSvyxsa*Paj*%t&lU`PkDMvAI1cYl6*l zF}}m)#kzaEJ>s^vN1U6&8Df8xxC4)K<<6ca@+nua=b-mRn~>efp+GxPtppx1Q5SoI zlhK*u+m!f?EyR^`w2Rxb&`EV{_&PQjRW~#h7pZDAiCxW?yRgqrSh)+iD?`RATJ6Ye<-qU_;$uqQ1 zY=F$Gg7KjrFdsD+=G1pS$zh!z_r4cdM;yb)e!OPsCvCBG;a@!*!Ox1Wuo?L)Rew(2DLTsk!ztf(&-w6fD|9n>PrN9x zrt*B7w)Gfr!FR5a+lGC|J%J^)+)hTvuN_C+jQDVAk9lF&O%6MX&s^C7k;lt>;w7ao zXu@gy6c-6z$}<9M6(?tf?!6y~B79GKUPQ_I884Gdc zn=cYw7djb~nyq3-GqAZ4UxnP3$9EHPwBS`nQ&7dPt}-==cj*fc#?uQE@BlaulbAt) z6=UzgkMwiIBMz18a=*`~w6-EirZKNVbr>@o>a0 zX}~61qS@EA;9FRSoq_kLGi_J%KYSqO;l8G4Bh${L${|F7?PL4PR^w77IbNF`~EjI+qeTd#QV2Xi}>C*`Q6%WofXpU+ctx* z>|rgITY~;AI>pr&3GO!6ymb1&lwm1*|$r%n%$FLP|S&&CG1vsJB0dYxp<_U)`w=UIkZkfD5L)^%as+sXPA0NLjnp&SG*5`m)A1~Y#vpe%yW7d~7_8R%k``+9pYE(3EUI5;5x9M12?R_bT33wSl)qTulzo!FcVA1>2@)wFdz_-Zd{2w3PB&YV`( zb0)Dk%}!0!-S%vJsItyK{6zCsyv%!ygME@b zeQ>wbm4e2-CjWu4tP3;){AVo{PvQ)K^LThf554HxoKs>zFXr5yWf}1Dd;h>Xv3CT{ zRkv%#>*!*+hq%W6F&gkgHR#?Ww`ulGn|1H(+ky2fnpbd}W;Fum*;@kEBkZT^m}4X3 zo56p!r&cid)Woxm^}uCkz&eZHGmmP{i6&r2 zea99)?f!46Z)NYCsphQqjgg`ax8L6G(}vP^MH}EN-i&;sB+UFi-a;M@nP0xH=GSs%lnOA7Fg4hUxM7za=kQxu5R7LJL$bqwK?D&sMnnnn^nx3 z({dK@_J4EMN5i$ThF>m6-Hb%f9yxiv6E`e~fSFs=JH!W_Pepj}gCkEWln5cyo90E%(8#Ds;5= z4vlMiZEBBOyTafcv_|+4``ybpTequQparbU6MXJJ0F7vf34e!PL9-9WBnOUd9ssrn zWDVn9{L*ggrBLenHMONc;k_mb+}T$SZzapTst( zn1_4FSv6hhoszdo<%HO=Nwanz#s5zX0`=9AYaVdQPUN}Q@1%{3iCKD7tIaIay!S5F zt)Z7ly||6kb;0g*m2RCyJP_@<*KXF_qn!^}H+JcchD}b|c<^Yx^~l9M_qJ{ySg+Lv zY2(&MG`H}d;9F;H6n(|5xsWp{4T=_WHb?U&&j=u#oTJ&d zwrJKP6`H$iiS8YI8s3cjw(A-85cCOtXH5_4mb*mr+PM~iK2+rz?xR6ul@cA<3cM}Q z-N-R`F?9Yu);4kwUR`zF5+ z`R@nKxVtiyWo3)UO9*%t5uEruPg(X1x! znGKv9s3E2*0DYy8@HDM901tlfV|e;>O=yMp$-ltcexx~fY{DiJAr@&<(D~R5i5y_p z@{u_N_j9ki+i97LtUfp3ygM`C+`dV3hF3vL+5df>bt~Gs<#~Iq8MlL*VjcuR?22v# zy`f_65U+GCG6Qt(UcRd$@RHMpz{b9{8aT9LgB(hn1AW~w6gYC8rApcxs@kxp^A2Mc z`X=wYrYZDH!PdT&vCY}Rb3=(`6Iou~-@$KWTxk;-(Y_seQ~*6|0>0DvpV1bugFE7O zq&wg(ZZPcJ2E%QFr$n|gr&j1=gD(8S&Nv7>WsS(y#yVIT$GYvKv~gBQ_fGSCXs7Pn z!8+#cAL&M*v&41S6?4G>WV2g`8qV$N-Uw&b8ssobv%Q(nLkoOCUX!sUpzT9>CU~3X zwiU8xj(*LVaX8QFe1NvhfYsRzPRFp5GyspQHScR3x>o_-(w9|pFL_s}y=Q8ineFWM z+S_?Q6oalj;KVw!+~%+G3~-s*3Gan2)lAV#!t9B&h>J^|xAQt__qxr{*!9qB+MY=q zAil!C8lMz0H5c9oE%wjZRFVtdRCMHzuEb!8KPnPryqpy>C!im9kUJhdIaj`mh@t8@ ztoADJ2;GE#c8KpDx^${Gn8CYT7u$~7+t2{yYddt5d6gN?RqL}X(bXre594o-do{m8 z#`vY?J@Px~DtzLS7x=E#?44_gQ=6TFVP`K4tEXsQNvE_&-^`()Qgbdh!&U*bzo`V9 z9nW3|%~$g&{0JTGwAdF#2lJZ1Nv=EL&CLJ6*KPXt?(WpRuN~ICR$#1T*5+d+$OQ77 z%uVLO{0^q)%Wrgd=BDl|dfFm;LDmy`x3`DCeM)S44}U8nb}tpPr+AytY+}`2$-R)~ zZDDe^olNnzz{xy!dYZS@fG_Z+{mkW@OUR3Hp3mEwuF$PzuPWYVUAsxM;BC}5=;dwN z(R{b*E%@QZn!UeTwPD{%8=>fZEr6<2JZ zGm>1C@Q&=C$KXq!v`vUz(T~3kU8Z8hgukgi0`NESRb}_`HpM4|x2JfU|Gy7!n+e~* z_UvfEpz^a!&?dU!)Yx8c3c$N0einL-TtBoOTzn6HQjJ~WI4}{uww|$-azh_P{)4WdhK}Hn4?0CRi(gA%triMkwFdTzW31g;Yrvh+?z6WZ}A?j7CpsX z($Q`I__%KW=$LLb!Sl}HUOT)#QA0cYesBbI*A1|r0$wDEPIgSQc8Lyo2fXT-<}GPP zc0vxNEpJJuF0v|ZF&}hAW0|7=B6r%sYIxpC-iPN^R49Ju1#388SAjj|O>ooKHN6h# zAu_1EZ|B~`c#&hjIG#B)GQVPA0R8@g(0ulA0NH#4*Gon#+mQWoKzDZp+1uT^)Pc7T zMs&B}33wtfMrZX}3v{ah{z{ybb<-T(+VKNuK!ff+{4lq1D1SeOj*eVEP(!doMj- znZM9_?5&&~BX0ValQ>IONo}*uT1ho+po`1eSu0H4eIle=jo@7ed#H&x^f4iv#gjB+ ze5JspOMyAz*fTx0xk z8KaOJ)@{~mnTxUoA#+%6n7tm>)tR}cneX$JntdO#7V?qIL+SU|9rbOf=z`QdB#s_9 zx3b^ZFT$(wo#t4aCB#-5cFyhO-Vm-g@tG;-Sx-CXhO_!U@75N`+%>yxh;HBA%;#?K zFcKyv3>kHu=8(IT`~}c!Y~|GblWzzAma;jg=I2anp(a#F^8TGl-sN>UPuvzrw!D7I z8NSCzzMFB%8G5#!JoNF&bUI;@@Py)C92soS#h$OSW-A zy*jrLr7l8r#J8x6K#uCMleLm6Vrs^fXIazPJJ5CU$wrGG3ghFU?!Yb7K)5H9`JO*KBx8;i?F*7) zK(oMQl~;~tNO`{rl1TbeZc z_H}%)2W5nZpyPzCkV*cLrQ_Z3BywR-i@6=ph{fSB_Kj}4x;20c#t@qAJ~A48Y!|$v z0Y02WZ%SrZrk>%2YVoTBbNcu4f$!5hPe89_?`M(IhqkxjuT}O0;`rfbm8!qdTq8z{ z_!(j!=)ai%k{hJc3e#^@1F{|Q_3$pe}QLW4D=|s zRyIZAkQ2nF9|w`j-K8EOicx=E8jz(li`{1L0WPfDBw+po6Qxv2>^R-u1fu{x@{{u4h>T;5Z~}hc7deBMV$c z7Jye5zA1QPP$Qxwgv=O5k1d5a-+)Yr%w*5m1J1u6u)~J}_S~(8SIIqW2iUwhi(6_q z?WXQ6__;<*WYB$!-<`a3Un6^&-_;G8do8w_2b%HQwQ*kjh_4h+H-zkav{rN9F!jXfxZ!ndfF?i(q#v$()&CVhj#4>PysFKH zZTyd6&z+fSlV|3RWqdP{<+o_!BeBAtbX&Vk-9FZ!Ni2$;b*`>#KywfH{+sZ7hKnD> ztNs;sUY;Qa+FLx5Hu$~x`$~2Zxw#~$Ys8-ht#CX0V0*x0+}^P*n)_z1u4L`guWNP! zn-sQtdttNT%}vtYT=Wn8U2~D$s~$lAn5)~w=(@|`m?IKB<3jKb#5W&SGi&T z@DB=()(-TJjxFdj^}uZ=`S~^(?w`4qcoq474d36R_U8P6b1%Ph9@pI)(I*xz^xK{H zPr9|JtJ{9*8O{FT8uX9Vn)q|QV^8b$f^X|$hp`ix=m3V;kfDLMgl*$WbOGA<(${s- z0lax{;3vvLHu|~dZFozwLr(+q{d`3CAbP`#wF6d^G&uKlWd0#kBxBq*dF1m_) z4db|L7``&bZlW*EpRC)}?*`mmtZ&ub_=KSqa}S~`l>*aUe6N)FC8HKRvMQlfz=^q{ zKY?R|PAUD)ZAK3}{tR(^Cs-T$oc|@@3hpf4YFN_l{8x1^YX&xbz8Aiu)n?OH^~r8) zSC;POtN?%BSNf&GovK%qAHdFi%dmC|E_;GIj1~Wg`D4b^pxfqbY){~wc|f&AY;i!^ zvyZldhb_>|{f6MR;4*ufv1|$`zk;+QI5@vTwd2kIiC#O1u`E7CELDTtY>}vLnpP(bMXi4V=VOhrDt{HB4GY9zq4x?M>hPBdtdkruss*KyN(($ah?T6 zFN0VA^dGwY62DExPEKsK&N=H@zkg*sz&m@;3J`-NT-`OZWck zCyWIcz0du*uj3EAf&SR{Svw5tI@+)P1M49;ukfnVYcM!|Z8r5+(&zh zkRfQ(&V5Bw_T6xc?p^W-H;u0tbQDq1SbL?nmtNo!A1HYp7CUO*H%BO3kih9Wu6H8wbZOg(g*1 z;k&8V?EkLP?G5;z#_Fa$b3gR&Zg3b_-~Jl3;`4?#?@spS$A; z&i{?yM||-1pqr0g_D;CSt?87ukss?qzU(+f^V`k`?j64D*wc1hNZCWCBOlTZ^9U)K zn05`~v1_NG1B;Aic52Sl!^7pid*5vQPT`HTkxz#Iti^?OnTF^h#rz)^&onO5GZPop zWmw3`=s`IWH-PRUZDR*M5~NLovtN9dQ!`J#>p0teBkGSa5h^piHhjUys2pWrvSZX`aq z`Q3qSxu(d(g@?oz0uPJ1X3TV6Z5n3nM6OqIm!>)S>w_ZWyJwBkoF~G_62!TIV|I95 z%+5fTAA`+>d^ZXQ#*+(ZTH|TUjIkHj$3$*(rmjM+#h#>P?HLBrO?VuoIhoi;1jCTvTefO2}Q?n{%GvJ0N==T|C_yc zfv>7M6TbJ(KIiO{3rS>i6OkM^35ke^9&4;$ThCE0%2=URfm%nPn~PQ}SQTxj^EUD1 z0*Dgb6wt8(a<$WObWSBq>pK$VX2(jbkWjS(J&<@C5-Lf!Cg1;Ad!O7uo%!DRz3=yZ zKf`aa&)$3Ob$QlvU(Z_Wp!8S#w7S^TR*ud*Mvcz;c{R@Lz0e^xyEV05;Zyjz7Ho`H z#eT+5j4nKMsERLHpkEpz&_l7Yje;6=mAOyW z-fGrOL&4KMvE=s?VkXJ;4%F}^KjtyN80g80S6;k|m|FmX)J z0#~)~=|TEYBd{|pp8*YM?BVcPhyAqQCww(h4Q~1m(_i%XN@S+ozkC>f`eFLYGjj)e zYop@jiRzsSZi$6M(W~I23O@KweBh?G^>V=l8T+K~Gh%b$A$zI^g9pTRkcI;z!C|dr zWGbIM;MjoPZ3IS!@~!(!pViieeTRI>t{0jzJeo@DMN_=P_Hbz`&7&#w6-86BzQa&7 zb&Hu+O#86gp?~I^`yJBe(Wik^er@D_G4b-r9JJWX&f zEwLH;Y2caIZRo**18U6S^PGiL+Z+EB{)40NE%3HY7krFwFySjME;@DHbU4}f?a3DS zN)2%k0~P)ziVq+=!9RGs&~%E{lKqbT9v`dr_GQG2 zV!BZTpWz(bND>>!{)~kGZm6NkmHxt4;E!vdf5y9c)i%o@9%hh%p9g&k*_J2=zVM(( zWs7^i8T+~c*ut+PCc3y;uWU0FQ#O}zFaKxJ7ZmKIT*~~dqFLxO88~trnQq#*&qb%v z$wOkV6VWXg_W`MV_OnOMZXag>OIZdwP;oGbjEBFo|GJcyoVfFpWn}Z4`K6nZ#0rU@ z2|Ad#)9(bcSwEGn)`40NeTMG^nqdCM34*5!cf}%czixnQqQ8h95`JMe^zfmp_hj#k zN!#qnThlF5=mEWFP@xtH<^b2A`XGhXC$wa1|67l zqlsS}CZ6b3@PfL_x5wBkU9)#!R|fg!7X|R}Ey&Osoj#F&XDssFJMpQ`K5fxfMHfZ8 zI*fdDG-tS$*moy!X3QBIKPPr@Av8d2%Oib7UY~UO7gE-%JcG{ZS902i?a%>sRkJG- zkb&SAdkDoiFOIk<_^vzF&|$Z+mNAAeW52m$F1|k*Zy9T2L=DgREo1KA-fPUKzg4{y zIpT*;FC>=eI50-$#gTc&=o;M#>V{?181{f*zsQ};Bi*@+^dWr9mthYHo;CLHn|~nA z6dGMmLM}ezSM8*IBHxjVHL@;pWdfXaW#N8RhRDLi=EJ%?i!@?8RzTa(zH3LKYt7-e z{{_)Om7Ek==nUM!m~>njYeA1yV#`DhZ@?ar zwo+E73{SOn)fwazZHJaqa>O*?@621I<_OR|WZpvQr`RjWCmBO{LU9?mjm$~STgaXW zz-Lis-vg<>OFtRc%?)Z^Jwe}Owy&6K;DcXR68{+H8#c%9Iz!x^YO^-|~pzOLp^ zlwSH-d$p${*h^T;RM^G0ygfHc&gN08+U+}LjEoCE5*&aZ*~_xC;`o0I^rpDzOAU;} zoXsS3rXc|jX6S`V?>Wf$E^QWHD*9A_zD5%j`(lx+81Do=|Am2=BXSR07QH6sY#szn z%z=hph$gN)!gXNGW{;RiHZ*tTP@#=zguY9Ra(MJh@W6vI4r0@JdXScv>SHqNGv*WF zS?}&dZ)LoC=t0r`seJa3W9Mpf6Yx1(X1_W2q{V*p)~!>bmcdvGuUd|6_8{_e0JfPY zv*mfBe0?D){DX?$~PkSMP{!KNUXldY?-eSUZUns_$Fc} zT$ybmvn!cX*=TmjY>`iaG35CSI>4WtO`R1RqE=u$bnt=9sre*!h;;`-^P+PgA2&uL zQ{WY=cPPC<@utEZ$ZYigCr4|E`syy3-S`ms{;D~T4|RFda@yv}Z0S#OrLx74*)z}^ zpfQoz=-BWk^wzd$q+$zW$T+`@|0hrv?HcF)-Z+cCU5aiYJobfXZ>d{k(C0FA<64}R?3l4kw<(^_w_{AyuZ%=sGj?1$I_<;P=*>Mh`cKW2;mw=geef#c{=;Bl+)U;48KJO-CX z&J-I5y;SO&{!THpD*Z_YldKN_kFVc3)G~;9(==b|U6;It22$-+@-~lk|HxbF#HQ9# z^41f$zjflXCiBtU#euS=!C@mA#3p!^p>?#4l7xk z$Z3nYvbH(hs?2d^Ew;PpYn}duzr04DddgZ?pIpxv`_DMgQm35#%fCPayU`V?JJ1?a zK878yEBV~b$DjifzG;g^-kA;k&SA}vD{GDQ+dGWsk)O-Ltb?1aMb0O_%(s-7jRJfN z>og~BDSQ?`h_o>r!p;*}yN|hCe2J0b$-t~Zc!H9(2C~*2?@iGNyf+e&@!SrbdE*`Q z!+&K$+Fg;o<4I~ad-xsi;&j6GxLj{mAZ zo~36s=d~3ho2@`ohm+k;OE7N~r%r4hg~!;VLn8JdJ#jC*nDtVLBk*N-L*mF0k{y#8R zvtG6Ev-XckTuI`$w`!5ae~CGiCHuQM|JoPZWN%dF788$uD@I?z)0p_)Bpx%(TJ;t> zT227|6%`mqBJheWz&H><$D)j5jheN^Vm|3kU=BaovPBcVtLTY1BP-F@r6rqrDPuOU zgoYF?(RTVk+qb#8^L6OY@1XBZKkA?Y+RtYD(4~=^2WgKkGKBm(JhJACV-TL~ko}$F z!h3q~2;gY>M2E;(Vh`yFKcR2F;mr2`Cv`17oyZ3E>A?Q-dOdQ@mH4&47Zp9(exP1= zUOit+#QVn*O-*{_cMmf6!?>{SK+ayaehb`k_C_N={2}prlYqU{{~cie9s2sV;pFY+ z%;}u06zmhH+qC&v(pm ztm!8np|wqq?A$4PrUxBj2AEo8E(B35TkhH4(1?^=*h>kIk#&6ap=%cF$`MO5a!hd_B@Mu&n92MGkmgn zZAO7^u$TQ{?wNbfYjylvI}-kC@g?DdfcKPd_H1YTMfjA$&u!3>QySoT%3pgtz8<-` zDWF9jM_=5#owa$)9Ukrvk71n<`6=_5=fgC*e35VnM; zPrS9!X9fJ&KJYMjiSy7g_Dn#xs3Puzb}1hTd|UjliSl}-D<~UCe4Z~o+8@z*rK+f1dGi2g2cb7Y@`^o_y*{u!aS@~Db2ypuId zrcQtAxnc{UPl#S)kC*i-y3gG^vQ>NpjF<3d^o>0g*g}=<4s917u!9|jZekc~1I$qm z(8XsLu@Z_0N2XLDhv%So>=Jo#(i!OHO_=Bxt4)_r*ENXGkp1>FUHDeY)}D7@`W{(3 z5ihJUihKE$I8#jcDZGK?(K`7#6R;dOjHA8Cw-LZw*0B#i$lUZ%;n5mXDd_9?TlV5_ z3)L`(@HV`$hQ70AS>*i()%>p4t$oDbykD&q`?V5lTuVm;zYArlb?7o@RW0$gbpd=4 zt>kYD$QnSaeyY$7ajtDT@hCpZV{bavU-(<1mh?MYPmBCwgW`9Ljpl4YZ!qEI+xq+Q z6}aud_OwhL-KZLW3ozQWw;j6B86(zWLRXcIVw-fpufSzpPX>am5&!BaG||eO7xb5l zt(Qv-4!Q_B5YJ`Lf-`EZSZZBZXqX{>V$MY9>(sZzBHOBwr^lia+im@+MQ_ryIRYn( zIZDUu?@xet;i6J}bMy}%0WjA@mZ^R&F~O4%GDl)x(L za62Y_RDG2`7wMinoqocZI-a=a9n$}w3v8{aJK+xl!7=Jjt;rKu7rlg?cTmAf%5hp7 zey(Eg%lyQ}fM1UUg4N@}gNndbnOC>=j$=LH1bpT@vGF#d?`#+U7Hy!6k)^5)&az|Z z=D=~F@@+Ct>h?VxIzfM9G8d;}n#058zEt)gA^tU;w#j`B_)gpg=f}`)wQeOcyP9}W zGdt!;7L3;b~bjMLp}@p z)+jKQ%#wM|$h|LMgSNL@ZLP$yj7DDoAE8Cb8!_u+><_Nwx7ey;6Ng9RFQ3xp`UiP0 zZLse+;FGli@EE_~qqJA}3OXjSQb}aqT;%LN+J!!FFSvn>POx@Z+1T6mDf?P@mV>Ml zJ3BJ9N%|ZMZ)!l^MTxU5q%9vZwr;zVoIQf<(i6p7SvS^3-}g5e1>oYP*xxIPuvhSV zt(vDL%;VTAEqZwMM$K6`h$OxdBv z&0-v742z|G4dA+rVPhk_r2@Gw>&T`blJDTfj0N%f%PWCNfZqZ$*5_vJ3={`Sz&rM1 zYTKz<%h5UOu2lXfc`tLE_E2b8$u;O@VL+>v@uEDDS=K;z{hYI6CowHMr7f&sZ&7`Z zG*%OHMZe)8(1>tg(_kr27GPG2lFU>K3o_){s`{&Pm=-$w*d9&}oxA1|`{SVHcKkvs6gl-GXx{LDe zziZyTQo%4(a_xqj#%p)oJ4d@Ic9VAR%zL#lmt7vY;%iqHU-k8wKd@)boGoTmeVW_#?t0#RIMBV{Ge9fxrSkG@7kR%d zrRq4>_0IF$a`P!Sc)nI5_o>u7|LIh{)LGT-x8$45_j29U?fJHFS08$pmAda<|5d}g z>Xzqw=$||r&2ZICDwU4sLa-Vu9^%s$ETDLl9dB5d(sMp_^UVovRX5JKHOhT93 zaPOSE?!D`QpM=bTGcEc|)>+TAUH-G5txk)M37y{k`kHWQ@{$i$z4gak zzCUl+moe*+fB)mhf8Eo!;D$q&TzSQ$@4oQX-38aQtZaSf?rHjl%Ky1_>bvV7+3?)U zmtWSn$M@Id&{aeokzk90v*7Ke?`StUEY8PC0+16iw<41q_ z;Jv@CZB6{_*Q*b`GV5pKYyW)fw?ZTHe~_3^zcPHy`j5W8zVPFpZyI^c_cQ-Gv2^`^ z4EkX1ZL{Y4@^g?t3-y{Gy-S`ER3d{QkjjZ~M1pzi4>lns0yen?L*Ql^6GU z?ab-7W|p1z(3}5w=$cz=Hm$oUYR!E8or`Cm|Ceb4tKU9)O-B9N`QPmE-|=hy@MiD7 zQ`dBKw0E5DINs6RaiC*sM@fg)p|xwLwc}c|c0k*zm1z83JM*4<=Gm|t+nzmp)@+4j zm)<$=N3$>e!96qYx_|bivt}>2^v8GIZ!frH?!6CQ^1!@#_tOnK93Cts1)Rl{&6hjA*$#>pHFEK=Dsrk6ov&-d8^LiUrqcyKb8MqndxbPW$E) zGY(BVcwKbK%MV}p&;7?vZu(2zp}~JM_Q}294(x6&8QVJX;Lfy5ULO0KU;gPQ*FF64 z*jEKk~k z|K*prIOAJRT=S7J>8fvEe&LuC*FW^gZQpqH{-6JOQvU~stb)h%zHoMms&~G`+Wu1e&qeKl&a%g*E?6Z^;|)@%P3FoQ>l0U1GFRcY(}@=^6bTD z+;_k3{`~o_{HgDHU%S`;>Bg?Q<@rj=l4oPQHoig|<@z$8xGrae=aGme{KE9oNlJ`qes*W$Z zMk#Asw>rNlue@9GdRP18UgLYY?((kkd&?hs=8=kiUDLTCcrXUfbl| zE3G~AbkE=Wx70tjSD9A;6M44ME4$2t(e+%5+Tb_Tm3k-jSCNMBJXQ7>@3%bvva!`V zGZe{R=UwNIg}FWde4T&Z^O*Y6&++GGxK{n8o#Rj5hroyb(|<#<^^&X{y=0VkJ>KnJ z-bs>Y^8Q$#u5<A07)JK-UupqFyFN*d&)5LZb>UK+zy(p6rHa+P$w zmnL(SG|fvhxJtUyOY^x(de}=PTqP~^QWaN8E4{RutE5d{dXcN7EneEnRnjgm)pC`z z&r1imO8U@C&0HlN_mXxO{U-&zl*?7pATNcuN-FZw7_O48@=}zmr0cyjnX9B}UYfyG z(w$zK&sEaHUMk@#X`z>@xJp{-rPW*|ZSvBKTqSMs(pIjLc6q6mtE7EiI>1%ZhhA#t zD(SeFv>(!cQqW7eTqO81HxB|Yq= z60VXKdZ~)5q?KM;%~jGSFTKcB(iSgm@j(bVFoBor6 zUdrVvX^@vfTqPBGX$)6MS9vMQRnqldn#@(wG%wBID(Oxy&F3oVVK0?%m9)@HRa_;l z^wMgsk~VqiMXr*zcxfwFNxQsM%T>}oFUfr77ybQz{rxkinQQ&Ct0VnpvR;xuNiR8c z)0d}?9)D~^tHr*1-3>Q<0jR$0efLj$$E!@fZi@|`Y1({JuKbqjH7!k>d9SM}ZTgXW zokxZMX~ArJ=B!x;zrO4*&&#m(JWcxtQcCmcew`_^Nq61*z{oGk^n>1+QZ;uk$xdaG z$*W7{x+#blRS0>L8sIy-%2?W zFl|k(U~}^T2UyUkk{#OGk_IhY+N!NB1b)n;>x z76Mhv**qxpvMN&+c*Fx&av<3VEk0p@W?WD3>GBGK^G!Aft5m_U5rShAbLt6z%~e6e z_FI&11}Oh9_bddFz;h{oIpsenKSp;F- zTCT6H+@OUQl9%Ay@FMb(!izVvK!Ci9f%#tYJ}Ou8at$vb?-KGnMqX0*@g0;?p{->h zlN>A;UMklLeJxjGeQZc0V^Zzcer%L~O*3{BaHwE^4%uUT`j-j^oq+9YrbG;jqLCRc%* zxj_pD$r~h3I(bRq3=eJ@d?Rqnlq-3;hO@|z7C55vqD5p$Yn@yfu*-$x0u4VdK zt|_?5A{iE%^yuS%wqKSxnZ7lAY2TbF(l>(k*+4IB)^+wx+U890>DJUTJshl{pY$P} z_t5*=^ajnomIGq^<5^(90k9R&khYh1{TZJw8v3Gs#MhS&ai+Ay)JBx!_7Zr#UJI{V zGsG%)X;|o)!1{ry{(e60&;JVdh39pn0~dBB#yoASzj&Np0*{?CPbV)7y3H9sd@Tm;Mp+na9Cpr<*?Ao`da@^Sh0ZNXez((2^LhrkB8~NClvnG+oABf zmxjZ;O5j63Y+{o=x6HgFJM91uIKsd@iLl=rE{!Z@y< z#5d~0j1Btm`1;szSuAJ4cZ9B-3Kq#BE9HP@TYf_mxH2KBt4jod@`) z;3hhP<1crL{T)BI0^hM#?x^K3%u&tLZTQxk8U`+Tm_E$bnsv$`3gp zVh7IxX|lLBV$`t!8s3w=S8aeHu(tecxKYlg4Q$jQdW391Cks}?A$Xr!*fL(pB@Wfm zk~11RWP^+3p*z^DXOPC>1*a_C$7Ve}dA5`zi+_w40`7v*!g3C*DA(n12U$qVq9GOv z?PfvS4%)X}H#V_wOg7+(voO8hwK~fSJL_>C5q=gp>6D~_BW4GS3Zrb)p(P)Fy_LM5(Z|!_{2DfCd|Pe8Ae$Gl zpw-<3u>yL8kD(K?`2z4~K{c|$@9_y3O{@N_6RFf=^+vJ1nbVi&SG!i8*1abbAG zeHZflLN=SZ!0H2!pP@~S7xG=XDGL|Vno6SI36EGqyYy@pG-lJk?C@wk+Ya=BA3SCx zgJwp2fE;{@e!OA?Iv9s3|DH_{3+dm88v~KX1{TMedUXSb8B8@}Vpk*<)M?=XpQ`8K z;qM3J8)pFv@)ib0$46x8Z2CLedT1lEV6|pz^d113mJc)?q!i` zX1Xkj`-v<%jZeq~&a^L|6w=)V@UjqI7R2Z4vN@6@-1s4E*pi&h80%&YQK|F#i3%W)0`!2>>=+feeL$F6F!4Q zkM5%HM#X36Li6$+vh_@SEWBXFMc5U^U~oP{|tTpH@DQGL)ShGpA9Cn|MZQ-W50|gC%$-tvmhfkxiU}$Y_b!TD|8tTk!_3x z`hwJZP>qGXtKk+I59_BBHJihz5@p|u**8_)V(4ou;u?vlh^$X({*9ZtW|0MH%&SwSJhv zCL($DLIcU%S#JwYqZhto1!CEq^{%e{ZgPnKh`@;j&9%-$i=hK>PQi%sWTCX54Xulw z(4ESMfLCQle0^$T$x3+9QTqFY4g53XMY?PV3!TL>c`o#p$-;B4H5|HgG@EjA!fdz; zPcrFimV-_x-`M?Hr0tW`!e;e5I*Z={n@s@bg`z|F?Nh&VbI*599XrE!m9r8&;rj9mK`Hn9z9--6%yEDnYV3+f}FHV8V%J)6~xo|!??nxeUrXb+puvANjjSQ>5e(Oe~yEGb9I2(eN4a8f>Lgr3o)#q#26v&M8b`S!C>Voe|We-y%3H8z@nhDIOF3TyR3 z8-0>@7P9&J+?Z@`#yzl}FB`t|F6OiQv4A_b_B#2kVBp@*Wt`Y(foJ|)pKJ&KJ!Uzr z$8tnZvIkW|>+q=7R_=50H@wY87%!&T?}7__Cu8RXYFUWh7+tI0Rk7hmD=^<$WCbr{ z?BR_<2iaqgA#98wI#>k@s5uB8{lHke^l*EE4R(^(egN+?4Xd>+XKl`Qejo0z51Bf6 zQ0xR|_Q96Km&5p>GYH=jo(27LfaG`JC)H8QoY^N~wuy}G!-DoG_(%VNKbz`G8xvV< zEU>MSc116uziEjjr_q~A)12R*?%)v2n02!4Rp=%+aq@P(J6|Oa_$GX#s@O4W)7Bcm z2Hwko(L!&VdeT(Y(BvS0fbz3lx|;s$_z2wqPRRZFT6pz7^j`QM?F>Knq02)(o~vZg zEp_DUPNP-lCv*L>XDc?%D_8xdl?&N9GKgGL6ws~cC@^Ev$mb|_GSG~&XXAkoK ztgC(dp9hB+`_gQC+j8mScdEyub3VS~VK&#&t&I7a^{8w{x)t8z>#(Oh1%8+=-=@y+ zb46Xe8=jrK<6|~X@6rA~cCLScd@FTIJ<|TCV{Mmh4yi*uDr_KdX4qdjF80SsBe1FX zk5FXpQ@VBXRGU4N!^f8O8G#Kj#5sKd_3IZTpUEn679&6QyrMgc`yhAGF@Q~XSR|{= zX4=!@wy`9TTzu?W+UR4W!cQIZ#WZ;?^u_%rE{rmQg_DQoAqbmv@W4vYjz@0Bd@f*aa$|(mRh1MyL3TlxM+DlZzWU*c0E*lE#31Z>@MAnEV9W^y|RaG$MB) zpWgq5wl?QfyTY3Q?aMsX!J&z#oz@F9bkP93$FM8v*(3~~fvI1xHs`(e#FCv+sng12 z6PD(@_oOa+8GJjpwx84|^(R+09*1rWsn>ak&5)Q^Fm^)A-@5_b`b|CZk<@)7b$`+> z{Z{opL%q}?v?}G(-@Vuk0sH;squ|jwj$hAk^_{mfC_5)S{5(H0R1QkvzBH#(CXbT) z8gva;HnV|IMpN_T0{8(k9vM5xKT-Ic;-eKiW(v<&@(S7M>bq=m-Hp!)-%svnxY|Hg z(~dOz_WB>g*V&l72A;4Gzv+i;z#=+xJ^r$NYz70r?Dl%>^5&e%BeHqPHQhoicoN zi?DU4v|c4TQTVyz;L;P|@)I1|K3}unTJZq&iQZ&5Wo+1qjwBmxg+m|p$cH~lvwtsn z^&G20HlK$UijHQ$({dCIjjj>?$9;FYk^Am+a}>JSdY_>-b3%^^E<$%h{yhdBXZXih z<^|OMimvJ&{;$AG+R6AyJKx+nnFES}XFmN2Vz;1+qUTv=tdHbRn9JF)>J5)hzzg|i zTK&`T(I)XXq{iOK-ubY7dw@egOMUoGe3lQn?}NV!9;c!EWTCr0=ITFIRu=gDxL;_; z9mh@REWL2~gFm`BQ7(RSpVm}W_4kb7PZcineRsT&@{U0TR*OB1UJvAA0r1!21+|4 z=6m=CmPa}KCuS`|PTztqnNHiMg(y$>Wg$L>EiRwyPn$bj z|BK+bM~lB-G2E#b0d5Cn6WBi{C%^cP#pY1<*lKj3l9?8K)?v<=Ko4Dbj(tb$q&rGCW=EGOjs3zA4O9(SR_3Z6J5Y7v(5sJc0by4VAcDye~IWz z(EER&f1$@59c*Qa{*qG%{0&d9Bk%rgar6dd-yO)?hF%xt``3}Z=pXp$>RYj+k-Kb) z&-3?;=iyIY-`#pAy=91A+0Eui`AFg$@cVDviNE0`{H-*^K=P&C{cj)^Id*-P< zpYeO7XP&%8O6SF<2p5&&_hBvw|95!!W$41l5g&fVMaZv{nNhwooQ&oiYY8%J5pK1G$2ZpItZTQ@&!?_q9m(vBlkpu{ z9IXDQlgZ%sPT5bUPZXQMKCmClO{kvJZE8GP_S9_5`wmYl=aVvCRZ zz^adAd@1V_(V;~4iVTJpKT-Us#O&9be__Yf_8g;X67zC-ujB#v+r=xFKIxS`ruX;onnm;{hk2_jQgBJ$zG8?Am&!Y+`-srH zj8B&?ezr~nejMvL&e}}uhh1Ic%t@c(TO1W*?u9lg{qZt6sNINPRLUIHOe2x`3G=%( zhQUEw@sTw?$A>;CJ~imnUYHfMSEdJro}4A8(VawJnSj1B&C^$|_ju4jWh2>d9ms>{Fq1NcPbP0%=%-E5(5?cKU(j`UN?l(r$KTHSF-m0NN>_BDD%N7u5KGzq=8%@;{@W&!`Q?w-4#$dy%VN?BtCJbf~7<==W&D z3j$XsSgG_&Wb?oF#Es}R*vA_vznguz|792FcK$8j@9dTT8`!t&y4pACO($!H^mSPe zy*B9SwUbxYS?NLEFWt*GE?>4Nu2#z^qOiYx*U(RT~C#GBR%y zX3i$e{EN|TZU!A8*S^UZOFII|$B$)j#lOkC&w)t|GXJ9HMn>X;=`}aflw10JHW!JsPBMnQV3&bYz3*k#b*3x#$O>8aYgo`x@qL z^k8^6Y4p)xwR!p)d@I3lz8-|fe$yz@GmO!(jQHr%4CB(8t~r|`op~{x`5(R?Uc>wk zb2lO8fkq!>{^lV30DHLm{LO*M2H$fimCjrcvXF1%MKM2kp!8Sj%&Jy?SaSw*gF!>aO2($>vh?t9xi6)iPf+g6ba0FN8rmP@z}$nursmo(W1D7hpl zk{D^`e=jY~Fh&HC-?}kFhM(Xse%g2aJ3jyuJ^3-4_Ut{0{ST-wC+zJnC046@2Oe&taKO2e!_4 z;PvrKY68Y3G1FlDjEiebAtBT;#GOy&jQ9sdUW z(*%bY6Y!%njlSxMfXFP}2TYM!u{8V8W^BRTWzJ*hSIv{k7z5_}YCG()#Q(heAHecZ zhtnqX&7r{ucK?q0@g3FZcC>9Iw8mTl^|#SB_$}>BOJuci<$0SK^`7bWwavGu=1tUG ziElnQuB*5Pc)>2EgLLXFIiJp}U3FCHn++@WAn*Sa7u}71pvUbF=f^mt1^$zfu2q{_ zN#ymp&{r+7JaVY?L=a>Vwt4;|o0uI1txj9#X17i(fPc9jcx4 zdG*WOZzTP9y8Y~_5%KZhKen4)(K=apC*zbwtQqjkS>DC-bKdPC!%A`|t2l=P)3?O& z>F|wf2Z>HA^FE?0c8^VAK1gh|F5PqK?s>I&$TRRxa0)mi8mo1C%7MwuDUFSf;+bzo zpc7Y~G_UTCBiN50xbk%ZI^CqVd$w)zc(-k!%eL&T zH@)A}wk)Z-+HL#(=cLVXPj3=`jFk07daHd~-M{pNL+#hxvhtqoQPzRo&xqfIzWfCq z>US!zABi7O{B*~uj`((HJ9jg2_V`VfZeXK+VjwuIR`M7-IFO=(xp_Z+1b>ym;R!i5 zYZcZmA#gS;@dZ-?*Fx$o?xQC5Wg{B;ho^Q;2JP&a>_4Mersf!-W1)cVo4-U z!q)s(hM9AP|D0m35!}he!BCUaLx;GFn4jo zU8T0_;s2bjvhxnc5ns-v7NH~LuHgilbK(=QOBUA;7P^)gTbW0 z6IruC{Km3+V#jNcKL_z)5Hp#-27XWqy~ZS-g!qzD{NRnmYOK(E&}8j?_zdytDn5Vz zDaG^gL7|(j2G^nM83B_PbMkd5I?iC?)7zRujC%u~w24JE|4n00-S z6KO)%J>qkS4f-aj!7+XiBD@Zec=J8xzAgg;@9w+4;|)NU0CG3DY0k7-w%>*+nEcS8bel?J{9XXb(`0I z4zUi^Ofd3GCm0v$6TE}0C(xGb=Sti;^~wP;tQ(w`)cmI%9|v*C0UjGw%&r@6O-yxI z;PRjNoU~faB4{U+ z%-+mFSCoy(FlFtTOfmtxQ*le}t z%6K2*xxfJ1nz3Y^q~O-kc!AHbAD6N60pAw4KCP<1)E6%DF&9KTAF4*z^D!=aQn5FK>ii$iJ>y^+%1VxwBt5zpWpAI2qrtb5JUutmg}J z-#fqbSH5Em&V)zBbmLe0kZ@=iICox}anWMRLI;+EjYeOFzv(;pxn|crVA z{_i{rY=j=up{4vAz>{Mg_O-nZqJrZ2v6Y_47MkYD+A%C>Qk&lRj4s=@aO zPs@){7josI8r>LKs>est4s_S}#o)*&a8!2>EQ%N2!1{)<&@S_!k5~G_&-rAY>}0%f z(V)nR#pJy~OGy0vlV%z*?eIwQ7cTB+_p8*Cz7xlZEjh0Iz0Lbu;)QPvimx9Vj7a_= z#77X%B;PQ0r^CY+ab0y%*1oyVpotaRr5)|iF7yB_Bu3p^)8n+_{}5V+ZtbT7xy1v4 zr)ieNH;hAfW4>-V2N2&J!w*$li1!fSpRZo0{PcLpGe%);Qd7_Or58$9F51$49!>bubV(k?T zR0s_S--yl@{1@70EOo}xT{|Reh*(Pmywn;r4)D~I+3Ba_>);_Ppv$SGhl-((0zFZd zA9L{8%luS)<2dl@m}XyJCF5{1lK#Awyt#_;9qabH0$P{YQ|gwrB8jqf(d135PsgWj zQ*a@(QtG;_{wl|Q*4vOWg$Gpcm1pCwo~PxCypn^qN)(<8&U?77a6doB94fpZG?OtOCv!xH z!=qd1|90%PFVtoDE z{7qZ){=N7gw32xKBCYyqt)!S#{ghT>WoG)F#S=5FjNe<%q8kQRn>zd!bz=A}MmT>g zJnIU46J@lAIff10>U)m*R#QIwBD{{YiS#Sduc@zG)wj*ae*?QX4VmF?$J$ZT(~E; z`w4+xz$t6#NR(|$gGcKY^HO116D9Jwe5~0trsM{NKbjw!+zxE$7WnYICEg(ph>(gvwBwa%(NTr^BKo<|ONd=CDKACG##0mb7gO#F!HqeWj3o@_8q ziYMG{lxe8Ad9B&#kdAL!u4H;F|;XL#qhY1qXUam8*I3C_sP8|M@4m+@Y zaCkU#K%A~6hsN1MgFz!))?pNl!_Jx;jTa%S%S&HRRL)g-?8i6tHNwOqY`7CzvUEE; zIK*j?cGW{a*gk>gJiDPa0~yc3u@%&VOt09h85_{~_f16}VzVGC5{(-vw*vpiM#`bQ z=URYO1MO}Qe(bJsMo(ot6s^r0Q`_Gt7^hhe_DBEltHbJ~UGWhWn!{;dksx|W*@gke z2+`X}`K%`!E{7T(7d&hg98~Qby{A3Z&SZP*F{h4LANEzS@2tyq>geOb<%U&JVOWE% zV!e}}I40)2g9|%s-@d-Grr25l%vyIc-W6JM(7twK6LpP1H_2zsgxBtH{)hChE|u}s>AzpbNMbkb++dnf zP{!KBjnVjsos?I}bKp3FKCj!MS&T<~LyR?iz;)eWE%{;n$MN;Cp-S&>&ig&I{V{#k z?FVFyK-6!~stY)EJL!9Cz^Z6Kx4uefyIJZ|c^B^RTNRuA?(_Xe!oNCwm2r+N->D8(4L`SC#(=nmXn*^jIu3)SPYWwdt73uambvO9-refHE7Yxp zb-df*z1!bt1xv260tfK>?+Bxaao9Nx^CThky z)+veZy#t#>bP)M{n!`T_9#Z_?t|-(S*&;CV!(YKm$^yw}^UuV8Ma=M%8K=)h&z4Y}*aX-NJ?sKG{M54IB9?tHO`g>%|K>+*{kK z;^D(p4a7yG>s5?!ZP@I+X+}M?ynv&U>_A#Pl3c1vmcG*ZB^?)or(roAF5-&`QlOsHh?uH5R>xkTezP)&@Ho`=L@h| z4`aV-fzxgon|qXz%ysJ%d@0V>weW?cp*sAq9Q^|SQjqb=ZtpPa8G}mJ#h0^YXpor} zUS+l?mvbm)U_89-pl;M-V^kKxGe&6PyoPo;)RHol-VQyc#rG9z#yh5#Sg=!1R18qM zyR$E#!%Ly*fx0sdUhxFHvZ_%FuNv6Fx}y^!M=i5Jk56Un{RNu6(j+G4aC@gd!+rw- zE9y~tP5hPu_)RJFhaR&N8Mk7fuJo7z*x>jhn77tsjYeV{y2AO(6faf0$>XJ+Wl@G9 zWl1>`+;Z%}QpR)+p_H~@XW&P&ZdHB}#ouUy(tF}l3j|lr2<|%dZSVkWUcu$iFm%ex z^n}?Q4Og{jlCQXuwwrAoVrzz<;J`{BW&8Xb>f4C?oUMhQl)4Ug7*o+he9Qv@-|(u& z_T-@Q_V6?4_UFJ|>Q;DaNIMoGtFRO7A#Jn)9baq|bbNf)tef}BFW+{~@&)$JqV>dV zU&MH(Gq-ioIqmFJeO|v$(Qlu#ll5)H`qFQojDhM`8McEOhrA^5y21F=d|mR%d)sHC zQ-WvkcXX;x9Y1Th{qmdk-pW|q8cVaYUiLZW8sIe(-i(c3=i(c7axgxHu`uy_EDHpa z%XTXK05(3x))&vmx2)@aHMXkEy!VnB{^OSa0REZ%XVe}aQ`TtlDKpa|{?$>7IvlCv z!2)1UT#g@~tKhYiKeSFuK2m+spgyTzp2@pppsqdn;f~|-J-nB)bG2lN)S>)fZPbrE zNAEy?q+OcS$+Ip!Ii=H~%Zbh`Jlg?Y3U{~pnYV9NenHC*?+KLYj=ve7;|gK{u*K@# zHYmJ!8ei`aa3v7vNWR7#!ZGwaiCf&a0-H*{%U8V1g%^jF%N|XQ$inl`f9jFj{i@rY zva$|)u}|?-cMMilC!hAEV5$StbK2&}wT?(S^EB_b^krWU-I2A}fpN5@Su>_mccouT zeDo3Rg@?dL!cR03lS_O1(q7geBySqQ+=YftmdhOKim1eq*xL?ei2qjgp{~&HJe96H ze@bT^nDk|xp7@A&?lX9mrWtkcS@^H)5hidkjL&n)e(CMb3hKdTXP(Sw{UTkrZbjb-)qHN>trP5bK&))4t;yQL zQ_xR~lBwSJ$mr8zGZ2%+9$9`>CeJ<*T;a;voGP{MJ-Mf))d`Fhz2InkD)94oo8S+$ zg)TWv(Ubj*nPp6!4UPckfyf2mE_s(ubZ=KUVPx zWX~6tBe>@vqb=}4V4&n=U8NS8yAzzKM9wrQACikNE^er@eI??%J`UVnAKf|p71ko~ ztjxrJ?)q6&Tqp4kPKL;c{fEHCbbLOdP^N^=Q+S-ZtG4Rt}Zr5%cKsi2s?vkix!lhsL|AGp&n0QuzNP;z-oyL=<%2>(_-zT8&sXXz0+SX?uG zc3C}harw+uflFoE)ELC&o4u4Px(jR3n4h72jhY2rhMz#Mc?cb4=oXpDFn5DohWbmw!$=O!+(*Go^3Xx1?tSyEgmw6G6w!2S)|Yz*uyaXDXRT-G2%= z>)5%#c(P#+ZW&sPy<82voxlw0Yx}wQT?NMBb$fs>Fy62S_%a{aJpE&7le^XvId`7$ zSa8x;riZIM7!5f_9jjW-U3cCw2iw}^t9(&WL-8qS z#pO{az^|Nrl8<&IlO-AKUFG6aa&XJL$!I7^y~=mjL@b-YPhyHwFih?!Y)Rgc{&Dy` z@oB93#9o%372gOB)H6C^TX@FaZ@i5 zoR_hH4iv7-I4Hjy_rT%pWJbn5`tyEQ`Mg*8dzU{WRsLJbSM#~$zu3L}e+RFalX2%R zL@vj_S0Fm3YoCPB>#$wiy<_lYcAMAW8|>^gtnp^AnC9tgjXnAF0l8l8V^5M3Q77XD z1Aeh4;#(KB%#YCdSZmRVr;Y9Q#4FOB%!#ZIAB%72M2D00E8SWGKAXgoR1#N&4kG-y zQ$HD8myuk)0{U9aIuXVc-+g>4d2S8xO(Qf{AC-0dP8~ESGQV{I^0}cSIh6H?%>Apl zvgV~*;#1%7DIXE-jQr>fKK$qX;9YgH-xVjEfa2TYNB-P-miVZcW?X^|VS?wBfnQMB zSBx$7!4Jisqw5B^^SnjeQS#7^`<7V(6{mPB)qU%W>vC&fR(cjaOPj76uDhqVcMLOXO&yCl2)5Xyn z!qlns#Y+EKd8>DJ{5-tLd#~$ljJIigzd%p54_q)KC+F(c;0&=vh}Tm9TkO6kbD`fCS?gF7zwIJD@z8D91QRY6ST;3J zde6@GkFFjEU6haed*v5vp0o?U{FRb7)sDo;sk-wtWxvb%_GKqWx%rmX6H9-2ygr}# zgOlhYQ;7$ZGIQ$0HuB2aYiId`US0pJ+9tlh=A|#lJW1k;D$QZ<5V4;uGhb&Orzb4Y z>t*beT_dnOU)e}OWPK1_JP0lXnbR@h*(P>2@p`(+{9M2oRT=Uv!C(#m%W2~*I52eOFnPH;8 z%6B=R7*p4zC4A`VsEaZ$$FrxC0sp6#c>{JP_=>$_`SOv+$FQ?Ll9-!g7I^Fso8p+n zJp^>0-PcUBA88%ZvwYQ-!>as@=cDndrD@g@{N4ZXQCj%v6KPf@^lcJ**(oF1+O5TJ z_*fT9oiXD0bmG=$`ixtp4Ig1+`?HLC-kTX(;uB&9d+5!T9pPuv(~^UqI%8Gdz}_3B z?D;XwCw57S7lc>s#0GC^uik;ZTXu`#;4W*Qy+Lx zDzPEaM6vi;u~+-Cw^j4>9q`zsRXJ9V*E0@f@Szl(Rvr?WdeFt6oO0ISeeB}T(if9K ze;qK~YXxFHHC_i+opA7}2p_P_8o#kmXr6XMzb=n5^+e@P%@Q7kO>8Y7hGpp7GnCO} zyqDjJ-;q<$*afVI`+g>S|D5{3tM7T%{OpNOy{OZ+Ob#$vtG4Qoj)~r*+RNTgt`1Pw z}@IWxKFS~b`5;uB~xr-*Prk4gfe)-0CY>%wSgB` z#p}EJXJ?zi;)(DA|3r@$EUiSR$Ti>v2KiF_AaZhoo;ZmwVDQPHJ5IZo+d+S>y>g=N z&SmWW1-t>hsT-Vt34A^S+RcD=GoW2!fosyC-E?R-9okKYc7xDv5ZVpG^MmnAYD`Pl zGZp{D-f23JcZisfR`3+Ofrb`c)D3ThzVc4m6>giLZJqqs|E18Te2e}6{WXU1y@R^_ zWF~tpV2k(B{r2N$uINR3$k9pvlrUFEjVTAQpNSpRwx%nXxaG7a2l_A4Ifmh(ZP5*`R$I4khkz}wE^f#8DpHhS|w(YGYtLFS#q`Pgu# z4ljurcHcmnUD7(TXMcc0^Q6@Y^ZBU6>llT=#pB-`n-d1Wf z9ay#5xP4e!aRzc17&(Kp^u*%DF)MS8VP%{|cQ_bu(r5aial@E;&`|3-R|u_v6Ca+` z67`ja^AFgO??F?6m|w=if?rVXzgYrzv(z8oYiiwjj9s<8ujm^Na-ID6$l2!(Jzr>A z^a_0S(THiroT;L3G$pVj9QEji?Q=GjD! zQ+HsPKLww}VPrgh17`)YS!`bHFb5mj`33iT(FrQYN?VQY?R0rzYkRz~MqsH`kEdMO zTkp}vsKCytgy$)K_+E-1u7)2PzzRQu;)g~LemF$<;iML5{jJZJ8>`+-KCtB=*o3;UJZ*>4=!KPu~1@;*%dY;(I)`AJ9D7MS$i?H{loz)s}%)?sP z!heR3E?(#QkzCuk9$DSeF0>Tx2mY%ui-~N1PxMsym&75s{(Ec&{Ac)mVj6Ny=$rMw zdG5YveUECXdZo_fpw{D}uRDRm$Y1abnj^-?C-`T`_ zTZZgxIg2;(ZI?{h{~7UrqB9?izktv0g>eK9my7?wh!^;MPLO!%(0K#d|5aqkP~;1H zRkFXO>|q%imWSNwxre3fc`5r?vhOAG=7Mn1+eUa~4R*{-=Eu()7?%0A{I{`j4iaCW zEBnQQ&g>FDv7_UBVuvMGpxZsic&uyDn%E~R9Ln%H>3YC{PqIIS*fj@S9-j9o@!?wJ zYpd~Htz+!RXvws;evTO>_TwlpHt&c}9cCJZu>nd}vSwTPga_eYRXjJZ1eqR%c94-r z2S5*|(q95GGhXM8Nhc1h+zB2X42FxqffO!%Ksf^j=-83WzYPHAuq6l9kmsmY{5QzW zK7aG~){<{4TM53AZlR-DeQNMy;WP5|sqiWpZ~VL~H+I;;K))1@Bm=Ee;*^!#XWj*<%gk-bo+9t^mFxBY`j#vKd;TslE>1l$Ntc_`XsRvk3Dvt>@{Zf zq0dWh^!S^!JFiUPx}qJ{dQWNgH67Uhh>QP>DR!TtE7s50TTS7AFIs`p^v*u;9D4g_ zrEAuoM6O_eygM+OeCCxCUHO2m+HX4Nh?5cPD>}IJ%?TU~rs#{ki#}HT9e7C|fmsR` zs(ycIdeed3A6bl*(BIiGc?h4q@a!5BS;9Kr9DEoxraOm{-z94XJpd12yww}jhbk-!(4%X>?K|B2a4edY%{coyAa2dE-tOpWbp|T4q@E0n%CH}&c zU4Xw(*#(tnx{o2WcUZjyk7%gSX5IYSHm}%j(qq6q`b4Cr6yMjEc zp-Xt&EyzS4zwxEoX@_r;wfUBLAZ=~hn!aJnP)JBPafoeaDG7w8Ck{q7v@9%Oa5Ib7$# zLd}vn+!DV#hnu^hM;Xc71=xYIF93MngC~j&h)(6<3OuI^SI%n3hX00kY*4uJzoQ=I zLy|RP;EI8NOK?SWP8U};GN#pus@*>~WNFefu6jqJns z5%qq=I=wd29_xG92^?UKvc#Z`#Czitzq$3{_ypvRR?W92( z$=$z1f18&4y$;(^UaSClcVTp#Q#l?V0DWLzByJeJ;}HJT*2zZmM6Q8Xlgxf6Smd|c zd%;uUUsf&Ajb_GHNzC0Od~_wkXR%ENHRiR)Cwbs(Kl7Tb*}dGw zA2(-lIedv6$CmEpE*3fyFWbafQGZcN2a(zUamky3em6A!LN$K(jaGaFDozj|f@Zk6 ziT4C9PB2$3!Db+fo|D*HoYUV)U91rZwvgfcfFBX+bvb-Rje=XykVgK z)X#v%Rwh2+wzjy>v1k z#cp!KXJv5a+HZhQB8R~DSuZ6skza)U?`Mo3Asf{ZQ;Obl&EY_}hjg)l{xkV&;edU01NsJjcj&79dNcSK9B>vd;6FC=iNw`azQY`$C+Gy8U#X*? zcGFaytc?rsgS14DJGF4d9~EtiEe?j_@Sad^BBiTF?S=sUCu9)X5xSj{H$~R8$G7<0 zji<0zgPVV4e(^G~)u4w|{&Adj9XYOYyN}0~+=yTJaGF&{o3l#Aj;9q0+}8m!b4CKY zjk+dl1MHBcfp@Sp>r5ClBlEHz^ey|)SdyA6^URv9WMOOldSBsWo>4NTrd!uf#{c)5 z^ObCgJZP1ZuX|4ozASVo`A_aVJJMCqxu^Iq?q#)oYCYliseAD6mxg3Tk&Ai%P}^$a zM>%6gJPPulXP?`7hxjV$^NB;wYxp$$eg(Msl$CYcIQKsD$eN=LZ_I;dZ1JPH z@xWP8bZ5qh?XV&+J4D?D@VUp{h2NQZFuzye4_>Zl#)CebtLCw!G}q2(pCfB;1t9|H zy~a}34kyfL)k{5 z)lOML&PF{ev@qnOZ+J(jmYDCZnqMesXG=1Y{Z)Vm>A(}+U=imdL{C7r*i-xq@=o--SNQK%vO(pH(^esT2!03R z_AB8x_1V!%Vax= zm7Etc+0UXce6&u)`euq8$XUN``Q5pv>V4>yM^o`7emr@5$!X|Irm>_n)2S@fLg${y zz~4`-mTFIEPx9VGbWhTHh;2X;ZDZ_JhWFJnn#HgL&BHVA$+KDGVS zzInnJ+EI89-tAHNZXC`wRJ$I&3toqVK|O4?V>?LV{7Ughd;?q;{#?A*s$_rN7YxQG z9?rG@Wcu+BiC*jTVl`Rwi6*`m$-T>7!4B%K>iN^h*2%Jiy%HbF_)f9c72Ux1lxE-E zoF?`|iM0e*28>~J#YoZ>FAj6t5M2pEuY_jEK40q59`6}q#m=rnp7Q95ljhNt)HBYi znb4;+o@pjlOUF0g_8Y65c#yLml^l1!GpLb34Ig5y@skwH7aJAv2Rd?g6#pmoBW&^5 zsfd4MuTR^{S{DBnTmWalJ!DKJ!xCG`xX1;x=&*{79`T! zy8dA(zceT|#n}DWq9m6~-g)9@4NBfdiPN!P4EW(G8uc9h$(|SRL+7>n1pdXm#-PMG z6n5YrgGU;)rpqYUZ#$!tV5)&QD!*;`&Pwda)3lFOI zp?mV4JTLFb90%VSdPcSVkFj$q#-CJViR zGjM6@%v#=7ViNhxI)cAA=#O{IP%${8&&H+tv=U|>Ha*V6HV-%*jN81B^RUU-jl3hY z#b=iI$=bSOXC3jzvMh3kPp4=5d2_78S`_JG7>oyL|aak+!B;jFic zzZelaPK+YBfL!z({VyXH5&nAYEz?>Crd+sB`s z(5W^27y8IlyLZz(r*(sz%g1(v?zk-R1xMoIDmLNg!tXNSVsMo)1Yczg3y=va(2*+O z&0Vy~n6ENFW9083MyP_lHcyQwOpK(vk636qkC#upBDsC-wDA*->D(vg()y2`jQRcu zHFqMRS?8cPo}=5Zn_oZ%m}(!Yo8zo3N54b2nf?{@5aQ*xu?MfY26%BkVPYL|a`nh% zmBg(+i;l97z4fNjg+iR~aF!HA#OD&fpYy1<)aS+%M3xp>j z>z+kCk@uhT(f!1pX_j@d7B;@go;MWju(H{wX(wl^bIjOaB&VmWe>_Nz+e=@W?fx#< zA2yG$9t+ia2;5annw+gE=)nfvEcpBlZw)l;@(qmjL)usST-pEGkAL%QF#b!~d&wAo zEf4yR4AVu7N=>^#`$8+-jg<#euy!Ype^br{4~K<&XZ>+G!xo!0PqR+FIAGuMV_^H^ zh=m?uUy&FWdm_r2D9$T!7B`%66aKlYiG5C72-!itN@(#lz0fA;QExA>M_y;1=%_2@ zc_$Mb4xXH8e|`S>*1FOuvFTaVnf)K=(64!yd)ZHXR?b4v7r4{@`S6;{qj9sB`+b_z z_g|WQch+3#C%*Q_QP!376l;0^{%Cy7*Vre-@s(c_4fjG*0;zr*$ge|N87Ds<4*W+n zo-v>E#l$b<|EKP}MNY_o*LP_1*L2&Lf05vv{oc(N8G@hY<=8kX2SmmRFHe9w?DJre z7G@8rvp4z8_#AsKjy-WXbd5NsI(>@${d(5y8Q|0S3H$c?Nuj5nnaqBgWOa;J@5lWc z=o?#2M{3`7v*y|YwdTYgr1mQ7wbNO0KX}YO`)*=9-@5&+#5AX(IpCDn2b_%;YqHN` zb=cfwpRFQ}gMBUdX5W64>y_v>_zJf*<173M_yz9gGw!Vw#DrBspNf$cX!G_2GB{_1 zzLl8bv_h{c5<#oHBPe^BXMS&GPa!V$OWp^Iv z%n#nWZM+`Z%4c&oFavhrf#k?#zJc(XZqWF=lOE1#C3C%Zi(hhxF~+W9fHQ``j{e@Uv-8KM@Z9Nr5&rp5 z#BP5Gn0IOJrHLa7Z?y<9Mc_F%-4r-U5g5?yOU4uk+V=dyH~C4vP7D* zWGC~7cgWg$^M9RtXF?N^kEmrY39RWx6}gY!aBo zGuUtJAI=&x-kLq3pWgNf#@{6Sfd9J{Pv1)?fhANLnv6qETv7WnBTQ?C`$C(j_ zwj60o^;7dl?30`^>%zAs=givfm;a9U`+sBX53U_`R$fFbA$f|{zynOyH9Lqb&e;bI z-ij<|ai2I9p>YFtNwd#b7~rfyYcfs`IZf<%Hz$1dMpMyjk+I??b*s?Z<$bpT`BdJ) z7Af}%@#Q%Wl=B{R>jQF_lOrj9^m(oY^!TyowZgQ4{`&Hg5-aTkavu@PX3S+T2efeW zG3+v?uJo{#?OJ$cJ#hx+2M#qPt-yThe7@i3ZZIVlF(LiPhkYyOM+VQ4B73k;&cp5! zK#zs)-h=*ge?IG7$C*y-nL&{;1JE*XcqZQ>7bE*3AD$WO9;6O>Afj~^l~6Zut6%IS z#7^~EYTvXGPY({C!}zC@LXm0Dk{0s%?^-X;(ln;bitz!m2b9gzBk^9GZ+st zEjGqbEpl;fy_yU2=ogui{0VxYq2t>vWsK(`Pa#u@yqO9H6jxMxsxEw2aAFy9BU(c_?*p*Bx@`|E!xX zs9&s?6kem3z$Z2c$#+)3q0k0_r5Z0=4+^rx(0vl=Z*O4uSDbN7wWMEqu;4H zC}%djcUDWxmkz#;IOij4f$b33Gl%3_SpKcdr&?%8ugrt*?lWO_D9eLc!{kD9U?9Bm zDD$8Vo|l+5IWx&`y^ym%Vd%bG6P_t&G`Q9^bZtJ@7Rt4Tp=;B*hOLwwjOdZPcNYFT z?~FZddicU#wCK<2!A4RR^f^899DP4RZJZw7EeS%$;e~k#u6ySRosFi-qoSVM$a;b2 zGDe5GX*U1W_*%i+9_)qcKVxfM$p6CRf5z21gZ~pX`(b%MIkt_8zNv9Ze?nj6Ij`@$ z)E-r|M#af69-%j^X+62xM9%~d$`vgU{uY{fRMtYBBRdoB+ISD{k$}S0B#ufBmDu^% zCPlXdUlpE$$C5)pbY}aC&*@eras;^Qq+@RfU!~?ltgcLpJvL7Zmz}T2k&)u%#C?#r zm%TU1GN2P@Lj%(+-7yW#{(+^7Jx_9Dx$jIIu+oSzoN~VA+=H$9{`09hyi1Rlzo6N7 z?!u0AwQl7gtM#88Ul`PtTp&7+&>GA5C(XWNS9|6t^sG& zt^v+Ksl5}Lsn+O>{gc`^j={L^#;3s1v{#eTv6*gwhHSm@_Q_%LHh?ABziGIspa z&{+J`6$QH08r0)GO)@v;!MNBz@ta$j$DjMHS7prqog6cII&ed_csE>if5gi9ZNK%S z-2+z6BCgfEc_zN>IZNS7r0DAkUxc;^Ur6DI;0rjUS;-%d*p4$^Gi z`zX3CwA7vC?;TF3{u;mV{HV~oa-pj=yX7nt`DBz$MEJb41&n$`HYr@9msn=y;~qaq zkedO#!p_Hg>x9n$w-fN-8OgSGhPFQLm$^uLv>`R;`vqRc6YR0Nas@x<+QpIZny+xa z9e5^TD11Toos~XG?K6q{k3BY@co*RL$_#ZD$KwYx2E;y19CCtvmTRTW*X^t48qVr# zIrqpuGB0Akk(Zc#7JAAguDPS%S^bCpaN1{eqt36x-*oGH-MTelh8C`9#%F6}IMBs- z`8Og?8t*YTkrA9#@O9bz zM6+){<|AjsfUyl((8P9yOz2iL^~bk2@$R08^&iBi!(W7E%SYNZW(0NCd`LD#E);q# zv{TkJyt*S|Z|Ioh0DtMvG8eFC_p@%~kB~n5PM$6ONxw=4rC&KqY%gn>Ahebkt5=96 zn`AuY*JIOI&noEWlsSx_@B5}f^XBXIX*zf|U60?>rpJ9tHLJ?dtXK{_7T&Vz1L7F4 zu}nvHW8cSbpQy*FGa65vCEpQ=mlawQudI(iSE7UTq-TPnDIWaW`i1U^4bJ`6(%yLa z-4Un!Iphj(V*hl_q96On-O!=wTDa0>Y~V@z-AbOZ9+FWgxiRPn-`RZ_Xl!nZS)_9pP)6*(W0E&q+kB z#O?vF8ktZM~QRL?OpO5d~kn#1iA$bINu#Sgv`r2BMVU9uCLzIO5&~Xgyj2* z9zkK*ig^L#fdOO^e>_+h3AeVQr#!BOzxgKmaM?hi+4be{@;8YQt-}Vh!)I)p z2Q6vgJeS~o1X=>lj1L4;&jI6)ls9D_DsE%Yp8YgDa9aepeL1}3Rb^XJZQYI>%y{CA zgYoI%vmU2r4*Qzid-B`s=ct0M&#eFm z9?x|+_gVpt-E3>znNRW?n0ltNED`vT`wVlo~-bH^6XxO{GPMz6IgU)h_C z>89>fcKlk_p0V$6He5~o%2xV&Q25-3WG#P3>cN9ahAhJRIX2Cj0p%@J4( zx7!12ks*+6_X`gdc~{|}8fVSE*o<^|SUT~0jf^P~kr)|k;t~zI5Q&R?rhMvMK6C;- zTviF*!GFq;B`TyZ{2MEBKP#{q4VOW9@Ls(9D0_+XvO>d?v^#{J4n6>r73vI*-BRa| z)s;?)J=QqM*s7l!+ZsJr@KVLYBD-{!luVU80(&c*`lV9?eIa3}DbW`EF%Up1f^ zKV`pEE`%l{56m$K$ZymiuWX8p;MW1@%nzOe*7JD=AN6;hQ#KXhl~xOV1d%bWYW6u3 zX8>#BW!~%Yi!7k{p*lyG)R86@sQYeFdq4IU-CY;x6M0E=sPK~QTKp_z2j6y;%f^C6 z2|ZJA2DbHntwh0Dc;ycAtFX^Pc|q(7&uWFit{;g#TjpaQZ1TsCZ6nulnm^pL6W+nv zVvn$MgTC0d#&eBrrRM^FQ=XN3^1hQsTuIAC-w_51; z9a+~D4)ERMWD|c*t&BoLE0KJ5);;v`PwzvE_ojgxc@BF;^crX}wGd7~1Bhv#t#dYP zEb;w~&~iR=Zsaa>+T_r6c>4{_+_|vQL$F zSad1bryslDl8ZjF-=s_=ds_Krfn8^$`c6B74m-mi5ZH*lEHty*FYsZEN{1D{YBI;A zvH>d?dO0`;pGo1;h&-w(xjJdb!UvfveG6TY{^e}8I#)Zz^bK!+(1!{CaVpS3h%Zy} z==nNlpU~@&PZ^u<)(G()!94CG7ew}aN&J-JyM&jV;{Kq%K5VYyXXC8$N#Y)u6Mh#p z=Z+5MyjA%_|4-*FZQmNvCdHROhyM>d!+mC&$WQeZKl%}8nG*4p&;n#8IWsMMR_w3# zBh5ka58`u!zO^Ik&sVaR6uH2V%|8kY;@EP1CiI#@;92_ZF>PoyQL8E$Dhd zwU4*x-nYZPGk8bhR)jXGSi`eTMNgz(cm=f=FY@;C!=^tqRyluT6hJGWQ}LC^1!~;Q zYTRyFeSd5La`=MQf!M+h;$`Y0k`LO>2>QYobs>whp2TGceyg0l3#A?Uzr7H@joB~H zTY-d^i#X7l{BBm?@s7t7>=bQzz{DSn-MPCtSZH7`UR6?powJi#kZD%-T>PQXlggVl zYZvFl5~dzckf-Po6FEU(+k~9CP{Gpu&Y$``x{R&I4_#_HiM^v|U`thNzvR}nDj8o8 zT}#pKiIPWJ!6zI=;$^U2f6v&m;RA8PS%&Cfmgi2r+<)Y5Z;MLu$b%#JO@Mu6SXeaP&O`)`Wc{+rH= z6%e~atuCpDiY-LJ$E#aUZO44Z5nO@pKz-epdS)8PFtLSx9qj|Tcwj(>vPtj56S&Xf4_axhkk>YU#0U`cA(dZ?9E(xkGSO1QpeKS zbUwIHsPyvqYIKxgb@cg2T&QftvJ^N$Y&?;S7wt31}Krg!6b;LTV#E07O7rYX2k zyKxrJ1B*4YH0wVvlR8P+_JnkDD_$FQuh8t+g4?pF-;GX6yjPHVA0MZ7-xV!a*lRMA z@02FrnF0RgF=qUVo5}yJ>RJ!0Eqg`Fw~$5CT}be&IF`cz1#u~kD3N-r@*rz{%4dD}M<;V8c`|2`&xKA;ReMYN z+*3mxo%!CrC^+Fq27OLwnT*GwU+~ON4Bs!w*$OW_0j~NPOJsuJ0`lVP@-6i;(ZgO7 zymcqsv|sQLdiM%vXNPJ57d80Y+(5U?4_>j~S-A$>6+Dr-l@MzVe+lT+cam6@mC&Zj zf!Jg0!EMl%%1UJH6Tqk10B)*g2YZ;kK^)&K`7X^Qk3ZjyoGU*;T$i2`lKLKdrq+cD z9>uqir^TpWXpct^$}RbZ=!o9$B9q@in;Z_e<~5wdZ@>DTyXPvYBPM(+H0!p2z2UUL z|2*Vi?&FWlE16CDx%SnDwDmdmyx)RnD14{>je0M)`U1x+qW1IH zfL%sAxqHIa>Q7+n(lb^3@30y?3Qr~OfprM|y;>p-Ih~AWRQ-pj$|E06pUycPeW;e$ zoUz2e0H;}df!Sf;bt7@*`pDW`D~i4xo7o7Sr;Cn898}{N`>q*sF3@n`FTgk}q_ql8 zk8y9AL2S+PsKlo8A6T#Ohp44sQ0oO7lfZ4P{B{He>i?b#Stsm8Tnpsl|KcnNwJDsQ z?i`UR?6d3HpU{<_9{!_~Wk~&ur+xMTp~uK3tewNT3+ywIE$Uu1xzT!nc_hb5`!s70 zJqy<26Q3IlcObh5;K>#69rD)^*F}w)qy6#bW}kb>LCy%9hJ_zvOAhvfN^&_KF?H7V za}M=8#3p4lv3?~@itY-{fTyC{d=)x?jmW%ljHi1=$A}&V{xN@D=nXI;9w@7oadj{* zjoM+2)D-DM&n)$&YG_!!iQlqsa-{~?i3yR_$G8QbVO>~6!R%Vdm<|L@jecVF6c zDQ*8_b=X%FonsUae?6k_62f|Uw?@`kisXjLNBn(xSNfEGgbun_KG^GIXTnc6DY=dMt+bV@ z4@z6`cXv7=W719oL^r0AZQ}I}6sqr)Ld^LV1U*?rc=2mp8VXBq5(A9K&lKZtoXzkTwqA^xh01GN2C47(S@ssO08%$Ks(bm# z%ou0fp-&4HFEP$Hwfcpuab@!j(m#BJyff^xZ_h%OLq_nIm`ZjM-KFB)yfAgAt=>}! zdx|-Q`cMV2sa?J-r83^)#l8*<${jOT>(C1YbwK6jlN zl=ZXzTFq-!(^}bK6W-iPg6^vB*}U%uen+G&=i9WE$dtDH!`pJqwaIxB&p!Kd<|O&S zroQjNe~rNZkUTH{V^X`b(u0eAApKxGeQwnHmaI|LLGO8?J-h!R^OFDe1Lo`48Wn9K z4m~9Ur1AV~>UooMQyacd-T0znPd=o5aK=7<;1#*=Z2BZPU+>9~>swiO|7j)rzIT9l z|EgBUeA*|^3GJi(mfNd&2BR95d4?C9(LJjpVSPe zK6nD11zmBB&su}5DKwS!mh}TKkGLDNA`+|MzA+<84o+i>dEU9|IVHDBE%&K!NP8A= zbk}gc101o}bp+*oYt!qj=YML}wVwlyrV;)oyz=!gXj^hl^((vyGQP}H#8i=6vgcfM zN&M~bQoEuRowOaEqMy4Z=e7R$y5@-VX=O^yl&P;tU-kxgNN(;#=}-E1ALx*ML;Y?2 zTxaEnz_G_WXL#*bfa^j4Z=pNYkaI8SjaRPb< zG83}b-VEB#5%~mu?q@IZzjUZZ^lbUxh}?s`P{3!--i*!_C0~Gc_@O0!XxwLowyFAc z6-_rvJ!+*7!-qVX`IV&1?a?-om))1z=NZTijKgOawcc#NgXjaAu!>p|_}-M<-HIRX zm44NRlG(lY?U&kb=Xv6niKV)_dKWNNG~9i8<0V43ovanu*c*^z{R8gO2P4cy)+b4~ z8Ovz8{W`hdM)22?C7dIjG@NFGE2qM%k?o7}erwU6@LT)p0#nZLIhkI6lEc-$Zcc!) ztNxU}QF}~u4&?t6=x%#GKJof%qx&l&r_nfd9*{PqUuj?Qip%DllJ?@2tgq-Vs=XB7 z8PZ{>)%@(pIYcg}UT~J^Gt!>4WiNg@J~;NPwwvVL!oHh?)%r05_A9^oh_U}*MPBU$(Cgl2fV_+529<<%b{op~JFfK6rZ; zGUIxWzpEP5*WD8kJn_c+Q+V>IbvyX7H+Sq}Dh4g0UBvmg32NO&)u5L4-OKJdWu-x@ zvpJ)^j(5gDbMvFv`*eSNupi<5X=lRas+Q`J^N!4MCj`2N;IinRQTJza|M<}T949ut zG0VRG>AMW%XW3^e=IVFtU$7TfEB#pH4<#omy*MR*4B?b}MN7nKl5^n5-z`1Sc=ta1 zW}71RmDQK3Yqw`a;$z+x-{Y0BWDQKa=?Hcx&SBmiWZ&rq&moVlpr2QWT^C)tgZ*#} z+7B)d-xKp%z9nM}o3EimZ;XV^`lz)Uo^v%a60tY-;yl`t_h+DsKkx13;rlp=PwtED z-?A2x(|AcD8eWP2t?Wp|o>vWB&8bMy3H#v2yGGLq`|1bpVtoIHbV56eGm$MZa8<^Z z)N!%*O%h(Y%d<7y3*EezoMJ0DhqLKwIm3v34H;$8KD+4Y{g#}^m{$+aEcvzt9T9(< z#Qw;Ba&M^TOy789dd5YaaEaj+e^;o$Ym+?AntT0^NAJf>aPm*F+0c-D>?Y~h73c%L zatazR@`r9!E`Yz7XN!D=JU3qWs_?`Rd1s7Qk+-^XJQ*!P9xU(K@yHr}?4#%$BcJu; zi=1~yw0)nMtJ+>=D*axy`yjHw^&dv%ESh`JEQ=TB>G7=UsH%mU)ORF*w93h)WR{#` zBk+4}(Ouwo!|-_l!;v&^Ztk7#_`nMzpV|GaCF>#fyhCkA(WM>~xdOSS7g+{=e`1oA zDSW=lh5x{VkyBcG&}-p6(~vI@P3BesXYuy<$Bn#LFAHIcsI*KzmHCZ^F{{)co7L!d{O}vm zDXGckH`8HD0eqc5%-Lx>9E5jodHA`$pnq?iaur@@$l53k`76qGJUnLIc4a za-T%8OQyzH^f}~~qG9;^mXfWB%LvUZ#nyE%_Hk_b(>{&N4G;HAYzVSLZ)SwH4JV^3 z$6AN{u$q0UMc{F@*r(7d#Q!dFc17A3?2_~=#Q&ypqn~jr+n@=}9b>ArLBHDdYj_4A|n_jPdaJYz`C z-@Q>_P`E%Z!B2xcz6+e6hzOIwe~dLlcC3?=%j z@D^%*P8-dO*q6w+vc{~F!o%Ei!Nb>w+RFJQa6y(v?h$(nGRYab#g2ByHZ{h)Umt}p z6Oc>i2!0N=*Y;uzo7j*Zbwc>vklr*){-Z~w^rqSJA3bW$iHy!=)#=JMeM#Ud(XWAv z-@akfOrdF3rg?+dV|Z`SS7P1Kn{YDb!aw+kPAztkLp2?@9@_mjZF)G7C;Tdhv&Z0V z-n{|Nj6bjJIhPSTaiBLUbaHgNjKS|@;pe;K8fayz-KlTfdT3Gm$6a?>b34*9+uav9 z1pQUD%H4fC3r5RW?sX65pGkj5(~?oO%I7xR#XfBimq8m}8I5h2- z?17YQgq{VSxxZ_DLGay4t5h;1>-rmTCy(wzqNk@zn>D=cyQU>1U&q)>5YD1&)JCXh^1`c#ONHVs*UZO3=Qz; zRQR(`57MeQvSnu^_cq4yoZ4&9803j3lwIV|rf0eSyf@b7e+5o0BgfEi6YDcf4#@e9 zleLWZiz`OR^Jz(W{;CI>taORV-o0}WZ*v82tM-h5+v5tqcaOg2!5XLXV9L z;Q4b(ea5UtJsuRkulu3P@cpRI?%w_jXFYVZE9WUg`7!nk*z*#aec)Z}6Vx+Gbe~36 z^0VL2-E^Nk!~Fu*5xXRFOW;#y4S|(UYK^Pw2{V$srn{GQjZ4{Um5r7f=_$GaZRl0| ze{f&dY~@-~-%jzthD(vF4-Kbl9`CK{7ypzs%s1souCXBWC*_~|IQ8yp+jbbC#*g$- ziEk*J{wv*n=+xI^3$V>0d%6`px#G8CzFyt(Lpl3~)~W61%4cShJBe{w&3U#L#~kb8;V6IRbY@ zZ->!vu@O7#_zbPbTGpd#WSb42^4gsGp0p`AmTFgYZ}#PVGCo6a3EYTx)a&lmhy0uy zyaTy}c+F+OK^%F+TrnIs*t-Y4eY_BwGxeb)zF`+w5sa_v5FFFpOS<}$PPT5cHuBxJ z11aAKxZj=96C4vcarrE53pzt6|1f7C=LUtxSecFE?LXB=fpd=dRK=ef!Z&IR^P8ey z zJ&!qM3jUBQh1}vNh$)C>lA|k4&R4L8{4XWnKRQERqaWWL{~I$yc{();_{=$xR&Vxw z8CfNbJ(SkDtR!U2)H94Z(Tv!f(hTEMjYIy_nR+Jg1VXcTe_A8?7x1g*kwbRQVSKBH z;YFi-t3}n{ivU=+g;e z=1wrS#*EO+8}S2wTIM~{|65d49Ak_Ib{H$GZvY=~cD-ptBqnUIEnpPNdz3jplZjme zdvFx{F|eD(XSTpBLcS%=Ym{hT7ayz_JD5RC8UFkHXeP8W(*S0jB@xag>Y4QAS8J1Z zIL(l?lC_!nY4Y64|5C!u zr5Q#+BXb1bO5R3(FAXT4z*>AZW1unXnM+xYI@9Im_8n&pOvm8*-RW9kdjFRP=b1N_ zwJg;bYk+Z++e8PiZv$Sp5s&y)eCJ;cdhk3EP%ssI8UfGqGCPZMm&88V7&JZ^H4WCz zxS-KQKMc~R&yLh)#6rYf<^cr<<}R>P{YW3F^*W>7J@Zr>XA|2ebf8aYRhIaH z{K#L1QvqG5cuk!NbeQ*+s{bXn3O_^Tx1-{(hxe6-@}ovb_9-PsPfl)UrnVKhe0 zUH?*OvG5C_#j?k5-BXO8?{CXZ_R^N^Bj{`1o@ zLK<^M(5r6}6Ex3w=cD=JFdp==_~N2J(>^f(QN#F@?u!Xcn4>FyioikEMb;+-&15|` z2@XReH0Ipk5T{_DjV+0_4rNN5#BK0G{6gY`s%VddN{FK{TgI@KKA!LS+q6{sH;+5&(3NMf^E?V4E`>iwRX#y} zW7qE>=3|pSf_LsIena|^vs2*lDew-SyDTX3oaipBZ+T4QJ(2aq*0*QsUs$)-N5t=Z z44fKCf5`h>ROAyJ8;xJk^|yrQ;ERn4-;tVqx9+L=jmlM%fsKH%Iru-ZN4}OCtHhSb zSVzpOtLjy&Qpxglz(9}v9C;Ogvb|6C4|R00`LS2w0WzmWAF7-*d8fh66fV~|B8xlA zO}|xXQe&x4#&K^bDKoKFj5CitAb8yNhgjWwsf}|wH1kI6Powf5$+?wO{ak8HggCox zZJGg1VSSg19h$sB7qA9$4udln*l);tLj3TP_>s3F3tu-|;`cb0iQgUHAvq;EQ~waU z6m|#6xhXcC+&zA=$GhXM;T#~au45^HU@=vKeG{gpy3!lEb+Il;4b90z`ufdpA%wJbcS7@5x&)^w? zcZqu)%Y4U%3U1>wb{z8^hpaFzly{y5e;Nyn#&T^e*T#nO9vvH+^9-?Zd!Z|yJ<1Wd z5TC4SvfpFgU~Kw>S3_|Ee#Tbn>b@BC)z2Vid)rrn@#P78%%(1R!sPs!Ws<`$(XNFy zaxRMNq0MiSg9HC+qEaIlUPR8U*jeV6oJ1Y=%v$u9jz~Q*w8UKOEBqSYet0E#QFtxC z&9!e^>qMrZRw{ZEG8J-}4&UJ;aiS7SOWZ8+i0JUE-*MJre_1FtRI|VmU!Gayv+wD+ zWJDZoR$0_eE1NF+5xgW<*jnAoRXP#7&yT^4!}J3W5uXt`fb2azKJuuL6BSv6byj(C zyNX{$ug#PG4D2h(7+dh}u*8H+29P6GATI!ebpg)L@Ebc}sP=8(icGp0`H48t(6mOsC3d?=^Iet+-&qSTLJ!Fa zLGF(n?m z4*vGK_?jo8_9aE%jGNS9t6fX`KVuDtjf=B7?mcG4@b){qihslUsdkAo(X8)Xj%;BF zOtg6Brl>n_MW(@-6#ME8y2=yF8i&nFktx6m4dH+?d)1oz^}31F+YujGwzU@BU*Q??=j73~_dS7)OJbg$F;rf8;v5;nD}(!I zMb#c+6c-D;ga!yLa3AS-A-3sF&3(~)AyxnokS_3PkQ}duwnWIgcNn`mKe%UhM-p>rr+fD}M7&bnDdVgk2rH&VD#!E;V59vQ8sw`t=;wKSt@T zYCeAabX_&?$DtMO_1; z4dV0U*}Kip$g}FXpTG~VKOL3)yY6-7v2bCn9?uq^=kbUoKF=|S!FTeYjj4^wI>?@L zs}FQL*y-Ku)^>-Ob#jbD=ky$hSR-rY4>jvwzsPgQdGFRGV~vgw@57vf;JEk@B<3ga z338p81rGKUJ3Emtu|`{Ry8H1TBZN7P!1pP776oh#a?X;xe-V-`3XTN(5Eg_^7R zrwN~SSM`pwD##B5?@=)iPgk9wmes}KG3Ala%xB0)D?Dqe*uvENA~y{BN`V!zfN3fE z#B@VP zBK28bH#f(n1{}YwZ(lBO+w8q}F2CCzl|3N;-3QECV9vTKnX}e=Mm=96v2F6-yI1pw z{C0wq$=}tSFFZi>c!8yR%jt*Jy^XWTg}0dch4^AP^QxYKKe*pLjXu@(P!jiigYlD$ z75VL3g1g{=U*Tf4Io|qSW}fRepo5Lcc*){*N&K25_$7Gf=C&S)6_j$07d%^e8orZ^ zE&JDh_F~Hvu1RcJ64z3Artqm!;S*zeA(rAROP_uWe9_&O=O#MD+E_W_gDn9^XDEIv z`C=u$!G8UPfQq+)-iK;0K#q7VN!R!K-Rs)=@Lf+BNz-!4ENu(F5qdwI7r9pz zJt%Xs_gwQ|oWD%^l}F8KO$IfNmyVj#xkGb0@RvUJC9!|-l|eaU_siH{A5wFYc4pT)sAv_bq6i?eXGrV2drXt8Kmb`7G$7z=i)kqx$<_*B7`C9Ck)N zH?;$w+T7vK!V3-H2A{6lMO;O-j8Vph?&XV(;??L1#4|P;=o5Y=v(G%?m-ZD52W1BM zI0+9mZo# z&><^jeR9axFSL|3qAzGxx5ybvKJgjZj7P~Q_Vp{qD7l5$9it%Xx35}xqcvt2-USMj z{3ZFxowb?79sV$on!ja=@0Iy)-j>9b!@%I(KIGO>xR>)Y2PbxZ@ z7^C<(avgC@WBkyS&kJu*u{cS7E_{OLPkDQO`2N}5YM11keFkm|U&)LH*k3O*?rFov zJ+yCf?q~e3pRsR}dmCH+F2)lHVFL;k5NALvi<6F=g&tviveBc*#5IqMF97!hf9Gl! z+u0d&MVFNv4k^E$1zqv(M^+BT7i41l6qwCIsx|vi zA?KiUeAADL?kYO0FT6fQ+j9;J{yM>Og~O7E75`n6l5u{{9+Y@$4}Kj6|K)m0?g6H+ zvd)UHf-6s{c&0M*Dfo4Sb$cpC%+-i^tFA?xrH$0u(9dB-%hcKfbG7zWFC^(l>7dOV zSpl9W@lJnRX1tv^Y|f;LoJc%2bHav}z1O!T`>@aY_5~U;Ffygc9J>z;$|T9Q-3?~1 zk(BwI-~!PDGmKBB#=4hxipg7uueBkkQO=$Y$wxVVG>{R<-FdeANY0aL|0ii^Wn_j|l?5IuG}&wuyZ1(ax$(7V{Rlhc)h!eqvA1x3a|v z-{h=0Yb&~+$eF~A^r&;|k`p0_E?)s}D`!rJY7WH6zfNt%pz-IU8ub=+IKIXTYaH z7&syimP50b?Z)rF!zcJFaj>!JJ5>IQjuO`KiU9RvcZl65jk82SY{CZiGGOEP+Z891 z?-#!rnn`}w>GQy2nYXk#^;l?{-`}~cW^ZVM{HHE9>oACGqsGGXf0A*#6=pZM+#`Kj z>DXxKmunx;uNo8Wy#ZZIDEW*%%(KUVO`l~nVk@P_P0(PU0jHUV$wP2L@(|$5l)ZQ( zaX`fnGX}}&;a4^&FF#73oi6)=nla!{lCJT;FE+7>d~q{$J7W5b84H5-1)9qJA>S(h z;DjFbW_yrzev7qI^)QUBjgw_vQ+_&|F*1GwnaBTe+e^{_RlkCEu^YA>K}JxtAyhzq ztYvMF8GOg4moo0QUY;32Pi0Rl{dzbp%`4vgkL+vl%@C9K8R0$jcRD0Kl|f(5SYjaW z9m-9n@ai`7no?EYB9!;2@;j)U!uU3X7T7_R7lxet=zNJ8?0e*tEE7BUJoe`fy)aWN zaU>^(d@j#y5Zn^KyX{LyPU7tQ_b=egd#0xL{?gi+u^DT$_-b;yr9U1Cm)9Y$KOuRE z^HS}l-gn2P_r)HE#y&m|Tq^^9HRJ>q`hvV(R5L)V-sc4mWIQr1sS#p{&u_e$ADdmK z8Polm8r$V>4_G2otMQ#8mw0-%MNJXrkZ-NW_wn@yKgIt`@dKpA&L{7i&;|1xd zJGfYPUhUDtW1fq|SHDUw*mEM}gTdCyyn5b5zYyF;Hjo&yGINe+D`9-qHUA@Vp!f#o zs`i4!acd3#?9shMeX15pxco^yUio;GoNVaWTjBi|qDP6Y-i_V`9C=UTZ+Z7?2762G zL2~HEvo=M^cf`8MdpyhBjDj^R`uWH zJMR(xkfI~vgH${>fcQ~VQd?bvj?#G5kO?G0=feG&ucj%`kOdUEl zaQXKSp|=7vZx6(qdZ14u;X>X_Uxx+`!7JURwLRhV&qa|BT#1jjzt5Rl$(gLyMerc< z{|SvXpvB~miH0Q?3b+!=JAywQTXk}t%x^39xA(lZsFw#$2|h8t*M^>1v>DsgG3ZjK zvKJ{li;#bd_6yi&t260iWi%YbR-yXXA$#zM+J9Q)a=~xrzAvWuC^)|?=eWSrQngav zVoxLz%;PQjFV{o0Z}EQdn;x8N-Vj;{-BEJonX*mJpZ^gWsM;PT+t^w7UzNM)2}-6$Zd7tWN*@s0;VAmA=!M92qvh$ms^9-3^Eb9Mj$N*iHye4*_L1vq zRnRXn6H@2Nm`_egYNsoCh4F|SAbF-#ZlF=mFkU6w%G}iR-W>a_z@cOwtV8UXw$t(E z$5`(|@^$YNInm35)!&TW{37rHI!JCTtB0J{X0YG>P9n{|vzeGYk@=t_KSkH7T!?J3 zKynU~W3U8kSTe8dt%$>Yq8Dv@PARR8Nb-6#hwc2=x(~Pr5wF&C;Sm# z^R@uIa|!f0f$zElxvUj=zL{8w<;aL8v{>>cF7!F&^L_3uiC*^03A^Vp;#q*pcTaL2 zlkbdRcC5*(g)6>)$pPa&a8l*!V$G>z18kU|BlS$Og2d$FyCyH=8F|qj@M>42a*&Zr zA;U=v4mcmAkvlw152u}lolaoPoC=`5rl~7??oV5ZHCs3kdP2S{2SQKEck@8#sn&jX z@&|p^$|hjVyFVabTej&}ZJGLXnb zA_onRa~UQJNnSMjZfYMR{|H^?JoFC7|4U%GOtQ%@X zERz~si4OJ-&)waD|KUYo9`V`j&2ues{>Gr|_IH}`Wy=2WLl3~|f`#a{;Ma^wblVQ( z4Uw_L7LaqV*GJp4=ncd|Ysf|Tp?dRAsMw?050%_8oJapP{ybWakIi_A{?;MiFuq^} zJu9L5w$j%jYuu^ZN1BkO=IX-dr2eP<-cHu+9o|6}M86Idyn{~OJXd5Q$IQY`R>oX9 z)P0q20^dStv-~fE)+0|buUOq>TG)Ijf{z%uA}7AnPAm>JbvE}R$6TJQb95>R*P6#H zWdkgJPoBjWd2IB$Kr=ev7orn?WS(5(0_;Xf`9$Hgt{b;j=R6qi*rfW5ms8(pIr`M* zqh9~z<*IM5-qI;#+^HYZM%dn(W0|^N?KSo? zch=#=?%?R zdxht+I3rv}ZdcX6(Az(TW~y~yFQc0)dzAbyllFc)Y&;tn-y0v*zMa;>oKkbBIrumF zdOa+;MGb5V@L@aG%&o5^w`gS|p=x_>}3$CUTI0bL6@_ zXDkSi2Nk-DJ|*%9^|;Z=g8kt@i@Hz0T%V79q(#Y+s_j5I*UE{J9DZ#l*LI@swy3&J z-g5!QjGb{x%Lgf0P3!=Q=aF}O1kV#5nBsfGc%H-l!Dc*OY)aH~L3ZVPD>Mlnh|g?{ z=#YbYGCH4<`$bRQAo9sR&Dn>Zobg;FUM9cCN5bYp^kn!=&k<}%$PFnyxdJ&wWN@)P zl~Bt~^knK1srJbE*x9w{wKMeOz!iFU&Ae!6)&};(JmfBLI&UlOvS*0xws=o+m+&q% z6wzO%qnp-YXW)!anDs+KPVZrzXhb)N%Tm>^bPlYH~lMrT4pcJ=m3M zlf43brOm2786&!1j~b&~D=My0JTUK+vayR!lhPwYTk$t+CiY!xP~Wm1!+GT2(qmaK zFQ(PA-Mow4#*00bnA6d5sS>j)v8fWXnu<*&E)`pZ; zEq#7Nd_yWeRQSG^e^uV&{%NBi%06VwJa3V6oEqeO!&i`3B_>Ipar^tIogM6V?<78S zO>kT&xL3u8TEt^XOqPldl{#iWIv?GM^DkMmHRrCcU=u5a_7Gq2KsK~Es>R1tM(xX< z{x3t~Lh&~_LFP$~11pe>4bA&G`v70rw4VcGiNSn^d&Ejap387@3b==_y@EAdkB%fY zE`scptQ=wlk};xre+2jQc>j+>@5@=;OX@R*-hRwu%ZlD+2SC_%NvY1<`tPy>(M#M=8E&yM@ z;03Z0`BqE)?jz>n*pe6F*`^=c#C7a}WPGR6vHvgPJB4249371BBzCj2c2OI=P|*?x zzo(qX=`7g|--2#}7ZUU4J}_pAtT+7ZpnO~3Oqcl1zX*Q1<1TDX#wxz5e1`#SiE7Um zKZ*UMTjm2DXo=0h9!_1R5ixy!KWFWT>AUBH0;>Z2W1m~d7VJ|Uy4D?=*{Hd}z@_o5 z@<^zF*go(DI+||Kmb4?dG#J}ga9G9mrErV!9dK5rlh+aYd5@W``cLI^-L{apO%pr? z{=|By92Pr*xf1*6VB@h@y+Xc$b;O^3n7}uGyE{1xys4({;slQOa zu}!*bwoenfrtH=Db=-IQo>ccH=3}#|q|W8p)M5KT(LSMz?t6X2MHc_eYwIi0F6YOu#$!G%Ya{=yz9=*`3C4T2N+4)0Rqfp+kqxX2iEmt>;u|IAFqHQb zXy{*(biC0oJkdS)Cvw5)eornJS!DMc#Do32YM1wg&I-Q~IwgI$FD^LctP?2IJzdo5P6|At+EKafhK-wc-50mx4`+<<$1}$WPxScGXGE3} z+4-;WE{8Zq_p160BWZcnZg+BEqrl1`_8Q!{Z`A!iV!uV-DZXEDg6|h(oc6)(nHI4> zP7Z4~M$RuBHZ0|v*=tB0I%^GZMklq(WL=@L&{4InesbF$s6f6liP4pHU8!t`9*-4x zSF*0VlkkBbDSoqPC-IY)sdeT3!F8=y;)ijy1{e;8_Opm?3jS-u*T4sb#4#z{ga-(!a+K z7rj3mek0%A{XFrvC4!Uk{_uAtZb+@M;;Fkwwn6!4>WciQ-Q;{H;w5L_ ztH)+W$^F5)6EitPV|Sl4pzRKM2vywdW})#p&nsBXMg|Z*s9><@1K>{$Takk}7t>6< zNtyWq^gqJ$IQn+Ht z`me!1Jvi1z-fKhG$Cgz+^%vrc8XHwo= zHzaS;x5OJuTqdzGBj5FKv1+&Y^^gxJ4O^|bZx#L#*kN-V_Ux0$@d|Huzcknf*M{Ne z??yil&i-NO8t~?M`oInfOsWMYRTaZw-+8E}jcawnpN4Q`cZ0|4a(*kZj-o5Fp7`B} zfh4|=-;YTj>iiPEIs0mO4KabT9-?!I9Lf5uPT4?)-rM~sHVhSC6Pk4|xObjc512Yi z;-_%1C5i8KFt!YPlYwto?2pb$^qG8YM-#B8wP16*Th;`d=RNoiHx}s5hut|&cXy6` zLoiM3uM&3<=Ulmcu$6quW$ZcVecldacXBAuPDm?+N6gd3-e9LCvOJp-zp;fla*p<% z?hJHN9UH!mO-9uXO~ys48ckwX^W-kBk0WNhST;1Q{#4^=xCye&HMDBpAYh;dEcDPNaQ;xl9& z?I1O#l5mOTBO4Rfq->1nc1|Fw;yC0vcgo4WP_18JnI_LCYm-UMxuJK48 z0RGjk9Q>^43OkX%lJ)20oxGF$?@Ic%2hWEOSb=5WJ@KN%n#%K?+U^g43%+xW+&1hx z?g=cZ<#sAGXX|X@X2gd}d&~>Ft~>c8K67OUL>@04jF*(Ypb7o>DLyH9DbEP3krO0V ztY7UR;6|LVtPMUm>_2bSs~FALblxe$mNY&e-K|{NJO%$#Fc?1f)HU&6${f`_`cAEF zsDM~C{IZ@cg|&Ue?2SFPPR3LW8w+veJ3lG9E_Bi_HCx4wW?*w8 zz6!Z5m+w~MXu+$rR=3#xn#H@BlbZmY6|-6=NU7kJNL-BaWBra(~#I zXp?=Dj9EzCSMP{zCV4&eya#)7ssdNLz22LL!dK=A%oVJu2QXqz88Ro?BQhuE_R(`< z4$3mP#H+S_L+{me(Z>kiyP^lmHZO7#cqjD`X}nZO1H?TWFKRFdnWR` zowx{7cUYr%<-}9Mi(k}AE!2y&LUL?`_itydnhRtNBp;T- zL9-rQ0tY7?C3jP<<{s}k9)50@X3dv;G*deT9<0Ca9%?5ZPvB8=D*P|t?Z)l+I>D1` zz{x56Zt0+Y_BLO96SydNd`kkGli|T-39wqcZ4h6>Rn0yN{ldPhgY{faEDrO!WjQs* zJ9I0XxDu{~&5mgN_BtPRf4%oAI;gqo!8zX11D^#S`kSH8%lQr79^?Ix>Yt~#m$=WCv>sw z<6L9^7|r;hn)Ueo-_XK0?9}6D-wdo@(Bc!np;;}!dF3vjbwB&*8s^x-_?Gft@abme zlNK=SUT}HsUCpoM81rj7bAD$x zr~m)$oeOjoRhp=)PMt@Hm@pJ1fDA;!BSS0D782}kn{%3{POG#n1Z_vaA_*u0-MqAy zw+V(kd^FM)5W2?}w1r@6+d8Ej#_=jqAlRdbLLPRHJPah@*npB3ujGFJt~wCVtF!K1 zYv$frWUW8DYFC~8`1im6*Z%h|Vzy#t4gK)@V$v74`B1>EI;7}9z6s5@eFOHXO_Y)7 zk9X}BZDO2xFVP=bjW z1?OfJd>PtQV=(6p<`;Cyx8lvo(3DTF>YLRihiIRSVWNHBwVcBxbH(hFqMLW|o5b8G z-WG5VZP)En+f>Y&UEdvid)Mssk@g*{`?TtCw#b$<^+7X5WDE3+Y>Bg9$l6^`{MwFz zZs|;Lnsgz}^r2tcrbHPb+?tXtb_)qY}2ion>D9ssxy98nw+)%pgK>wyy4Cdo=cyFkJqi?6u@6Le zA+)~ysO~6Uc$D9Rf8mAXxkYb8rM@`x-aRb-f98EFDSXwbrM$(>%+prl7h40&^?+Mi zODfNytzvAn#%7H?J?_tOvo;LP!D~bgG2h*!9=cUh4=-R`o&p{`1dphUihPG(!LtuX zheyE$>i3g%Q=eBK{)6}xWyz`81Yxv}(jE9=i%vHmA z?=QM_s9cK&DPzVe&FTAHqMMT2p=G} zSTf(szHFF0J1+0Y9&qO}@h=i5uI}Zz(n*iH$7bm4=T5R8I@i8$i+<^p_-(wIHimcU z&f~PPxH)RAYSi7ye3Rth2F>kznt8KpixpCQgjj0UH-_!Hn%~pTzGuuL+S%=S{NG9* zoKu0F|J z?1r3eWM4;5&6-iKnX8s*PVM8mdw3(V8U3yHIpz@j33+Gc1a;GSTyq=AONBoarx?z! zgXk*RI=U5fo31;lt;k~d{36CS^)RwHUAH38_+8}zvm3v^jrSLG9ZrFF?DTb=gUBvq z4ey%BTJQG}u8(@Z4@P3n+EhI|iFtYKedK*>yIGy8TP^SF=2T?wFW%N&<7mJQZa1vR zv49(>H>_}(X4dd5Qpvu7GGeL%@K@>xPuAiAWbi{@Ak%X+;T7(QA0ylD*X)^F@yVnT zi?lUpe-R0Z9$>`*=|k`!&)VDV`cicD(t!O*e!$M#s@Z*t;ib&~4(~e4jf_7N;Uh+6=*sHKPPPG~$EoMw|n6&Flsq+0Rle z<#khKSULQLHVc1~-#d|r@H2&5YX)um#U9@4Ml74?^78vVT%+SkndpdC9{eaBepUm1 zbGT1B7O;YQVpeK?y~)m@P{vD-tkU+M($vev(S?af{}TYS!Il&@FYDWeYfW zg>UagH1^E4w7ZRs{5}+guPm{nEy>QlQM?0Q@>`I-@TIcxdUlvO(Ve(Bf4`mELb;Q+ z!DGwe*OZ-493X3jUmKqkif9h94_@r;vnk>%{D|Ts|LRB#maIpm25B#Qg(AbSkDEC= z9y>Wj(hOp#nvbiw%5Q{kB0rmD?H;~#HXcmkx8#d&N6l?`0Q$8RYNB5ShW*3xWK(SQ zG38;_+hcCoE9e-1)ZA5X!dH9i%TkujO2fD?Hg&bE9sq{F9Px=51Rw z6WPZ14bICpttri^`3QM9T(b_As4}b>lo9H_#VNba>(6RrTYWvag$Zy8>RrdUbFn%uNzQ~@=9p&4Wn@r@JBl7KCbfF0HtrN1NqyhQX zUw3mFRs65jvp;81B0Da7K8jpAaco%h#tYKaNJd_CeFgUuz}uS<%_rv#!+hPy}5~)`FR%%p2(8-_3+vWBzdesdq2WMXvQd zlAQ`qiV!0*xsz`0j_B3{M>MyEd61NeU3N0yKHdy}Yt_Z>79Gu6p2zw5tvYs*?tDmI z>tW5hA09UqU-lZ|tq0NNNK1;*@g5FXorW52$`QWDNM5IpLRE6$h@9BYed!;mQfDZ5A}oZIsxWWz)h{gCTrEqTCpK# zBCA?8_wjw`PUxYO^vp^aoqfSgH8G=$%%u1ev#%-y`#uEmQK&4VJOLZW;cV z-O#3IYr4(wLv&F2y_Iqo?M08hZU}v-rhfy$0sMQU@OaQSnSb2Lk=-j z;Vx!PI_rh$d=CoVg3cj)%Dk-`b3}OkF7}~z;8P*t_2rtG;8Q{Rp!k%>>+vg~_hCmf zPEK(ss(Af`nluyM<)rWN%O1`=9>-kW%D5a~VlA$rzOAZFW?HlCQw~`9Tg7kswR;vk z`zCUZ&r5u*xhzO~pwSX|dpLu()}%P_Y5cpKnT#JKt>z1%QAO8UL6V$v2hTez`XKbm z{yE|0RkXXnsQY30nU1{NN{63TmosM!RX$jejIjr}P27(V8vtNO-l#O^=tW zIhe?!Z$}dSmHrE_$KT4{G2*8GIF`L+MSR-y%3fU)uvZ>7?5-yayUSexyH72AeN%u~0^~kr=5E7Z8Pa7xAa#3t>y#{; z+Fm%6c4c|(G6tYGY}ls7>5K9Op>vo{n7JO-)t zRBoWIe&<=c&t9YMlcckDw;p=k(JxNd&FSG?e>&CQ+v({ejPq&M=K1~FlXaivvd3>K z`wsV>R^@4xjeMV$^I#MWHT~z|5Sj4b6o=4KBkN$vh0h8NhOmvtvL5<0>zmA%vLHTy zD=#C4%wyS*$nS2)^v)_;SE&^v%4<4sw7ZX!+)zV}$hq(h^mo?eUfxil~#>009 zrt=MipLXJTC+grE7floZCk4CO z;jR5e<_N#xELm(k-EblmhOB=TZm2{zXFnvqCZ`(tl3&AqfClz*G_&5P8`;}fA4WfN z%CaT49-9PSCwtDjchVKl$U&}Sj|$(&9C&3UGlOqb^!rhS{>im`ACGwbSSWMg(?Q`C z=Itj%kLY6;Gz`m5#(ueHEc-rUA-<;-G$R#3iJ>&u=ZQbN-B~??d0dU3iM46=wbngx zsLkN}!-+OYn=>;G1UX|sGofX5t{m~!j>PWE`CaMgd)xanPaE*Nd_X??S;PDB^E3}u zK3aSkPH{N1&{ zwaTAB96$1`NYyupJYuwnpCR^v`Ui3^XM?nuVd^ceM7JZp9@*xGD&gVi*rL;dx6FQV zt**McP3n{RFZe9Xf*+;CH4C4ay9R%FUK(qxJHcC}ywk+FB-kK2c6vQHjVN5zt*&Xy z-pcqZ8~52L@9l^0!T*qfq9fpcse3ZA*HnI%zWYSZm`YEby4P*E9lVbR%7uS+6@GUt zV`8rha99uqKj&~5{7M|AU4X;jIUKI5I^*FmnrtUaY#V!WGA4HO@VM`!{RnwQlGnxb z@VFK{qT^{#*UqeY`z1X5S_{T#a?Ls(|{E| z8n8;=H{2qg;XAZj=fj9zI4EYg&70r} z@ByiZe7EGa0D6=#==?TUtkXrPv>=ca@^M7cas7DAg@ube$S>{m$@B z>NAVaNX${dZP~$@UrP;Z{@V(V<{s>h<{j8G+re8t=kskfoR7&X{1f{B??~_C+nbLB z>;+tReo}Yt#GZKUF|XW*zpI(RS)@xxe!ov;B6 z@gc(lr-v=$@393a<2$2uu>stNKV+RK8Qti4&E52oW`#C_^ONXAv4BE>5*}|Rq{!|sbzeN=dvaYuP8l?tuz&! z){?H3_$4C_9hpV&D)2;Kv7ex^%g-wN&e?|@cJevm_)al4)cMGFz$>(~{C&feav%AV z?j}#cr%(FWziIKyD68ajyIGs8yPelSKc6c5QqfNF8)_ZEO8LkztAv(ap&i=F`bgvf z+El4qk;V9)pu5N+RTicB?@h&*p3|)QqA9d?ht|PRaGqKe;cP?lAenNY|_a-3uLG0*1 zYgRU8Ui$+2HqS@wWlr6ryRZG6wt%Bgd0x7c^}su*k9nWG$1o>Re#zU6+jxZ!x3mzt z9nZYjtc$ERCq+2>`&7HjnGM#$9PH>Ro}V#!mZB>w3H%oQlk%auMUyB4dDH23%HZ8j zH|TN7a45rk

)E1l@XgfbK3FuRE*2Z^;q(7wO~2408(Yex%WGmkkFF>TYliw(~*S zeTQ!TB?zAW-fK@P<+PH&xPf26eB;pA2zXL)F>5#5HS6_a-P*+3(_lSf6%1ifat_;aSYh zFAVpg5zMQ*)jV~Z@e_z|Vgc(zAHrvrt;SdX32}cq@rpX*yh?Ll0cOdW3^91uTReY) z`)r-EbceW?%&T5TfBih-He~DQL1A>f2xoYp-$4VB@$l>s_`a+0$)Vp3;am?-za#c= zX;|60=ooxsjeU|80P(>e1f4YOvVRL_IAtwTHu_^l$kQFiYhKxD;Jw+? z9nY0r5mNq;9P~rVp&uco6H~51Ja&9MHn8YukrvIKc)X81cNQ&X-6&g3 zSbCY6-s0H->7SFoR4b&9h1enn-)fU{UXkS?cyuzc?R@u4?w5uN2gi~*CnA~iWVmN6 zzE#o55L;v*_gBR_8CUC_3a_q6GSQQrw2ReJaxTK*Jq;dWbzFx=#}$qb^Hfg`ehEh5l=l3|dj=2cK$|j$GY9I@-W|~XslxIiaB*eG zc#g5zh;K^#0E2%eG|KnCLg3}F1lqNmJf zny@k66#E%JF}iS{J}SOM>ST_|w=D4+FfTK>?$5QH+dKGa&L08>()PX3&0THKj?P+n z1@WL^&DgNL-6;m79rD2%)OD>D-$6ip8sf)Lz60zFd&SOxfqrQWL=VNrHU?Cv zRMtM(d#jl@_km9L#OnGCNwx2oHpUnl(~TiZMF(PSJSEu}5aYK?*=to1aEV`Z4^`>z zA>x>x0pzu{I4sC`G(O>lV5@e>_zj_FN`XTyC zUSvCZYlGtDZoE?A%`NSNUIiaj_`!D)gf_J;*9a}h*w+P55St4R*%R*t9T3|A^aDpi z!&<@M#CvvHW7@@S1V@JQtp_7Pv!w<54*8N&BXi2|=TzXLImI(<4{uHd{5gfbqUMzB z?=aMyx-AmOrhVA$%zxIKyB*Z#cF_i9M_8ZdUi>i74sE3VaE0#&`r7$r#APhi96Jzj zBu1xKql}{=eUNcyTvRH&i}MgD9~!y}Tnq-Xbk?=!D?&^pZw%;FTGvxwOpevIvv_>K5I;6wO%^q|E0 z6>bQ(v2M(I*PBsyb+c|#m+(|CJ{SHTs*0J$X!!dE<`;cJ_E<$5;13n}>)`L<>X=)# z3E#}CZB9t|`xc{c;M?tmne?gaK-MKTX|8hu+i(i{)FSZtp=vvP3Es~0aIMGJ=}%pc zO~Tg$9$#;!%+J|prK@@AhT_mab0hpdzd>)wdO6@;Td&K!6TMR6QPFd-8+KwBQ|GI3 z;b}s90e2Jgr=C2q+t7oB2Gp3t=lK@Wu8ZkU(LXc_--2#ibfL$>4H5W?M~hB%2OUn% zeS4w_zEVLPM7pA1H+w&_6Z(V4%bZTkwYqMNe}ac!K!5mL-a&6u{6qMT9W=76<;$aX zuV8XvR!ldt;4^#&*Q&z?vQGf`@A??3T+)%B9TL)SP90)`gF@BGV)G zo%!fAI`06Sbt1Y28Wo0}mekFf$vV=^9l&AuRxsJ_o%E%# zhu{1oai+}C^#F45$&hL%?GyQqT&$3Nk!xIN){}+%R2d=*-A#vd$+H@;9gCUU%ze*} zMAypWI`pFGph`}PEOgShGbY04D};8PII>0AGpwyio|2Qt`#xd!`Eol=;)Nx(Dca6lPRNmn0e@%RBC$q*?jh?INVj?H^>}48so^SbQZWMf(N3CtM@0vEaQ23G10Q|^anbNrs|Br#* zR4DpVJ>!tK2|#D+9rkgCUa0h*1B~zE$HkY5J{6{~QMb4@W{qULU3~tf;g}5V z*ZBnNiSVpHSE9EvUKi*=(e8=&?B0!E)#hX1=gTtt-TYG~=h2&!CPz(!u@qjl8ry6k z@-q$F%$M1c?-s3(y34n-z6%d4VJwu)u5PcZZeqVEe4?lvxi2z%U07oEMP|!-jqnn+ zcEWEg+x2921esmJno4=(yv!E)6duMqCzuELlT)a(ctg|-k7FL}l{K}X#166VK<2#Y z9LUG=s5Kd0v2MH48x(IEv>lm^{{O@f&8>-_m)Q-AdG8C&S>DIvO{-~}FSDgTb!(I@ zhRmLZ-oP9anT?JOZ$fWviCV>*8AHbTRs28U>gf4#?(UDX=-W%tEriFu7_~-TINlE9 zE%fEqhtYKp5Lb_$LM*u&_rb_M#$0IF*Dv>EM})7R*CoN{6a$}gVHR{s|LuTrl~ss* z?HcS{*bkmI6nbVaw^jcI{A2_4%lcZEuqs#4)K$v24c>&*t3VR1&Uf@ZhSMe5Q^EN|CRQQnb0_T6m;Ay{FnYb z4IM+vgQts)gI+3iP2HW%T$TRRCDpM%06Lyf*~c`9dDFCD;@Nq5%N$6wSIOJH?E6RF zQYSXGmXNphxcpuw^3J^ld53J{8d=*CGC3p5-jm9j6?r0SR}WRPR&+w*{*bl!3NDtl zlMg9b>!!BEJXw3ZlUb7L$y#i8(bvxT7e0B5K3ynlJ$-ULV;nl`Fqh8B*-!q7Iq*KZ zB6WwGW6H;{{cRdgHw@YQcLgOU82> z^UNRbq!7HRhtM*zFpqXK4@-F0MdxJSnHu+`?rPi>z2)U8o-K3LE#A)fqst9u{AKJJ zJ8%5ge)uIl>v-RmY-F<;KGyD}bkkhcjS8s~n@7 zYj>ifrH0{OQNgig!7Da{<8T-qi!vG;G;_1bdeTgA4nNtvSrfji<`Z#7rrXtmf62=D9g$cnFzL3pBF z&UY#l-g5zu0FP!+bcoc)?A{mRPv$qj;hXLMCv`o3#!UhDoxuGyHM%uw1b*$?qoOC< z3u<)d&7PWD*gfVRJEmLzvXHeO#)W+c^6h2wHSm^N6}9fUhj_hPz`fM}BXIvC`uc(4 z^nIUiPN#NG;NJcISK*%W!MioHmNg@vk14!UHayJDGj;ZFc{JPs??S`Sy1`!cFlWE_ zcOqli(@#7?bBk_OR?3;_Ne(dsEG@E@#XRKfZZ}-Rx-t4dG5TV7H@tXvve=!}BR+mZ z@pkHC?36wHHf2n-SQN^TeYv1d1$B@25m)e*3|{~*0CIU)x%^ z9=W+OtXa#^7pu0hH;=W$L*3yq>=WXB%53y~n8tY@Cj1;9ru}Y7H)~l*)bod6e~dkd zE#d1EJIjM+IE3v34}+IDiyJvJ0o`H+aUZlx`AFc~;(v9EYLu>^Y#{M@z8G)w+6Zq9 zl}8m{zAfSV)siHp0-WNnsfV{klwKnGyWq`{eZn(d5g$y@IbvyMO8) z**j428vuW<^FH4MECLT>X)p3^Ao!Mj?EMa~HvPHqXpN;5^mY6# zRruR7Dp*7K0Nz+Z-`TS)@_uie>l)pxCI05$;#zh{D=^14wOjaIC`;`_mo=-n#Mf4b z@kKQAeoI*P0Gc&9GH-};ZPAHG2~r+s)3N^|)D$(P-zj>)TD3v(JH|$HHlsI0;N@Gp zhwv46?ZEalBRaZK9DfTq+E~@bywDjV_F^)xN*csAX@_4y%er0{PHMJ7>!QqwX4brz ze_gQkx)6hdE`kn3zMNTbR_zr_>NvAhp^t^PUg%J!2^41p$o6w zk$U!-ieBDAf1-v*YYkCojJ=kFpgU-+JVNYL2EQe)Dk5d#bCL5N@Po0BpxrDRD0HTu zi+dp!scyBzkX5L7UZelgfcX5J^pn`8aqy(;ndvX9?msQM~>&eDB(I`yQJGmg0D?b81xf?G4E68?}5jZuGMPoCg9>t*b`0}5AC zj?-MfM8)1O3lSFseLWOTijRX1io;uEUEQo2%YMS~_{=M@@ygM6wuyg>Hc-akrK%0i z%0_f^@R+WAo2-+1eb3A|Nq=Lq7N=sGGyBQ?QaOW!_}5OfP3|k8cj7kqehlqa`&O*n zIPs#9l)7N(6z5bxv&4mI+Bo(r7-r3+sQ51J;!sd{y9+;uzw7X|fYP;+Hp45<@%AL4 zQLDI8bGyF+?XYH%wTZFb0#DXr9^c-Ftwk(WxKiY@vUS`dc+z_C!B{#a#Faf0C$7xn z$r5|z^9%hvzYu;~)5bCoysi?wNvzYpqlM)O-c5U0Z;*Y}5}%%#(MH*dcQ?mNnBRQg z&H=wp^;qQ_6`o+`jMwCu;9J&k61YabQiocX;p{NhT72FD?(l8m_wad&Qx;+`ri>Bq zQ@2^x9XvDk8NyotSwqQ5XOAm>;3V)DX3PeK;ZfU}AKu!=dH;f}ZR`-=DRVEKJ$WOv zc(Kq(J@|Z@xRV+=U!=De>lKMwkrw6#d!4p7WY|;ohYfs;2L2$S1!wXOY}j$|fol8) zHGYWxZfSFB6&Ij=)Zb zPUY+wcv3I+rkt_w6nsJ35zg+F??6UG=UjVQ=45s%{;}{b!$SU%Z;v3mbT@ko`^H-6`@Unw0BCV2_IFtp z_6mNlwR1H$(u%#(q-U-x*PPNGyw{glzZm#tyzn!+*p6Zs%NW@`B5Hi3-|(RZ;y{y~ z$=lVqnT(^1VYald9$J?%Y-oVD6eHJVAKBD{@;i7jV?n(B>Jo4g=2~#Z{@l)$;p}h$ zbjNv2EtQ(N8lAIxgz`Vhb6MlG`!I)k+YhqWzekWtQ> zXU&}>6^RBGnzL~B?7!m~*Z23(3R+VWw{@Dbc9ZvV|_oQhB!Nj}d?cm*Sf5+YqeK4I%=3M?xU9r|$NES1J72ft z@1cK^H_UJ2Fdql|sjHT<$8eu`CiQ3W-joh?&hW1#KjWgmVHf>n+&ul}48|nm>Ko?d z&6+c7!2=mu#`#N)$J*EdGkD`a@K?}2YEkYJH{Mt75rYEnc|joe4MPJ=r>C;_J8F znfvbcU!`n#ab3^4-;L{26#uks%@r&D<>O!OJ^9qjA~lby!+lM`i7GKxh3b%>mS-lqg!02ypdEtBaeX{p2|FEms zeei{K2VbA@;J95MPx^kw;Qn{J<7?Jrj#_`@y7hxTU9xfTsGnT+#f?kX|Fy^7{MS}q zH}(&GUVeY&sGq*+KKJkgGv65U!=D_uY3my+SJl5W>Za?jfAF;t-@5#*vuAcrU-mbP z-+lhzs7VzYpS?M1PJes%w{m-aG9^9!!RMorYkoKH`V0QGj(YFii~mlKYHx3AKhxgY ze!P8u`X zhQu`fc6GH?x~!&5rMd6DCuzpib%7s{9$qvdTheD%_PUQWdg#ev&ycR@v-S0A(%}P} z?(IBUE7+g?z}vCW+Pd1JoZ*W`Yddbvzpvu?(c1ODns#u?fzi>&UcLWs|JZ%Z#ABaS zAMEw_W1gtGDg6HNf-%iE9;ggl{py%ke*4h_qwoK8%M~quW-gM314m&wv z@k4if@6Gv3KE9>*t3Mk#A+hdRIRU3vD{+vnRS_Zq*KbcdhH z_2&03d`I&BcOdoexTyYD{JhP6{yM+xm+1cr>YvGd;+fR{67Rj#q0VkQz4|2oo5td* zjJ$<&>;Cn=gE)S*wd8-(*lOoq$dbQvcjqojyIlAeJoo44$JC$x6@T6f zKTv;xulSSa8PLOj^n%hC%H_i`=ya(`zBkbF%K1PuNXqY=93FZ>OEk^jrT zr2pc-E0(0f7ht^zi`)ZX63&-0#K`hN)nmoRV% z1D7yx2?Lifa0vsKFmMS2moRV%1D7yx2?Lif@ZT8&6Eu9X>Q6t{&1duQ0p;rj3xIQ( z|BqcHTAO0M_x$j#_tk&4H>7cN)}_Bo7`TLi|3nPzherq;_Tf0GK&ubhOn3;8F8 z1t$0~kyK!c57S5mX8JIXRN#Ie3P=S?eON&%u*QdVqyig#c!^YCvkzNH1$Owbi&UW2 zhyA1ihkZCsD$weKb`Sjrl6>ewD$v7+3{rtCABK?%jPxN&Dlox^iKGHke3(WmFw=*5 zqyqQ*P(UhB>ca|Bfi*s?BNf=_!%L(Bn|;_qDzL+cU8DlFKI|tIIPAl5Qh`<mWRMDE`7n%BV5ARGQh^CROe7VU;=?pjftfzcBNe#chXPW8QXf{33as&A z9jU-ZA6_CA*zCg=Qh^;l>>?GY^+DD%zwYn<_vcTZVXgIJPe*!XqF&Jd7QNu$&EE{| s7yNM$trq+7=o@bM8dQDL^Nv5gI9_Gq=*>2CrfKtlE^;l^Yg$12UskovjsO4v literal 0 HcmV?d00001 diff --git a/benchmarks/new_opencl/vecadd/main.cc b/benchmarks/new_opencl/vecadd/main.cc new file mode 100644 index 000000000..68c9675a2 --- /dev/null +++ b/benchmarks/new_opencl/vecadd/main.cc @@ -0,0 +1,187 @@ +#include +#include +#include +#include +#include + +#define SIZE 4 +#define NUM_WORK_GROUPS 2 +#define KERNEL_NAME "vecadd" + +#define CL_CHECK(_expr) \ + do { \ + cl_int _err = _expr; \ + if (_err == CL_SUCCESS) \ + break; \ + printf("OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + cleanup(); \ + exit(-1); \ + } while (0) + +#define CL_CHECK2(_expr) \ + ({ \ + cl_int _err = CL_INVALID_VALUE; \ + decltype(_expr) _ret = _expr; \ + if (_err != CL_SUCCESS) { \ + printf("OpenCL Error: '%s' returned %d!\n", #_expr, (int)_err); \ + cleanup(); \ + exit(-1); \ + } \ + _ret; \ + }) + +int exitcode = 0; +cl_context context = NULL; +cl_command_queue commandQueue = NULL; +cl_program program = NULL; +cl_kernel kernel = NULL; +cl_mem a_memobj = NULL; +cl_mem b_memobj = NULL; +cl_mem c_memobj = NULL; +cl_int *A = NULL; +cl_int *B = NULL; +cl_int *C = NULL; +uint8_t *kernel_bin = NULL; + +static int read_kernel_file(const char* filename, uint8_t** data, size_t* size) { + if (nullptr == filename || nullptr == data || 0 == size) + return -1; + + FILE* fp = fopen(filename, "r"); + if (NULL == fp) { + fprintf(stderr, "Failed to load kernel."); + return -1; + } + fseek(fp , 0 , SEEK_END); + long fsize = ftell(fp); + rewind(fp); + + *data = (uint8_t*)malloc(fsize); + *size = fread(*data, 1, fsize, fp); + + fclose(fp); + + return 0; +} + +static void cleanup() { + if (commandQueue) clReleaseCommandQueue(commandQueue); + if (kernel) clReleaseKernel(kernel); + if (program) clReleaseProgram(program); + if (a_memobj) clReleaseMemObject(a_memobj); + if (b_memobj) clReleaseMemObject(b_memobj); + if (c_memobj) clReleaseMemObject(c_memobj); + if (context) clReleaseContext(context); + if (kernel_bin) free(kernel_bin); + if (A) free(A); + if (B) free(B); + if (C) free(C); +} + +static int find_device(char* name, cl_platform_id platform_id, cl_device_id *device_id) { + cl_device_id device_ids[64]; + cl_uint num_devices = 0; + + CL_CHECK(clGetDeviceIDs(platform_id, CL_DEVICE_TYPE_ALL, 64, device_ids, &num_devices)); + + for (int i=0; i $(PROJECT).dump + +run: $(PROJECT).hex + POCL_DEBUG=all $(VX_SIMX_PATH)/Vcache_simX -E -a rv32i --core $(PROJECT).hex -s -b 1> emulator.debug + +qemu: $(PROJECT).qemu + POCL_DEBUG=all $(RISCV_TOOL_PATH)/bin/qemu-riscv32 -d in_asm -D debug.log $(PROJECT).qemu + +gdb-s: $(PROJECT).qemu + POCL_DEBUG=all $(RISCV_TOOL_PATH)/bin/qemu-riscv32 -g 1234 -d in_asm -D debug.log $(PROJECT).qemu + +gdb-c: $(PROJECT).qemu + $(GDB) $(PROJECT).qemu + +clean: + rm -rf *.o *.elf *.dump *.hex *.qemu *.log *.debug diff --git a/benchmarks/opencl/convolution/input.bmp b/benchmarks/opencl/convolution/input.bmp new file mode 100644 index 0000000000000000000000000000000000000000..e1b6c6faf5dc7ac7b3d8a76b9a8177726f5a6bdb GIT binary patch literal 44922 zcmb5X2Vh&*mFN8=Q4&?DE>Y|i0MQFkiQWj107(!8Nw9ZHqNpy*a!cZpIB{aR#C8(L z&SZA_?9TSyd+)vX-Pzvz?(FZ}_aG^m>^JjWN+1Z3c=vz$IrrTAQl-bgpsDe=Nz?Y^ z*#S-aIrXhsy)HleV!!@h-jn~SeC3}0=CA(o;E(v#0|yRh2M-?94jnqAH8nM9hYugt zjvP6n9X)zfYi@4VjvYIu9Y20tJ8|NKcJky&?bNAL+Ue7$wU(9^?aY}o+S#*bwR7jr zY3I+M*DhSRpk2IpQM+{Ml6Lv>W$nt9D_U!7t9JG3RjsY9O>1v&*E%{nw9d{>t*fg` z>+bH>dU|@a-rioVudh$*@9)zpZ`eJKxbh{q$4qyWjn;_St8jX`g@ox%R#9eNX$bANw)w```b* z_TxYPwmrW8-BxY(0=1@{Egag`c1z{`^~@kH*3G;xBM3E zxBk}Os{OX#_S>}I{@Z`M_B(#Z@6dkd@BE$G@A_T8OZ(lw`*&-<=lA>`?f3rP->dz; z-}n2p-~ao6zxD_Iz#q{5;2->h+8_Eue@OeofA|k;f8>w+5$%uu(LbvFu|M|5v_JmG z|G4%i{=}cq{^XzhliHv9Q-4bP(|`I;Yk%g?{2A@f{@FjP{kcE)=d?fn=l{I+7yiOu z(Ej3I{EOOO`b&RF`^$g%FKd70ulyD5um07)s{OUU_Sdw({@4Gy_BZ~<-_ZW%-~5}} z-}+mBOZ(e@`)_N1=kNR-?eG5GzpMSdzxVgFzyJ6DzV;9P!9URc;XnL`+CTb7|494C z|M(wk|Ky+i6YZb=(|@Y{vw!x_w1583|GD;yzxa#VzxWsbLi?Bh@?UEI>RD|3UkY|M5R+|LH&dC+$D~=l`tzm;dr# zwEy~F|Eu=j{@Z`k{`-Ib@7n+PAOA!9pa1iJYX9qh{V(l*|L^~;{h$Byf3#ovrC-vH zw_fgQIeYckk1z}ROBe?+H_wfuS5e%qZvOXn_V@Po_jY!6^bGWw1_n)oCbP+c`kF1a zA**%B<{9FN-8wYnaPya^G$c8Ar(t3KC&-Rt&xU5+8M%jBQRb%4FQolouS?(OaF>FVt1@9XIquvi8MEklEYCSVS^90NAHtvr00Ry#kNAjyyw zQdrD`{ZQEi{(j6RI6>RnJJ8wQ+tc0K*KzquM^E1Xuk?1d0w4TcJv|Vh8-76{tZzr( zpqW1(gpB?DeZ9|t`xT$+a&X|#mF|v?PCgQvLTM~`TSu2n!!%^Fnk`myPmj&Tq-_IE ztJ&%v7;;#lhsohUAZ%c>+pT7s!|if=9G;-t2O+2X2b~%=gQ1zh{t{^zaX1=xM#u+SLnwMn~%<*xv?K zWQIxy(14Ei?g5L!Z&EryMDeQ|I`7r*9=rt0p=eJZpADtEc&1G)zZCx|Lw)c_*!E2Br}f8du696X2ci%n6+uYaHii_^2i` zI~`u9&4UVHQ=oy>I?&f|k*W8ibNz$;=DywmIDyjl_qMgR^}q=z0RAhjtyeoR3JhHa zm~ux4S}L|wWI#mV2eJJCP~(T^?7#dn+^VA^5}M>@bDY@U>?v3rwxj^5qvwA4|`#; zS_jR@0sol2-C`aZ!hT~1OauKW!eF;pv%dbmw$}C@?BhV+AolM{>(#c-9$rPwbqNqB z(8u34B!J)iQHX!wH8AV{^jF0hHn(8>!8_ggZT)7 z&F-?>@NX8I!)bQZwiXtqW9A{Opzy(F#SEhRRx9QaDmYLZo83y3i?PEW@*$W)Y$?)U zwOQc4jlZ(MKujP;uphej^$&Jgm;p)%{$BJ?oLdJ`H`c$GDZ+o90%8RFpe4byA^~Rb z2eAIg9~%4@<}>(q=wcW4roSI9169O`OIr|rp7$erNY+5VMZEYB6L)!$W``YBc8pBU z-q>Bfy|Way+pr2Is|83FyEisooy`qmCgHx*fxmF@*LJIjg5B0{f>aR3ZYHL%yAcPj z(~s^UXm|s@4-6uJ@E`qe?GUpduXF-miN9C_NI(}&4mC^fIt6L;a9lz2iOk* zj$IVBLeUhZxd66b=zu6Nv7VkmQA`VBi$((+*~STYOQrQ|TMsriAKu=mV=+s{neg?NL4?HSa$0!-6|&ha7L&z9JY}&0(PEZsqG?_I_yF^u zh4^dG)ZKa&s#w5p>gy!qY8Ua>&7l$ivD*XqS)qVP5k~MiZ2ym^;MGMy7XY3U0-d?s zMr6^~*LL~*rEW1zd??b1cf+}hZIU3+Y#nkt%tJPZ$AY%_<`?$w-F$d`|Ni~OiSAwu zx!Gp1r>a{Un=d@RaeaTfuk##9t!PvjG|>W9CBW^lmNL1Iel&>-=$FwBJBn;?!D0S5N37flm`k0k_m zU&qyM>=1MTe_I>D4(u1vln+G$u-d(7Q-c5^0ndQ_YMzPplMwiMdbIR-0-@lr4|DAs z8Hq-MKBooKgbl-3xrc+1Xe5C9>bKUmZ|&c@_uz#)JL@S-QrFchtpla`>-)Fw+<)Qj zy<3H0!qEY-X^1J|DnU(W2l0Am7uL3yKwsqDFkWT?AtCV40O3G?FS0RYb~u3FMtB2$ zv$?N}YC}hNAD^exR45=(K(AyVLIK?h{{O?TU>gr22kProw>KCL`h6aUYj`9Y9SQke z1VJXuIPsq^7>UJWp<(A>UsrPV*1g+z?(A*u-3<13cU(Go^!UKs^5*`XyAK{cxW8F+ zW2R9XtZBCdDw1M#b`of+*tM%$f;eTxka;n&Fpuvf0=?ZB-@zffr5F4?2%b1%#edQg zl`AMaB?5rYw%|>~tm*_9YHUBo>cH{NV5K)v9Z|t1FA!uU@zI^|W6&)^xnLG_`#F=B)=WJh-(IA!EBh z+30fX<@0CGoIOKT>Fk+v7q7H;bRq-Yh(jCl(0Uo84t)r7dwW#;kNpQPS_0;)?LC8t z1+)P_f7sK9%qR)KQY#bKZfKUkw90S~6j!et0_{o!alnHcfA%zf?tiBhF9S()8)WmCfAScD21vY^1mc!Qa&@q`@5En}h&FK?B5KjHeqQ9e)LIgoQ zQ@<@gsGqZoZ#T|&m65tQGE&c88iPF>*b+Wm|gsBhw&G0{# zDNoPOFD|a6Ps@k#iO*d@zs^BOa!`mNZyszqeDuVrmX^~ej~#6~bl_O)fXhSVESPMj zp0?Hw!H=^5KUGFif0O}psPKyo93;l1W@zYu&8h&(h1F2pS2GZ(az*_e^}0OBOX{Ee zx_YLa=)&wrLIJ9iD=NH@Dsl}J;9M!A_acWP=BktRIXGj)3tgzLKfF?;b_yz zfn=s!sm<5t=VQ(4V#Z~kUP3MdcpbhxZ#vR!;742z9B(y|Fst~Ps!9(QZ$P;UtGS<0 zzq4ENB)${{;J@G}`2s%#6A_@G+5jq-m*>xhJ|Ttk`PX&2DDa9L$2&ctkw_%y_jm&d zh2QJIX~7k$7@=q)1^yuTulh?9Q`MQ7`trEPimSTV+|**uTYZ68o)bB1Ofg|%pdrbIh2@?NWHJmEXk)3 z0QeHLD9m!X|JP`|)A+9DD6=!Bs5N)ELy?h4FyQe9lc`iZ7WCPN1V2>_Zzz^Xr<16J zt-m!?o}8GdOxG92-S7wbKYYfV$&OCJ|N4CRh>l+W*yrFk$e@20{3lN;`9IQh@W9D7 z3+M$unU%Sxy{!vBfB_Kv_>f)+B2Wjh0mS5F0{vzu(gFp<29Ta9{wpm1FZ@5^b*5rS ziV)>!n+yE7J&!k>1Z+Iya}uV4-{ta!!{w>T$@1iMwdi+P`#UcjJ9>UF zoGwn!&erB;1JC#`#8t!iF7n^d|3illH=j5S{u9T+fAD1ckcX;22EgUCo4VWEyU7;? zKk_d=Vo>l)s6?o%SG+9D3krw?kXj4>4T}E=oImtZ1GFxs0$qvCk*ki-2yUMeMmWV1 zg2F#!LoQwJ;YcE#$)=(quYI5`I61|N!Nl~;SWx(L@%YgzreLZxQ>)F+R)Q_MM9D|W zjO0NdBL5BXKXCBS;iJb-o<4o*M6>Y!bcYrA5CAwL|LxsW)`*P+Kk%(GF~qL>j$ zX0o|-43QY@h*c`($;pXIb;54}|E1&2t(I_RVs@rhuUAJdA7UQzc>-LYl#W`gzrla( z-ysy>*ol)k!^7Zj>9SHX^HD+YxP<>565fH|X6aY;Kmz8c$)2(RgEl2E4FWjra>0gh z$<-NZ8UjzIV!SQS<(;RrGM@12kyb|}O4t+d4v%EAnRFuTqw;4btM_>P(Ns2=KdbZB`BE_=Sj9lsv{wQS@?nkpfX~goelw| z;}?L>hD^lxVr5XDRpVV=*N6PEhWv-YkB#$zKbuZQhNYP6g)81b4EBScn9$Ujo~cYt zPvZc`T^5_U>(Z%n-DXc_67{duYpI@Q!LMJ__;djeVl|8_>I67)^a$SX(1C+zd+dUL zSVY=B*xk|DN2Nf@1Qycbp58&y1s%VnItTz&8Ri8AFapYHIKiaDX@F~dmsb^Rb!B=ui_2v`xUL64~qY5BtH-I*Q%Y z)!sEgshCos4gQlYfS+8qk?J4-gBB?P)`iHsQJl+ zw5BVz5IGJ>-tb5^m(9X|H<^zYu^bL1vMi!zc++P!m*;0@rmN+t*`gFRx?2UmJ5jF9 z*5?*#IqMl6zf44Cqb|UMP=FybR6k(>z%Rlt`1@Qe^!f!q{0Beb9r{l#VxWf#mDEx2 z@gf350TKoeIftQ_Apv3oK_(yj6k`MWbKhk)&yA;3Qxnn-9KLLeL=%GF7X@uDH9|e# z<{b9>1%GaIRPcxVc6VuhzEZ8#X6D9S;E;Uh@DBEX^Z{Zf12N?xDKK1jer)s+ei1vARQ1pmNSnU08nB7l`*Gkj45 zP;OIQOkManh(G-FdFtrwQAE&L)pW@kj>V%9KlpQkKcetc)*r_G?Fp?TA6bj%Ui3}%86Jyg;71F)DhXPG+M<=DI)Z|2UetuzoaXQki z9DqIXL8Slau@fgw9wYoYbl~tMlULzK0Nl1d@Uw6%HFM-&>a&y{h5wT7 zOIAQ#z`^ee1(XPg1Q>V}>2-_>q5`N8LUSCT0<_ZPy z2LwNIIjry(1%EUeE>^L9)6=sH^W`Ag*xS)FV1qQP2)v7>bbO#Y1lIh|>00$m6to{D@^Rni-{O zCKHdwVyP1DuQD?`H$OQUbJ#4s?cEl~@OU9RQD0cB&(*TF)5ID2XUk<|aAo1X6h#>F zg0TN2@h|v~2!6|OC>SF52EVnpvuBV6H2BZ5BPCC2kSv}FeqIs*pelh_LIA%IK#v0i zmOc!+H-40<$ZXV%geLM--jt{6pL(htICNoXguE{ia{Ho6+hak&A7EOcXr?$eUIc$4 zPN2K6MEXBFJ3W)KTM1UXP1evf?w~$9Lj@#jKi8x_SHFUQmH#qu`8xaqBL2ruQvW!4 zycq*{wAC^Ud?@Xw=+@WSW3qd|Z>Oh%qBrUj?xyfp9Ea93LOc#zzvV+|*2EVR^nX zHB*~UTP@)48nBF1=j(Ixv-OpYm7MqLA)UbLk~Qx?xL$0D|A6An4eB#N&@3iz0wX z149w#;CahPGLuRKoSrDsKAIT`u@>MFzC_~LVrhIVlZYkL`I)&X-2e0}!A{an0jRyR z&z7H=pPwWDUzncE2RjezpUTG?pD$b&?ko8hdBFFR{+>B|1_c2Bu{Nup#x|<2RG)@= zyLv57FS5sSo0V7}@t0+0J=Lce3ju7Ds!5k=#V}(pq@OuLkg~RdOnBspdl^?4u*QTcD>dUJOa|P#+x#w!vpgC7t zsMiKjmllP!H~pP9pg2 zM*fNQX{RB}k+o$6K!w3}N|jQpL-J~lE{yjO4z?xx>%ZDSzMT&nxz47anw09(Aj3P+X|BV zuC6RCEw4_8x{n$kXnZ(V*3kSVNaYpFB?*iHHFmKJZJmT1mk3_;s!dYz0qecf<5>aRpg%gM({&ETZkCPZo)aI8K=VzCf7bnwh8>`k%uQxk2Ge0}OxU{mq zR*m$*e|4SbW&rn}6Mw2-M`_r)aPfT0X{rAl@304H>I{bh!C|+B9vrfJT-&>8aB-~;i7p?g9YeR7I2jTx%%X9RyH>9;ur ze=!#i3jc#JW+YW88Tj+5;zVU`er{%JYJ5B&rb1xz28NTPganh5mD<8WHQG-G$VHTl zsjGG%x?psJrO=Pq2laQ5_xqlXWk=yXte20tNy+tS@jN8K=eWiAi+O=en-l>93R z5FbdhfuG+O4GewpO3)gH&%7lr?H zbqM}wx=@-ZBmeR2==fx1dTy>(p#)l<$go&MxtzR#`bc4Ha%OgRGTwJYK3q6pTuHt` z13dwdi5$fIooqRG@#2MZr;i)>DLqFpX{@lYwoTSe+Zd@mi_oY)wLU@|`mI!{3khI7 zLhu_VK;mXWsjwOkY6j{>H5nnqb5qiPo0+Id%AfEI{Hbgv>9g3tUmD9%0CYKhA+8il z6-yHnx_2v{{GnUwrDWI{dXy_SJ+Dw9ok&GvYqR6_p4G%o7+(?x3D=zlU_tkChiGFP1} zWQwy(Q~odwVBX~PWGNRP$xkh;uT4dIjvB;Pm*YA*_ZtQP3P|*O`W%ad7tXeb{GaZ2 zhiT>(iT1lp^b}CtkY#u;-8QtKI;93D0-$REL7>790dxrv1pqsjQ~!Sy8DtU-?EI3N zpuv6Po2Kw*vk8ycmMAFxhkb6>u++q38HGQSNRE;AOwUXebE#ZuZh3Vknu!d%d;f{@b@VEBjFG=r@`)Ci%XW_ z8~BNZX)9FaZlwU^Mcz;p{v$BT2uc*7Knn$)4FyyE$TMc4=A?*VkfJe1P>Qk- z_(lGO|I+Uz_~V)41pLn=GNtLc+IS{gn5fj3SJpS?^QC0aJCd%itSl~7C#NSX3k&&y z<0=6VX6SMz{8#B$!w4Ue;=ka(c>c_ZqfNA4c*v}xFq9Sc0oJzN;CDKyJd^Fwa}57g zsf#>U)_cGomKAzYfQAKp2K$GeDJl?ftJ;eYK~3t}?z6H}988KS?5 z>g>Ym>Qa5azP!G@vADc8lN&A453sSaT(2)JuCLT4yyp)I(dF|SG*H%GIbn*ug8$gb zGv_Z}M*dq)fd5>-m&{Ta8ur=gxw3lwxL)@#{3q6Ry1jamt6~7jgIxYd3E&;$nqCj0Ep8;1t8^5BR>qf+c70)X zu2x%G-CEn)*q$xdDzmHGTPurmGc)r`>$SiP%AMiz`^p5=dY<)fbHih5grx?Nt96hHh$J2cv_$f+6AeWc`r2!HG2naQF$of+u zzxpR@Bf=79B(qXaLvND6TN|SE|(g%!2dG*mr@W-t#p21?4~M{#cU>*FW~n{ z{wlNerPYm%t=*l~1;XByjjhd<#rn+j+(Kn6bVVgVOhK+>3<0kPVbI_Z$^Y4l^tB59 z=BB0#;E#bw$4_gM&rj$H*WGknVg7XdxLUPBEbRy*f?p9pDL^owgV(_}ow&h4aX?G zBLE?Z{dF~Uz%B1n6IJrz^#?$*SB`}Hr6*c*Vhn! zc)v*gGdmjXJtlNj7uT=CHB|H`tQSRSBKbdmxs@g$@E>hzx?~Q<8Q=+dt-XC_hcBSx zchM~C@UR3SDUPc2sw~JIq(Mh1fT#d@0Gq>%VZb$j>$8v_bm#(KenTPGUlg056Q#L( zm{p$iu*DIF|6{pigasf1;HcoQRET&+%QZs0#g)ayh1yhMbY^W6_U~-6-)nw)s;csz zjm2`-+H%Oaq7GkpuZzF#garTT^Ovu-w_Uk#<|OzpTLeG+2mcWGUBiCD|6%lB;fMdK z%q7c%vav?m5#s0ze;^VdQSgtDf4R2ezC2+D;N($3Rb)^!cm1l0#Ov^ zvXXx^C?GL_xc>_@gtfOS{D)hI6#ru*lpHL*WdGoIu<`w+9slKwlSRK!&njTGzbtFh2x317!0w2 zdJt^j2agQF_5Af!{3jupnwS2Lj$Ee4GkNJqyPGU7?j3N%its-t?QY;F`_C07E0u}S z-1tP57-xRDR?cP9scd;}X=(e$t=+wAyBnpre>gr$ZD4V7JZqB@xO}MkV3E59df~pL zKPOu*wRLuNwO>AW3j9}X;RJvX=b+bw`}YKf34a7XMSnN!ml~H514tQQctqGQDxgfD z1OW>6Q|N-;xVnOA5JazZD&i;_=sKZj#jiD8b)~ZTxJU3$O8hh8XXlI9|6Fl$dU_(C zDU_$G<=jNAM13%q7#p9QsqgIFy?uLc@A_n#h?FwP^ukP~98_z7`XqGzi|jSA)~kmA z^uC?B)YjG0-G2E3@n3VhJp%p&+zh(e3qwUgc2KeKpzyQz`%8|Jdqy z^~yQopW~f^UkCvI2YUzYzJOHTg#Q#lJ>XZBaakRgDu@gBPcx>N00|l)04_jbXgu&; zo{H8p^obbk7Xs+?khc{*)GNGp=&~c3%f)?WXS@h^vm*bjv#RRn6bt^jR2DZt^h5K{ zRJAfw%@%5FH|{-p`HNqE{iXZcGleXLp}Fe#Xeq8rph9=!v!AyB%!vH=#2EsBt5+_b z1^f21%h{I67}%Q?cpiOES;ekx=-a}(5iR`%~b zdhM;Z-~Q^CUwbe&RW41&>rzf5ZYR{7r|OkDqFx{C`U3cdFYB zLzCjr1I_{5zduCgDfo#3G5-O*#;F_t_}!im{ke(&Dh84uIHa`LfDaL*zwGF-k0dj$c@-_%4@J@aZo&eyqWN zH4(!W2;K%}aRNF?4jec?6whTMjy^}Mfd1!_u^?I)3ODeh|Kq~{TD86~H#;{wyLj`Z zH^2JTZ#?1LfcM{h=ZzQk5dQk|()3sm{5p6=gogY#?7y_W96f&G~M9NSAF#v);OnpaJFx{qMV`;Icze@T` z1iN5Vs1;Xq6QRrjtPwF_96W1@U%n_1Vd>P**c05UMV6G4;`g{_6@LvZ+>o zWiJ5pJJat=BvWG1qkdc8fK~Qku$!3mPp5Ch?#|<}y_oXH3zo;J1T+ay*xc3;)9-=s(S_)1_=WJ6ae= z6J}Wcs8r{+Zoc%Tx4-t}{cn8by^lV8_sQ43@}FKof%wd^@VFiT$4f7`k z0Q}7?ezW=E6xDz55BO<35I`ftwtjK{5%wX$_F?dg90-0r1QPq_9+q`_MF8ahqz)E_ zqlb>tQAG2RfKl+clBm3pK;hFL)l>a}cO(Qd#=#cTNG=_A3^<~rQ{blq+T|GL!=w3$ z>P&S!myyEf%-l3#{#<=&b8GAVo9_Vqo%cTZ=z|a6d-C2k6#kULZ>6&znG1oR%}9j%;MXgB$^)on0KbkuiT*43 z7ybjW>1cCvGuG}P7ZT~GyApylAEv)vO$4hbyw(LmFbid#8wXDfMDpMtw2#35G2y>k z@P}iglPq|H9}6%wLk_fDpQGZp`r!4qpM3MfC*OGgqfb8i=9Bk6c>9YFwiagD8Zs7Y zKhkudsmU-hTvl;H5kQ`U|1_(Am(HJM`Sb9h^CrK+&=H@tANP+xA08I`u-i)mf~xQ- z1E~0~@GAij{1^cE&!-*%zBJSdYL2?$l$(>MXt6ndRI)x9x&shtkl5Floc@-2JlPk< z{yD)v3jVybf;jz{7x+J;<4>eX0qe^P)cIDHZoKr?yYIjEwf8>xf&Vx?7DIsRQ}Qn! zP(?tp3KEDW)1m-+45)ITkgywOAH(J!$L)dpbj#_MmNV#BGa_NI`cNY-XKKdeo~g%y zV?9CeyUg|o_{ne+VUH^qi-n{4NgY42e}R2I3v-KGtE<-@zVhbRzVYP!?|k>O&p!U- z;}70{@{O;5>A`AkVRm*R(R=zpGukf{;Gd3P) zQ-41H^z+ZZ_ucP)^1<6*xV5yjFgu-dwzM>~p9`t=_h<4i_*>4i{CEDGIDn?hLm@U? zAkgu!%QP_LmfjXWeJ9Aj$D`v{5s-o37m6eP@Lybj7(l_#rxR2_1L>%448z_kCcyIN z2%scWpoy$Wo={Y`ipP9 z_pR@K{=Fan{&zq9?EByU?DOw_=UeZ6<AXZQCdkF({{ww(>{NpQ}P~xJ)7Xr|hEBus(V(hNq zlq)N|I&+^ve+rga!FxRhP|qpLU2!JUBiC;a?`~0&{zYG44-+T2&y*^tTx9T-;K3E1z+!Fih_WzKi zzbDxdYT!S7xYZig0mXyhw@Ggg_;CWPu6uER$UpW^6@djm;UD}L3n&o~Ez81x03Q^e z$J)CLk!@Y+kO5wj9~IzdZyUeFTl%}q@RB2ujrpvWV0Nlf9?hg8!@g)bF{1Dz|L{MS zpQ*2`t}d@{?A(3nosU2L{IkzK{p4HU{`jMhKmO#~-}&UjCtu#H*Xs*qN4uEqzB zCYg!|qx={52Y!~nE~)m1ro*kaNG2_K;sM9Npbhs2e&TM~jO-KsD+8bcAQZymlg&u- z2O)sDYm&haq7kaaoCyeic~oEegCbG`KkuHm#j|n0%@W8~rpu$* z^vLjVG$Z&W=N->y($Q#UVqs}vWov6|XaALNeEjWCzx~NaA97yUhaY_O;fL=(dH=(2 zytFjCJUsxkm*pfw$9utLW#ih7LnU-2LPZ#r_-K9WvnAVAD-A2ive6)uSQ3w|$Q zpsa9;|C0nr?7wWr(&YvC2!Is9fu@y=r5e-QIIR1^P}Kz*gv7p zITD~`z&bdbof7=)02q#ClY)N^`R9drJYA{J%`R?iZ0?zhr=7{|%|vi6E+gGVo9Bun?x}z?JJ) zPj&G8ostP5LdzidgVw$V{t>3s;qF|$9>1X0Ko6ecn|>V~JC7>-1^*>hza{<^{4u4^si@D^ zZ*c}9h&$|8_FuU_(SO8Whfn&G%A_+GKotS%4lpPpuHt@ys}HUuPXxHa`;77WGZB6H zGoAVJ?DRl5n+n?cJQ?J_kjq2^!4!Rv@PB@Osz6gXy8uhGbMSv@|N3k1y!+vkx4-bx zqlfqJ-n;wY;lmeSdgaY`-uc=q`_-jdwpE${51lroPPo$;s`s1lSMZ-XdF;rc<}P=f z)C!S~daZ*)ZaIZO)StcBKB8X{|3>^L6-Me?mY>0u=s+{X_m)|5lq3#axKPFajWUEsMjK&u^mUAkY~kISQPbfkggl z2qBcV%bRL$iU4YEbU+XQ3;wC;3E_V*k}qasi7_&M!9SYGWyfacX#QVZyZ_dE?|${w zNB8gEx_R@)wQDzS-nx6|{!3qY82+pEO#(v7KjQwpeypW37Z5;|K*atDTlsjU?FzL# z(Dfoz=NaFXn2WG0vIu%(C_PbAJl-A1Wh1tM;T-%2e=HQqvksUTpQ#)C&*n1(__LL{ z`rcRHd;9grcW>?O$@=H!=FW|4H*dZ8_~kFX^2&0#>OXm~%R&BRs1K{5Gn%}Pbh{) zOrRJ9o~bNAAvOd6I`EE6O*j5LKi1{X=Oea3e-8c^+3yyPjMCT#|EX$?<_lE7G80k@ zARpR$`|U5j`uOIxoz30#jkTTijn&QV8xQZj_|mJ7HmfC9^W`(b{pO>_HDqF9pJaLx z{!92L-Ct~fIN9e*WR(Dr{tVdtY%=ue_yg3wb?Yw$P?3KfzaIW5_Uj2iMA<(+Tfd0J zK9cn6*HgmBE+ZL0Ro~Tf`E|t_gFy$IJBD+)h|Ltti~NH>6fKr=3E}_jL}9czno4Dh zY<-<5SEg^i{`%t=U)-VZY2(_B-CH-e=mFWgv-je?$G2CeOU|BC>?mzHM|nfIA@h<4 zH5C8Bf0_1gy8jNH>Kmr-43?%sF4LeRzz$>|UAII53crZIVg83D`=|O3eo+@A0FK4b z|K~(ZF38Xc4;4hcZKhhOL!P ze}*6TFU`M~E??B~xAgnzJA(j8(m#_kNb#HFcBH6J$&2tu**_KiO8Do|@r(V#{80i? z@*h%obowf6z|}7%~dLsV^drAU%tD2e_?U1wy?ReG*zi@@7~4_?(N@Lnji1Gbn%R8f{=?fK3>0w z+WG|k%a_1U_v@jS0Y81Wa5PE!XLbi!{GjVvihATcBL7d_AK@PbF*YOf%Lst%R#N!c zmn!hkXD%VQ8~+SfAX2XrK#X7m{?lnao#5eCPi{2qbVQ3&RVDu;Z1&5=Q^Nm=Q8q=; z0h`Tp_Qk?{ZgOLH@8R~^c71uVTB^*h@7=k7@4;=3GQPRLGCuBZZC2!$nfx#Tg#Rl1 zzex8l@_%L^kQDJxCrJM+?oi0*_Da_^MG#hgi3SY$XZ=guzb6_}%kFGG>~O`#4E)j5L^+TBXJh+Bp)gJdcq*SQ zO%^LNGc_z8%?~$k?Cx&NOt0?jKe~Va=Jxfyd-w0IO^@{-Yj9t|mznARxTua_bw8gz zPWR)P!65uc0pdZs$>I$MIZjvM7x^aG{O%=pE+2Aw5`w=-i%TpsSVcg{YMY4uf6vAs}FA8zI*rPz5BQJXVTd&xti#_h@D<$kjbbaTfc<=YV{NO zKW7SZxCRmc{z0pcGY05yrn#B?k1Cj;m-@ei|0?{`-YX=QO0 zc1Z`wha?G7oEL3Z(B(lV&(oP5y5bT1zT`9fQxk%}!T)@8Bs)qgd^wY=PtVl1w$@g6 zAHVTtZR+tGFYauuK#k40$%$Gv-+STg*)ylu->dew$_4muT<37JO8+GMm-O$@Iddot z`?Hx?0Q|!oJ0YtsBLBoeO8Z#}Q@flD{a5L~5I_|GL;%o#9hFXDc@(w_-_@YV)W9zc zk)hx#kNivknp%eo`Ji(+t;;{@Zf*+s7yDl;7mD=6#>mw2bib4q>az=r>({Sczw?FH z?^ZMQomXyd?Owlq_x9G>;!Le-zjR6*FlzvqJGIIAnVd=WQ>A|wNPob8f%2!a|1m$u zMEiqYr(ITE;XnAFiSz z`LAZBsMOpYDd&T(V45mF_=&Cy72W>LgTF|JPb!v7q*)n97$$4=rP-;u{oVP!{f*s~ zsl_jTasTel%0jg=IhtuZc<{uT6Osc+EC41j4o(i~DUi~-t?+r?{to%O#5b$vT;{O0EY*ZFUuB*EM9ktLwegPZNAPMAA z$^u6iIwg+x$0qV2cZfzO{9h&!PmNV3^6~ii3|$=s*#Vr4#F8m0!^OGz#cFk`UafD{ zC-1!W`pxRp?stFY^*#Dv$2bL~(0}&g38}Q;l=y6px?xG;1Z(jqB3oaHf6mJ0$5XQT z4g3-KPjzv`XED2iG~Frw6ZWtt{+aog>|eqkR{xd!qX1I>13rf~fS;>@68Ip0hMgCc zQ0UTNfLD+OwL)%CfafR8DfX{=BB|UM^{+IneulqPC=&l9N8}(dRsmMFSF6+2#X0uH zmG{2-(Tnqo@BZWmdu!D>*2lJ&mXfEQ+8JRutKFwhos`}FVv=O>U;TXisNlb18xiwI zb=5ayb_e{NHY?lPqzb0gUnTz{|El{1|EIDgfiL)l07m|6$hiStmvjYHh%P2T7klGT zy~6|VG)!}gH9M6HdL!vvQSc|&?*ji5gGNd(y<;fGQRW%=JZgc4P zQRQ95ln0O^BJ1OV$(G9Unu zM(4kfXCsl;n zNco3;Gmnkk?ZSQnzmSUlB>6L{Uq<{Z%SF%RpF^7@{#Ez{o4^;Nrj%N*0Ro@bVTbWc ziUi8?iT!Jg^RCg!3^iZY`KL>HTuV;yC#3#4T`G=`jV7aU@KY2iE-%ppw)4sxuPh{% z-}=^PtN}f#ukAc|d}Dc@lOZzMs9I@P8mX&4>#>Z}XU?2s-zP`U33>F(t!Zgn9(Wn{&+H5u9S09|C+6o*a416B%_#SIzKt%##XD<@)%;YU2ZnG7MExYz{?g^ES2@R)v;5@9X*uq{ub0u& z+1A=RFbsYM-5+dq@;EH404n|~>^MK2{{mmd|7!V5cmE>&97@5)IdOHm1PF`8)pWOQmg8;d1#Y;u+Qm%?9y|0xaf1mRKlaZE{tWR~?8gIy)bgL9{=)z0NH~D}8~SGOSy{m! zAW4HDh=R_1F%4*^?wvS|;6h(;tegtsjnX-G`++|{F{SgrRw<2_#zzyWv0^lstW_sR zcfatZxggGgePO;_i3X)c-+==u` z|E`>6L1Vv-!;np!Z#NX6{6qaW&e4PH`?Q(`zuwj^Dj))&t3URSozU37XXa0NfACBF zOAft+|UXdrii=n(vrzj1#-QXCFlCI?3TrT(u-ZDnz5b8FUn=5XhQ&BwIBzxvak-@ftEb?ezrEP(2)rrYpTi!3^GFA-rirwXyPULC~G*;N5hv3=vgwTV<^Yi)P;_WhTC z`qPKEZp~lYsn>7by1u)!c4K$Fo)b3>XTe6iN~p>PXJ$$mC*bAe|Gqv9V5c>}dKUOc z5RU-+pEyk~MD<&(00CcOe--@e3gq&H=>8$~74R&4$)P!Xr1*c`1nLql1`S7x4LTzN zj(l^Bte7FOLL4l{fkNs$38juoBROv-kRBV2fIk&W6i4H1`yZW{68;ybt5YQoz{sH& zvkMC=+t;pdZtm{i*t`8=eg4jk{kyMz@7;&@9xpCE+P`^&%@8|lYumf^B8SKnM)SEG zwSAg9aZ;4VaE){&(FG_>H5ckR% zARGZ8-LE=!tb@YNrYNU!`as9!5N{B0A>tfC%_b8uz9yubGqgBHMpTHQ>=Cwz&2-F0 zPTKG5?e1|#N3-EjJfDgt3;B3BPQ&v=c{C9(p#NnKfXJZ`bBl}hmHOfayMC^3tlyih zJgBei-hS=T>dLFN>cgF-8+$i)HdmJFizUtw0euEZl?YXed7|}f-%=-8$z{22UssM7`DxIBRfd>8B@O59dPOsQQ;{6}#)x;^85Ih^W` zR?ES8a(ayHpeBG&L(GU6V2c?6?B#U!_N!N<7vb!M!B8$03?=f3k=ST9#xi@Bjqrt} z;(wVfaaqB?K#Sk>mpQ$jge#+5H6eH8#*KsPqQ%AR+*{eX}G874-OZD2Bx21yASir*X)t4tzEp`N%N}RMI0Mx%rVJr~3jN@yTw#WF(r)#-o(iMzS3HMHXD;$XobN`_J4$ zec|qYeRpSTV`q2w=JoZJv75^)ODnf_D{Kb4acyU3^X8*F%X<%Mu>?*1G7{3-FMdiY zm6F#J){97pFgS-;@Wh}cV{Eo{dIQ{C$U6K~{NXdkk^@iV1T-B!yT4Ikx!VxCA{j^) z2b2X&1R5L|B@&_TSb&KU^%Bq=zu(@*UR#!1&ya58?H*DV8p4xeMYioUFd3$%ev~YKMrM9+ycd>ST zeSK?vYwzyO)# z2>3#}d*qH917=r9x__fIJGwxS$5M1VMTLbdv6C)?bMPxbv6c5)mFR`wC*o(TVY7 zIGoO>I1FqgFj5%LX9Yh;UKcaeL*^HjxAv|tudK2qio+gC6Q$ZZN8!!Pt=5YZW2F)& z16AmcV`KF6#N^ZzCk0lk)#(a9uaER_d^6U z`nsny0Hefgd>3)|u^oh@ZMn@2Rs<6w<#jnpL>Z@Oj7=zU_V-MTrz4Rh z?QeN}AoxeKp5rc{Oh`-s5q&F$rSb$YTeIX5#|&W`13Gn2JSu~eI#U)|f= z+uz>2&4C#6^BfAxZlPU;e*%c?9 z(c(raa&!9?Y@C=&;1m5S=PEHZ1l6-Ysb;D6FOhQ`S_?tYbFqNpwk#>~2b^`xo?|i3 ztRo^w7-#uJ9Nr|$JmAk|^EtMp=Eg_a)jEwNgFg%Y`OUSB&2^UjuPx84RFhL2hBKbc z=1YZ%+;l#_xUjgjz4zeuwbku)I-!x930>+505NNDSOOODMiO~+Vx+pPes(`4I9r?S zkHpC1XW?5apTUtN8%~M^jv}KJ1L>#?6V8zTD%LY$wf|A6e~@B^%5p^3kBe2K!a|%+ zm@kQqSP#}xSyksYCP_+1@n}4uDoE5&#UiV11URf%lCAHh?8r!}IGPB5Ji2CBv_UiR(yPI2U3sw50Nt8J#EG#GEu>o*u zd}L~Ai*}$bdSZ%5sS15L*x3mCiTa7Pxi^m7R*ED2DTUDQD*=7Phxrevj&Ff4nO~g! zwph*ad^8x48xj#4m^lxCmS9PvFq8zsz?WD!C>bsH48^QT$pJy<&>S%xL|;)Q>ENVZ zhO7&tDD6W4GBN^lkv1lX_u;6U5#c`}KM7DF&l$LxOn$sDT5jN18{FqMmRGLtZm!K% zaF~=a~!ps*}U)`9OYL7Iix!G1zi%CX0jnH0jAtOix4 zD(HW9baHl{HLvCMrIi&r0hp5SPlYul^!If+>93<=;6Mzxnu zZlsdod}UHYoFP>oIbRCJ<1;vGB}B(FtXKHMoI8O2$d)98L>xwcFSiB3c#}d}xK*Le z6%qo7`3s`sBzKr}v>aqm0I6p{0YwBvn;~i=?*;>sQc40LAq7L8$UUxNxiJ!*VkVU= zjLC`LqobG~IsHTM7jnfZnm?y&3rovv0GyhguTd7xkEX(Irou9e1nk83f%4+&(){8a zx5u-~0Ul0{aG+|Ql$BHNol=>e;ha29(N$hChha1DquG&R>HUE%?EVYU=S8fPU{fd` zg2&)s=za-qxs{rkJH@sSx;O?-;Dbl<0tt%=A&l5Tcbv-BH%dr^5ORiw$~*v02|yAA zUQlTgt#+JXz=FEmb=dr)Cr@vI0Qv6r=XQyj(bMv+8OszII!zx))`c|y&5z4nv zl=Df*)yC?ag*;u)k*jfhNGdzZ`Dq-Qs!oWZeSU47v9`Pj{U%sb7?HRmr0%;lLd%=r z2LtvWgDiCeAB959CP%Gy^?_d`fO98%iB}c>h1UUIBiqA+AOeyRV9q4b5{IhrN~S0! zNBL_#J>qrABKT!xCJ+@=1@ni^CeTS0#!27V@X4xgLHI8_LI{Ltgk}dk0S)kHXRGCG zlyY>`?+&Cgai2=U3WCUFBfdrDdGv*3RxVe8cq{H?E5TU0bftf+tRPG}bXuL1M zvYot7{*-%XQGHgm01*=v`6%%Kvp5Ec0%XL&U&7we=Pmd-@qDz%vd36i#D7Wx0Zuq5 z73qGPng)MuwpvPu0*QQP#2?BPQhpBWK+;DN93xY%R>oz8cx81R+}Ez}?cKa}>$Z$r zxAt%DUB9+1__3bESe!5c|2b=lu0L`7lJ;`yuo!u;N|DB{cs|5kA0#dk1t)y=ax{f5 z|KO*Vp#MWua4JI}mZRhQsBKDdQ(U3A1c`Eq_{bd?cmm1EWJoxPro~zL1%DPVQ6Ox= z;El<_&)}cp{4>r!s&Mkn)YL?2QutqEQ(!9SPZV+^K7Wc6!vS98jFcKN;_3=rz#G^1 zZtmZ@edq4od-w0(yLb2A-8X z3C<#unG>=}B+0pi7&Kk|{c_(-Sybui>F(+7?(Sho9YlN~z%dL^RJhGwOS26{IhC3! z@ajQ=0V@8Z+5@EpS}c1Q*J( zyJ#3WR`M_Bx?s+g*Fq^}bx&lJIp@S(qK@2yA&<{g(yDSlL;R7C3zFb)qd0|Jq96Pq zfCM&!09B$M5q9V&=yOJ07NsYLmLN#7Ar5*SL+&Ns&X19@q;qt=mP&Ly3H}0YG32t7 zlGsm>*3OXHpav7^pnHfzlV)!`0QifKUy||orN@sR3w(Hw)YB(-jfxRHvJ0d{bWTW0 zluNUk>cj5}{xCAi{f9UR0;LqhGNfoQPFz(k5m`}05cuGinyN^v?#~ewzh8>mM7+uZ z5kM2l8j2$D)lkKFRgLFI2&;0ckuZnOQkEu2<5;aA2YteSq>_a`~3$ab2_v@*K;Do zh7g=}E4H{fiDQ{fEE0`#{1lv~UIOHavXl=nypvQ8CQ4M_nULZ?>k1x${yTO5zKv{;|#%wTk9 zYC_Dbl)*&dMA_wZ^^BZ1k5YkJFjqvjgu0X+D&UtKNbw)|qPN0+R72EXKl}^)62lCO zGbiAQNPUQ)U(`o%3#+-Bij*X_)I$P2Bas@RWFvSA3kR9z#q6Q?87v*5Y_9lFGNV@%($HmZDu zN}`M$sfmu#gxTVDfup{t-TxKrz2VTGt75`HX z#IR6B(=jhBP&!y#kWr^>QUkvdHVB}zpV<@|>My=PSAUUXSzCbr68h<}9P%%gQSuuJ zAqYx2Hl2__v05Ojdx^vH-d-GLFJFvqv!A|}e3mIPK~6Qr;xI)}tI{3#6`SCP7(KvG zSEr{bgKp0yOr00ccX-RoTbo$8B|#y`m8lS$1x1N3B=IEg!Jz`19Dw3jeQ|MVd5Ja} zeejgOt&7CW!hdu?@!wEB5zMFj7yJ$D2S~YTDK1{Pk2D0NSyYdlz|Bp3;jy4p1HAH7 z5bL<*JNzFQbOe*K!-*az3=wB}jf?HY48e5C!V!OpnIe!J{e&iq{&N$|rNzmJx%1Mw ztIq69WA?nO!f578wHN3@PwX1$JVnzvZWOY-N)o^>O2)dMM8XA27LU_ba3 z`&HfaDgQ+}g49T^xi;&9^)IgRpM+-$$2FrMDwNKgMvxh zcOs9JD*OoF1e3u2i~eJeu>~ZEVhaU7v>1<=TU$I1esn=3XnuZP*pKwf<>b=h{QhrSXTp|cwT0ooP-YBjA>@!l zcFNS80~~-*;)sBt$RMDAq-fU9KllBt{eIGQoh^P5lRRs9*09&RcZ>dRrHrBfG(@F8 zxD9fP)c{|5H2`AeD2{8j%GI410ez|BhJQ1ox9B7E{y zEB{jeFGo2|j7O^a`uh9&`}+q5%!QQ!B2WM)umJCobhM6bUD6DSnxdeWRO4&>>&rgk z%s(-~!8e?EhUZVSf5X2F0n&z?p5W{c!W1XtOmPx$js$$dx$hHquX1C_HzQ{mD){jh zbw1%C2Z3%-?otTsQ2@PrN8RJIAv`O%_g4HnoSNC$|M~{-S1FiK|MC+0ujq$)`LCq| zYVs%hnft~4gWt#|$J5pL<;an*jvQsEI6{a71`xvFAO^s^$Iy3Y&tIakeB1W^6Y6m) zG|ntW{QTY8D%xI{HNw!9qWdL&A>Y#xIP}f`x&Lq){5G_w#zz^AfB;F1sDOU@;oR8^ zoRod-#^l2Dmus)KUcXV@f4)07_`o`NFM8o)0SMr;`pfgP(49pAoLO`G?&R}rD?jSpk01Y{`1{EEZ~*?M{=&bvll}+h4}SQ+;m7+s_@s&< zS93p;f4x8EZ}QXJKee#L&zBha1^lF`IrvF?JOYYYSKh+{9szoiSg1v^C4ewt4MN${EDuGnsX!;; z;nEJZ-=|L$J%3a4v4UUtDBs4%{{9|I_j__RR0QY5cJu+qWopH>4c8;Fq3YRvlwTNo~Vr_3j!2AZAWNRzW zmlx+|euudb0WCeUf4kXS0(AGc`_rm_#^m+kW3N7j(#iZ5m*sTg*y@w}V>En77?yT; z1Ud!pr@uS&=wI#Y`=F2Y@33C8w%j9t{yO-b_lNw${lYi*Mg5tjLH$%SN$1VyfnV%* z`RAWG>F7(gHn}>AyK{c^$ZnocfQd-4mS4PFfn3B-%E5wZS0QEW59lOp+~&dlHtj9X zcMJYevOh|$sfXP7bSn1tEU#K)3YnPz(@To+8!}}t>2zc zbdT@j{H1->ukjoGKa*eQ{WyPzzf%9OA8BOBFBN-N`Cs9oXuF*K$GJ7h+lpu!PfpXY zoTHLhAe5R`zd-%$Sfl@S*YeyS&sNq~rCYL8ZgZtF;l4vQym5d1U;Uf^PzjT6aQ6*$ z8QCr#L_9<1yZd&vXzyU_|1b!#IQMjZdE@P0(Y>Z`$3xqX^B;x}`NjBq&VShY<-T6( zQ?YM`{JTf~;!o_iV^QiS^|6s}FZ4Rc0uat0Swlk2PwlZUK4To8vI1&-v9gMwiL*>@ zKe)w7-lS@5O+tCJgYKEHjQ$3z1^+nsN&eCSemDhba^i!)x77Lmy8{|Lws?5shBx$$rzUs{8_f4D*Nk ztA$3E#+l zZc-`$l{%@^#b~ z>rd*7POwg6ACXV>n~DkFs7ve%`J4LCyJ_k-^}R`#*j>9cxzC=y2Ro(2z-=)AGjoe+ z?xe>Cl2N#aZ|cef_YbEoUZp2^hvS|nSSioQDS5Jo9D@%`a^A6NE7rcL&M|jFxn|vT z4DdkrrEmkihd#6~cq8BYj`=F@@wo70{)a;!q2I(;>lc5^d<=e#{76gme(p^oHGzI+#69_EamIVc{iH+;v-6YIWQU?pWyQ0FlT<6?lTg3bX^gJt`0K zX7+q-TK&TB(jMcU=*jt$^(OBz@WaODy-9pq-4pXC$u#s=(K+=w^Lp0FG8TGhzCI9e_@C zy2WsBa&~tuaANU*JwhlkXa|r$>AjR*=v92JX8SNd4S%%1ySukT*7rv0GwyDZa+>&r zkGr-cLk?us&6yh97w|fkl zbPLIu*kixIR0#V-|FQGjPza_m@^qBVqzGaVPZ&-TX%oq4N zKk%#UCqi>Ar!Jgn(CY5Q^MxP$Cr>g?Prv8%X-2)dF(s!aUe^%#mY?kZ`S~;7J6l<) zAw8VOGYhK}snkKOEkS9D1k}Fp)fe1fV;NAp(j=yq<_N%F+nW7PEI=pt zaPU!FrQoLLl0{-X2Jff*lEgbDhXC_qBtEPCH=XNKcO>nR`kM7u(<{_Z&$AL=xu3{S z?^N(U-$EOgNoFBw`|N3}?`WUSHGRFa(wW=;&J`LGM}~)nc;tbk`0_PdTn~s1mO}R6 zR?UJpQV5dMHT*b$3j+W?0^m<<092w^yMY<7zPJRr^(eodTUKPDU+0Fy9X@0*@`IxpaS zLcUP*icQyz-pq8?y+ZW-=N>q zKHNt;_uCVL{e67{1IO3{{qD>Ks^6>Exy>7UpD`1**S=&Wpa5R>DnaV6NPu7N4N5`) zh(H>Y1$YySz=Q@;R)i40$V)z!PxA$U2fgr7eV6jIa;L&xgRk{!kC4LJ}=S|lH z-v|%zRo>Xv)|)YXjo;lHRyJ6GWlDZKy!U~rTRyXka2`7h|(rV{uq0%8E@ zKecefPcuXbWHzOopt*snEG`tB0*EN`UOBtCg&y<)U!@LQl9UJQ2YbrBbUTyv$o##$ zCwXtmJ}NTJo;x^=^LZN1-5V!BK+hx<*d3ya?Q8w$UhV__H%4>@=&XOKgs9#hlLd2Y zjfEhka2Y@L~y}%VCkO-uLvV_16T4hm?Rd^Jyq-4%2^!y3o z{ScoqU*4;FzxrJkqOABn zC-cWCV?l2kpE8IRUACzez!EMH56}gmz%|~o1G7mCq#>9vs23cTfNU5~2s~}!-%I$& zM+pVIcAp+sXy0Ntj&IImvj=%s+_&3H{{icl`f5Jh%_n!K?P{6Kbm%WR_0HsP!d_Fp zn|Nv;*LSny#0jUEC}zhWa!TI>b&!QH2EbGVVEeDnMQyF z`0ag@!N3z4fR8`_cg0Gg_{Mrsy`?_+J_(=N5ARpS!@rC513wLzMGA5{%AL#8)APC$ zar6;5RWrzUI+kfgYKi#J^ z-U6OZs{;Y1=S}%|zUUnw)%fv!C)vV$$@t6l^?lTToD|_d4ACMjGw5Vum|{NH~ZYh|JZK!|txBZ3!SBR|2eRa|#d`9p@P>d(w`59z8Y^)mPoQH8PVw@H(k&15A?PmBHbp?E7JTPBO|3`wp zo{#P=c4fZPjryd1D!#yXqI28aOW+4QH;L>zQu zOd4!)@Q@M247SY*S>^;REdhM2dJSMCVr`$dd_G_6cZr>uN5 z_5(tKgu!fz-~(m?6$dLB)CO$EbP_NW;06*wJVAXHBZ5z?z9v%?t{-X0%kJ4vb~io# z?z05$TXaJ^n7x!2^fy>d`Jm4xra=$uNBb8!9N>bsUpXJ>%lEN;iQllN_8s&Y80hQk G`}%(cy4YR- literal 0 HcmV?d00001 diff --git a/benchmarks/opencl/convolution/kernel.cl b/benchmarks/opencl/convolution/kernel.cl new file mode 100755 index 000000000..e0e4da3a6 --- /dev/null +++ b/benchmarks/opencl/convolution/kernel.cl @@ -0,0 +1,54 @@ +__kernel +void convolution( + __read_only image2d_t sourceImage, + __write_only image2d_t outputImage, + int rows, + int cols, + __constant float* filter, + int filterWidth, + sampler_t sampler) +{ + // Store each work-item’s unique row and column + int column = get_global_id(0); + int row = get_global_id(1); + + // Half the width of the filter is needed for indexing + // memory later + int halfWidth = (int)(filterWidth/2); + + // All accesses to images return data as four-element vector + // (i.e., float4), although only the 'x' component will contain + // meaningful data in this code + float4 sum = {0.0f, 0.0f, 0.0f, 0.0f}; + + // Iterator for the filter + int filterIdx = 0; + + // Each work-item iterates around its local area based on the + // size of the filter + int2 coords; // Coordinates for accessing the image + // Iterate the filter rows + for(int i = -halfWidth; i <= halfWidth; i++) { + coords.y = row + i; + + // Iterate over the filter columns + for(int j = -halfWidth; j <= halfWidth; j++) { + coords.x = column + j; + + float4 pixel; + // Read a pixel from the image. A single channel image + // stores the pixel in the 'x' coordinate of the returned + // vector. + pixel = read_imagef(sourceImage, sampler, coords); + sum.x += pixel.x * filter[filterIdx++]; + } + } + + // Copy the data to the output image if the + // work-item is in bounds + if(row < rows && column < cols) { + coords.x = column; + coords.y = row; + write_imagef(outputImage, coords, sum); + } +} \ No newline at end of file diff --git a/benchmarks/opencl/convolution/main.cpp b/benchmarks/opencl/convolution/main.cpp new file mode 100755 index 000000000..5db2ae579 --- /dev/null +++ b/benchmarks/opencl/convolution/main.cpp @@ -0,0 +1,261 @@ +#include +#include +#include + +#include "utils.h" + +// This function takes a positive integer and rounds it up to +// the nearest multiple of another provided integer +unsigned int roundUp(unsigned int value, unsigned int multiple) { + + // Determine how far past the nearest multiple the value is + unsigned int remainder = value % multiple; + + // Add the difference to make the value a multiple + if(remainder != 0) { + value += (multiple-remainder); + } + + return value; +} + +// This function reads in a text file and stores it as a char pointer +char* readSource(char* kernelPath) { + + cl_int status; + FILE *fp; + char *source; + long int size; + + printf("Program file is: %s\n", kernelPath); + + fp = fopen(kernelPath, "rb"); + if(!fp) { + printf("Could not open kernel file\n"); + exit(-1); + } + status = fseek(fp, 0, SEEK_END); + if(status != 0) { + printf("Error seeking to end of file\n"); + exit(-1); + } + size = ftell(fp); + if(size < 0) { + printf("Error getting file position\n"); + exit(-1); + } + + rewind(fp); + + source = (char *)malloc(size + 1); + + int i; + for (i = 0; i < size+1; i++) { + source[i]='\0'; + } + + if(source == NULL) { + printf("Error allocating space for the kernel source\n"); + exit(-1); + } + + fread(source, 1, size, fp); + source[size] = '\0'; + + return source; +} + +void chk(cl_int status, const char* cmd) { + + if(status != CL_SUCCESS) { + printf("%s failed (%d)\n", cmd, status); + exit(-1); + } +} + +int main() { + + int i, j, k, l; + + // Rows and columns in the input image + int imageHeight; + int imageWidth; + + const char* inputFile = "input.bmp"; + const char* outputFile = "output.bmp"; + + // Homegrown function to read a BMP from file + float* inputImage = readImage(inputFile, &imageWidth, + &imageHeight); + + // Size of the input and output images on the host + int dataSize = imageHeight*imageWidth*sizeof(float); + + // Output image on the host + float* outputImage = NULL; + outputImage = (float*)malloc(dataSize); + float* refImage = NULL; + refImage = (float*)malloc(dataSize); + + // 45 degree motion blur + float filter[49] = + {0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, + 0, 0, -1, 0, 1, 0, 0, + 0, 0, -2, 0, 2, 0, 0, + 0, 0, -1, 0, 1, 0, 0, + 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0}; + + // The convolution filter is 7x7 + int filterWidth = 7; + int filterSize = filterWidth*filterWidth; // Assume a square kernel + + // Set up the OpenCL environment + cl_int status; + + // Discovery platform + cl_platform_id platform; + status = clGetPlatformIDs(1, &platform, NULL); + chk(status, "clGetPlatformIDs"); + + // Discover device + cl_device_id device; + clGetDeviceIDs(platform, CL_DEVICE_TYPE_ALL, 1, &device, NULL); + chk(status, "clGetDeviceIDs"); + + // Create context + cl_context_properties props[3] = {CL_CONTEXT_PLATFORM, + (cl_context_properties)(platform), 0}; + cl_context context; + context = clCreateContext(props, 1, &device, NULL, NULL, &status); + chk(status, "clCreateContext"); + + // Create command queue + cl_command_queue queue; + queue = clCreateCommandQueue(context, device, 0, &status); + chk(status, "clCreateCommandQueue"); + + // The image format describes how the data will be stored in memory + cl_image_format format; + format.image_channel_order = CL_R; // single channel + format.image_channel_data_type = CL_FLOAT; // float data type + + // Create space for the source image on the device + cl_mem d_inputImage = clCreateImage2D(context, 0, &format, imageWidth, + imageHeight, 0, NULL, &status); + chk(status, "clCreateImage2D"); + + // Create space for the output image on the device + cl_mem d_outputImage = clCreateImage2D(context, 0, &format, imageWidth, + imageHeight, 0, NULL, &status); + chk(status, "clCreateImage2D"); + + // Create space for the 7x7 filter on the device + cl_mem d_filter = clCreateBuffer(context, 0, filterSize*sizeof(float), + NULL, &status); + chk(status, "clCreateBuffer"); + + // Copy the source image to the device + size_t origin[3] = {0, 0, 0}; // Offset within the image to copy from + size_t region[3] = {imageWidth, imageHeight, 1}; // Elements to per dimension + status = clEnqueueWriteImage(queue, d_inputImage, CL_FALSE, origin, region, + 0, 0, inputImage, 0, NULL, NULL); + chk(status, "clEnqueueWriteImage"); + + // Copy the 7x7 filter to the device + status = clEnqueueWriteBuffer(queue, d_filter, CL_FALSE, 0, + filterSize*sizeof(float), filter, 0, NULL, NULL); + chk(status, "clEnqueueWriteBuffer"); + + // Create the image sampler + cl_sampler sampler = clCreateSampler(context, CL_FALSE, + CL_ADDRESS_CLAMP_TO_EDGE, CL_FILTER_NEAREST, &status); + chk(status, "clCreateSampler"); + + const char* source = readSource("kernel.cl"); + + // Create a program object with source and build it + cl_program program; + program = clCreateProgramWithSource(context, 1, &source, NULL, NULL); + chk(status, "clCreateProgramWithSource"); + status = clBuildProgram(program, 1, &device, NULL, NULL, NULL); + chk(status, "clBuildProgram"); + + // Create the kernel object + cl_kernel kernel; + kernel = clCreateKernel(program, "convolution", &status); + chk(status, "clCreateKernel"); + + // Set the kernel arguments + status = clSetKernelArg(kernel, 0, sizeof(cl_mem), &d_inputImage); + status |= clSetKernelArg(kernel, 1, sizeof(cl_mem), &d_outputImage); + status |= clSetKernelArg(kernel, 2, sizeof(int), &imageHeight); + status |= clSetKernelArg(kernel, 3, sizeof(int), &imageWidth); + status |= clSetKernelArg(kernel, 4, sizeof(cl_mem), &d_filter); + status |= clSetKernelArg(kernel, 5, sizeof(int), &filterWidth); + status |= clSetKernelArg(kernel, 6, sizeof(cl_sampler), &sampler); + chk(status, "clSetKernelArg"); + + // Set the work item dimensions + size_t globalSize[2] = {imageWidth, imageHeight}; + status = clEnqueueNDRangeKernel(queue, kernel, 2, NULL, globalSize, NULL, 0, + NULL, NULL); + chk(status, "clEnqueueNDRange"); + + // Read the image back to the host + status = clEnqueueReadImage(queue, d_outputImage, CL_TRUE, origin, + region, 0, 0, outputImage, 0, NULL, NULL); + chk(status, "clEnqueueReadImage"); + + // Write the output image to file + storeImage(outputImage, outputFile, imageHeight, imageWidth, inputFile); + + // Compute the reference image + for(i = 0; i < imageHeight; i++) { + for(j = 0; j < imageWidth; j++) { + refImage[i*imageWidth+j] = 0; + } + } + + // Iterate over the rows of the source image + int halfFilterWidth = filterWidth/2; + float sum; + for(i = 0; i < imageHeight; i++) { + // Iterate over the columns of the source image + for(j = 0; j < imageWidth; j++) { + sum = 0; // Reset sum for new source pixel + // Apply the filter to the neighborhood + for(k = - halfFilterWidth; k <= halfFilterWidth; k++) { + for(l = - halfFilterWidth; l <= halfFilterWidth; l++) { + if(i+k >= 0 && i+k < imageHeight && + j+l >= 0 && j+l < imageWidth) { + sum += inputImage[(i+k)*imageWidth + j+l] * + filter[(k+halfFilterWidth)*filterWidth + + l+halfFilterWidth]; + } + } + } + refImage[i*imageWidth+j] = sum; + } + } + + int failed = 0; + for(i = 0; i < imageHeight; i++) { + for(j = 0; j < imageWidth; j++) { + if(abs(outputImage[i*imageWidth+j]-refImage[i*imageWidth+j]) > 0.01) { + printf("Results are INCORRECT\n"); + printf("Pixel mismatch at <%d,%d> (%f vs. %f)\n", i, j, + outputImage[i*imageWidth+j], refImage[i*imageWidth+j]); + failed = 1; + } + if(failed) break; + } + if(failed) break; + } + if(!failed) { + printf("Results are correct\n"); + } + + return 0; +} \ No newline at end of file diff --git a/benchmarks/opencl/convolution/utils.cpp b/benchmarks/opencl/convolution/utils.cpp new file mode 100644 index 000000000..74ca6dad3 --- /dev/null +++ b/benchmarks/opencl/convolution/utils.cpp @@ -0,0 +1,180 @@ +#include +#include + +#include "utils.h" + +void storeImage(float *imageOut, + const char *filename, + int rows, + int cols, + const char* refFilename) { + + FILE *ifp, *ofp; + unsigned char tmp; + int offset; + unsigned char *buffer; + int i, j; + + int bytes; + + int height, width; + + ifp = fopen(refFilename, "rb"); + if(ifp == NULL) { + perror(filename); + exit(-1); + } + + fseek(ifp, 10, SEEK_SET); + fread(&offset, 4, 1, ifp); + + fseek(ifp, 18, SEEK_SET); + fread(&width, 4, 1, ifp); + fread(&height, 4, 1, ifp); + + fseek(ifp, 0, SEEK_SET); + + buffer = (unsigned char *)malloc(offset); + if(buffer == NULL) { + perror("malloc"); + exit(-1); + } + + fread(buffer, 1, offset, ifp); + + printf("Writing output image to %s\n", filename); + ofp = fopen(filename, "wb"); + if(ofp == NULL) { + perror("opening output file"); + exit(-1); + } + bytes = fwrite(buffer, 1, offset, ofp); + if(bytes != offset) { + printf("error writing header!\n"); + exit(-1); + } + + // NOTE bmp formats store data in reverse raster order (see comment in + // readImage function), so we need to flip it upside down here. + int mod = width % 4; + if(mod != 0) { + mod = 4 - mod; + } + // printf("mod = %d\n", mod); + for(i = height-1; i >= 0; i--) { + for(j = 0; j < width; j++) { + tmp = (unsigned char)imageOut[i*cols+j]; + fwrite(&tmp, sizeof(char), 1, ofp); + } + // In bmp format, rows must be a multiple of 4-bytes. + // So if we're not at a multiple of 4, add junk padding. + for(j = 0; j < mod; j++) { + fwrite(&tmp, sizeof(char), 1, ofp); + } + } + + fclose(ofp); + fclose(ifp); + + free(buffer); +} + +/* + * Read bmp image and convert to byte array. Also output the width and height + */ +float* readImage(const char *filename, int* widthOut, int* heightOut) { + + uchar* imageData; + + int height, width; + uchar tmp; + int offset; + int i, j; + + printf("Reading input image from %s\n", filename); + FILE *fp = fopen(filename, "rb"); + if(fp == NULL) { + perror(filename); + exit(-1); + } + + fseek(fp, 10, SEEK_SET); + fread(&offset, 4, 1, fp); + + fseek(fp, 18, SEEK_SET); + fread(&width, 4, 1, fp); + fread(&height, 4, 1, fp); + + printf("width = %d\n", width); + printf("height = %d\n", height); + + *widthOut = width; + *heightOut = height; + + imageData = (uchar*)malloc(width*height); + if(imageData == NULL) { + perror("malloc"); + exit(-1); + } + + fseek(fp, offset, SEEK_SET); + fflush(NULL); + + int mod = width % 4; + if(mod != 0) { + mod = 4 - mod; + } + + // NOTE bitmaps are stored in upside-down raster order. So we begin + // reading from the bottom left pixel, then going from left-to-right, + // read from the bottom to the top of the image. For image analysis, + // we want the image to be right-side up, so we'll modify it here. + + // First we read the image in upside-down + + // Read in the actual image + for(i = 0; i < height; i++) { + + // add actual data to the image + for(j = 0; j < width; j++) { + fread(&tmp, sizeof(char), 1, fp); + imageData[i*width + j] = tmp; + } + // For the bmp format, each row has to be a multiple of 4, + // so I need to read in the junk data and throw it away + for(j = 0; j < mod; j++) { + fread(&tmp, sizeof(char), 1, fp); + } + } + + // Then we flip it over + int flipRow; + for(i = 0; i < height/2; i++) { + flipRow = height - (i+1); + for(j = 0; j < width; j++) { + tmp = imageData[i*width+j]; + imageData[i*width+j] = imageData[flipRow*width+j]; + imageData[flipRow*width+j] = tmp; + } + } + + fclose(fp); + + // Input image on the host + float* floatImage = NULL; + floatImage = (float*)malloc(sizeof(float)*width*height); + if(floatImage == NULL) { + perror("malloc"); + exit(-1); + } + + // Convert the BMP image to float (not required) + for(i = 0; i < height; i++) { + for(j = 0; j < width; j++) { + floatImage[i*width+j] = (float)imageData[i*width+j]; + } + } + + free(imageData); + return floatImage; +} \ No newline at end of file diff --git a/benchmarks/opencl/convolution/utils.h b/benchmarks/opencl/convolution/utils.h new file mode 100644 index 000000000..2686de509 --- /dev/null +++ b/benchmarks/opencl/convolution/utils.h @@ -0,0 +1,11 @@ +#ifndef __UTILS__ +#define __UTILS__ + +typedef unsigned char uchar; + +float* readImage(const char *filename, int* widthOut, int* heightOut); + +void storeImage(float *imageOut, const char *filename, int rows, int cols, + const char* refFilename); + +#endif \ No newline at end of file diff --git a/benchmarks/opencl/cutcp/Makefile b/benchmarks/opencl/cutcp/Makefile index df30c8177..938c47977 100644 --- a/benchmarks/opencl/cutcp/Makefile +++ b/benchmarks/opencl/cutcp/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = cutcp diff --git a/benchmarks/opencl/guassian/Makefile b/benchmarks/opencl/guassian/Makefile index ac7faffd3..5e90ec3f3 100644 --- a/benchmarks/opencl/guassian/Makefile +++ b/benchmarks/opencl/guassian/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = gaussian diff --git a/benchmarks/opencl/kmeans/Makefile b/benchmarks/opencl/kmeans/Makefile index cae2a36d7..50413ab04 100644 --- a/benchmarks/opencl/kmeans/Makefile +++ b/benchmarks/opencl/kmeans/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = kmeans SRCS = main.cc read_input.c rmse.c cluster.c kmeans_clustering.c diff --git a/benchmarks/opencl/lbm/Makefile b/benchmarks/opencl/lbm/Makefile index 5e516c3c6..092a6b9a3 100644 --- a/benchmarks/opencl/lbm/Makefile +++ b/benchmarks/opencl/lbm/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = lbm diff --git a/benchmarks/opencl/mri-q/Makefile b/benchmarks/opencl/mri-q/Makefile index 6255a35b9..c8d3a7845 100644 --- a/benchmarks/opencl/mri-q/Makefile +++ b/benchmarks/opencl/mri-q/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = mri-q diff --git a/benchmarks/opencl/nearn/Makefile b/benchmarks/opencl/nearn/Makefile index f8109b162..adde7a1d2 100644 --- a/benchmarks/opencl/nearn/Makefile +++ b/benchmarks/opencl/nearn/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = nearn diff --git a/benchmarks/opencl/reduce0/Makefile b/benchmarks/opencl/reduce0/Makefile index c44d62544..580ae60c1 100644 --- a/benchmarks/opencl/reduce0/Makefile +++ b/benchmarks/opencl/reduce0/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT=reduce0 diff --git a/benchmarks/opencl/sad/Makefile b/benchmarks/opencl/sad/Makefile index 3f6d74c3e..d37573227 100644 --- a/benchmarks/opencl/sad/Makefile +++ b/benchmarks/opencl/sad/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = sad diff --git a/benchmarks/opencl/saxpy/Makefile b/benchmarks/opencl/saxpy/Makefile index 5c65cf4a4..2847eb0f3 100644 --- a/benchmarks/opencl/saxpy/Makefile +++ b/benchmarks/opencl/saxpy/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = saxpy diff --git a/benchmarks/opencl/sfilter/Makefile b/benchmarks/opencl/sfilter/Makefile index cff97eb41..d0c6fcdce 100644 --- a/benchmarks/opencl/sfilter/Makefile +++ b/benchmarks/opencl/sfilter/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = sfilter diff --git a/benchmarks/opencl/sgemm/Makefile b/benchmarks/opencl/sgemm/Makefile index 1575d3cf2..36054ba6e 100644 --- a/benchmarks/opencl/sgemm/Makefile +++ b/benchmarks/opencl/sgemm/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = sgemm diff --git a/benchmarks/opencl/spmv/Makefile b/benchmarks/opencl/spmv/Makefile index 9360d6064..b554251e1 100644 --- a/benchmarks/opencl/spmv/Makefile +++ b/benchmarks/opencl/spmv/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = spmv diff --git a/benchmarks/opencl/stencil/Makefile b/benchmarks/opencl/stencil/Makefile index b1b0a8e92..851660791 100644 --- a/benchmarks/opencl/stencil/Makefile +++ b/benchmarks/opencl/stencil/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = stencil diff --git a/benchmarks/opencl/transpose/Makefile b/benchmarks/opencl/transpose/Makefile index cdbe7da1d..c2195ac40 100644 --- a/benchmarks/opencl/transpose/Makefile +++ b/benchmarks/opencl/transpose/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) -I. VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT=transpose diff --git a/benchmarks/opencl/vecadd/Makefile b/benchmarks/opencl/vecadd/Makefile index 59023862e..63b9ae44a 100644 --- a/benchmarks/opencl/vecadd/Makefile +++ b/benchmarks/opencl/vecadd/Makefile @@ -29,7 +29,7 @@ CXXFLAGS += -fno-rtti -fno-non-call-exceptions # disable RTTI and exceptions CXXFLAGS += -I$(POCL_INC_PATH) VX_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a -QEMU_LIBS = -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/qemu/libOpenCL.a +QEMU_LIBS = $(VX_RT_PATH)/qemu/vx_api.c -Wl,--whole-archive lib$(PROJECT).a -Wl,--no-whole-archive $(POCL_LIB_PATH)/libOpenCL.a PROJECT = vecadd diff --git a/driver/dogfood/Memcpy/hw/rtl/_hdr b/driver/dogfood/Memcpy/hw/rtl/_hdr new file mode 100644 index 000000000..39a1dd9ed --- /dev/null +++ b/driver/dogfood/Memcpy/hw/rtl/_hdr @@ -0,0 +1,603 @@ +// +// Copyright (c) 2017, Intel Corporation +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// Redistributions of source code must retain the above copyright notice, this +// list of conditions and the following disclaimer. +// +// Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// +// Neither the name of the Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +// Read from the memory locations first and then write to the memory locations + +`include "platform_if.vh" +`include "afu_json_info.vh" + + +module ccip_std_afu + ( + // CCI-P Clocks and Resets + input logic pClk, // 400MHz - CCI-P clock domain. Primary interface clock + input logic pClkDiv2, // 200MHz - CCI-P clock domain. + input logic pClkDiv4, // 100MHz - CCI-P clock domain. + input logic uClk_usr, // User clock domain. Refer to clock programming guide ** Currently provides fixed 300MHz clock ** + input logic uClk_usrDiv2, // User clock domain. Half the programmed frequency ** Currently provides fixed 150MHz clock ** + input logic pck_cp2af_softReset, // CCI-P ACTIVE HIGH Soft Reset + input logic [1:0] pck_cp2af_pwrState, // CCI-P AFU Power State + input logic pck_cp2af_error, // CCI-P Protocol Error Detected + + // Interface structures + input t_if_ccip_Rx pck_cp2af_sRx, // CCI-P Rx Port + output t_if_ccip_Tx pck_af2cp_sTx // CCI-P Tx Port + ); + + + // + // Run the entire design at the standard CCI-P frequency (400 MHz). + // + logic clk; + assign clk = pClk; + + logic reset; + assign reset = pck_cp2af_softReset; + + logic [511:0] wr_data; + logic [511:0] rd_data; + + logic get_write_addr; + logic do_update; + logic rd_end_of_list; + logic rd_needed; + logic wr_needed; + logic [15:0] cnt_list_length; + + // ========================================================================= + // + // Register requests. + // + // ========================================================================= + + // + // The incoming pck_cp2af_sRx and outgoing pck_af2cp_sTx must both be + // registered. Here we register pck_cp2af_sRx and assign it to sRx. + // We also assign pck_af2cp_sTx to sTx here but don't register it. + // The code below never uses combinational logic to write sTx. + // + + t_if_ccip_Rx sRx; + always_ff @(posedge clk) + begin + sRx <= pck_cp2af_sRx; + end + + t_if_ccip_Tx sTx; + assign pck_af2cp_sTx = sTx; + + + // ========================================================================= + // + // CSR (MMIO) handling. + // + // ========================================================================= + + // The AFU ID is a unique ID for a given program. Here we generated + // one with the "uuidgen" program and stored it in the AFU's JSON file. + // ASE and synthesis setup scripts automatically invoke afu_json_mgr + // to extract the UUID into afu_json_info.vh. + logic [127:0] afu_id = `AFU_ACCEL_UUID; + + // + // A valid AFU must implement a device feature list, starting at MMIO + // address 0. Every entry in the feature list begins with 5 64-bit + // words: a device feature header, two AFU UUID words and two reserved + // words. + // + + // Is a CSR read request active this cycle? + logic is_csr_read; + assign is_csr_read = sRx.c0.mmioRdValid; + + // Is a CSR write request active this cycle? + logic is_csr_write; + assign is_csr_write = sRx.c0.mmioWrValid; + + // The MMIO request header is overlayed on the normal c0 memory read + // response data structure. Cast the c0Rx header to an MMIO request + // header. + t_ccip_c0_ReqMmioHdr mmio_req_hdr; + assign mmio_req_hdr = t_ccip_c0_ReqMmioHdr'(sRx.c0.hdr); + + + // + // Implement the device feature list by responding to MMIO reads. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c2.mmioRdValid <= 1'b0; + end + else + begin + // Always respond with something for every read request + sTx.c2.mmioRdValid <= is_csr_read; + + // The unique transaction ID matches responses to requests + sTx.c2.hdr.tid <= mmio_req_hdr.tid; + + // Addresses are of 32-bit objects in MMIO space. Addresses + // of 64-bit objects are thus multiples of 2. + case (mmio_req_hdr.address) + 0: // AFU DFH (device feature header) + begin + // Here we define a trivial feature list. In this + // example, our AFU is the only entry in this list. + sTx.c2.data <= t_ccip_mmioData'(0); + // Feature type is AFU + sTx.c2.data[63:60] <= 4'h1; + // End of list (last entry in list) + sTx.c2.data[40] <= 1'b1; + end + + // AFU_ID_L + 2: sTx.c2.data <= afu_id[63:0]; + + // AFU_ID_H + 4: sTx.c2.data <= afu_id[127:64]; + + // DFH_RSVD0 + 6: sTx.c2.data <= t_ccip_mmioData'(0); + + // DFH_RSVD1 + 8: sTx.c2.data <= t_ccip_mmioData'(0); + + default: sTx.c2.data <= t_ccip_mmioData'(0); + endcase + end + end + + + // + // CSR write handling. Host software must tell the AFU the memory address + // to which it should be writing. The address is set by writing a CSR. + // + + // We use MMIO address 0 to set the memory address. The read and + // write MMIO spaces are logically separate so we are free to use + // whatever we like. This may not be good practice for cleanly + // organizing the MMIO address space, but it is legal. + logic is_mem_addr_csr_write; + assign is_mem_addr_csr_write = get_write_addr && is_csr_write && + (mmio_req_hdr.address == t_ccip_mmioAddr'(0)); + + // Memory address to which this AFU will write. + t_ccip_clAddr write_mem_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + get_write_addr <= 1'b1; + end + else if (is_mem_addr_csr_write) + begin + write_mem_addr <= t_ccip_clAddr'(sRx.c0.data); + get_write_addr <= 1'b0; + end + end + + + // We use MMIO address 0 to set the memory address for reading data. + logic is_mem_addr_csr_read; + assign is_mem_addr_csr_read = !get_write_addr && is_csr_write && + (mmio_req_hdr.address == t_ccip_mmioAddr'(0)); + + // Memory address from which this AFU will read. + logic start_read; + t_ccip_clAddr read_mem_addr; + + //logic start_traversal = 'b0; + //t_ccip_clAddr start_traversal_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + start_read <= 1'b0; + end + else if (is_mem_addr_csr_read) + begin + read_mem_addr <= t_ccip_clAddr'(sRx.c0.data); + start_read <= 'b1; + end + end + + + // ========================================================================= + // + // Main AFU logic + // + // ========================================================================= + + // + // States in our simple example. + // + //typedef enum logic [0:0] + typedef enum logic [1:0] + { + STATE_IDLE, + STATE_READ, + STATE_UPDATE, + STATE_WRITE + } + t_state; + + t_state state; + + // + // State machine + // + always_ff @(posedge clk) + begin + if (reset) + begin + state <= STATE_IDLE; + rd_end_of_list <= 1'b0; + end + else + begin + case (state) + STATE_IDLE: + begin + // Traversal begins when CSR 1 is written + if (start_read) + begin + state <= STATE_READ; + $display("AFU starting traversal at 0x%x", t_ccip_clAddr'(read_mem_addr)); + end + end + + STATE_READ: + begin + if (rd_needed) + begin + // Read data from the address and update address + state <= STATE_UPDATE; + start_read <= 'b0; + $display("AFU reading data and pointing to next read address..."); + end + end + + STATE_UPDATE: + begin + // Update the read value to be written back + if (do_update) + begin + state <= STATE_WRITE; + $display("AFU performing comutations on the read values..."); + end + end + + STATE_WRITE: + begin + // Write the updated value to the address + // Point to new address after that + // if done then point to IDLE; else read new values + if (rd_end_of_list) + begin + state <= STATE_IDLE; + $display("AFU done..."); + end + else + begin + if (wr_needed) + begin + state <= STATE_READ; + $display("AFU reading again from read address..."); + end + end + end + endcase + end + end + + + // ========================================================================= + // + // Read logic. + // + // ========================================================================= + + // + // READ REQUEST + // + + // Did a write response just arrive + logic addr_next_valid; + + // Next read address + t_ccip_clAddr addr_next; + + always_ff @(posedge clk) + begin + // Next read address is valid when we have got the write response back + // and channel is not full + //addr_next_valid <= sRx.c0TxAlmFull; + addr_next_valid <= sRx.c1.rspValid; + + // Next address is current address plus address length + // Apurve + //addr_next <= addr_next + addr_size; + addr_next <= addr_next + 0; + + // End of list reached if we have read 10 times + rd_end_of_list <= (cnt_list_length == 'h10); + end + + // + // Since back pressure may prevent an immediate read request, we must + // record whether a read is needed and hold it until the request can + // be sent to the FIU. + // + t_ccip_clAddr rd_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + rd_needed <= 1'b0; + end + else + begin + // If reads are allowed this cycle then we can safely clear + // any previously requested reads. This simple AFU has only + // one read in flight at a time since it is walking a pointer + // chain. + if (rd_needed) + begin + rd_needed <= sRx.c0TxAlmFull; + end + else + begin + // Need a read under two conditions: + // - Starting a new walk + // - A read response just arrived from a line containing + // a next pointer. + rd_needed <= (start_read || (addr_next_valid && ! rd_end_of_list)); + rd_addr <= (start_read ? read_mem_addr : addr_next); + end + end + end + + // + // Emit read requests to the FIU. + // + + // Read header defines the request to the FIU + t_cci_c0_ReqMemHdr rd_hdr; + + always_comb + begin + rd_hdr = t_cci_c0_ReqMemHdr'(0); + + // Read request type + rd_hdr.req_type = eREQ_RDLINE_I; + // Virtual address (MPF virtual addressing is enabled) + rd_hdr.address = rd_addr; + // Let the FIU pick the channel + rd_hdr.vc_sel = eVC_VA; + // Read 4 lines (the size of an entry in the list) + rd_hdr.cl_len = eCL_LEN_4; + end + + // Send read requests to the FIU + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c0.valid <= 1'b0; + cnt_list_length <= 0; + end + else + begin + // Generate a read request when needed and the FIU isn't full + sTx.c0.valid <= (rd_needed && ! sRx.c0TxAlmFull); + sTx.c0.hdr <= rd_hdr; + + if (rd_needed && ! sRx.c0TxAlmFull) + begin + cnt_list_length <= cnt_list_length + 1; + //$display(" Reading from VA 0x%x", clAddrToByteAddr(rd_addr)); + $display("Incrementing read count..."); + end + end + end + + // + // READ RESPONSE HANDLING + // + + // + // Receive data (read responses). + // + always_ff @(posedge clk) + begin + if (reset) + begin + do_update <= 1'b0; + end + else + begin + if (state == STATE_READ) + begin + rd_data <= sRx.c0.data; + do_update <= 1'b1; + end + if (state == STATE_UPDATE) + begin + // Update the read data and put it in the write data to be written + wr_data <= rd_data + 1; + do_update <= 1'b0; + end + end + end + + + // ========================================================================= + // + // Write logic. + // + // ========================================================================= + + + // + // WRITE REQUEST + // + + // Did a write response just arrive + logic wr_addr_next_valid; + + // Next write address + t_ccip_clAddr wr_addr_next; + + always_ff @(posedge clk) + begin + // Next write address is valid when we have got the read response back + // and channel is not full + //wr_addr_next_valid <= sRx.c1TxAlmFull; + wr_addr_next_valid <= sRx.c0.rspValid; + + // Next address is current address plus address length + // Apurve + //wr_addr_next <= wr_addr_next + addr_size; + wr_addr_next <= wr_addr_next + 0; + end + + // + // Since back pressure may prevent an immediate write request, we must + // record whether a write is needed and hold it until the request can + // be sent to the FIU. + // + t_ccip_clAddr wr_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + wr_needed <= 1'b0; + end + else + begin + // If writes are allowed this cycle then we can safely clear + // any previously requested writes. This simple AFU has only + // one write in flight at a time since it is walking a pointer + // chain. + if (wr_needed) + begin + wr_needed <= sRx.c1TxAlmFull; + end + else + begin + // Need a write under two conditions: + // - Starting a new walk + // - A write response just arrived from a line containing + // a next pointer. + //wr_needed <= (start_write || (wr_addr_next_valid && ! rd_end_of_list)); + wr_needed <= (start_write || wr_addr_next_valid); + wr_addr <= (start_write ? write_mem_addr : wr_addr_next); + end + end + end + + // + // Emit write requests to the FIU. + // + + // Write header defines the request to the FIU + t_ccip_c1_ReqMemHdr wr_hdr; + + always_comb + begin + wr_hdr = t_cci_c1_ReqMemHdr'(0); + + // Write request type + wr_hdr.req_type = eREQ_RDLINE_I; + // Virtual address (MPF virtual addressing is enabled) + wr_hdr.address = wr_addr; + // Let the FIU pick the channel + wr_hdr.vc_sel = eVC_VA; + // Write 4 lines (the size of an entry in the list) + wr_hdr.cl_len = eCL_LEN_4; + // Start of packet is true (single line write) + wr_hdr.sop = 1'b1; + end + + // Send write requests to the FIU + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c1.valid <= 1'b0; + //cnt_list_length <= 0; + end + else + begin + // Generate a write request when needed and the FIU isn't full + sTx.c1.valid <= (wr_needed && ! sRx.c1TxAlmFull); + sTx.c1.hdr <= wr_hdr; + sTx.c1.data = t_ccip_clData'(wr_data); + + //if (wr_needed && ! sRx.c1TxAlmFull) + //begin + // cnt_list_length <= cnt_list_length + 1; + // //$display(" Writing from VA 0x%x", clAddrToByteAddr(rd_addr)); + // $display("Incrementing write count..."); + //end + end + end + + // + // WRITE RESPONSE HANDLING + // + + // Apurve: Check if a signal is to be sent to read to start reading in case + // write response does not work + // + // Send data (write requests). + // + //always_ff @(posedge clk) + //begin + // if (state == STATE_WRITE) + // begin + // rd_data <= sRx.c0.data; + // end + // if (state == STATE_UPDATE) + // begin + // // Update the write data and put it in the write data to be written + // wr_data <= rd_data + 1; + // end + //end + +endmodule diff --git a/driver/dogfood/Memcpy/hw/rtl/cci_hello.json b/driver/dogfood/Memcpy/hw/rtl/cci_hello.json new file mode 100644 index 000000000..85d7a5293 --- /dev/null +++ b/driver/dogfood/Memcpy/hw/rtl/cci_hello.json @@ -0,0 +1,18 @@ +{ + "version": 1, + "afu-image": { + "power": 0, + "afu-top-interface": + { + "name": "ccip_std_afu" + }, + "accelerator-clusters": + [ + { + "name": "cci_hello", + "total-contexts": 1, + "accelerator-type-uuid": "c6aa954a-9b91-4a37-abc1-1d9f0709dcc3" + } + ] + } +} diff --git a/driver/dogfood/Memcpy/hw/rtl/cci_hello_afu.sv b/driver/dogfood/Memcpy/hw/rtl/cci_hello_afu.sv new file mode 100644 index 000000000..eaee72dad --- /dev/null +++ b/driver/dogfood/Memcpy/hw/rtl/cci_hello_afu.sv @@ -0,0 +1,653 @@ +// +// Copyright (c) 2017, Intel Corporation +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// Redistributions of source code must retain the above copyright notice, this +// list of conditions and the following disclaimer. +// +// Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// +// Neither the name of the Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +// Read from the memory locations first and then write to the memory locations + +`include "platform_if.vh" +`include "afu_json_info.vh" + + +module ccip_std_afu + ( + // CCI-P Clocks and Resets + input logic pClk, // 400MHz - CCI-P clock domain. Primary interface clock + input logic pClkDiv2, // 200MHz - CCI-P clock domain. + input logic pClkDiv4, // 100MHz - CCI-P clock domain. + input logic uClk_usr, // User clock domain. Refer to clock programming guide ** Currently provides fixed 300MHz clock ** + input logic uClk_usrDiv2, // User clock domain. Half the programmed frequency ** Currently provides fixed 150MHz clock ** + input logic pck_cp2af_softReset, // CCI-P ACTIVE HIGH Soft Reset + input logic [1:0] pck_cp2af_pwrState, // CCI-P AFU Power State + input logic pck_cp2af_error, // CCI-P Protocol Error Detected + + // Interface structures + input t_if_ccip_Rx pck_cp2af_sRx, // CCI-P Rx Port + output t_if_ccip_Tx pck_af2cp_sTx // CCI-P Tx Port + ); + + + // + // Run the entire design at the standard CCI-P frequency (400 MHz). + // + logic clk; + assign clk = pClk; + + logic reset; + assign reset = pck_cp2af_softReset; + + logic [511:0] wr_data; + logic [511:0] rd_data; + + logic do_update; + logic start_read; + logic start_write; + logic wr_addr_next_valid; + logic addr_next_valid; + logic rd_end_of_list; + logic rd_needed; + logic wr_needed; + logic read_req; + logic write_req; + logic [15:0] cnt_list_length; + t_ccip_clAddr rd_addr; + t_ccip_clAddr wr_addr; + t_ccip_clAddr addr_next; + t_ccip_clAddr wr_addr_next; + + // ========================================================================= + // + // Register requests. + // + // ========================================================================= + + // + // The incoming pck_cp2af_sRx and outgoing pck_af2cp_sTx must both be + // registered. Here we register pck_cp2af_sRx and assign it to sRx. + // We also assign pck_af2cp_sTx to sTx here but don't register it. + // The code below never uses combinational logic to write sTx. + // + + t_if_ccip_Rx sRx; + always_ff @(posedge clk) + begin + sRx <= pck_cp2af_sRx; + end + + t_if_ccip_Tx sTx; + assign pck_af2cp_sTx = sTx; + + + // ========================================================================= + // + // CSR (MMIO) handling. + // + // ========================================================================= + + // The AFU ID is a unique ID for a given program. Here we generated + // one with the "uuidgen" program and stored it in the AFU's JSON file. + // ASE and synthesis setup scripts automatically invoke afu_json_mgr + // to extract the UUID into afu_json_info.vh. + logic [127:0] afu_id = `AFU_ACCEL_UUID; + + // + // A valid AFU must implement a device feature list, starting at MMIO + // address 0. Every entry in the feature list begins with 5 64-bit + // words: a device feature header, two AFU UUID words and two reserved + // words. + // + + // Is a CSR read request active this cycle? + logic is_csr_read; + assign is_csr_read = sRx.c0.mmioRdValid; + + // Is a CSR write request active this cycle? + logic is_csr_write; + assign is_csr_write = sRx.c0.mmioWrValid; + + // The MMIO request header is overlayed on the normal c0 memory read + // response data structure. Cast the c0Rx header to an MMIO request + // header. + t_ccip_c0_ReqMmioHdr mmio_req_hdr; + assign mmio_req_hdr = t_ccip_c0_ReqMmioHdr'(sRx.c0.hdr); + + + // + // Implement the device feature list by responding to MMIO reads. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c2.mmioRdValid <= 1'b0; + end + else + begin + // Always respond with something for every read request + sTx.c2.mmioRdValid <= is_csr_read; + + // The unique transaction ID matches responses to requests + sTx.c2.hdr.tid <= mmio_req_hdr.tid; + + // Addresses are of 32-bit objects in MMIO space. Addresses + // of 64-bit objects are thus multiples of 2. + case (mmio_req_hdr.address) + 0: // AFU DFH (device feature header) + begin + // Here we define a trivial feature list. In this + // example, our AFU is the only entry in this list. + sTx.c2.data <= t_ccip_mmioData'(0); + // Feature type is AFU + sTx.c2.data[63:60] <= 4'h1; + // End of list (last entry in list) + sTx.c2.data[40] <= 1'b1; + end + + // AFU_ID_L + 2: sTx.c2.data <= afu_id[63:0]; + + // AFU_ID_H + 4: sTx.c2.data <= afu_id[127:64]; + + // DFH_RSVD0 + 6: sTx.c2.data <= t_ccip_mmioData'(0); + + // DFH_RSVD1 + 8: sTx.c2.data <= t_ccip_mmioData'(0); + + // Updated by apurve to check fpgaReadMMIO + 10: sTx.c2.data <= t_ccip_mmioData'(start_read); + + default: sTx.c2.data <= t_ccip_mmioData'(0); + endcase + end + end + + + // + // CSR write handling. Host software must tell the AFU the memory address + // to which it should be writing. The address is set by writing a CSR. + // + + // We use MMIO address 0 to set the memory address. The read and + // write MMIO spaces are logically separate so we are free to use + // whatever we like. This may not be good practice for cleanly + // organizing the MMIO address space, but it is legal. + logic is_mem_addr_csr_write; + assign is_mem_addr_csr_write = is_csr_write && + (mmio_req_hdr.address == t_ccip_mmioAddr'(0)); + + // Memory address to which this AFU will write. + t_ccip_clAddr write_mem_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + start_write <= 1'b0; + end + else if (is_mem_addr_csr_write) + begin + write_mem_addr <= t_ccip_clAddr'(sRx.c0.data); + start_write <= 1'b1; + //$display("Write mem address is 0x%x", t_ccip_clAddr'(write_mem_addr)); + end + end + + + // We use MMIO address 8 to set the memory address for reading data. + logic is_mem_addr_csr_read; + assign is_mem_addr_csr_read = is_csr_write && + (mmio_req_hdr.address == t_ccip_mmioAddr'(2)); + + // Memory address from which this AFU will read. + t_ccip_clAddr read_mem_addr; + + //logic start_traversal = 'b0; + //t_ccip_clAddr start_traversal_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + start_read <= 1'b0; + end + else if (is_mem_addr_csr_read) + begin + read_mem_addr <= t_ccip_clAddr'(sRx.c0.data); + start_read <= 1'b1; + //$display("Read mem address is 0x%x", t_ccip_clAddr'(read_mem_addr)); + end + end + + + // ========================================================================= + // + // Main AFU logic + // + // ========================================================================= + + // + // States in our simple example. + // + //typedef enum logic [0:0] + typedef enum logic [1:0] + { + STATE_IDLE, + STATE_READ, + STATE_UPDATE, + STATE_WRITE + } + t_state; + + t_state state; + + // + // State machine + // + always_ff @(posedge clk) + begin + if (reset) + begin + state <= STATE_IDLE; + rd_end_of_list <= 1'b0; + end + else + begin + case (state) + STATE_IDLE: + begin + // Traversal begins when CSR 1 is written + if (start_read) + begin + state <= STATE_READ; + $display("AFU starting traversal at 0x%x", t_ccip_clAddr'(read_mem_addr)); + end + end + + STATE_READ: + begin + $display("AFU in READ..."); + $display("do_update is %d...",do_update); + $display("addr_next_valid is %d...",addr_next_valid); + $display("rd_needed is %d...",rd_needed); + if (!rd_needed && do_update) + begin + state <= STATE_UPDATE; + $display("AFU moving to UPDATE..."); + end + end + + STATE_UPDATE: + begin + // Update the read value to be written back + $display("AFU in UPDATE..."); + if (!do_update) + begin + state <= STATE_WRITE; + wr_needed <= 1'b1; + $display("AFU moving to WRITE..."); + end + end + + STATE_WRITE: + begin + // Write the updated value to the address + // Point to new address after that + // if done then point to IDLE; else read new values + $display("AFU in WRITE..."); + if (rd_end_of_list) + begin + state <= STATE_IDLE; + $display("AFU done..."); + end + else if (!wr_needed) + begin + state <= STATE_READ; + $display("AFU moving to READ from WRITE..."); + start_write <= 1'b0; + write_req <= 1'b0; + end + end + endcase + end + end + + + // ========================================================================= + // + // Read logic. + // + // ========================================================================= + + // + // READ REQUEST + // + + // Did a write response just arrive + + // Next read address + + always_ff @(posedge clk) + begin + // Next read address is valid when we have got the write response back + if (sRx.c1.rspValid) + begin + addr_next_valid <= sRx.c1.rspValid; + + //if (state == STATE_READ && !rd_needed) + //begin + // Apurve: Next address is current address plus address length + //addr_next <= addr_next + addr_size; + addr_next <= (addr_next_valid ? rd_addr + 0 : rd_addr); + + // End of list reached if we have read 5 times + rd_end_of_list <= (cnt_list_length == 'h5); + //end + end + end + + // + // Since back pressure may prevent an immediate read request, we must + // record whether a read is needed and hold it until the request can + // be sent to the FIU. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + rd_needed <= 1'b0; + end + else + begin + // If reads are allowed this cycle then we can safely clear + // any previously requested reads. This simple AFU has only + // one read in flight at a time since it is walking a pointer + // chain. + if (rd_needed) + begin + //rd_needed <= sRx.c0TxAlmFull; + //rd_needed <= (!sRx.c0TxAlmFull && !sRx.c0.rspValid); + rd_needed <= !sRx.c0.rspValid; + end + else if (state == STATE_READ) + begin + // Need a read under two conditions: + // - Starting a new walk + // - A read response just arrived from a line containing + // a next pointer. + rd_needed <= (start_read || (!sRx.c0TxAlmFull && (addr_next_valid && ! rd_end_of_list))); + rd_addr <= (start_read ? read_mem_addr : addr_next); + //$display("rd_addr is 0x%x", t_ccip_clAddr'(rd_addr)); + //$display("read mem addr is 0x%x", t_ccip_clAddr'(read_mem_addr)); + //$display("start read is %d", start_read); + end + end + end + + // + // Emit read requests to the FIU. + // + + // Read header defines the request to the FIU + t_ccip_c0_ReqMemHdr rd_hdr; + + always_comb + begin + rd_hdr = t_ccip_c0_ReqMemHdr'(0); + + // Read request type (No intention to cache) + //rd_hdr.req_type = 4'h0; + + // Virtual address (MPF virtual addressing is enabled) + rd_hdr.address = rd_addr; + + // Read over channel VA + //rd_hdr.vc_sel = 2'h0; + + // Read one cache line (64 bytes) + //rd_hdr.cl_len = 2'h0; + end + + // Send read requests to the FIU + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c0.valid <= 1'b0; + cnt_list_length <= 0; + read_req <= 1'b0; + end + else + begin + // Generate a read request when needed and the FIU isn't full + if (state == STATE_READ) + begin + sTx.c0.valid <= (rd_needed && !sRx.c0TxAlmFull && !read_req); + + if (rd_needed && !sRx.c0TxAlmFull && !read_req) + begin + sTx.c0.hdr <= rd_hdr; + cnt_list_length <= cnt_list_length + 1; + read_req <= 1'b1; + $display("Incrementing read count...%d",cnt_list_length); + $display("Read address is 0x%x...",rd_hdr.address); + addr_next_valid <= 1'b0; + // Apurve: Add something to stop read once this section has been accessed + //rd_needed <= 1'b0; + end + end + end + end + + // + // READ RESPONSE HANDLING + // + + // + // Receive data (read responses). + // + always_ff @(posedge clk) + begin + if (reset) + begin + do_update <= 1'b0; + end + else + begin + if (!do_update && sRx.c0.rspValid) + begin + rd_data <= sRx.c0.data; + do_update <= 1'b1; + $display("rd data is %d...",rd_data); + end + + if ((state == STATE_UPDATE) && (do_update == 1'b1)) + begin + // Update the read data and put it in the write data to be written + wr_data <= rd_data + 2; + do_update <= 1'b0; + read_req <= 1'b0; + $display("write data is %d...",wr_data); + + // First read done. Next reads should be from the updated addresses + start_read <= 1'b0; + end + end + end + + + // ========================================================================= + // + // Write logic. + // + // ========================================================================= + + + // + // WRITE REQUEST + // + + // Did a write response just arrive + + // Next write address + + always_ff @(posedge clk) + begin + if (sRx.c0.rspValid) + begin + // Next write address is valid when we have got the read response back + wr_addr_next_valid <= sRx.c0.rspValid; + //wr_addr_next_valid <= (!start_write && sRx.c0.rspValid); + + //if (state == STATE_WRITE && !wr_needed) + //begin + // Apurve: Next address is current address plus address length + //wr_addr_next <= wr_addr + 0; + wr_addr_next <= (wr_addr_next_valid ? wr_addr + 0 : wr_addr); + //end + end + end + + // + // Since back pressure may prevent an immediate write request, we must + // record whether a write is needed and hold it until the request can + // be sent to the FIU. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + wr_needed <= 1'b0; + end + else + begin + // If writes are allowed this cycle then we can safely clear + // any previously requested writes. This simple AFU has only + // one write in flight at a time since it is walking a pointer + // chain. + if (wr_needed) + begin + //wr_needed <= sRx.c1TxAlmFull; + //wr_needed <= (!sRx.c1TxAlmFull && !sRx.c1.rspValid); + wr_needed <= !sRx.c1.rspValid; + end + else + begin + // Need a write under two conditions: + // - Starting a new walk + // - A write response just arrived from a line containing + // a next pointer. + wr_needed <= (start_write || (!sRx.c1TxAlmFull && wr_addr_next_valid)); + wr_addr <= (start_write ? write_mem_addr : wr_addr_next); + //$display("Write mem address later is 0x%x", t_ccip_clAddr'(write_mem_addr)); + end + end + end + + // + // Emit write requests to the FIU. + // + + // Write header defines the request to the FIU + t_ccip_c1_ReqMemHdr wr_hdr; + + always_comb + begin + wr_hdr = t_ccip_c1_ReqMemHdr'(0); + + // Write request type + //wr_hdr.req_type = 4'h0; + + // Virtual address (MPF virtual addressing is enabled) + wr_hdr.address = wr_addr; + + // Let the FIU pick the channel + //wr_hdr.vc_sel = 2'h2; + + // Write 1 cache line (64 bytes) + //wr_hdr.cl_len = 2'h0; + + // Start of packet is true (single line write) + wr_hdr.sop = 1'b1; + end + + // Send write requests to the FIU + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c1.valid <= 1'b0; + write_req <= 1'b0; + end + else + begin + // Generate a write request when needed and the FIU isn't full + if (state == STATE_WRITE) + begin + sTx.c1.valid <= (wr_needed && !sRx.c1TxAlmFull && !write_req); + if (wr_needed && !sRx.c1TxAlmFull && !write_req) + begin + sTx.c1.hdr <= wr_hdr; + sTx.c1.data <= t_ccip_clData'(wr_data); + write_req <= 1'b1; + wr_addr_next_valid <= 1'b0; + $display("Write address is 0x%x...", wr_hdr.address); + end + end + end + end + + + // + // WRITE RESPONSE HANDLING + // + + // Apurve: Check if a signal is to be sent to read to start reading in case + // write response does not work + // + // Send data (write requests). + // + //always_ff @(posedge clk) + //begin + // if (state == STATE_WRITE) + // begin + // rd_data <= sRx.c0.data; + // end + // if (state == STATE_UPDATE) + // begin + // // Update the write data and put it in the write data to be written + // wr_data <= rd_data + 1; + // end + //end + +endmodule diff --git a/driver/dogfood/Memcpy/hw/rtl/cci_hello_afu_working.sv b/driver/dogfood/Memcpy/hw/rtl/cci_hello_afu_working.sv new file mode 100644 index 000000000..144b430e9 --- /dev/null +++ b/driver/dogfood/Memcpy/hw/rtl/cci_hello_afu_working.sv @@ -0,0 +1,621 @@ +// +// Copyright (c) 2017, Intel Corporation +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// Redistributions of source code must retain the above copyright notice, this +// list of conditions and the following disclaimer. +// +// Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// +// Neither the name of the Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + + +// Read from the memory locations first and then write to the memory locations + +`include "platform_if.vh" +`include "afu_json_info.vh" + + +module ccip_std_afu + ( + // CCI-P Clocks and Resets + input logic pClk, // 400MHz - CCI-P clock domain. Primary interface clock + input logic pClkDiv2, // 200MHz - CCI-P clock domain. + input logic pClkDiv4, // 100MHz - CCI-P clock domain. + input logic uClk_usr, // User clock domain. Refer to clock programming guide ** Currently provides fixed 300MHz clock ** + input logic uClk_usrDiv2, // User clock domain. Half the programmed frequency ** Currently provides fixed 150MHz clock ** + input logic pck_cp2af_softReset, // CCI-P ACTIVE HIGH Soft Reset + input logic [1:0] pck_cp2af_pwrState, // CCI-P AFU Power State + input logic pck_cp2af_error, // CCI-P Protocol Error Detected + + // Interface structures + input t_if_ccip_Rx pck_cp2af_sRx, // CCI-P Rx Port + output t_if_ccip_Tx pck_af2cp_sTx // CCI-P Tx Port + ); + + + // + // Run the entire design at the standard CCI-P frequency (400 MHz). + // + logic clk; + assign clk = pClk; + + logic reset; + assign reset = pck_cp2af_softReset; + + logic [511:0] wr_data; + logic [511:0] rd_data; + + logic do_update; + logic start_read; + logic start_write; + logic wr_addr_next_valid; + logic addr_next_valid; + logic rd_end_of_list; + logic rd_needed; + logic wr_needed; + logic [15:0] cnt_list_length; + t_ccip_clAddr rd_addr; + t_ccip_clAddr wr_addr; + t_ccip_clAddr addr_next; + t_ccip_clAddr wr_addr_next; + + // ========================================================================= + // + // Register requests. + // + // ========================================================================= + + // + // The incoming pck_cp2af_sRx and outgoing pck_af2cp_sTx must both be + // registered. Here we register pck_cp2af_sRx and assign it to sRx. + // We also assign pck_af2cp_sTx to sTx here but don't register it. + // The code below never uses combinational logic to write sTx. + // + + t_if_ccip_Rx sRx; + always_ff @(posedge clk) + begin + sRx <= pck_cp2af_sRx; + end + + t_if_ccip_Tx sTx; + assign pck_af2cp_sTx = sTx; + + + // ========================================================================= + // + // CSR (MMIO) handling. + // + // ========================================================================= + + // The AFU ID is a unique ID for a given program. Here we generated + // one with the "uuidgen" program and stored it in the AFU's JSON file. + // ASE and synthesis setup scripts automatically invoke afu_json_mgr + // to extract the UUID into afu_json_info.vh. + logic [127:0] afu_id = `AFU_ACCEL_UUID; + + // + // A valid AFU must implement a device feature list, starting at MMIO + // address 0. Every entry in the feature list begins with 5 64-bit + // words: a device feature header, two AFU UUID words and two reserved + // words. + // + + // Is a CSR read request active this cycle? + logic is_csr_read; + assign is_csr_read = sRx.c0.mmioRdValid; + + // Is a CSR write request active this cycle? + logic is_csr_write; + assign is_csr_write = sRx.c0.mmioWrValid; + + // The MMIO request header is overlayed on the normal c0 memory read + // response data structure. Cast the c0Rx header to an MMIO request + // header. + t_ccip_c0_ReqMmioHdr mmio_req_hdr; + assign mmio_req_hdr = t_ccip_c0_ReqMmioHdr'(sRx.c0.hdr); + + + // + // Implement the device feature list by responding to MMIO reads. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c2.mmioRdValid <= 1'b0; + end + else + begin + // Always respond with something for every read request + sTx.c2.mmioRdValid <= is_csr_read; + + // The unique transaction ID matches responses to requests + sTx.c2.hdr.tid <= mmio_req_hdr.tid; + + // Addresses are of 32-bit objects in MMIO space. Addresses + // of 64-bit objects are thus multiples of 2. + case (mmio_req_hdr.address) + 0: // AFU DFH (device feature header) + begin + // Here we define a trivial feature list. In this + // example, our AFU is the only entry in this list. + sTx.c2.data <= t_ccip_mmioData'(0); + // Feature type is AFU + sTx.c2.data[63:60] <= 4'h1; + // End of list (last entry in list) + sTx.c2.data[40] <= 1'b1; + end + + // AFU_ID_L + 2: sTx.c2.data <= afu_id[63:0]; + + // AFU_ID_H + 4: sTx.c2.data <= afu_id[127:64]; + + // DFH_RSVD0 + 6: sTx.c2.data <= t_ccip_mmioData'(0); + + // DFH_RSVD1 + 8: sTx.c2.data <= t_ccip_mmioData'(0); + + // Updated by apurve to check fpgaReadMMIO + 10: sTx.c2.data <= t_ccip_mmioData'(start_read); + + default: sTx.c2.data <= t_ccip_mmioData'(0); + endcase + end + end + + + // + // CSR write handling. Host software must tell the AFU the memory address + // to which it should be writing. The address is set by writing a CSR. + // + + // We use MMIO address 0 to set the memory address. The read and + // write MMIO spaces are logically separate so we are free to use + // whatever we like. This may not be good practice for cleanly + // organizing the MMIO address space, but it is legal. + logic is_mem_addr_csr_write; + assign is_mem_addr_csr_write = is_csr_write && + (mmio_req_hdr.address == t_ccip_mmioAddr'(0)); + + // Memory address to which this AFU will write. + t_ccip_clAddr write_mem_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + start_write <= 1'b0; + end + else if (is_mem_addr_csr_write) + begin + write_mem_addr <= t_ccip_clAddr'(sRx.c0.data); + start_write <= 1'b1; + //$display("Write mem address is 0x%x", t_ccip_clAddr'(write_mem_addr)); + end + end + + + // We use MMIO address 8 to set the memory address for reading data. + logic is_mem_addr_csr_read; + assign is_mem_addr_csr_read = is_csr_write && + (mmio_req_hdr.address == t_ccip_mmioAddr'(2)); + + // Memory address from which this AFU will read. + t_ccip_clAddr read_mem_addr; + + //logic start_traversal = 'b0; + //t_ccip_clAddr start_traversal_addr; + + always_ff @(posedge clk) + begin + if (reset) + begin + start_read <= 1'b0; + end + else if (is_mem_addr_csr_read) + begin + read_mem_addr <= t_ccip_clAddr'(sRx.c0.data); + start_read <= 1'b1; + //$display("Read mem address is 0x%x", t_ccip_clAddr'(read_mem_addr)); + end + end + + + // ========================================================================= + // + // Main AFU logic + // + // ========================================================================= + + // + // States in our simple example. + // + //typedef enum logic [0:0] + typedef enum logic [1:0] + { + STATE_IDLE, + STATE_READ, + STATE_UPDATE, + STATE_WRITE + } + t_state; + + t_state state; + + // + // State machine + // + always_ff @(posedge clk) + begin + if (reset) + begin + state <= STATE_IDLE; + rd_end_of_list <= 1'b0; + end + else + begin + case (state) + STATE_IDLE: + begin + // Traversal begins when CSR 1 is written + if (start_read) + begin + state <= STATE_READ; + $display("AFU starting traversal at 0x%x", t_ccip_clAddr'(read_mem_addr)); + end + end + + STATE_READ: + begin + $display("AFU in READ..."); + if (!rd_needed && do_update) + begin + state <= STATE_UPDATE; + $display("AFU moving to UPDATE..."); + end + end + + STATE_UPDATE: + begin + // Update the read value to be written back + $display("AFU in UPDATE..."); + if (!do_update) + begin + state <= STATE_WRITE; + wr_needed <= 1'b1; + $display("AFU moving to WRITE..."); + end + end + + STATE_WRITE: + begin + // Write the updated value to the address + // Point to new address after that + // if done then point to IDLE; else read new values + $display("AFU in WRITE..."); + if (rd_end_of_list) + begin + state <= STATE_IDLE; + $display("AFU done..."); + end + else if (!wr_needed) + begin + state <= STATE_READ; + $display("AFU moving to READ from WRITE..."); + start_write <= 1'b0; + end + end + endcase + end + end + + + // ========================================================================= + // + // Read logic. + // + // ========================================================================= + + // + // READ REQUEST + // + + // Did a write response just arrive + + // Next read address + + always_ff @(posedge clk) + begin + // Next read address is valid when we have got the write response back + addr_next_valid <= sRx.c1.rspValid; + + // Apurve: Next address is current address plus address length + //addr_next <= addr_next + addr_size; + addr_next <= rd_addr + 0; + + // End of list reached if we have read 5 times + rd_end_of_list <= (cnt_list_length == 'h5); + end + + // + // Since back pressure may prevent an immediate read request, we must + // record whether a read is needed and hold it until the request can + // be sent to the FIU. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + rd_needed <= 1'b0; + end + else + begin + // If reads are allowed this cycle then we can safely clear + // any previously requested reads. This simple AFU has only + // one read in flight at a time since it is walking a pointer + // chain. + if (rd_needed) + begin + rd_needed <= sRx.c0TxAlmFull; + end + else + begin + // Need a read under two conditions: + // - Starting a new walk + // - A read response just arrived from a line containing + // a next pointer. + rd_needed <= (start_read || (!sRx.c0TxAlmFull && (addr_next_valid && ! rd_end_of_list))); + rd_addr <= (start_read ? read_mem_addr : addr_next); + //$display("rd_addr is 0x%x", t_ccip_clAddr'(rd_addr)); + //$display("read mem addr is 0x%x", t_ccip_clAddr'(read_mem_addr)); + //$display("start read is %d", start_read); + end + end + end + + // + // Emit read requests to the FIU. + // + + // Read header defines the request to the FIU + t_ccip_c0_ReqMemHdr rd_hdr; + + always_comb + begin + rd_hdr = t_ccip_c0_ReqMemHdr'(0); + + // Read request type (No intention to cache) + //rd_hdr.req_type = 4'h0; + + // Virtual address (MPF virtual addressing is enabled) + rd_hdr.address = rd_addr; + + // Read over channel VA + //rd_hdr.vc_sel = 2'h0; + + // Read one cache line (64 bytes) + //rd_hdr.cl_len = 2'h0; + end + + // Send read requests to the FIU + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c0.valid <= 1'b0; + cnt_list_length <= 0; + end + else + begin + // Generate a read request when needed and the FIU isn't full + if (state == STATE_READ) + begin + sTx.c0.valid <= (rd_needed && !sRx.c0TxAlmFull); + + if (rd_needed && !sRx.c0TxAlmFull) + begin + sTx.c0.hdr <= rd_hdr; + cnt_list_length <= cnt_list_length + 1; + $display("Incrementing read count...%d",cnt_list_length); + $display("Read address is 0x%x...",rd_hdr.address); + // Apurve: Add something to stop read once this section has been accessed + end + end + end + end + + // + // READ RESPONSE HANDLING + // + + // + // Receive data (read responses). + // + always_ff @(posedge clk) + begin + if (reset) + begin + do_update <= 1'b0; + end + else + begin + if (sRx.c0.rspValid) + begin + rd_data <= sRx.c0.data; + do_update <= 1'b1; + //$display("rd data is %d...",rd_data); + end + + if (state == STATE_UPDATE) + begin + // Update the read data and put it in the write data to be written + wr_data <= rd_data + 2; + do_update <= 1'b0; + $display("write data is %d...",wr_data); + + // First read done. Next reads should be from the updated addresses + start_read <= 1'b0; + end + end + end + + + // ========================================================================= + // + // Write logic. + // + // ========================================================================= + + + // + // WRITE REQUEST + // + + // Did a write response just arrive + + // Next write address + + always_ff @(posedge clk) + begin + // Next write address is valid when we have got the read response back + wr_addr_next_valid <= sRx.c0.rspValid; + + // Apurve: Next address is current address plus address length + wr_addr_next <= wr_addr + 0; + + end + + // + // Since back pressure may prevent an immediate write request, we must + // record whether a write is needed and hold it until the request can + // be sent to the FIU. + // + + always_ff @(posedge clk) + begin + if (reset) + begin + wr_needed <= 1'b0; + end + else + begin + // If writes are allowed this cycle then we can safely clear + // any previously requested writes. This simple AFU has only + // one write in flight at a time since it is walking a pointer + // chain. + if (wr_needed) + begin + wr_needed <= sRx.c1TxAlmFull; + end + else + begin + // Need a write under two conditions: + // - Starting a new walk + // - A write response just arrived from a line containing + // a next pointer. + wr_needed <= (start_write || (!sRx.c1TxAlmFull && wr_addr_next_valid)); + wr_addr <= (start_write ? write_mem_addr : wr_addr_next); + //$display("Write mem address later is 0x%x", t_ccip_clAddr'(write_mem_addr)); + end + end + end + + // + // Emit write requests to the FIU. + // + + // Write header defines the request to the FIU + t_ccip_c1_ReqMemHdr wr_hdr; + + always_comb + begin + wr_hdr = t_ccip_c1_ReqMemHdr'(0); + + // Write request type + //wr_hdr.req_type = 4'h0; + + // Virtual address (MPF virtual addressing is enabled) + wr_hdr.address = wr_addr; + + // Let the FIU pick the channel + //wr_hdr.vc_sel = 2'h2; + + // Write 1 cache line (64 bytes) + //wr_hdr.cl_len = 2'h0; + + // Start of packet is true (single line write) + wr_hdr.sop = 1'b1; + end + + // Send write requests to the FIU + always_ff @(posedge clk) + begin + if (reset) + begin + sTx.c1.valid <= 1'b0; + end + else + begin + // Generate a write request when needed and the FIU isn't full + if (state == STATE_WRITE) + begin + sTx.c1.valid <= (wr_needed && !sRx.c1TxAlmFull); + if (wr_needed && !sRx.c1TxAlmFull) + begin + sTx.c1.hdr <= wr_hdr; + sTx.c1.data <= t_ccip_clData'(wr_data); + end + end + end + end + + + // + // WRITE RESPONSE HANDLING + // + + // Apurve: Check if a signal is to be sent to read to start reading in case + // write response does not work + // + // Send data (write requests). + // + //always_ff @(posedge clk) + //begin + // if (state == STATE_WRITE) + // begin + // rd_data <= sRx.c0.data; + // end + // if (state == STATE_UPDATE) + // begin + // // Update the write data and put it in the write data to be written + // wr_data <= rd_data + 1; + // end + //end + +endmodule diff --git a/driver/dogfood/Memcpy/hw/rtl/sources.txt b/driver/dogfood/Memcpy/hw/rtl/sources.txt new file mode 100644 index 000000000..8a73008bc --- /dev/null +++ b/driver/dogfood/Memcpy/hw/rtl/sources.txt @@ -0,0 +1,2 @@ +cci_hello.json +cci_hello_afu.sv diff --git a/driver/dogfood/Memcpy/hw/sim/setup_ase b/driver/dogfood/Memcpy/hw/sim/setup_ase new file mode 100755 index 000000000..a8414ac0f --- /dev/null +++ b/driver/dogfood/Memcpy/hw/sim/setup_ase @@ -0,0 +1,11 @@ +#!/bin/sh + +## +## Setup ASE environment using ../rtl/sources.txt. +## + +# Absolute path to this script +SCRIPT=$(readlink -f "$0") +SCRIPT_PATH=$(dirname "$SCRIPT") + +afu_sim_setup --sources="${SCRIPT_PATH}/../rtl/sources.txt" $@ diff --git a/driver/dogfood/Memcpy/sw/Makefile b/driver/dogfood/Memcpy/sw/Makefile new file mode 100644 index 000000000..f3b66c127 --- /dev/null +++ b/driver/dogfood/Memcpy/sw/Makefile @@ -0,0 +1,41 @@ +include ../../common/sw/common_include.mk + +# Primary test name +TEST = cci_hello + +# Build directory +OBJDIR = obj +CFLAGS += -I./$(OBJDIR) +CPPFLAGS += -I./$(OBJDIR) + +# Files and folders +SRCS = $(TEST).c +OBJS = $(addprefix $(OBJDIR)/,$(patsubst %.c,%.o,$(SRCS))) + +# Targets (build only $(TEST)_ase by default) +all: $(TEST) $(TEST)_ase + +# AFU info from JSON file, including AFU UUID +AFU_JSON_INFO = $(OBJDIR)/afu_json_info.h + +$(AFU_JSON_INFO): ../hw/rtl/$(TEST).json | objdir + afu_json_mgr json-info --afu-json=$^ --c-hdr=$@ + +$(OBJS): $(AFU_JSON_INFO) + +$(TEST): $(OBJS) + $(CC) -o $@ $^ $(LDFLAGS) $(FPGA_LIBS) + +$(TEST)_ase: $(OBJS) + $(CC) -o $@ $^ $(LDFLAGS) $(ASE_LIBS) + +$(OBJDIR)/%.o: %.c | objdir + $(CC) $(CFLAGS) -c $< -o $@ + +clean: + rm -rf $(TEST) $(TEST)_ase $(OBJDIR) + +objdir: + @mkdir -p $(OBJDIR) + +.PHONY: all clean diff --git a/driver/dogfood/Memcpy/sw/cci_hello.c b/driver/dogfood/Memcpy/sw/cci_hello.c new file mode 100644 index 000000000..f12d95c2c --- /dev/null +++ b/driver/dogfood/Memcpy/sw/cci_hello.c @@ -0,0 +1,210 @@ +// +// Copyright (c) 2017, Intel Corporation +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without +// modification, are permitted provided that the following conditions are met: +// +// Redistributions of source code must retain the above copyright notice, this +// list of conditions and the following disclaimer. +// +// Redistributions in binary form must reproduce the above copyright notice, +// this list of conditions and the following disclaimer in the documentation +// and/or other materials provided with the distribution. +// +// Neither the name of the Intel Corporation nor the names of its contributors +// may be used to endorse or promote products derived from this software +// without specific prior written permission. +// +// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE +// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +// CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +// POSSIBILITY OF SUCH DAMAGE. + +#include +#include +#include +#include +#include +#include + +#include + +// State from the AFU's JSON file, extracted using OPAE's afu_json_mgr script +#include "afu_json_info.h" + +#define CACHELINE_BYTES 64 +#define CL(x) ((x) * CACHELINE_BYTES) + + +// +// Search for an accelerator matching the requested UUID and connect to it. +// +static fpga_handle connect_to_accel(const char *accel_uuid) +{ + fpga_properties filter = NULL; + fpga_guid guid; + fpga_token accel_token; + uint32_t num_matches; + fpga_handle accel_handle; + fpga_result r; + + // Don't print verbose messages in ASE by default + //setenv("ASE_LOG", "0", 0); + + // Set up a filter that will search for an accelerator + fpgaGetProperties(NULL, &filter); + fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + + // Add the desired UUID to the filter + uuid_parse(accel_uuid, guid); + fpgaPropertiesSetGUID(filter, guid); + + // Do the search across the available FPGA contexts + num_matches = 1; + fpgaEnumerate(&filter, 1, &accel_token, 1, &num_matches); + + // Not needed anymore + fpgaDestroyProperties(&filter); + + if (num_matches < 1) + { + fprintf(stderr, "Accelerator %s not found!\n", accel_uuid); + return 0; + } + + // Open accelerator + r = fpgaOpen(accel_token, &accel_handle, 0); + assert(FPGA_OK == r); + + // Done with token + fpgaDestroyToken(&accel_token); + + return accel_handle; +} + + +// +// Allocate a buffer in I/O memory, shared with the FPGA. +// +static volatile void* alloc_buffer(fpga_handle accel_handle, + ssize_t size, + uint64_t *wsid, + uint64_t *io_addr) +{ + fpga_result r; + volatile void* buf; + + r = fpgaPrepareBuffer(accel_handle, size, (void*)&buf, wsid, 0); + if (FPGA_OK != r) return NULL; + + // Get the physical address of the buffer in the accelerator + r = fpgaGetIOAddress(accel_handle, *wsid, io_addr); + assert(FPGA_OK == r); + + return buf; +} + + +int main(int argc, char *argv[]) +{ + fpga_handle accel_handle; + volatile char *buf; + volatile char *buf_r; + uint64_t wsid1; + uint64_t wsid2; + uint64_t buf_pa; + uint64_t ret_buf_pa; + uint64_t buf_rpa; + uint64_t ret_buf_rpa; + fpga_result r; + + // Find and connect to the accelerator + accel_handle = connect_to_accel(AFU_ACCEL_UUID); + + // Allocate a single page memory buffer for write + buf = (volatile char*)alloc_buffer(accel_handle, 4 * getpagesize(), + &wsid1, &buf_pa); + // Allocate a single page memory buffer for read + buf_r = (volatile char*)alloc_buffer(accel_handle, 4 * getpagesize(), + &wsid2, &buf_rpa); + assert(NULL != buf); + + //// Set the low byte of the shared buffer to 0. The FPGA will write + //// a non-zero value to it. + //buf[0] = 0; + + // Set the low byte of the shared buffer buf_r to 0. The FPGA will read + // the values and write to buf address + buf[0] = 5; + buf_r[0] = 5; + + // Tell the accelerator the address of the buffer using cache line + // addresses. The accelerator will respond by writing to the buffer. + r = fpgaWriteMMIO64(accel_handle, 0, 0, buf_pa / CL(1)); + printf("Write address is %08lx\n", buf_pa); + printf("Write address div 64 is %08lx\n", buf_pa/ CL(1)); + assert(FPGA_OK == r); + + // Wait for response from FPGA. Check using fpgaReadMMIO + //r = fpgaReadMMIO64(accel_handle, 0, 0, &ret_buf_pa); + //printf("Returned write is %08lx\n", ret_buf_pa); + //assert(FPGA_OK == r); + +///////////////////// Added to check fpgaRead + // Wait for response from FPGA. Check using fpgaReadMMIO + r = fpgaReadMMIO64(accel_handle, 0, 5 * sizeof(uint64_t), &ret_buf_rpa); + printf("Returned read at 10 is %08lx\n", ret_buf_rpa); + assert(FPGA_OK == r); +/////////////////////////////////////////////// + + + // Tell the accelerator the address of the buffer using cache line + // addresses. The accelerator will read from the buffer. + // Write the address to MMIO 1 + r = fpgaWriteMMIO64(accel_handle, 0, sizeof(uint64_t), buf_rpa / CL(1)); + printf("Read address is %08lx\n", buf_rpa); + printf("Read address div64 is %08lx\n", buf_rpa / CL(1)); + assert(FPGA_OK == r); + + // Wait for response from FPGA. Check using fpgaReadMMIO + //r = fpgaReadMMIO64(accel_handle, 0, sizeof(uint64_t), &ret_buf_rpa); + //printf("Returned write is %08lx\n", ret_buf_rpa); + //assert(FPGA_OK == r); + + + + + + + + + // Update this + // Spin, waiting for the value in memory to change to something non-zero. + while (5 == buf[0]) + { + // A well-behaved program would use _mm_pause(), nanosleep() or + // equivalent to save power here. + }; + + // Print the string written by the FPGA + printf("%d\n", buf[0]); + + do { + //printf("%d\n", buf[0]); + } while (10 != buf[0]); + + // Done + fpgaReleaseBuffer(accel_handle, wsid1); + fpgaReleaseBuffer(accel_handle, wsid2); + fpgaClose(accel_handle); + + return 0; +} diff --git a/driver/dogfood/Memcpy/sw/obj/afu_json_info.h b/driver/dogfood/Memcpy/sw/obj/afu_json_info.h new file mode 100644 index 000000000..e16a53497 --- /dev/null +++ b/driver/dogfood/Memcpy/sw/obj/afu_json_info.h @@ -0,0 +1,13 @@ +// +// Generated by afu_json_mgr from ../hw/rtl/cci_hello.json +// + +#ifndef __AFU_JSON_INFO__ +#define __AFU_JSON_INFO__ + +#define AFU_ACCEL_NAME "cci_hello" +#define AFU_ACCEL_UUID "C6AA954A-9B91-4A37-ABC1-1D9F0709DCC3" +#define AFU_IMAGE_POWER 0 +#define AFU_TOP_IFC "ccip_std_afu" + +#endif // __AFU_JSON_INFO__ diff --git a/driver/dogfood/Memcpy/sw/obj/cci_hello.o b/driver/dogfood/Memcpy/sw/obj/cci_hello.o new file mode 100644 index 0000000000000000000000000000000000000000..a6d79f493c77264d59167e9a913e3aeedecb5e59 GIT binary patch literal 5336 zcmbW4e`p*<6vyY1CaLLlea%UXnZ83S2J2%|#B9OpjAS-r1CCH`8V_*+5E3P)Yr+O#aoQq$Z}r zN_tJzZg46odD%Oo%*u19RHo!PC3W>%Y%fxfmgg?wBuZ-50#m`8N?M*)QopPs&?tyA zXjYz~gQmJ>z%!3wJ5qDsAQPhDd{*SNJoCy-a*vWOvL+@Y|}ijX^ToK35yIaD5_O{83x)KkZfIuQkg5_=EN)6e@nkGqQA$Fgh&rN2qj7hLwDq+6)WFlyfdi63 zNBSFlzNY#*Uv*PUlefCgSKC%ly{%1co<>hotKVNsx`UmaQpEvjFgZjH8xd2N zv~bwa6A3Ajkg7cUqT{7Jk8F21a!hKdv#EM@Gik*1uw>|3Skg?%>&fyW%n98~wG2bZ ztcX=%I(H}@i|HX#HRGy=Tfy_uVBH}V5+BkHGO9)37u)XlKPl}F4klw}QmS{?yFJz3 znk4OdU-UM(J#~95EY*0tjb4w}1HrbifUXn0#5G>-+P=PI?J2k-9|4X9tsbvT-^TKj z1^x}ig&r43sBb^@67mMt++UDFH}yZm{GkGyzwo&NDqudb!l~AfzgI+#{ldI&8svrf zf7Xdvc2F71&jnGCt*U>!y)(Qpn+nAo-{=lAPyozyq z28E;qyt!6L@E4wIg`_wK9s^RILkW4^fxqv-@y5&-=X(eKs{{YTf#bpEi(d@;l8>>Tp zI4qbD4?a|2cypCOxeMPzXg-8s$np8+ybmE5a=e>3A4Uj4^CxWlVH+Q|@$EMLmW|_kk;nOvah}f>*8f!C zJpV5R&hw}LmOxxF|DOeY9ca*QF^+nCNAY}$F%dA4*D%iWtYG603Y_OD3%uV7OP*p} z%<}~s-vL^l&y)}cpK-LeZF&j%Tz^K;zl>tAFC6qUf}XGI2Z8f-{U~t0{|mPG_UGr0 zjaNV(JkR1nn%3%b_>t8m<&5M0NKm-`Q9;l1+#_(Fr$^wGEPg%X;{NyBxPAYR2yrg4 zIHpZ+&-0|9Uts!E4*K^5Jzv)uf%A2JF7Qeg|0~ADb^Rph?=byuHoZOnyEeWPw0!@| zFfbTacD~)lE5XP06136q_}L>s`_1%khV$>g0fF=H1L95`A2qc>s7=GFM|jK7qng{) z$4%l6YoijR)!G4R3vJi5ERJ6KKMO(4Kw`iMGYXruh+#Ad(uN`j$y zC5T^^&A`xhv3;_7tDnQXOYp8lN%JbP8UyRB`c-^MfMFNs;a|4+TSMCg1vxuDMAU|a zc{xX|-5!Sy#=O_@UKoop?Pm>LGREfLD@-VUV>Yqz{QZq%(N42<^LyK(nf>EoTfo8F zT!Zy>Hr~##Eou)lCgwlS#*YgD`2KU;3ypl|x5yT%Fd?2Fj^*R|`Qf*Rxc4~3!~S8? ouwyeZf9#97qg-JROel28f4TqVVE_OC literal 0 HcmV?d00001 diff --git a/driver/hw/Makefile b/driver/hw/Makefile new file mode 100644 index 000000000..cd91bd116 --- /dev/null +++ b/driver/hw/Makefile @@ -0,0 +1,24 @@ + +BUILD_DIR=build_sim + +all: ase fpga + +ase: build-setup + make -C $(BUILD_DIR) + +fpga: build-setup + # TODO + +build-setup: $(BUILD_DIR)/Makefile + +$(BUILD_DIR)/Makefile: + afu_sim_setup --sources=sources.txt --platform discrete_pcie3 $(BUILD_DIR) -f + +run-ase: + cd $(BUILD_DIR) && MENT_VSIM_OPT="-dpicpppath /usr/bin/gcc" make sim + +run-fpga: + # TODO + +clean: + rm -rf $(BUILD_DIR) \ No newline at end of file diff --git a/driver/hw/ccip_interface_reg.sv b/driver/hw/ccip_interface_reg.sv new file mode 100644 index 000000000..c61e843ed --- /dev/null +++ b/driver/hw/ccip_interface_reg.sv @@ -0,0 +1,48 @@ +// Code reused from Intel OPAE's 04_local_memory sample program with changes made to fit Vortex + +// Register all interface signals + +import ccip_if_pkg::*; +module ccip_interface_reg( + // CCI-P Clocks and Resets + input logic pClk, // 400MHz - CC-P clock domain. Primary Clock + input logic pck_cp2af_softReset_T0, // CCI-P ACTIVE HIGH Soft Reset + input logic [1:0] pck_cp2af_pwrState_T0, // CCI-P AFU Power State + input logic pck_cp2af_error_T0, // CCI-P Protocol Error Detected + // Interface structures + input t_if_ccip_Rx pck_cp2af_sRx_T0, // CCI-P Rx Port + input t_if_ccip_Tx pck_af2cp_sTx_T0, // CCI-P Tx Port + + output logic pck_cp2af_softReset_T1, + output logic [1:0] pck_cp2af_pwrState_T1, + output logic pck_cp2af_error_T1, + + output t_if_ccip_Rx pck_cp2af_sRx_T1, + output t_if_ccip_Tx pck_af2cp_sTx_T1 + +); +(* preserve *) logic pck_cp2af_softReset_T0_q; +(* preserve *) logic [1:0] pck_cp2af_pwrState_T0_q; +(* preserve *) logic pck_cp2af_error_T0_q; +(* preserve *) t_if_ccip_Rx pck_cp2af_sRx_T0_q; +(* preserve *) t_if_ccip_Tx pck_af2cp_sTx_T0_q; + +always@(posedge pClk) +begin + pck_cp2af_softReset_T0_q <= pck_cp2af_softReset_T0; + pck_cp2af_pwrState_T0_q <= pck_cp2af_pwrState_T0; + pck_cp2af_error_T0_q <= pck_cp2af_error_T0; + pck_cp2af_sRx_T0_q <= pck_cp2af_sRx_T0; + pck_af2cp_sTx_T0_q <= pck_af2cp_sTx_T0; +end + +always_comb +begin + pck_cp2af_softReset_T1 = pck_cp2af_softReset_T0_q; + pck_cp2af_pwrState_T1 = pck_cp2af_pwrState_T0_q; + pck_cp2af_error_T1 = pck_cp2af_error_T0_q; + pck_cp2af_sRx_T1 = pck_cp2af_sRx_T0_q; + pck_af2cp_sTx_T1 = pck_af2cp_sTx_T0_q; +end + +endmodule \ No newline at end of file diff --git a/driver/hw/ccip_std_afu.sv b/driver/hw/ccip_std_afu.sv new file mode 100644 index 000000000..aaf4cd234 --- /dev/null +++ b/driver/hw/ccip_std_afu.sv @@ -0,0 +1,172 @@ +// Code reused from Intel OPAE's 04_local_memory sample program with changes made to fit Vortex + +// Top Level Vortex Driver + +// To be done: +// Check how to run this with OPAE. Looks like setup issue + + +`include "platform_if.vh" + +import local_mem_cfg_pkg::*; + +module ccip_std_afu + #( + parameter NUM_LOCAL_MEM_BANKS = 2 + ) + ( + // CCI-P Clocks and Resets + input logic pClk, // Primary CCI-P interface clock. + input logic pClkDiv2, // Aligned, pClk divided by 2. + input logic pClkDiv4, // Aligned, pClk divided by 4. + input logic uClk_usr, // User clock domain. Refer to clock programming guide. + input logic uClk_usrDiv2, // Aligned, user clock divided by 2. + input logic pck_cp2af_softReset, // CCI-P ACTIVE HIGH Soft Reset + + input logic [1:0] pck_cp2af_pwrState, // CCI-P AFU Power State + input logic pck_cp2af_error, // CCI-P Protocol Error Detected + + // CCI-P structures + input t_if_ccip_Rx pck_cp2af_sRx, // CCI-P Rx Port + output t_if_ccip_Tx pck_af2cp_sTx, // CCI-P Tx Port + + // Local memory interface + avalon_mem_if.to_fiu local_mem[NUM_LOCAL_MEM_BANKS] +); + + // ==================================================================== + // Pick the proper clk and reset, as chosen by the AFU's JSON file + // ==================================================================== + + // The platform may transform the CCI-P clock from pClk to a clock + // chosen in the AFU's JSON file. + logic clk; + assign clk = `PLATFORM_PARAM_CCI_P_CLOCK; + + logic reset; + assign reset = `PLATFORM_PARAM_CCI_P_RESET; + + + // ==================================================================== + // Register signals at interface before consuming them + // ==================================================================== + + (* noprune *) logic [1:0] cp2af_pwrState_T1; + (* noprune *) logic cp2af_error_T1; + + logic reset_T1; + t_if_ccip_Rx cp2af_sRx_T1; + t_if_ccip_Tx af2cp_sTx_T0; + + ccip_interface_reg inst_green_ccip_interface_reg + ( + .pClk (clk), + .pck_cp2af_softReset_T0 (reset), + .pck_cp2af_pwrState_T0 (pck_cp2af_pwrState), + .pck_cp2af_error_T0 (pck_cp2af_error), + .pck_cp2af_sRx_T0 (pck_cp2af_sRx), + .pck_af2cp_sTx_T0 (af2cp_sTx_T0), + + .pck_cp2af_softReset_T1 (reset_T1), + .pck_cp2af_pwrState_T1 (cp2af_pwrState_T1), + .pck_cp2af_error_T1 (cp2af_error_T1), + .pck_cp2af_sRx_T1 (cp2af_sRx_T1), + .pck_af2cp_sTx_T1 (pck_af2cp_sTx) + ); + + + // ==================================================================== + // User AFU goes here + // ==================================================================== + + // + // vortex_afu depends on CCI-P and local memory being in the same + // clock domain. This is accomplished by choosing a common clock + // in the AFU's JSON description. The platform instantiates clock- + // crossing shims automatically, as needed. + // + + // + // Memory banks are used very simply here. Only bank is active at + // a time, selected by mem_bank_select. mem_bank_select is set + // by a CSR from the host. + // + t_local_mem_byte_mask avs_byteenable; + logic avs_waitrequest; + t_local_mem_data avs_readdata; + logic avs_readdatavalid; + t_local_mem_burst_cnt avs_burstcount; + t_local_mem_data avs_writedata; + t_local_mem_addr avs_address; + logic avs_write; + logic avs_read; + + // choose which memory bank to test + logic [$clog2(NUM_LOCAL_MEM_BANKS)-1:0] mem_bank_select; + + vortex_afu + #( + .NUM_LOCAL_MEM_BANKS(NUM_LOCAL_MEM_BANKS) + ) + hello_mem_afu_inst + ( + .clk (clk), + .SoftReset (reset_T1), + + .avs_writedata (avs_writedata), + .avs_readdata (avs_readdata), + .avs_address (avs_address), + .avs_waitrequest (avs_waitrequest), + .avs_write (avs_write), + .avs_read (avs_read), + .avs_byteenable (avs_byteenable), + .avs_burstcount (avs_burstcount), + .avs_readdatavalid (avs_readdatavalid), + .mem_bank_select (mem_bank_select), + + .cp2af_sRxPort (cp2af_sRx_T1), + .af2cp_sTxPort (af2cp_sTx_T0) + ); + + // + // Export the local memory interface signals as vectors so that bank + // selection can use array syntax. + // + logic avs_waitrequest_v[NUM_LOCAL_MEM_BANKS]; + t_local_mem_data avs_readdata_v[NUM_LOCAL_MEM_BANKS]; + logic avs_readdatavalid_v[NUM_LOCAL_MEM_BANKS]; + + genvar b; + generate + for (b = 0; b < NUM_LOCAL_MEM_BANKS; b = b + 1) + begin : lmb + always_comb + begin + // Local memory to AFU signals + avs_waitrequest_v[b] = local_mem[b].waitrequest; + avs_readdata_v[b] = local_mem[b].readdata; + avs_readdatavalid_v[b] = local_mem[b].readdatavalid; + + // Replicate address and write data to all banks. Only + // the request signals have to be bank-specific. + local_mem[b].burstcount = avs_burstcount; + local_mem[b].writedata = avs_writedata; + local_mem[b].address = avs_address; + local_mem[b].byteenable = avs_byteenable; + + // Request a write to this bank? + local_mem[b].write = avs_write && + ($bits(mem_bank_select)'(b) == mem_bank_select); + + // Request a read from this bank? + local_mem[b].read = avs_read && + ($bits(mem_bank_select)'(b) == mem_bank_select); + end + end + endgenerate + + assign avs_waitrequest = avs_waitrequest_v[mem_bank_select]; + assign avs_readdata = avs_readdata_v[mem_bank_select]; + assign avs_readdatavalid = avs_readdatavalid_v[mem_bank_select]; + +endmodule diff --git a/driver/hw/sources.txt b/driver/hw/sources.txt new file mode 100644 index 000000000..23d2cbf72 --- /dev/null +++ b/driver/hw/sources.txt @@ -0,0 +1,116 @@ +vortex_afu.json + ++incdir+. ++incdir+../../rtl ++incdir+../../rtl/shared_memory ++incdir+../../rtl/cache ++incdir+../../rtl/VX_cache ++incdir+../../rtl/interfaces ++incdir+../../rtl/pipe_regs ++incdir+../../rtl/compat + +../../rtl/VX_define_synth.v +../../rtl/VX_define.v +../../rtl/VX_cache/VX_cache_config.v +../../rtl/Vortex_SOC.v +../../rtl/Vortex.v +../../rtl/VX_front_end.v +../../rtl/VX_back_end.v +../../rtl/VX_fetch.v +../../rtl/VX_scheduler.v +../../rtl/VX_execute_unit.v +../../rtl/VX_warp.v +../../rtl/VX_icache_stage.v +../../rtl/VX_gpr_wrapper.v +../../rtl/byte_enabled_simple_dual_port_ram.v +../../rtl/VX_gpgpu_inst.v +../../rtl/VX_writeback.v +../../rtl/VX_countones.v +../../rtl/VX_csr_handler.v +../../rtl/VX_csr_pipe.v +../../rtl/VX_generic_queue_ll.v +../../rtl/VX_warp_scheduler.v +../../rtl/VX_priority_encoder.v +../../rtl/VX_generic_queue.v +../../rtl/pipe_regs/VX_f_d_reg.v +../../rtl/pipe_regs/VX_i_d_reg.v +../../rtl/pipe_regs/VX_d_e_reg.v +../../rtl/VX_gpr.v +../../rtl/VX_gpr_stage.v +../../rtl/VX_dmem_controller.v +../../rtl/VX_alu.v +../../rtl/VX_generic_stack.v +../../rtl/VX_generic_priority_encoder.v +../../rtl/VX_csr_data.v +../../rtl/VX_lsu.v +../../rtl/VX_decode.v +../../rtl/VX_inst_multiplex.v +../../rtl/VX_csr_wrapper.v +../../rtl/VX_priority_encoder_w_mask.v +../../rtl/VX_generic_register.v +../../rtl/VX_lsu_addr_gen.v +../../rtl/compat/VX_mult.v +../../rtl/compat/VX_divide.v +../../rtl/VX_cache/VX_snp_fwd_arb.v +../../rtl/VX_cache/VX_cache_dram_req_arb.v +../../rtl/VX_cache/VX_cache_dfq_queue.v +../../rtl/VX_cache/VX_cache_wb_sel_merge.v +../../rtl/VX_cache/VX_mrv_queue.v +../../rtl/VX_cache/VX_dcache_llv_resp_bank_sel.v +../../rtl/VX_cache/VX_tag_data_access.v +../../rtl/VX_cache/VX_cache.v +../../rtl/VX_cache/VX_cache_core_req_bank_sel.v +../../rtl/VX_cache/VX_cache_req_queue.v +../../rtl/VX_cache/VX_bank.v +../../rtl/VX_cache/VX_cache_miss_resrv.v +../../rtl/VX_cache/VX_fill_invalidator.v +../../rtl/VX_cache/VX_tag_data_structure.v +../../rtl/cache/VX_generic_pe.v +../../rtl/cache/cache_set.v +../../rtl/cache/VX_d_cache.v +../../rtl/cache/VX_Cache_Bank.v +../../rtl/cache/VX_cache_data_per_index.v +../../rtl/cache/VX_d_cache_encapsulate.v +../../rtl/cache/VX_cache_bank_valid.v +../../rtl/cache/VX_cache_data.v +../../rtl/shared_memory/VX_shared_memory_block.v +../../rtl/shared_memory/VX_priority_encoder_sm.v +../../rtl/shared_memory/VX_shared_memory.v +../../rtl/shared_memory/VX_bank_valids.v +../../rtl/interfaces/VX_exec_unit_req_inter.v +../../rtl/interfaces/VX_branch_response_inter.v +../../rtl/interfaces/VX_inst_meta_inter.v +../../rtl/interfaces/VX_join_inter.v +../../rtl/interfaces/VX_icache_response_inter.v +../../rtl/interfaces/VX_gpr_wspawn_inter.v +../../rtl/interfaces/VX_inst_exec_wb_inter.v +../../rtl/interfaces/VX_gpu_dcache_dram_req_inter.v +../../rtl/interfaces/VX_csr_req_inter.v +../../rtl/interfaces/VX_icache_request_inter.v +../../rtl/interfaces/VX_gpu_dcache_res_inter.v +../../rtl/interfaces/VX_frE_to_bckE_req_inter.v +../../rtl/interfaces/VX_dram_req_rsp_inter.v +../../rtl/interfaces/VX_dcache_request_inter.v +../../rtl/interfaces/VX_gpr_data_inter.v +../../rtl/interfaces/VX_dcache_response_inter.v +../../rtl/interfaces/VX_csr_wb_inter.v +../../rtl/interfaces/VX_gpu_dcache_req_inter.v +../../rtl/interfaces/VX_lsu_req_inter.v +../../rtl/interfaces/VX_gpu_snp_req_rsp.v +../../rtl/interfaces/VX_mw_wb_inter.v +../../rtl/interfaces/VX_gpr_jal_inter.v +../../rtl/interfaces/VX_gpu_inst_req_inter.v +../../rtl/interfaces/VX_wstall_inter.v +../../rtl/interfaces/VX_wb_inter.v +../../rtl/interfaces/VX_gpr_clone_inter.v +../../rtl/interfaces/VX_gpr_read_inter.v +../../rtl/interfaces/VX_mem_req_inter.v +../../rtl/interfaces/VX_jal_response_inter.v +../../rtl/interfaces/VX_warp_ctl_inter.v +../../rtl/interfaces/VX_gpu_dcache_snp_req_inter.v +../../rtl/interfaces/VX_gpu_dcache_dram_res_inter.v +../../rtl/interfaces/VX_inst_mem_wb_inter.v + +ccip_interface_reg.sv +ccip_std_afu.sv +vortex_afu.sv \ No newline at end of file diff --git a/driver/hw/vortex_afu.json b/driver/hw/vortex_afu.json new file mode 100644 index 000000000..3c9b3bb31 --- /dev/null +++ b/driver/hw/vortex_afu.json @@ -0,0 +1,37 @@ +{ + "version": 1, + "afu-image": { + "power": 0, + "clock-frequency-high": "auto", + "clock-frequency-low": "auto", + "afu-top-interface": + { + "class": "ccip_std_afu_avalon_mm", + "module-ports" : + [ + { + "class": "cci-p", + "params": + { + "clock": "uClk_usr" + } + }, + { + "class": "local-memory", + "params": + { + "clock": "uClk_usr" + } + } + ] + }, + "accelerator-clusters": + [ + { + "name": "vortex_afu", + "total-contexts": 1, + "accelerator-type-uuid": "35f9452b-25c2-434c-93d5-6f8c60db361c" + } + ] + } +} diff --git a/driver/hw/vortex_afu.sv b/driver/hw/vortex_afu.sv new file mode 100644 index 000000000..0ef032756 --- /dev/null +++ b/driver/hw/vortex_afu.sv @@ -0,0 +1,638 @@ +// Code reused from Intel OPAE's 04_local_memory sample program with changes made to fit Vortex + +// Interface between CSR and FSM +// All the MMIOs read/write are done from CSR and passed to the FSM for state transitions + +// To be done: +// Change address size to buffer's address size and data size based on IO address size. Check from hello_world + +`include "platform_if.vh" +import local_mem_cfg_pkg::*; +`include "afu_json_info.vh" + +module vortex_afu #( + parameter NUM_LOCAL_MEM_BANKS = 2 +) ( + // global signals + input clk, + input SoftReset, + + // IF signals between CCI and AFU + input t_if_ccip_Rx cp2af_sRxPort, + output t_if_ccip_Tx af2cp_sTxPort, + + // Avalong signals for local memory access + output t_local_mem_data avs_writedata, + input t_local_mem_data avs_readdata, + output t_local_mem_addr avs_address, + input logic avs_waitrequest, + output logic avs_write, + output logic avs_read, + output t_local_mem_byte_mask avs_byteenable, + output t_local_mem_burst_cnt avs_burstcount, + input avs_readdatavalid, + + output logic [$clog2(NUM_LOCAL_MEM_BANKS)-1:0] mem_bank_select +); + +localparam AFU_ID_L = 16'h0002; // AFU ID Lower +localparam AFU_ID_H = 16'h0004; // AFU ID Higher +localparam MEM_ADDRESS = 16'h0040; // AVMM Master Address +localparam MEM_BURSTCOUNT = 16'h0042; // AVMM Master Burst Count +localparam MEM_RDWR = 16'h0044; // AVMM Master Read/Write +localparam MEM_BANK_SELECT = 16'h0064; // Memory bank selection register +localparam READY_FOR_SW_CMD = 16'h0066; // "Ready for sw cmd" register. S/w must poll this register before issuing a read/write command to fsm +localparam MEM_BYTEENABLE = 16'h0068; // Test byteenable + +// Added by Apurve to supporead and writeChange address size to buffer's address size +localparam DATA_SIZE = 16'h0046; // MMIO set by SW to denote the size od data to read/write +localparam BUFFER_IO_ADDRESS = 16'h0048; // MMIO set by SW to denote the buffer address space + +logic [127:0] afu_id = `AFU_ACCEL_UUID; + +// cast c0 header into ReqMmioHdr +t_ccip_c0_ReqMmioHdr mmioHdr; +assign mmioHdr = t_ccip_c0_ReqMmioHdr'(cp2af_sRxPort.c0.hdr); + +logic [2:0] mem_RDWR = '0; + +//-- +logic ready_for_sw_cmd; +logic run_vortex; + +logic [15:0] avm_data_size; +t_ccip_clAddr avm_write_buffer_address; +t_ccip_clAddr avm_read_buffer_address; +logic avm_read; +logic avm_write; +t_local_mem_addr avm_address; +t_local_mem_burst_cnt avm_burstcount; +t_local_mem_byte_mask avm_byteenable; + +// Vortex signals + +logic vx_reset; +logic vx_dram_req; +logic vx_dram_req_write; +logic vx_dram_req_read; +logic vx_ebreak; +logic [31:0] vx_dram_req_addr; +logic [31:0] vx_local_addr; +logic [31:0] vx_dram_req_size; +logic [31:0] vx_count; +logic vx_dram_fill_rsp; + +logic [31:0] vx_dram_req_data[15:0]; +logic [31:0] vx_dram_fill_rsp_data[15:0]; +logic vx_dram_fill_accept; +logic [31:0] vx_dram_fill_rsp_addr; +logic [31:0] vx_dram_expected_lat; + +// +// MMIO control threads +// +always@(posedge clk) begin + if(SoftReset) begin + af2cp_sTxPort.c2.hdr <= '0; + af2cp_sTxPort.c2.data <= '0; + af2cp_sTxPort.c2.mmioRdValid <= '0; + avm_address <= '0; + avm_read <= '0; + avm_write <= '0; + avm_burstcount <= 12'd1; + mem_RDWR <= '0; + mem_bank_select <= 1'b1; + + // Change address size to buffer's address size + avm_data_size <= '0; + avm_write_buffer_address <= '0; + avm_read_buffer_address <= '0; + run_vortex <= '0; + end + else begin + af2cp_sTxPort.c2.mmioRdValid <= 0; + avm_read <= mem_RDWR[0] & mem_RDWR[1]; //[0] enable [1] 0-WR,1-RD + avm_write <= mem_RDWR[0] & !mem_RDWR[1]; + + // Added by Apurve. Run vortex whem RDWR is 7 + run_vortex <= mem_RDWR[0] & mem_RDWR[1] & mem_RDWR[2]; + + // set the registers on MMIO write request + // these are user-defined AFU registers at offset 0x40 and 0x41 + if(cp2af_sRxPort.c0.mmioWrValid == 1) + begin + case(mmioHdr.address) + MEM_ADDRESS: avm_address <= t_local_mem_addr'(cp2af_sRxPort.c0.data); + MEM_BURSTCOUNT: avm_burstcount <= cp2af_sRxPort.c0.data[11:0]; + MEM_RDWR: mem_RDWR <= cp2af_sRxPort.c0.data[2:0]; + MEM_BANK_SELECT: mem_bank_select <= $bits(mem_bank_select)'(cp2af_sRxPort.c0.data); + // Added by Apurve to support read and write buffers. Change address size to buffer's address size + DATA_SIZE:avm_data_size <= cp2af_sRxPort.c0.data[15:0]; + + BUFFER_IO_ADDRESS: begin + avm_write_buffer_address <= t_ccip_clAddr'(cp2af_sRxPort.c0.data); + avm_read_buffer_address <= t_ccip_clAddr'(cp2af_sRxPort.c0.data); + end + endcase + end + + // serve MMIO read requests + if(cp2af_sRxPort.c0.mmioRdValid == 1) + begin + af2cp_sTxPort.c2.hdr.tid <= mmioHdr.tid; // copy TID + case(mmioHdr.address) + // AFU header + 16'h0000: af2cp_sTxPort.c2.data <= { + 4'b0001, // Feature type = AFU + 8'b0, // reserved + 4'b0, // afu minor revision = 0 + 7'b0, // reserved + 1'b1, // end of DFH list = 1 + 24'b0, // next DFH offset = 0 + 4'b0, // afu major revision = 0 + 12'b0 // feature ID = 0 + }; + AFU_ID_L: af2cp_sTxPort.c2.data <= afu_id[63:0]; // afu id low + AFU_ID_H: af2cp_sTxPort.c2.data <= afu_id[127:64]; // afu id hi + 16'h0006: af2cp_sTxPort.c2.data <= 64'h0; // next AFU + 16'h0008: af2cp_sTxPort.c2.data <= 64'h0; // reserved + MEM_ADDRESS: af2cp_sTxPort.c2.data <= 64'(avm_address); + MEM_BURSTCOUNT: af2cp_sTxPort.c2.data <= 64'(avm_burstcount); + MEM_RDWR: af2cp_sTxPort.c2.data <= {62'd0, mem_RDWR}; + READY_FOR_SW_CMD: af2cp_sTxPort.c2.data <= ready_for_sw_cmd; + MEM_BANK_SELECT: af2cp_sTxPort.c2.data <= 64'(mem_bank_select); + default: af2cp_sTxPort.c2.data <= 64'h0; + endcase + af2cp_sTxPort.c2.mmioRdValid <= 1; // post response + end else + begin + if (avm_read | avm_write | run_vortex) mem_RDWR[0] <= 0; + end + end +end + + + + + +// FSM + +// Code reused from Intel OPAE's 04_local_memory sample program with changes made to fit Vortex + +// Interface between CSR and FSM +// All the MMIOs read/write passed from csr are used for state transitions +// Read: local memory to shared buffer +// Write: shared buffer to local memory + +// To be done: +// Review the FSM and implement read/write to shared buffer +// Vortex on/off signal +// check on byteenable and burst signals + +//cp2af_sRxPort -> sRx +//af2cp_sTxPort -> sTx + + +typedef enum logic[3:0] { IDLE, + VX_REQ, + VX_WR_REQ, + VX_RD_REQ, + VX_RSP, + RD_REQ, + RD_RSP, + WR_REQ, + WR_RSP } state_t; + + +// Added by Apurve for shared memory space write/read +t_ccip_clAddr wr_addr; +t_ccip_clAddr rd_addr; +logic [15:0] count; +logic [15:0] count_rsp; +logic start_read; +logic start_write; +t_local_mem_addr local_address; +logic init_avs_read; + +parameter ADDRESS_MAX_BIT = 10; +state_t state; + +assign avs_burstcount = avm_burstcount; +t_local_mem_burst_cnt burstcount; + +assign avs_byteenable = avm_byteenable; + +always_ff @(posedge clk) begin + if(SoftReset) begin + local_address <= '0; + avs_write <= '0; + avs_read <= '0; + state <= IDLE; + burstcount <= 1; + ready_for_sw_cmd <= 0; + count <= 0; + count_rsp <= 0; + vx_reset <= 1'b0; + vx_count <= 0; + end + else begin + case(state) + IDLE: begin + ready_for_sw_cmd <= 1; + + if (avm_write) begin + state <= WR_REQ; + ready_for_sw_cmd <= 0; + count <= 0; + count_rsp <= 0; + end else if (avm_read) begin + init_avs_read <= 1; + state <= RD_REQ; + ready_for_sw_cmd <= 0; + count <= 0; + count_rsp <= 0; + end else if (run_vortex) begin + state <= VX_REQ; + vx_reset <= 1'b1; + ready_for_sw_cmd <= 0; + end + end + + WR_REQ: begin //AVL MM Posted Write + af2cp_sTxPort.c0.valid <= 1'b0; + avs_write <= 0; + if (~avs_waitrequest) + begin + if (count_rsp >= avm_data_size) + begin + state <= WR_RSP; + avs_write <= 0; + end + end + end + + WR_RSP: begin // wait for write response + avm_byteenable <= 64'hffffffffffffffff; + state <= IDLE; + end + + RD_REQ: begin // AVL MM Read non-posted + af2cp_sTxPort.c1.valid <= 1'b0; + if (~avs_waitrequest) begin + if (count_rsp >= avm_data_size) + begin + state <= RD_RSP; + avs_read <= 0; + end + end + end + + RD_RSP: begin + state <= IDLE; + end + + VX_REQ: begin + vx_reset <= 1'b0; + if (vx_dram_req_write) begin + vx_count <= 0; + avs_write <= 1'b1; + state <= VX_WR_REQ; + end + + if (vx_dram_req_read) begin + vx_count <= 0; + avs_read <= 1'b1; + state <= VX_RD_REQ; + end + + if (vx_ebreak) begin + state <= VX_RSP; + end + end + + VX_WR_REQ: begin + avs_write <= 1'b0; + if (vx_count >= vx_dram_req_size) + begin + state <= VX_REQ; + vx_count <= 0; + end + end + + VX_RD_REQ: begin + avs_read <= 1'b0; + vx_dram_fill_rsp <= 1'b0; + if (vx_count >= vx_dram_req_size) + begin + state <= VX_REQ; + vx_count <= 0; + end + end + + VX_RSP: begin + vx_count <= 0; + state <= IDLE; + end + + endcase + end // end else reset +end // posedge clk + + +// Vortex call + Vortex_SOC #() + vx_soc ( + .clk (clk), + .reset (vx_reset), + + // IO + //.io_valid[`NUMBER_CORES-1:0] (), + //.io_data [`NUMBER_CORES-1:0] (), + //.number_cores (), + + // DRAM Dcache Req + .out_dram_req (vx_dram_req), + .out_dram_req_write (vx_dram_req_write), + .out_dram_req_read (vx_dram_req_read), + .out_dram_req_addr (vx_dram_req_addr), + .out_dram_req_size (vx_dram_req_size), + .out_dram_req_data (vx_dram_req_data), + .out_dram_expected_lat (vx_dram_expected_lat), + + // DRAM Dcache Res + .out_dram_fill_accept (vx_dram_fill_accept), + .out_dram_fill_rsp (vx_dram_fill_rsp), + .out_dram_fill_rsp_addr (vx_dram_fill_rsp_addr), + .out_dram_fill_rsp_data (vx_dram_fill_rsp_data), + + //.l3c_snp_req (), + //.l3c_snp_req_addr (), + //.l3c_snp_req_delay (), + + .out_ebreak (vx_ebreak) + ); + + +// Local memory read/write address +//assign avs_address = (vx_dram_req ? (vx_count ? vx_local_addr : vx_dram_req_addr) : (count ? local_address : avm_address)); +assign avs_address = (((state == VX_WR_REQ) || (state == VX_RD_REQ)) ? (vx_count ? vx_local_addr : vx_dram_req_addr) : (count ? local_address : avm_address)); + + + +// Vortex DRAM requests and responses +// Handling of read/write data and vx_dram_req_size +// Is vx_dram_fill_accept for backpressure? +always_ff @(posedge clk) begin + if (state == VX_WR_REQ) begin + if (!avs_waitrequest & (vx_count < vx_dram_req_size)) begin + avs_write <= 1'b1; + //avs_writedata <= vx_dram_req_data; + avs_writedata[31:0] = vx_dram_req_data[0]; + avs_writedata[63:32] = vx_dram_req_data[1]; + avs_writedata[95:64] = vx_dram_req_data[2]; + avs_writedata[127:96] = vx_dram_req_data[3]; + avs_writedata[159:128] = vx_dram_req_data[4]; + avs_writedata[191:160] = vx_dram_req_data[5]; + avs_writedata[223:192] = vx_dram_req_data[6]; + avs_writedata[255:224] = vx_dram_req_data[7]; + avs_writedata[287:256] = vx_dram_req_data[8]; + avs_writedata[319:288] = vx_dram_req_data[9]; + avs_writedata[351:320] = vx_dram_req_data[10]; + avs_writedata[383:352] = vx_dram_req_data[11]; + avs_writedata[415:384] = vx_dram_req_data[12]; + avs_writedata[447:416] = vx_dram_req_data[13]; + avs_writedata[479:448] = vx_dram_req_data[14]; + avs_writedata[511:480] = vx_dram_req_data[15]; + + vx_local_addr <= (vx_count ? vx_local_addr + 1 : vx_dram_req_addr + 1); + + // Update the count value based on the number of bytes written + vx_count <= vx_count + 64; + + if ((vx_dram_req_size - vx_count) < 64) + begin + avm_byteenable <= 64'hffffffffffffffff >> (64 - (vx_dram_req_size - vx_count)); + end else + begin + avm_byteenable <= 64'hffffffffffffffff; + end + + end + end +end + +always_ff @(posedge clk) begin + //if (SoftReset) begin + if (vx_reset) begin + vx_dram_fill_rsp <= 1'b0; + //vx_dram_fill_rsp_data <= 0; + vx_dram_fill_rsp_data[0] <= 0; + vx_dram_fill_rsp_data[1] <= 0; + vx_dram_fill_rsp_data[2] <= 0; + vx_dram_fill_rsp_data[3] <= 0; + vx_dram_fill_rsp_data[4] <= 0; + vx_dram_fill_rsp_data[5] <= 0; + vx_dram_fill_rsp_data[6] <= 0; + vx_dram_fill_rsp_data[7] <= 0; + vx_dram_fill_rsp_data[8] <= 0; + vx_dram_fill_rsp_data[9] <= 0; + vx_dram_fill_rsp_data[10] <= 0; + vx_dram_fill_rsp_data[11] <= 0; + vx_dram_fill_rsp_data[12] <= 0; + vx_dram_fill_rsp_data[13] <= 0; + vx_dram_fill_rsp_data[14] <= 0; + vx_dram_fill_rsp_data[15] <= 0; + end + + if (state == VX_RD_REQ) begin + if (avs_readdatavalid & vx_dram_fill_accept) begin + avs_read <= 1'b1; + vx_dram_fill_rsp <= 1'b1; + //vx_dram_fill_rsp_data <= avs_readdata; + vx_dram_fill_rsp_data[0] <= avs_readdata[31:0]; + vx_dram_fill_rsp_data[1] <= avs_readdata[63:32]; + vx_dram_fill_rsp_data[2] <= avs_readdata[95:64]; + vx_dram_fill_rsp_data[3] <= avs_readdata[127:96]; + vx_dram_fill_rsp_data[4] <= avs_readdata[159:128]; + vx_dram_fill_rsp_data[5] <= avs_readdata[191:160]; + vx_dram_fill_rsp_data[6] <= avs_readdata[223:192]; + vx_dram_fill_rsp_data[7] <= avs_readdata[255:224]; + vx_dram_fill_rsp_data[8] <= avs_readdata[287:256]; + vx_dram_fill_rsp_data[9] <= avs_readdata[319:288]; + vx_dram_fill_rsp_data[10] <= avs_readdata[351:320]; + vx_dram_fill_rsp_data[11] <= avs_readdata[383:352]; + vx_dram_fill_rsp_data[12] <= avs_readdata[415:384]; + vx_dram_fill_rsp_data[13] <= avs_readdata[447:416]; + vx_dram_fill_rsp_data[14] <= avs_readdata[479:448]; + vx_dram_fill_rsp_data[15] <= avs_readdata[511:480]; + vx_local_addr <= (vx_count ? vx_local_addr + 1 : vx_dram_req_addr + 1); + vx_dram_fill_rsp_addr <= vx_local_addr; + // Update the count value based on the number of bytes written + vx_count <= vx_count + 64; + + end + end +end + + + + +// Read from local memory (avs_readdata) and write to shared space +// Implement write header +always_ff @(posedge clk) begin + if (state == RD_REQ & avs_readdatavalid & !cp2af_sRxPort.c1TxAlmFull & count < avm_data_size & !avs_waitrequest & start_write) + begin + wr_addr <= (count? wr_addr + 1 : avm_write_buffer_address + 1); + local_address <= (count? local_address + 1 : avm_address + 1); + start_write <= 1'b0; + end +end + +// Write header defines the request to the FIU +t_ccip_c1_ReqMemHdr wr_hdr; + +always_comb +begin + wr_hdr = t_ccip_c1_ReqMemHdr'(0); + + // Virtual address (MPF virtual addressing is enabled) + wr_hdr.address = (count? wr_addr: avm_write_buffer_address); + + // Start of packet is true (single line write) + wr_hdr.sop = 1'b1; +end + +// Send write requests to the FIU +always_ff @(posedge clk) +begin + if (SoftReset) + begin + af2cp_sTxPort.c1.hdr <= '0; + af2cp_sTxPort.c1.data <= '0; + af2cp_sTxPort.c1.valid <= '0; + end + + // Generate a write request when needed and the FIU isn't full + if (state == RD_REQ & avs_readdatavalid & !cp2af_sRxPort.c1TxAlmFull & count < avm_data_size & !avs_waitrequest & start_write) + begin + af2cp_sTxPort.c1.hdr <= wr_hdr; + af2cp_sTxPort.c1.data <= t_ccip_clData'(avs_readdata); + af2cp_sTxPort.c1.valid <= 1'b1; + start_write <= 1'b0; + count <= count + 64; + end +end + +// Write response +always_ff @(posedge clk) +begin + if (SoftReset) + begin + start_write <= 1'b1; + end + + // Generate a read request when needed and the FIU isn't full + if (state == RD_REQ & cp2af_sRxPort.c1.rspValid) + begin + count_rsp <= count_rsp + 64; + start_write <= 1'b1; + init_avs_read <= 1'b1; + end +end + + +// avs_read control + +always_ff @(posedge clk) +begin + if (SoftReset) + begin + init_avs_read <= 1'b0; + end + + if (init_avs_read & state <= RD_REQ) + begin + avs_read <= 1'b1; + init_avs_read <= 1'b0; + end else + begin + avs_read <= 1'b0; + end +end + + + + +// Write to local memory (avs_writedata) and read from shared space +// Implement read header +always_ff @(posedge clk) begin + if (SoftReset) + begin + rd_addr <= 0; + local_address <= 0; + end + + if (state == WR_REQ & !cp2af_sRxPort.c0TxAlmFull & count < avm_data_size & !avs_waitrequest & start_read) + begin + // Read address + 1 gives address for next block. Each block is 64B + rd_addr <= (count? rd_addr + 1 : avm_read_buffer_address + 1); + local_address <= (count? local_address + 1 : avm_address); + start_read <= 1'b0; + end +end + +// Read header defines the request to the FIU +t_ccip_c0_ReqMemHdr rd_hdr; + +always_comb +begin + rd_hdr = t_ccip_c0_ReqMemHdr'(0); + rd_hdr.address = (count? rd_addr : avm_read_buffer_address); +end + +// Send read requests to the FIU +always_ff @(posedge clk) +begin + if (SoftReset) + begin + af2cp_sTxPort.c0.hdr <= '0; + af2cp_sTxPort.c0.valid <= '0; + end + + // Generate a read request when needed and the FIU isn't full + if (state == WR_REQ & !cp2af_sRxPort.c0TxAlmFull & count < avm_data_size & !avs_waitrequest & start_read) + begin + af2cp_sTxPort.c0.hdr <= rd_hdr; + af2cp_sTxPort.c0.valid <= 1'b1; + start_read <= 1'b0; + count <= count + 64; + end +end + +// Read response +always_ff @(posedge clk) +begin + if (SoftReset) + begin + start_read <= 1'b1; + avm_byteenable <= 64'hffffffffffffffff; + end + + // Generate a read request when needed and the FIU isn't full + if (state == WR_REQ & cp2af_sRxPort.c0.rspValid) + begin + if ((avm_data_size - count_rsp) < 64) + begin + avm_byteenable <= 64'hffffffffffffffff >> (64 - (avm_data_size - count_rsp)); + end else + begin + avm_byteenable <= 64'hffffffffffffffff; + end + avs_writedata <= cp2af_sRxPort.c0.data; + avs_write <= 1; + count_rsp <= count_rsp + 64; + start_read <= 1'b1; + end +end + +endmodule diff --git a/opae/opae_setup.sh b/driver/opae_setup.sh similarity index 100% rename from opae/opae_setup.sh rename to driver/opae_setup.sh diff --git a/driver/set_env.sh b/driver/set_env.sh new file mode 100644 index 000000000..8d94b1488 --- /dev/null +++ b/driver/set_env.sh @@ -0,0 +1,6 @@ +source /tools/reconfig/intel/19.3/rg_intel_fpga_end_19.3.sh +export PATH=/tools/opae/1.4.0/bin:/tools/reconfig/intel/19.3/modelsim_ase/bin:$PATH +export LD_LIBRARY_PATH=/tools/opae/1.4.0/lib:$PATH +export QUARTUS_HOME=$QUARTUS_ROOTDIR +export MTI_HOME=/tools/reconfig/intel/19.3/modelsim_ase +export FPGA_FAMILY=arria10 diff --git a/driver/sw/Makefile b/driver/sw/Makefile new file mode 100644 index 000000000..5811f3c3d --- /dev/null +++ b/driver/sw/Makefile @@ -0,0 +1,19 @@ + + +all: opae rtlsim simx + +opae: + $(MAKE) -C opae + +rtlsim: + $(MAKE) -C rtlsim + +simx: + $(MAKE) -C simx + +clean: + $(MAKE) clean -C opae + $(MAKE) clean -C rtlsim + $(MAKE) clean -C simx + +.PHONY: all opae rtlsim simx clean \ No newline at end of file diff --git a/driver/sw/include/vortex.h b/driver/sw/include/vortex.h new file mode 100644 index 000000000..6d8523828 --- /dev/null +++ b/driver/sw/include/vortex.h @@ -0,0 +1,67 @@ +#ifndef __VX_DRIVER_H__ +#define __VX_DRIVER_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +typedef void* vx_device_h; + +typedef void* vx_buffer_h; + +#define VX_LOCAL_MEM_SIZE 0xffffffff + +#define VX_ALLOC_BASE_ADDR 0x10000000 + +#define VX_KERNEL_BASE_ADDR 0x80000000 + +#define VX_CACHE_LINESIZE 64 + +// open the device and connect to it +int vx_dev_open(vx_device_h* hdevice); + +// Close the device when all the operations are done +int vx_dev_close(vx_device_h hdevice); + +// Allocate shared buffer with device +int vx_alloc_shared_mem(vx_device_h hdevice, size_t size, vx_buffer_h* hbuffer); + +// Get host pointer address +volatile void* vx_host_ptr(vx_buffer_h hbuffer); + +// release buffer +int vx_buf_release(vx_buffer_h hbuffer); + +// allocate device memory and return address +int vx_alloc_dev_mem(vx_device_h hdevice, size_t size, size_t* dev_maddr); + +// Copy bytes from device local memory to buffer +int vx_flush_caches(vx_device_h hdevice, size_t dev_maddr, size_t size); + +// Copy bytes from buffer to device local memory +int vx_copy_to_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t src_offset); + +// Copy bytes from device local memory to buffer +int vx_copy_from_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t dst_offset); + +// Start device execution +int vx_start(vx_device_h hdevice); + +// Wait for device ready with milliseconds timeout +int vx_ready_wait(vx_device_h hdevice, long long timeout); + +////////////////////////////// UTILITY FUNCIONS /////////////////////////////// + +// upload kernel bytes to device +int vx_upload_kernel_bytes(vx_device_h device, const void* content, size_t size); + +// upload kernel file to device +int vx_upload_kernel_file(vx_device_h device, const char* filename); + +#ifdef __cplusplus +} +#endif + +#endif // __VX_DRIVER_H__ diff --git a/driver/sw/opae/Makefile b/driver/sw/opae/Makefile new file mode 100644 index 000000000..05694604c --- /dev/null +++ b/driver/sw/opae/Makefile @@ -0,0 +1,66 @@ + +CXXFLAGS += -std=c++11 -O0 -g -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I../include -I/tools/opae/1.4.0/include + +LDFLAGS += -L/tools/opae/1.4.0/lib + +# stack execution protection +LDFLAGS +=-z noexecstack + +# data relocation and projection +LDFLAGS +=-z relro -z now + +# stack buffer overrun detection +CXXFLAGS +=-fstack-protector + +# Position independent code +CXXFLAGS += -fPIC + +LDFLAGS += -luuid + +LDFLAGS += -shared + +FPGA_LIBS += -lopae-c + +ASE_LIBS += -lopae-c-ase + +LIB_DIR=../lib + +ASE_DIR = ase + +PROJECT = libvortex.so + +PROJECT_ASE = $(ASE_DIR)/libvortex.so + +AFU_JSON_INFO = vortex_afu.h + +SRCS = vortex.cpp ../vx_utils.cpp + +all: $(PROJECT) $(PROJECT_ASE) + +# AFU info from JSON file, including AFU UUID +$(AFU_JSON_INFO): ../../hw/vortex_afu.json + afu_json_mgr json-info --afu-json=$^ --c-hdr=$@ + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) $(FPGA_LIBS) -o $@ + +$(PROJECT_ASE): $(SRCS) $(ASE_DIR) + $(CXX) $(CXXFLAGS) -DUSE_ASE $(SRCS) $(LDFLAGS) $(ASE_LIBS) -o $@ + +vortex.o: vortex.cpp $(AFU_JSON_INFO) + $(CC) $(CXXFLAGS) -c vortex.cpp -o $@ + +$(ASE_DIR): + mkdir -p ase + +.depend: $(SRCS) $(AFU_JSON_INFO) + $(CXX) $(CXXFLAGS) -MM $(SRCS) > .depend; + +clean: + rm -rf $(PROJECT) $(PROJECT_ASE) $(AFU_JSON_INFO) *.o .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/driver/sw/opae/vortex.cpp b/driver/sw/opae/vortex.cpp new file mode 100755 index 000000000..f2bf80d84 --- /dev/null +++ b/driver/sw/opae/vortex.cpp @@ -0,0 +1,349 @@ +#include +#include +#include +#include +#include +#include + +#include +#include +#include "vortex_afu.h" + +// MMIO Address Mappings +#define MMIO_COPY_IO_ADDRESS 0X120 +#define MMIO_COPY_AVM_ADDRESS 0x100 +#define MMIO_COPY_DATA_SIZE 0X118 + +#define MMIO_CMD_TYPE 0X110 +#define MMIO_READY_FOR_CMD 0X198 + +#define MMIO_CMD_TYPE_READ 0 +#define MMIO_CMD_TYPE_WRITE 1 +#define MMIO_CMD_TYPE_START 2 +#define MMIO_CMD_TYPE_SNOOP 3 + +#define CHECK_RES(_expr) \ + do { \ + fpga_result res = _expr; \ + if (res == FPGA_OK) \ + break; \ + printf("OPAE Error: '%s' returned %d!\n", #_expr, (int)res); \ + return -1; \ + } while (false) + +/////////////////////////////////////////////////////////////////////////////// + +typedef struct vx_device_ { + fpga_handle fpga; + size_t mem_allocation; +} vx_device_t; + +typedef struct vx_buffer_ { + uint64_t wsid; + volatile void* host_ptr; + uint64_t io_addr; + fpga_handle fpga; + size_t size; +} vx_buffer_t; + +static size_t align_size(size_t size) { + return VX_CACHE_LINESIZE * ((size + VX_CACHE_LINESIZE - 1) / VX_CACHE_LINESIZE); +} + +/////////////////////////////////////////////////////////////////////////////// + +// Search for an accelerator matching the requested UUID and connect to it +// Convert this to void if required as storing the fpga_handle to params variable +extern int vx_dev_open(vx_device_h* hdevice) { + fpga_properties filter = NULL; + fpga_result res; + fpga_guid guid; + fpga_token accel_token; + uint32_t num_matches; + fpga_handle accel_handle; + vx_device_t* device; + + if (NULL == hdevice) + return -1; + + // Set up a filter that will search for an accelerator + fpgaGetProperties(NULL, &filter); + fpgaPropertiesSetObjectType(filter, FPGA_ACCELERATOR); + + // Add the desired UUID to the filter + uuid_parse(AFU_ACCEL_UUID, guid); + fpgaPropertiesSetGUID(filter, guid); + + // Do the search across the available FPGA contexts + num_matches = 1; + fpgaEnumerate(&filter, 1, &accel_token, 1, &num_matches); + + // Not needed anymore + fpgaDestroyProperties(&filter); + + if (num_matches < 1) { + fprintf(stderr, "Accelerator %s not found!\n", AFU_ACCEL_UUID); + return NULL; + } + + // Open accelerator + res = fpgaOpen(accel_token, &accel_handle, 0); + if (FPGA_OK != res) { + return NULL; + } + + // Done with token + fpgaDestroyToken(&accel_token); + + // allocate device object + device = (vx_device_t*)malloc(sizeof(vx_device_t)); + if (NULL == device) { + fpgaClose(accel_handle); + return NULL; + } + + device->fpga = accel_handle; + device->mem_allocation = VX_ALLOC_BASE_ADDR; + + *hdevice = device; + + return 0; +} + +// Close the fpga when all the operations are done +extern int vx_dev_close(vx_device_h hdevice) { + if (NULL == hdevice) + return -1; + + vx_device_t *device = ((vx_device_t*)hdevice); + + fpgaClose(device->fpga); + + free(device); + + return 0; +} + +extern int vx_alloc_dev_mem(vx_device_h hdevice, size_t size, size_t* dev_maddr) { + if (NULL == hdevice + || NULL == dev_maddr + || 0 >= size) + return -1; + + vx_device_t *device = ((vx_device_t*)hdevice); + + size_t asize = align_size(size); + if (device->mem_allocation + asize > VX_ALLOC_BASE_ADDR) + return -1; + + *dev_maddr = device->mem_allocation; + device->mem_allocation += asize; + + return 0; +} + +extern int vx_alloc_shared_mem(vx_device_h hdevice, size_t size, vx_buffer_h* hbuffer) { + fpga_result res; + void* host_ptr; + uint64_t wsid; + uint64_t io_addr; + vx_buffer_t* buffer; + + if (NULL == hdevice + || 0 >= size + || NULL == hbuffer) + return -1; + + vx_device_t *device = ((vx_device_t*)hdevice); + + size_t asize = align_size(size); + + res = fpgaPrepareBuffer(device->fpga, asize, &host_ptr, &wsid, 0); + if (FPGA_OK != res) { + return -1; + } + + // Get the physical address of the buffer in the accelerator + res = fpgaGetIOAddress(device->fpga, wsid, &io_addr); + if (FPGA_OK != res) { + fpgaReleaseBuffer(device->fpga, wsid); + return -1; + } + + // allocate buffer object + buffer = (vx_buffer_t*)malloc(sizeof(vx_buffer_t)); + if (NULL == buffer) { + fpgaReleaseBuffer(device->fpga, wsid); + return -1; + } + + buffer->wsid = wsid; + buffer->host_ptr = host_ptr; + buffer->io_addr = io_addr; + buffer->fpga = device->fpga; + buffer->size = size; + + *hbuffer = buffer; + + return 0; +} + +extern volatile void* vx_host_ptr(vx_buffer_h hbuffer) { + vx_buffer_t* buffer = ((vx_buffer_t*)hbuffer); + if (NULL == buffer) + return NULL; + + return buffer->host_ptr; +} + +extern int vx_buf_release(vx_buffer_h hbuffer) { + vx_buffer_t* buffer = ((vx_buffer_t*)hbuffer); + if (NULL == buffer) + return -1; + + fpgaReleaseBuffer(buffer->fpga, buffer->wsid); + + free(buffer); + + return 0; +} + +// Check if HW is ready for SW +static int ready_for_sw(fpga_handle hdevice) { + uint64_t data = 0; + struct timespec sleep_time; + +#ifdef USE_ASE + sleep_time.tv_sec = 1; + sleep_time.tv_nsec = 0; +#else + sleep_time.tv_sec = 0; + sleep_time.tv_nsec = 1000000; +#endif + + do { + CHECK_RES(fpgaReadMMIO64(hdevice, 0, MMIO_READY_FOR_CMD, &data)); + nanosleep(&sleep_time, NULL); + } while (data != 0x1); + + return 0; +} + +extern int vx_copy_to_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t src_offset) { + if (NULL == hbuffer + || 0 >= size) + return -1; + + vx_buffer_t* buffer = ((vx_buffer_t*)hbuffer); + + // bound checking + if (size + src_offset > buffer->size) + return -1; + + // Ensure ready for new command + if (ready_for_sw(buffer->fpga) != 0) + return -1; + + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_AVM_ADDRESS, dev_maddr)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_IO_ADDRESS, (buffer->io_addr + src_offset)/VX_CACHE_LINESIZE)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_DATA_SIZE, size)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_CMD_TYPE, MMIO_CMD_TYPE_WRITE)); + + // Wait for the write operation to finish + return ready_for_sw(buffer->fpga); +} + +extern int vx_copy_from_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t dest_offset) { + if (NULL == hbuffer + || 0 >= size) + return -1; + + vx_buffer_t* buffer = ((vx_buffer_t*)hbuffer); + + // bound checking + if (size + dest_offset > buffer->size) + return -1; + + // Ensure ready for new command + if (ready_for_sw(buffer->fpga) != 0) + return -1; + + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_AVM_ADDRESS, dev_maddr)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_IO_ADDRESS, (buffer->io_addr + dest_offset)/VX_CACHE_LINESIZE)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_DATA_SIZE, size)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_CMD_TYPE, MMIO_CMD_TYPE_READ)); + + // Wait for the write operation to finish + return ready_for_sw(buffer->fpga); +} + +extern int vx_flush_caches(vx_device_h hdevice, size_t dev_maddr, size_t size) { + if (NULL == hbuffer + || 0 >= size) + return -1; + + vx_buffer_t* buffer = ((vx_buffer_t*)hbuffer); + + // bound checking + if (size + src_offset > buffer->size) + return -1; + + // Ensure ready for new command + if (ready_for_sw(buffer->fpga) != 0) + return -1; + + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_AVM_ADDRESS, dev_maddr)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_IO_ADDRESS, (buffer->io_addr + src_offset)/VX_CACHE_LINESIZE)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_COPY_DATA_SIZE, size)); + CHECK_RES(fpgaWriteMMIO64(buffer->fpga, 0, MMIO_CMD_TYPE, MMIO_CMD_TYPE_SNOOP)); + + // Wait for the write operation to finish + return ready_for_sw(buffer->fpga); + return 0; +} + +extern int vx_start(vx_device_h hdevice) { + if (NULL == hdevice) + return -1; + + vx_device_t *device = ((vx_device_t*)hdevice); + + // Ensure ready for new command + if (ready_for_sw(device->fpga) != 0) + return -1; + + CHECK_RES(fpgaWriteMMIO64(device->fpga, 0, MMIO_CMD_TYPE, MMIO_CMD_TYPE_START)); + + return 0; +} + +extern int vx_ready_wait(vx_device_h hdevice, long long timeout) { + if (NULL == hdevice) + return -1; + + vx_device_t *device = ((vx_device_t*)hdevice); + + uint64_t data = 0; + struct timespec sleep_time; + +#ifdef USE_ASE + sleep_time.tv_sec = 1; + sleep_time.tv_nsec = 0; +#else + sleep_time.tv_sec = 0; + sleep_time.tv_nsec = 1000000; +#endif + + // to milliseconds + long long sleep_time_ms = (sleep_time.tv_sec * 1000) + (sleep_time.tv_nsec / 1000000); + + do { + CHECK_RES(fpgaReadMMIO64(device->fpga, 0, MMIO_READY_FOR_CMD, &data)); + nanosleep(&sleep_time, NULL); + sleep_time_ms -= sleep_time_ms; + if (timeout <= sleep_time_ms) + break; + } while (data != 0x1); + + return 0; +} \ No newline at end of file diff --git a/driver/sw/rtlsim/Makefile b/driver/sw/rtlsim/Makefile new file mode 100644 index 000000000..4a1e82de9 --- /dev/null +++ b/driver/sw/rtlsim/Makefile @@ -0,0 +1,49 @@ +#CFLAGS += -std=c++11 -O3 -Wall -Wextra -pedantic -Wfatal-errors +CFLAGS += -std=c++11 -g -O0 -Wall -Wextra -pedantic -Wfatal-errors + +#USE_MULTICORE=1 + +CFLAGS += -I../../include -I../../../../rtl/simulate + +CFLAGS += -fPIC + +CFLAGS += -DUSE_RTLSIM + +LDFLAGS += -shared -pthread + +ifdef USE_MULTICORE + CFLAGS += -DUSE_MULTICORE + RTL_TOP = Vortex_SOC +else + RTL_TOP = Vortex +endif + +SRCS = vortex.cpp ../vx_utils.cpp ../../../rtl/simulate/$(RTL_TOP).cpp + +RTL_INCLUDE = -I../../../rtl -I../../../rtl/interfaces -I../../../rtl/cache -I../../../rtl/VX_cache -I../../../rtl/shared_memory -I../../../rtl/pipe_regs -I../../../rtl/compat + +THREADS ?= $(shell python3 -c 'import multiprocessing as mp; print(max(1, mp.cpu_count() // 2))') +VL_FLAGS += --threads $(THREADS) + +VL_FLAGS += -Wno-UNOPTFLAT -Wno-WIDTH + +VL_FLAGS += -Wno-UNDRIVEN --Wno-PINMISSING -Wno-STMTDLY -Wno-WIDTH -Wno-UNSIGNED -Wno-UNOPTFLAT -Wno-LITENDIAN + +# Debugigng +VL_FLAGS += --trace -DVL_DEBUG=1 +CFLAGS += -DVCD_OUTPUT + +PROJECT = libvortex.so + +all: $(PROJECT) + +.PHONY: build_config +build_config: + (cd ../../../rtl && ./gen_config.py --rtl_locations) + +$(PROJECT): $(SRCS) build_config + verilator --exe --cc $(RTL_TOP).v $(RTL_INCLUDE) $(VL_FLAGS) $(SRCS) -CFLAGS '$(CFLAGS)' -LDFLAGS '$(LDFLAGS)' -o ../$(PROJECT) + make -j -C obj_dir -f V$(RTL_TOP).mk + +clean: + rm -rf $(PROJECT) obj_dir \ No newline at end of file diff --git a/driver/sw/rtlsim/vortex.cpp b/driver/sw/rtlsim/vortex.cpp new file mode 100644 index 000000000..50f2c5992 --- /dev/null +++ b/driver/sw/rtlsim/vortex.cpp @@ -0,0 +1,327 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include + +#ifdef USE_MULTICORE +#include +#else +#include +#endif + +#define PAGE_SIZE 4096 + +#define CHECK_RES(_expr) \ + do { \ + fpga_result res = _expr; \ + if (res == FPGA_OK) \ + break; \ + printf("OPAE Error: '%s' returned %d!\n", #_expr, (int)res); \ + return -1; \ + } while (false) + +/////////////////////////////////////////////////////////////////////////////// + +static size_t align_size(size_t size) { + return VX_CACHE_LINESIZE * ((size + VX_CACHE_LINESIZE - 1) / VX_CACHE_LINESIZE); +} + +/////////////////////////////////////////////////////////////////////////////// + +class vx_device; + +class vx_buffer { +public: + vx_buffer(size_t size, vx_device* device) + : size_(size) + , device_(device) { + auto aligned_asize = align_size(size); + data_ = malloc(aligned_asize); + } + + ~vx_buffer() { + if (data_) { + free(data_); + } + } + + void* data() const { + return data_; + } + + size_t size() const { + return size_; + } + + vx_device* device() const { + return device_; + } + +private: + size_t size_; + vx_device* device_; + void* data_; +}; + +/////////////////////////////////////////////////////////////////////////////// + +class vx_device { +public: + vx_device() + : is_done_(false) + , mem_allocation_(VX_ALLOC_BASE_ADDR) + , vortex_(&ram_) { + thread_ = new std::thread(__thread_proc__, this); + } + + ~vx_device() { + if (thread_) { + mutex_.lock(); + is_done_ = true; + mutex_.unlock(); + + thread_->join(); + delete thread_; + } + } + + int alloc_local_mem(size_t size, size_t* dev_maddr) { + size_t asize = align_size(size); + if (mem_allocation_ + asize > VX_LOCAL_MEM_SIZE) + return -1; + *dev_maddr = mem_allocation_; + mem_allocation_ += asize; + return 0; + } + + int upload(void* src, size_t dest_addr, size_t size, size_t src_offset) { + size_t asize = align_size(size); + if (dest_addr + asize > ram_.size()) + return -1; + + /*printf("VXDRV: upload %d bytes to 0x%x\n", size, dest_addr); + for (int i = 0; i < size; i += 4) { + printf("mem-write: 0x%x <- 0x%x\n", dest_addr + i, *(uint32_t*)((uint8_t*)src + src_offset + i)); + }*/ + + ram_.write(dest_addr, asize, (uint8_t*)src + src_offset); + return 0; + } + + int download(const void* dest, size_t src_addr, size_t size, size_t dest_offset) { + size_t asize = align_size(size); + if (src_addr + asize > ram_.size()) + return -1; + + ram_.read(src_addr, asize, (uint8_t*)dest + dest_offset); + + /*printf("VXDRV: download %d bytes from 0x%x\n", size, src_addr); + for (int i = 0; i < size; i += 4) { + printf("mem-read: 0x%x -> 0x%x\n", src_addr + i, *(uint32_t*)((uint8_t*)dest + dest_offset + i)); + }*/ + + return 0; + } + + int flush_caches(size_t dev_maddr, size_t size) { + + mutex_.lock(); + vortex_.flush_caches(dev_maddr, size); + mutex_.unlock(); + + return 0; + } + + int start() { + + mutex_.lock(); + vortex_.reset(); + mutex_.unlock(); + + return 0; + } + + int wait(long long timeout) { + auto timeout_sec = (timeout < 0) ? timeout : (timeout / 1000); + for (;;) { + mutex_.lock(); + bool is_busy = vortex_.is_busy(); + mutex_.unlock(); + + if (!is_busy || 0 == timeout_sec--) + break; + + std::this_thread::sleep_for(std::chrono::seconds(1)); + } + return 0; + } + +private: + + void thread_proc() { + std::cout << "Device ready..." << std::endl; + + for (;;) { + mutex_.lock(); + bool is_done = is_done_; + mutex_.unlock(); + + if (is_done) + break; + + mutex_.lock(); + vortex_.step(); + mutex_.unlock(); + } + + std::cout << "Device shutdown..." << std::endl; + } + + static void __thread_proc__(vx_device* device) { + device->thread_proc(); + } + + bool is_done_; + size_t mem_allocation_; + RAM ram_; +#ifdef USE_MULTICORE + Vortex_SOC vortex_; +#else + Vortex vortex_; +#endif + std::thread* thread_; + std::mutex mutex_; +}; + +/////////////////////////////////////////////////////////////////////////////// + +extern int vx_dev_open(vx_device_h* hdevice) { + if (NULL == hdevice) + return -1; + + *hdevice = new vx_device(); + + return 0; +} + +extern int vx_dev_close(vx_device_h hdevice) { + if (nullptr == hdevice) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + delete device; + + return 0; +} + +extern int vx_alloc_dev_mem(vx_device_h hdevice, size_t size, size_t* dev_maddr) { + if (NULL == hdevice + || NULL == dev_maddr + || 0 >= size) + return -1; + + vx_device *device = ((vx_device*)hdevice); + return device->alloc_local_mem(size, dev_maddr); +} + +extern int vx_flush_caches(vx_device_h hdevice, size_t dev_maddr, size_t size) { + if (NULL == hdevice + || 0 >= size) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + return device->flush_caches(dev_maddr, size); +} + + +extern int vx_alloc_shared_mem(vx_device_h hdevice, size_t size, vx_buffer_h* hbuffer) { + if (nullptr == hdevice + || 0 >= size + || NULL == hbuffer) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + auto buffer = new vx_buffer(size, device); + if (nullptr == buffer->data()) { + delete buffer; + return -1; + } + + *hbuffer = buffer; + + return 0; +} + +extern volatile void* vx_host_ptr(vx_buffer_h hbuffer) { + if (nullptr == hbuffer) + return nullptr; + + vx_buffer* buffer = ((vx_buffer*)hbuffer); + + return buffer->data(); +} + +extern int vx_buf_release(vx_buffer_h hbuffer) { + if (nullptr == hbuffer) + return -1; + + vx_buffer* buffer = ((vx_buffer*)hbuffer); + + delete buffer; + + return 0; +} + +extern int vx_copy_to_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t src_offset) { + if (nullptr == hbuffer + || 0 >= size) + return -1; + + auto buffer = (vx_buffer*)hbuffer; + + if (size + src_offset > buffer->size()) + return -1; + + return buffer->device()->upload(buffer->data(), dev_maddr, size, src_offset); +} + +extern int vx_copy_from_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t dest_offset) { + if (nullptr == hbuffer + || 0 >= size) + return -1; + + auto buffer = (vx_buffer*)hbuffer; + + if (size + dest_offset > buffer->size()) + return -1; + + return buffer->device()->download(buffer->data(), dev_maddr, size, dest_offset); +} + +extern int vx_start(vx_device_h hdevice) { + if (nullptr == hdevice) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + return device->start(); +} + +extern int vx_ready_wait(vx_device_h hdevice, long long timeout) { + if (nullptr == hdevice) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + return device->wait(timeout); +} diff --git a/driver/sw/simx/Makefile b/driver/sw/simx/Makefile new file mode 100644 index 000000000..7b07b3e02 --- /dev/null +++ b/driver/sw/simx/Makefile @@ -0,0 +1,37 @@ +CFLAGS += -std=c++11 -O3 -Wall -Wextra -pedantic -Wfatal-errors +#CFLAGS += -std=c++11 -g -O0 -Wall -Wextra -pedantic -Wfatal-errors + +MAX_WARPS ?= 8 +MAX_THREADS ?= 4 + +CFLAGS += -I../../include -I../../../../simX/include + +CFLAGS += -fPIC + +CFLAGS += -DUSE_SIMX + +CFLAGS += -DMAX_WARPS=$(MAX_WARPS) -DMAX_THREADS=$(MAX_THREADS) + +LDFLAGS += -shared -pthread + +SRCS = vortex.cpp ../vx_utils.cpp ../../../simX/args.cpp ../../../simX/mem.cpp ../../../simX/core.cpp ../../../simX/instruction.cpp ../../../simX/enc.cpp ../../../simX/util.cpp + +RTL_TOP = ../../../simX/cache_simX.v + +RTL_INCLUDE = -I../../../old_rtl -I../../../old_rtl/interfaces -I../../../old_rtl/cache -I../../../old_rtl/shared_memory + +THREADS ?= $(shell python3 -c 'import multiprocessing as mp; print(max(1, mp.cpu_count() // 2))') +VL_FLAGS += --threads $(THREADS) + +VL_FLAGS += -Wno-UNOPTFLAT -Wno-WIDTH + +PROJECT = libvortex.so + +all: $(PROJECT) + +$(PROJECT): $(SRCS) + verilator --exe --cc $(RTL_TOP) $(RTL_INCLUDE) $(VL_FLAGS) $(SRCS) -CFLAGS '$(CFLAGS)' -LDFLAGS '$(LDFLAGS)' -o ../$(PROJECT) + make -j -C obj_dir -f Vcache_simX.mk + +clean: + rm -rf $(PROJECT) obj_dir \ No newline at end of file diff --git a/driver/sw/simx/libvortex.so b/driver/sw/simx/libvortex.so new file mode 100755 index 0000000000000000000000000000000000000000..2302cb62d655989cfc48bd5ad59d1282289ee2e6 GIT binary patch literal 405672 zcmeEvc|cU<_xBYy+;J&M)5aY&X@(us9Aptxa0FDeY}f`E1!kNX5G-q4DzXjD%50;> zq8&>MZKJX>t#4$TZQsbsw3TdATYS%Xo^xl;TxJZ(_x-(p{BB+DoX*dL_Q-V5m>a5VwS-C*z1ix!z@vov7#a~4^6xNM?Ar_|u!{2k1;exlT=T6%nj}Mi1 z)vKcD1QSX}iMxl(`@4sa5x9B<(c(BXT3*%Xf`G*5^b_TC`ib&6^%{ZZtd=e4xt zIoaP3x|vDo1=2IFzhqRevUH_Q2Ei?KfEgL{l-oZ|dtMp!>CM&6f8XcseEXgQ>n4xJ zCwqY19)YV7&fah;-LFv2?VV#ZO)vzdjOAj9jyeXc8R*y9u%VJLX@B` z#?f68Myy`HJKsIGlX4)uPU(MUmm1THT@PKU8$Yg7l3N)Wq<04!LatsNoM*g#X!y1( z?ie>9Dep{okkX~MF1S-p4P<<}(Fpk;VeHbmPJdr+kkYIK8K;a5&I!uBCpEas@PQ8n zcUO!}yB`UDcum*rG53t=*<<`@qw= zH7kXg#tGxEsa3idjmD9XilSG#D(*GPXq~Rpe zG+|YyJG4uuu=8|zBeOztn|Bp;8H5F?Mxm^X|`V^c`!?^>_op9pu44luw`8=F2!TB^-VbU5sjC3==XbY-A}(igzHCeehlX)aQ+w0&){r< z^K&@yH~{AtaDEBrS8(F-wY(^Y;rb2z{uZu3(C;7VdW5dO!1Z_feH5;Lz~?bRA09;c(T_?~!mF zO}}L-iZX`iv2Yy+XBeF0;hX>`9uwhuE}Y?T>Ip+U5`IS$JsGZ3;KT|r9nK^;wMPnI zGvG{xGY!t!aHhkV0Vf`F;F<;JJUHjWxd2W)7QyvGI4^=Tk1)jZ;dcR}5yQg*SP7g< z;9N@g%iwB*a~YgYI9+g7!ih%}TrY)lCD9UE&U!c> zf)kHN==vyKAA@THoLh-To+se?ycD4d)Ixcf$D$oa*r`{C=L%h`$K*OK|Rj z^A$K>h4Xbd_rm!mod1Ed8P0uhz5}OvybHhIhjTxiAHw+woS(w^8JsO}s>cEN{Uw}V z5q1c!hvEDd&hH6pr7J#j1b+Vv=PwNVm45#Q*JE)00q37^{tf2|I6DPF9fY$Roc!oc zbg%|T9&8Ko=ndDt?0!G?8}IigdH}-*!tX&upTY3K^g9%;L+JNVxSmbFQQkT5djy;# z;T#L+1US!ya}u2D5zc-a;CBSk(R7W2YdoBj;XI$PDR8|2&S^xevW!4ahckunG`P;B z-?Qn8yy@(>34Uk8IiF$3vw-LvxGtpM7c!p3@cUvo&2T~sDvmt5=F_zhu0?Pb!)b+c z37kveEQJ#f8(o*t)j`(^x>mt;1)P@*@CmaJ>=Ejd0#X*v)X=1m~@AZYJzDxZVk89ntr}^?o=XAo@YLJ`Cq0L}Pl7 zvfq!<@5dR2Ja}v+>8{%7d-^KgBEe!mRYUG)1Ey6%SSUO3-? z^G!J4f)kIo>59Mi!S8p7ewVKA!S&BAL6`J-B6Y)AQ#bwi!IXDDd+36dNrO$9+igGo zHuBLisT-VUUElMovrPxa|2{Cd+wY@iJ-6@sq zdDFxfkhzVgg#IHOO{raGSA2a7I`)xyZoF(+4$fV(C z=Dr{I_LB0P)Z|e;hCZ|N%XfZ%ZQN@QZn?JKGapzUIrP>U?{zN7oY(7-mWk=6?b9N2 zUmjHP&Yfl7cBzTFF#OARI+eXto-%gK6LU)T?%X!)`ko8FdT8@sfBe#T&ExwM6SPsS98KZOZc>%(<-chRL&rymjQp z>z;l2iGg?ik~-l*CqH-UzoXNkXI#dSOLmkM%u2fY_$z~6 z+inT}^SMgL&}T|FX&#ic8IA558dVrpUW^1-uT2jdkp+z=kXtVJ<@sWq^VDq z9x2|nc45eyo6p!B@#Q;rz4P0cDC@xIXB<1;bH&XM#eZ>R;Aek2dcHAZ+nktb%CC*T zU;fmN{(py9Z(GsX{fbeSKI}!?ud$17yrt`34{Wu+_UQU(d-`Jc{=dGR^vR-ebzj8Q zOdfjgw;#9MA6ind{9xX|3u@oL#cI6v@p1cewydv@`0mn2kM(%r_n^hb;e0I z)05x(t~x%db?}cprkg)G_~5?5Lw+3co-=D}{pP2}9qSx1tGVBu!43cY;)hK=1{Mvz zb-=S9tsB3xVqECfzea4la9-+zV=o;2(Sx1VUUA>Cx1uM%pYABT^`}cS9-W;Vn}6rK z!)%)xQ$Kj4^w?Fp$7`k@Fxsv-GR>Wr_~1{o4SPQs5%tBY2Uh=am+ijFF5RDa_^12N zUq7Yma}QJ>`S#Ms`qpJ0KfY>I>v^3YIq~@we=YBN|MY3QR$lyP?rR%vKD^j9?q1uM z5jTClaQ(eOk60(~+1&M;str#qi@dF9>CnEbB7d9m^hftk{cZbmpRGT4__Y12puiLTt?{SV> zKVSI!AD29P*Nf{SXZ%pL;m51CEQ(&cYXXwRmJa*Hbm=R6GuNz~?I_HkP!;h?a z**0~}=ChvZedqE`jW0~!c*gs8UUP2uTc>Tj{kzbMZ%wJ#*W%+B0==*Y%sG>@a@u)!hrHUU+%R@jh2oG?Zivdtun# zH!EJdX73}v%^B1~f28%jWozH*JFEHatFO;$)t?!)v6rrA=J+}36W%zwwqoR4VYw@p zc8`1D_wZx6X)F4TdG%vvrF%+dve&k4VF%c6T8FUgH8{&8m5+4~CqIB!9pIRjt( z<=)zE>#c{DTDG3496G*mPt`^FZ#*`2WRIag6rF$J$~oVceP4KqrKa@eBY%A~pJH1?KzwCQy%_qspaQs_jVt5V9u_v9Tw9=LtcF}z4y9=pmQ$i{lNp% zdcJmBYnPOn`A?r4m)NlA!;j9~I%NMHj&*O1s3|`1e9MZ>q9PEGrVc^_qLo)FJ({Ow`1nE$BN#&{k0#5 zh2^z=8`t^aUv)FeU;X>jac}A_x**@$=i3*zPu;6aIuX6xv44E#9|<4#%Nw$`CI6xx z`HdMHZjSgM!SJ6i7u@#v>aV{qw3Y6?czcn3MN;j-ROg*5Uw*QBf-!V$c|pqf&$pj< z&E>CLyXxlk*QY!8^xo0snd)DyeUID!I`5SQricEh{5JEho4Ow;yYr9h|D2cf^G(~1 zztNf)vc=Zu{{G3C)y=OB$h_gn@RW_;J}~?Jiio`vclI07Be86B_=Yiee`C3`;+g)A z)K0rDa)tkzF=_ZYLr#SL@oDy&OH!P@Mm`w2{<61pNnd?ju%O}jg+V{BoVWM$mQmq@ z{`~JRwKv}!vGuLPb02%-uN(hv+I4tDapd*auS#^JHD7PL;pz8l->BPv==bK-K4;yz zB6HAHJr@6bY}Ke4xg(eS_Q!7XsPiT!tZKPyK;?al-#QTa*Op)Vt{HR7f1cR$WcqW* z{sWk&t8Go8KO>XE-C-Bx_Y`?H^VuHWYu=l#;~?St>_JoeV8 zuSSKx9Bp0j=A)?_UU6+*QU2s52f8o&CFt@v*X2t`q;BYUdsw$m7yk4>!z~Y&pIveO z;19nj&rNxA;nRy}%(^Uo{fOj@PX--{x$oniJK~?(HvWn~4mJ({cFn5=uN=RpI_v&- zu3S3u!(};VcTMj0*f;O|*mp|AuT_uFxMV}a(NR}lx%%prTjBS|;h(z4e|RE)8~lFY z`3I8!KHmJ`2PG@BhAnM={QEPf>YoAp$H#74zy0NLIGqsi=yaZ<4DfUJOqdn(hhH<& zKR!MHf93@L{JR$U$H(gZ<8$L-yx~XBXD0c_!?XO$IVXVp3%dE|e-7qp{iS>E3jh4$ zb^h_8Cjajm80m^H9cmMqQbN$?Pu~Fkmes?*p5_4MJ~iFH{4-#j>CX<40rdYG z;-SB|agV{joR|RV9)$Sg&(5Ek{o|_w*uN=2em{@&ug}Ib|M;E&{_xm2{`tQO;Ll3} z*sTY&FaGS@I?;cA?}vEek3Spq@n^RO0_Za%#=o540Dg62U;q5>0Corpkgxr)yy#E= zvjX`4?*skwhXiQ%Ukgy~PtNu)2j_+S>60En{@7Ii{4)dOw`Tx3-$nbElho5cek6dM z_XY6Jh5+&K%>eP_o3Z}WjSisy9pG2~{B2|a{=`uK{Leui50KxH;D`S5b)fgv?IR-u;*C;>|+m5U)C-1pKjk? z{_(m1cK$qo-|7RzJzPuh=l}Zxl*{b_`Wx3lz4IsM%|Pu@fOJ0zP+$HEknY*gPC*g0 zIVJ><9}*yb;yR)~{d)yy#~%w&zEcC#$At#}^4CTA$1j9T`O{}i0RI^npnM@)e(kfq zhkyBT0r=k!&~N!ZfIdAyAAj*}d;mSa2rv#^6=3{j4-n6v2vFa5LdFKbMhd;Iv+$L9 z;xDW#Pm_3UxWsQHJZYK4TLwTtfMYk|p<-OwTWO|+q;SGVCu4l;tr$O%a&T`19*r(3 z$8?UAW0kPdTeLg975fs2-zFbZMxRgmgh>FxvOI)e^;SYYmpC*-;8-e1C4MvEux&@^*^CRga6mW}$1$icsK2^6eh_Fp(ri+GNKdKf9tkT?#Y#MP zn3NC0F>x$2k^XfOzlQMqEW)3c_%6anL7W+(^i_;ENcoT*apa2j3-UWi@^^#&93C}f zpOEnqSL?{vRZ_kQHzU9i3jH}ePK-qPXTZjYF@g>ee--7*vxt9;%;-wOUlR2K%9l0; zkQtQYA`bLc>iWub=Mz858=&-1;)auf32z8s|652-ZZ9b(pZMQjK=%Jx;^PTV7$x=8 z-61vEO!!EL#B0xxcFQHaEBQmnbSVe-YT!{YOv+IB-ZR zm`S+x0XSqG zdg9j;KbTh>Gp0y64HG3lR6}t%(kZ{|B|eSp^E?D1)H9bhz&t?wO;o>{seU~~_+jvK zV;PP?US?l`_Fagg>7p`I}#m`s5RS1VR}4i8j7nMsY9X11aY% z`Ius_mU47dj$KL46ALA-|3WgJE6u8yp?@XfByA{A_w#&D`YY#2{g;6rc>D(nNZ2Pq z%2EBq4gES%U*4AbfIErfMo1UyOV~Y<4kr8n>681Fl%wuRm`Q%3yIJCo%8N3wRHkd{ zBJ}}M9NR>H1KOz&$!H;beKO_iQ;Anm`94GOzoD0uqsIR$DL%ANd?+S48DJ;OuTm`q zsD5=H`AG|Ho^q3+*FYRV|F6^d|2}G88mN7VAo(Myy!30NJ~t5FA1V&EBP~}*93s9r z%Fcs58lchsyCn_HlQ=d~eRON;<74qs|E5vW4yyfgFOv3Y`d#Aco~BXYkXVjQ6t`7B zoC*A*+<%b#A5pqRXGy#jHCO!h_b!5tweZg^Qcw95?A;>!w2*zESj928 zpOo*$jcjn>UN1ZbgJWa5MopZ&v`XSLsl6t5Pi(`C2mGMsn_?_QKRd`VVAN9C|VS>aDciF6EGT zWom)++h$EW`15Gl-`F4KTVCe{h^zGw$I$@CiPV7 zV=39KS&{sS#J^ykw43RO#G(3&BYPIv!6ESyDz6<-VbT6|xUmq9X+y+Cc^uf#AGC2e z4mv7`yJ=$)?lr=rzft14H>G^F|M?WfNnNYspEaolB> zdb;~cIcj_;1GA(4I@**qk@z#I-`Gn1>i&cer2cv9Fe&FI!iymOV7atVxq$nLV<-4I z<~QUsX&>A-iO2E9QqL-?r`RvVqwhG$-+~*t;85$!U*M0JuTb(6mH&C@FQNYWSZTLZ z@f7sur<0ubC60UB@OTd@f~co2``Y;qivRkqGG9fKT{#ot52jnENw+`rdoh0M)=GXb zyEqce5?3CO_)Nlc)HpCgrdvYzc@S?=e>ZL_gJS^UrI`{B*(UiXNpZ@VRKJ?3eo-}5 zq5{OvNmTBpK2pFklK%-5w6McVGTob?3BsdSwMp%Pc?V+_Pkw5R)8iH{ViD2f5>hJLl5{3@96ZL_2trApf8 zUBYuIUg^G+`5I05Yr^09LgOaogW1I~3j7E2<^HdfgL|p*xD8kl7n^l~o-R<>8%}XJ zg5t26--p5BkzX5cZlFOzFliB>T{~^;W|7%$9i5Ws?6J zs8@J=bqVZ~SI$y)Pm%FcqOi|ZMH?FploIj+T1B91@q0s)V*GYcW4_%N! z^v`B0UkD50Fo6SzxPcqM;h>k0kr!#$Gr+Ci#Ct+(SKEaKk$s)QwZ(s2rPLl=>8iyRe5A90~dD z-J~3~e5X+TZMI8(wLN{G>}eu0TwP6Z-ria2nI*+5cZEy&xlK|IC9B+V zCZ#(}BEQoOw^8ESc(^P;{2WAaQcv>?YMj|UNXluY7Yx*Le30zi5F_=eCOxZ#-C#bA z+HrVX9Mhx7Zv7-bgf(%DHc7m;PU6=Penp~8SO1a3)$!mPQ0_RMYQ9@Cs_oa07t453 zr}3X-Rw=*vFDYjr$**-tTz{stb19Wq0oX_QCvJX+Lmk&B)PJs>Kyrxx80D*h#_`|1INW|dP z*qE*bVzL&146)UM~hYm|r`^Noa1w z5d%2px2~6zzl!p87%GU6L-QnRxgVjp*Ftfxl;ngZNjduSq&}aJoM%Q!yqV^udr%-) zP5qe`&3eI?Gbmp)4+f8m;{&R9A=ELH+QK`WU^mp?MB@k*KYtF%(abx2L*=X2%;QZt zOY*x%O9^WIy|%l=b(hNwJx=*DLPrSGZ5b}}dnxHz8ZU7>wZBlU#4%u`#B-^=zMAmj zB@);FE#=H3{2K62>_2GZ$+g2JzX@MVg5wQp0FIKs)zO5?N@~FFrF@xa9tq4Mj{CAH zZd1Hc?e;gtTX?xx@Tu{z+ag)->L_kdF)Hh*o;K5qN#BwDNwK8o*)m_}5dJ}>#6xCD z`BuV@_mz0qoZ{1nkMDD_ey@XJw1P-#9QcPDzzQ&PjRf3#_y{C^rU*K%aU@Q zlG#&sQ-1AtNk#gSLD$ZbcFq;r4=PKx*qaW%t=>IUdE|ZUJ%FeSdl9Eo06q`azo{y+W)7C2X%dCG%#R! znXZ!g#rJdZ(2MfwtJG4w0{0fjPvk$XnsvjMp@N9GL;)|9_%~9$)6qB!+*lkdMo2wt z@x?tjfE35i6t_)7rT)hWPso(~4Gj{XFE7eoh?A&)Eydv^;(s(>`cI3dJv{^g7VY0a z{rO1B@1yV>%4wnb1TdF47FS6A<`L4)dkJrXdW~|_7k=w{$Qv)Oka!ctF*TmQO!?B$ zc!9!zvXboCMD-EEtTkdCqJ!+=@z;u-#1R}q7K7TZouQne3LhXyYx3uRTk^^685b>dv z`ZKEkd~THGqU@7;hEsW^Lq)@MTO%c&CEZ9#9VYp6X`K|zD308Pl&=GlADT;X)JIF) z-CyGPUMn6SQ2cK=A@L2AZr=d$b7+9^<~%Sg>S;Vz3Z6Y*$}e>iK1A9!ZzjB9q{L0MZkSB?x634+J4ot-`^)h- zLG6)|+M}KlRz8FJF6x~I|C!=dttMV=4Ny<719|@H-6fhMJ@Fc)OzQp_N#@)i8qk^2&mtpU#jVEe+hnz<<+d2ujl~+ z5znP@bY(XwXgP!o%&-1EX<)VedfzVjoBGQ99whrWoGtl7$e-1CeiL*cQBE_BD+iOF z*x$!?(@5>68h>sZMe?b?C%qcp;f4Z5J;etTKn9sZc?K$o$QSh|)%dWT+Ph}j|1g~D z%XN7&T}9LXZ;X&~a=XYBvq;Y_i>3Yz$0V-S>usZ@otvmXtj2*!RIl}92XJF?{73M^ zc$wO%5rn@_ajcH!&(%0{SBOlv?h9#Pwf}#F;&v;=?O&l>@hGBlX{Pz=dnK%dPau0f zAr0^y;hl0N-f~>#E12-UWapL|nXa4g?$oZ;JtFzL%i>qA0z(P^(X5ZBQMu#>Nk(-Z zdjc2={WDBsxBrm;=*Vu=OeuNPUv*zA_1{hUWKlmP1Yd@SL$%Lv^5>RmQcnmk;>f3V z#7OPP>oR*vD*1WKJ_*c};*?U*6Z2)%NkAvXDR<2#yU{pTov+Z5{}>NTeyE<}c#qPGnUHNQZDfj&Aj(5aT0H# z{sy>>IHp6sFkYEx0IK#s|GS9v{6(g##yv9>w6G8DW4V#!d|xQ_&()O6vtbmUHS3Jg zpg*Q-r+A)Ea%RU$`F2geYbVX;=xIJjjsHif9%%yr=velYiQlcx=PyN7Ls$Ym()L(;>HTXH^L9}2W`LltN?N5{4~j5dy%xy6jF53#ZrGGId~Mw zFP$a%8=R6~9p4S1es${?(hj4DzXbdi^-&H<{_!#!N>H}cUmIs$50(76qokZcWdA2A zj_J~+fcN{z1i}YPetjRwzX{?C9xqYcsHOF<3nZ-EO#U3A8JBH<45FU7G;jPV@o$CX z5ZBQ@pB|)79L4!uqs-TD#6LJ*@|#9Td@bQus&TJY3V2SctE?R@>tlmv{{JD>o(CnP zj^r$d@O zznR(>dncK%?@0eTink3jWxDG8UOlysEe}b?C*)(wF~CKCjOH1-k^EPnexW|C8av!h z{#IKl1*rb^LAlhkRufm(lAb!!6CM}GdWuWpLpFe@?fYe+~1`_{t&_|Rz)%QZe zlhP&LaJ|%rmXl#$ti%=SCqVcT$Hq*F8yh7*EvqZ3WrR~lW)JaCn?UlPly*B#_&O*@ z)JHqdIXFPv+e?1fO!EoBB&PrrLpdgD_o*0_X%v@Cnz(czPV(34r2a3EoOj92P1Ik# zjPRonuR>B~a*j|v>PPWhcdx|HC58HtKH50>F7W8@E@IQECk&~$hnk3Ka2X4);~ z)3lxP_8ijZ4vC*HFG`=j60g(DbIx>0yjHWG_$T!@wDGn9DwObB&3tbS=!3X+|9=g| zNfX6MHJ+THde=hxH0)GfP0$ZSInDHOrv{l_WgXQE_Xe4vIO0E_`U$nP9|?+E9L*_G zj+^%XR1p6vihCy72SUr($`9mk#;#I+u?#oLa;n#@n*Doys2;g#{RNT}M?7Wc5@i!@79dAZce<1fPnV=e1Z>6|VM{z^-!@kr`xoJK@jfXE%Ty6MM%GXhT z?Zx}B z$<$~nUs`9ppZL#&>i`A5g-ZKT306i-mi)?Sspllpa~SDwS|M>Y4qKC?of|%u_&O34 z5BWg9((cEbLvmV4P8#tKr}Ay4@+C7UJ1MT3H1jGYB*#eOrkNz?(-AV=TKp&)9Ip}n z7{!@d&A4L$R1j>xYFA7C`zgQM`$)XyBMF>C_&|_@{uZL?Z^w{6b(AkvpEB|X?f$)c zz(3JG+Wq;8*<4&^D>pk`c@CG^teCCkR+nNff{S8K%T6~JS{#;QtJ7t1WTz*V+R822 zdHJOldC&hHb3s)e3dk$9t^meG8S$xk4tuuER_ZjwCECi$^2!TmTgxp8j^dQkyy7T_ zC9g2qYBCl0^2SWhD+HFLh~!GRQCMoi3zMbZH;DV}h9Sx~kvL2MBsu*gqUDWume?FF zWS$;fP*r6xBr8srqZCGAWtOsnGP|z|+Na~dKE*x{n-^ud4Dn{OtHfbjZZ5SITMNt< zhr{MD%@Wzoa>bg#UXYL>B0tXwcOf}zd2w0+JZC5<$#a-pjy$W&nO2aTl?Q*4nGRLAjcXtE$X)i^FNdl6F;_EA{_i9kA{4R7 z&B}^2gMk)hWVzzZnfYK~izN++Q!R<)ELW60&+151r6J|hF&RlLQv_X>5lL#463qX(>%Natpl}Mt^VKGE52hOa55=&u4sl_2p@4X!ZF$Ka0 zz}Qhx%0yqon+i&ZcLsXcpf9!M6+)*4xU_-|5tb@TK?U?$AgH;tcjK2ktS$&H1xw|f zSze7|9Tnx}(0OWegAA1A%5yonJhVhSSPpt*6?Rwh66{Tt*(xoXTyPGsBBh8Aw-ub_ zim8NF-sVWlGE<>NW?G8VmOv)}TKNcQNbwp4SG5dI@SjTlsi)%a5l<@7g%)U4 zC?AH%BwHES5G-Hra#V|a7<}^4K6a0EPdk)m^>n4I0ZqtbZ8>)R4 ztH-D(??S;NShm!I37nR%T(u`;&BE-maufZaj!N;EK`qLBa11C7==Y_ot$JQX6=plq zJlzT{J@{!Jcvim5g8EnqJXQja#lm9{6!I!cU22;nh6hfI2#4O99tDFrd$K7b%dCkK z9=A8>(=BB-NA*0Yf6+x2XqA(fh*X6TSpyf?9EI4=)~J!WWXTe*90Qi3qoM#u9`RJG z=aeUBLfRq>1<(Qqd(`d1yvqtDnt?QCqe6>1yy10PM6M|4871aH@JX_i=2bfbB$Ht< z%r8JLv(s9Z6K|=^D}{&zwh@htH?EKgG^jKqwMVKI{;|@FVuIld4$P>O(`JfrkX)Go z1I6O<3T!v9$tbruAgFqZJBo<+oQL1fX zzM1qBK>VkRF|Qrzwkwk?QU8hk>r66>V(g*VeVP+97|cl+VWn?V=nD{LMbbDsV-*uC zW*7t}i%R0H+0d86Y7IR{XjQ${P*gSOJ8AC8t|+vz9+}X|w%M!GX6Nfg7{c}!?n%%z zOR7ip7RJ!Y$uOeMHpAf1GvENPl`|dr(6|d9*VGR#18s@{)xS+esQu?EVK56#Se5X$ zD%2N}8bi~Y<%&0_6~Z70W-CNftcH1PmemqIc>@BBvf_DDS>kEC;8!F>+ce*FHRF63 z?ze5q5+MB;GjtMA3n2bsCIC1*F;)~d6ny3^D0#JiC?afZlIWm01i?ePa19vlLCazQ zUn(v)W8@R9gil07mk}LDjK_YMy%7>PPc?n$WsB!km3_OkR22-^%1}1c{$}PZZ`h-@ zFH`tBpUz>0LZtz*v8b)#aq@)>W*-l?S3}yv<<|j&^6U`?nAozIaw+d`HOi}wcqIaAL zp#k&Cwfk3v`mYp%GFKI9YvPkS=E=HQVq#kMqx|_aIyE?Ffvp0oW|pfICJWn43j3St zEXW40hABy?yLtIAovqky%Rz#t#;I`uG3wiMH&prB`YbWGz2Q6F#TgE#)!&d;x?w5K zQQ5E)Xy6@0imdT+-WCS_ey4V$#S|a*iX+TvHYc7itg}E~3{lXPD_A6 z;gQ0(&*En^2F&I(>Vh-FL_{T7D=m&ugU_PrPS)EBobz_|nJ(H_kveO$=VaHLe zX^E-8nklm?+aBPg3dlRwVj!EmWJx|2bX=irdAa)ZKR+xNO+=^M5UD z<}1sFHC@j9rIjUP$@=e{3RA?xz#^fb04BaY4K57#%fxgmbb<<<6ZZ-B^p>u3=>x zF|q@}#Hf8`Uso`}nhLhM*q^~GHUR#6BzUJC{nu#h4*gRnou}$QHPojasYRNF#xk2l z_6nC=R|{$QQ0{7wIC=fZfVdW2fU{n(bOkPM zUT%f{SF(393jHC;QfV!)MBy~F)K^Zh7$P$B%DlIou$agOW}3U&ha)1Btj?v0Hkhce zmBQpzJLOP2sy5EPiHP_l!@rMezD=y}Eox)MQvr3-q#BUVt3W^&A6)`-akYFiNrA;1 zv3&9a|2PS>(h##e_XDP(6%>%MtxR8ya8NU=K<(kF^TB}P&?0+2bm~vuU?5jEG#BV# zu)b<7lFNsFogTCGj{>7`P$X99qNPYFFu$S*WJ1&-wN4>Hb*-|8VM659s{;VptMYTIH7^IsWn-&(m1#U!(-+k3aFFp zP;dr6_G_DQnQ{y+WS3f0|7I%#YTvh=MeLQ(p3JD+eyY739eHa)8?R-xM03x;YY^PC zdE=a)+Q9k@aS7CSgIGG-W?NcePhKJhKWabDpvPSkg@q1mVbO9@aepFk@)DkgUYIus z`{?EGp&0NRlgj~oEaUzd)eg!(uz9j8Izi=m-U$spVD+ZzH%l>jE|`@r5#wB*7r)H$ zuF`zfbf}!ya?nQ5oM*f#uh^1;GpYV<7y~lE$N{Yo4fiw4#4sDiIi9Hk@1m%=$mWnM z{Q*`-GjVBDExkA#AK9SxltcTTU4q+LlI3m~QM!?t3F!s{_8n6#RcX+d>d-==QiLHx zDH5H(BA2DK6xVg~3PFv+JegXSEfN$Etv6IQ*oont>nX@{y2MluZ}1`Vq{|i``iHcV zqxKtEIk7^}pfp9`TYE%&RYxK|t&_Mz&jf64_~R#MQd8GdDK!V&LH#<6VL41*WZR}! zyDTsRUBYXOAs@kPn;86=W|gp7Vkm+=QR=PyeDAcof#l(yDHuTI!vZ?|?|o8?CNVLX zit#@~;&t^o6|Ol&QZbL4$!UElz|ZrrFz@N~8T4ELY*Mn9TJz0z2s$uKQYx#=av)@0 zYH^fXO3fm(2+LV-Cp=&&G?!V*5G#T4o7wJi;BTCL#34$dr4mVK3Zcrtjt^&Q*Eho!1;5NMy-FsmXf*-scr% z4&4$yqCm{k9HDtUkE&f+S;eh^(vFGUV!hxTnqHMe3FP- zVzWvIgbppN9G1a`Oc&HEoJsO-L2*{Yf>)W`f?`EsvWlWes-I$n2%B$UZpDcVqK+0h zY-MUK71>Z=(#7U1wOC+>BuXo{!DdI;v4cAf;UTO~)#l}}k&$$yHF7>lf`f0&7xTiC zae0Gjb_H6CSWL&f)OjZp_rFouDh z6M=_DP&zPCf^`fA$N%bi3_G$jPeU4Cf!;9z=o4Tt0mKrXg{;7dysij&CJ}OiO+iIXCg_*{JrJevKK>WhmI_O}^e>kUqIPnm%j|@bggJk)$ukc|bQn1UK1|KsjQJ7=6Vs$$0u$>I|!WWbXO|;$+h3+HzJFva968BMH zJTX^FThJbEn2#{Qpa7N|&86^4f!UQ;44JI-wb&w97y`Nd`@u8qSUQgeAlS-!;q zjhEQtS6q?jfaT?aWffKjGa=?g5dns9Jnh=yz<7U)PV9~4afy7 zO3s`^0#rBxO9^I_3ayne$b)5vSxcb(#_@_l4@sKlEHE!vu)u2d-15CMSG|J)*z?5P zx%dQZyB4Zr8G@XwER7^FDiS5E-t|h;-t$V7cOuaXk`vR?6K0z;7La3FWrDmlIfd6T zAbvvS@$MEEo|ZTtY;8^vWsm{7sxcJ?=(1cP*KuZ0W`0@*yqhq`oRyuKmNCO>Dxl1Z zn-av^$YzCYQQ*0%!BV7mqaiEhgp9CB*21jpWbhCuC@`b!;o&1_P4(VfVRf`c_MF*s z79?kyGcs@m-`g>0Xa2ECGe|k}Os0P$$7QR4ozfUw3~`_r+Rv1bI1BC4rl8`1!89*B z(cD3$m*8j?h0I9)7eY`~3@TakrVAxIWzuh%SQCEuB+7-)>Dto!T(O!1%aG_IsQ#yW()kEo|P*L^;jna^~8L z8DiN}3*a3z+j8w=5wHc`BRB=7hF~fgH@V7v?_N={Fec4_TViPhCU{_ziPL2-fH&x{ zYeN@U^!6#4crgY}grTw4e(_GTyqBM>6p3FTr%-O#z-Ap^qjZ*l7FL+51EFz zsl%5xIvkb8wY4h_OCWp=LjD;!KEYDiGKaO~p4LtDVJcgJ;a8r9i;gSk?UlCq5 zse+ho6%m5<{Ik#-Jht$T8zoN0n5BInwldG*aUm>cm|hL|x@+JTOT5sTjWb4bU<)d| z)d?kbI;6quIqYbKf6oI-3+8uJw@bt6wq(dG-1lrv)7-?(dfuDrYjJ5%^qxJUaNE?z zB4YNBzaI)4NDMKaqD{>n4usp;d+bD24Z-fI0L{)C>pVI2_6lij0PhKSY=AGyfUWS=fs@++IJ7p<_%6I?qcJuJ_wO#a-T&mt0f#V$&)~>o z4PVq_4AlWlupBeToRT(Mrtn z*e3kn+NT$=$lAJ1n`&^or#fVSjURWhV6fT;)=FgpvE?=b6)pA;uPcjNv$0vvl8b~H`NMn z)xoT0btFu(LPj*1{O9KU6hq=kwfje3IMu}d^)7r>BZ?JEpfF(bG>?`r^(Eg$!r_US z$V-`HO3pB6p%aKvkC-HpU`ATU2L56?5a(K?m<4G#x1|B+rj>b-#MyJQlHrXSbX@Q7 z52w}96|+>aBod08+PG)*SwmHX| ziBmlyYKXaZFRsqvYWZQkV2^81lvs`QU{)bm6VQ4rrK3n}J|9Pd({M*+{`1l_~Q zbCDD64V*Xgdqc!!w2Af!u?&G4cy5?AYcjG$S&*5Qotz@gg>N~);;5Lvm$+&b11?Eb zI-Lc13WJ+qLQ?e2hGE&TGIi6C*b&)JW({ikS z@wqv;+$Bq!^DoFjz5U(E07vW4QjIDM3nB$pKHx=|9B4=@MI#C2rcO*@3lWj$C^o^% zA?f%{9x8X5!!pETc_n9Mn`Y0;O30i6Vn8xjAp+O^<|oXam+ZZhv7n>MqE>z-W^_TI5Ou>&TC@;j)P*n$FW zp}I-}+hR_aD6!2yv#dk$L9sfk3a!O*%NEo^&!Wq}&Z>{Ff0Z7#RKCke$jsL25EA&2!uP8|Iuqb6M*X;L#Pxz=?zF?^Z zM(cLi+X+jEur(XDV?!Tbyp)N1oyBS;%?r)uQ(+Ni*k-j9J~~%wEvQb8;CnYumq5?F z-)RykzVBCPb;5`8Xmtzb8srYBc5hIIno7j1IK5YVJS;#3yISM_EWkK`EVsq(f zD`1+&I&JdQg}l?5Z`$Xs)?4q!ybNe7OVe?H3_U&A><8_*?D=V6csmUP9DK~gJU=2L z0%rLN;iEI~jbLaHtoZR?_`qYeI!%w`|F#_7e4SJVRc>v40>w-aGD~IcGQo#~#mcw; zq&%C5Pn%nc=&q^a27}nbc-tSAYhl_5c1b3d zT4B+aVu`x4&2n$h!y7V!8MZV~+rw^0#K0z#3VZv-;l2T!uo4q7(E5l`wZ=K(oaSn) zrL<5sGGKbxU+irl@YRX5lQ#vTa?^fHS-G{vY|z6CQV>MpZ9JNU@?XMH_}&1_Lc^<} z^o9hsTb_mqErJh1zbLPwxWwiC*0C@pR4w&20<8kE$?%=QOpExkGR*V(PHU>4G?PL1<*SDbcB+oJ0^n1tRr~QdZ;x{b%eF(w zHXh*<-XP#vDa29J5}}7Syb!*>X@O2zc|nE40sEk<$s2s1HOOa0AHZN1TlVpd>wl`B zkn3z*C_KeSu%~gVp+HTlBhPi@%?oV_dirM=D&Qr^)7aVyiC~k{)Wsr8ola@KU!sK_ zRWMmy0Db%l@ilkZobb;e!e@2N^ZCkNEc-^H*^H}u!c}2W$NTL>c%Kd0AQyBEU?R_C zHe*BB_BqI%?HyUjcQpO~qfZeGyu_z`1KKC{ zG}{O4^snsm|5l%Wwolw?whz>Te`TNlxBC3Eed15EeW2q0EBpMv)hCdBVjv#M_+x0V zeY|h4!^a{hy1*N*uv%t=P3hHUXs6*TD-N@>jBd7#QQ%wtVw68A0V9=H^N9Ze%{9@Z zgPKSF4`{Bb*B#V6>VH6UO^rJh&12&{Zmw^y=2qU$mca{v(5Wn68pt1GJqBb zd@j0!&&9O!Ij9S^vV0rmF1DRdX>%9X!RO-J`CP1~6b$-~KG@#AZJQKvR;xXo;x-Sq z*JHqWSxFe$>o9H7Yp=(&d9b}M)8@hUdQF=L+v_%M9_*;!M0C_|B0B0f5gqlLi1zx8 z);JLz^_+-~dQL<~Jtv~0o)ghg&xz=$=R|hYb0RzHIguUpoXC!PPGmM>F6^%&puqT1^(n#bDfEt<#L>noba+UqHr$2#UGQ62M> zsE+wbRLA@zs-u1q-7!Cj?wFrMcg#M+$NVI^V}26dUO$21_WUvz zEZmySvB|I&)pp|2x0Xb=*OPGELxQ10+z!bx9dosq_PQF&X6kOdbj%rJI_8Wq z9dpK*_BtaoT6DXN)?RmIg5&)Qjx>vZH3>iO2_JrH-vB2X-iilaKVBRmrOBm)_6dWP z2l!WV@k<#F{8*6F)&9re6Zp8L0UpGUG_+L*w;{-_S$>9XVy&MqfvBt;6Kg3{zo0Wl z^(!)(t(=(W=a^}-f-(S8EbzI$_AbM;OPIPo+dgJ>R|d}sNf7@q7cLr}?4ONM*L~ZS z8kV1*f@s&X-U4bLI|LyrPoA}C;dJeEn>ZhThi@Fh)&;+#dhstG$}JQkTDKkeqxL?F zXs8@~N7XKOqlG(d;d-QD?_R;giAcsES?2jwTmYMxkuo4&KzQLK*iuqaHK{5tW>QQP zf)R?@f-i8wF3^0K#*^P`gn8;hWkzD6ITBKtF*|K~qB$Zw((@}KJX%T2$w`==W;TRJ zhR4bqIXS={6&|7I_u_o+8Djapcuqw4Fvj~(1_R^ty%Xg_MsUV(cueT7D&~`fyam}J z3GmC{{RtVtf2uu5>8x~t|GL828UE`g{vy&{Ao5PI;OeRb!+$Do52dHzRiEjp^b%ai z+Zp5q!GB%g#3zum7yRq%D7Pn^o#Ag+_zyWdD}8{gFI;6R{e%wq6#j?Wh0wJ#=+s&1 z4`*+XCiRuisL#r0dV(ZXd*m7br_^nrNE5ZevrSTF4Vxpqx;53b` z?uD`GFTn7?`_1hBK&!QnqMV_0z0`aEF?PRVh_#2J^i%q=`!}??-<93(tK3ERTS4UV zw;zY}&s2uO^Qe>k=q*7CMAk5(tHk|*N-WXE;{E_7iRfx^KSaqQ+9BvZN-oh?Up^k> z^;XJ=&J)k~QZ6C-oyV6#5bmk0Bf3!B@1fj8^fEyQEB6uo)8M}#V0TxZB-$bFcT-*@ z+BJSdumTah8E8B@!S7cozeo=f_Ux~GLi`)o-`q`s*6SeA(w?1#z0e-6v(Ual%1j%skVyh6tK=2tDRFb%Gjmr;YO<&~qs)$+1yaJ9T@G`Lz` zbsAhPuSN~7mRGX|SIg^=23N~V8S9&0wY%$qj&)1i(WW?q>3J41b#G6UOkRkgnlvQHlyB5_+*CHF#IWoZ({g1re{6FA7XeD!=Gbz*v)X52=E*YtbTEPKjUA+^l4%E zAeQbShJWUft|+YxuVHeIGCY^bQJ6l-j6ay+e=`11hQGGs9t8(R1u)cm=~-82$s3bBN)SJW?QT zFuaxVA7%J03|GRXpP)Z9vUGzP{xp*x!thayKa}AM7_MV@1d|iS@JNR18U8-gGmhb# z8E$0wdrVF$!>vpo6T@SfoE(PFV0bRW!3h3?Izoq%wRMlVf7Inepc^{4FLwm*G|>r-b3xGk!b6OPKsBhRQTb*0V)VrJHwNhoGOO*VEVWjK9I?&VfY}1*E0MJhHql{ zYYeYr_#CEBJ;OIJyn*3AGC7S5$6aIU(Zuk2Z)Er}CclZ{-59=`;a4!cnc*)o zd_Tj(Sh_6?pUn6VF?>AZZ)Nxdh96~kI+LSBdi{SQ;}2%|xeO0s_{~gCD8m;sT*vTp z86L*)+Ze8AcsNTpj^URxej~%rWBjQMpUcYE#Be?1&tbTM;kgWdo26UA@XbuVo#7WU zyo%vsgEFPzX1I&-*DySq=~K(_xlEr;3?Iwn)G_=O#$V6y7$(1g;n_@1Bg5ZdcoW0N zG5Nb0{uPto%ea_>;LC7{$PfOF#ZsR zKg#e>hEHK~bPS)$@GypNXZF-H`~t=w$MEGWT_eM9WO7m&zKG!_hEHSia~S?5lb_3Q zBjYb&__IvDo#6?Lzl!0{GWl+X|HAMZh7V!#YZ*R?@o!?df$`Tdyes3cXZU{@-oWrA zrhg;DlNsK`@Ci)LZie5(^l4^zKEwAj{5*!YFg%5&dx+sP7~ab8MyAhEhQG@Am1wX3 zKg#f6hX2X%5QaB0Je1+jFkHv*Z7g4544=nvJ;PI(oH&N3G2F=TnG8>5_$-E-7=9tM zPY%PMXZ*PgPhfhMFuWhb?F^sIA#8LKQR6}hF`$a zt!MZHOilyCr!)RWhMSoDCWg;t_-=-0GQ64LdM1BA!~bUdEexlvz2fd6hCj*lZ)JEE zlXH~e)r?<>@%sNB#vjb^Jf>#|!?PKGD8uJ5T*vU&S-N2ipU?R94F8Go$1(hRrjL=~ z4NOid!>1fL_-=-8V(B(ByollZ8GaFy)57q@ z3_ry1$C;c~hJVfQqYU52>0vvGvg0scrL?r49{bD7{j|T`Fe)u zGyXV+7ckt&@FNUQW%%n%zKP+5j6a9rpEJAVGTg%WOBlYF;dX`>v2?2#{vU?B8D7NX z)G+)^rcW)ycQQGf82$p2Q^)XPhSxK^gy9Vg|AVF5$ncjLe-p!(Fnl+|&tZBtGyEvy z-_P(zSh_6?w=g+}7+%Wcv@*Pm;YS&s!{jS*UjHv={J{*jF+7Cf#Y|2p!|jY;$M9tg z4`cW&CP&Zk%UOQo7_MWuk>O4zKb7HEGx;Wl_hfQ%7~aI>xQofD zVt56^-3&j*@EV3!GQ5`IV_3SI7`}tybqrt5 zr!hIr41a*h+0XFdjK789?=ty^82&NiZ)JEj<3GyqAeOEY@Adz;86M2=@0gqrhSxLx zP=>Ey{5pnT!tgMLU&?Sj!*?(|j^Rx#zea|yWc;ZN@67m340kd-hv7dlIk^nKm*uyF z;g>OfJHxMF{8bD;#Q5C||A65&4F8ScwG0ns`fpR_ToF;~^Qkmhpo8hY&-pued4ByZ2wG3}z_(6srVt5qOrluE6r5nfa7nvL*!)q9SD#MR5`6h;6 z&G>T|{xQRI89sxhTf*>ljNi`i*(}{EhF`<@-3-5$;WZ4Oz|yT{cruf}iQ(IroH~Zn ztDE9(J;Qr4ISmZIlBL_o@MVm@iQzY}bayj+594oU_<0Q9&+u9%r-k7w8UG=MuV?(N z4Bx=;qYQt8$x+Vt`u{AJ-(ZH{$mE1D{948z%J62!uVZ*NOE-++8yUZz;Wslpj^UTG zbd3zZh4H5{Jd>qsV)!P;pTqF;nfzRaA7}g}40kj6c7{L4IC5F2h{sEIy!|+>K zy0r}7%^$g#_^l4!D9gM$`;de5;iQ#uKd^f|-Wb&IC9?S6k46kEy zS{VL4!w)e$gvn`T_$ADqM;TtoaE12sbO+f>n4DmSM>0Hw;RBhRP=@bjdg>T{AH%~K zzJ=j>hM&Xa$1(gR#&2YJFUFtB@Tp9H6T>$!Jcr@$Fgdvlk7E2K41a*>V`uoY46kCi zgUNR@{8xt8Fg%r|Tg&i=7`}<&eHdQH@Q0W_scXIqPQ9jUS^v>W>J?3{pcBohYjy>{ ztel8`0-zIPw!zsxa9;KV;({pH9g!c=zEaH6c0BEdNb0Uk!}$52Bff?kAlFQoN? z&P2L5(mFxUM7j^sA%dQcbYG+uK~F}yAJVOV12856=@6t_1U&)i{zx|qdL+^VkZuz6 z5Tpkp-5}@zNDo4~PS8D(#;q%dYXu#I^qEMz1${gO=)p+a1$_kRP^5DO{SDG*A#D=$ z0i=f@Z4~rJNDoC?FX(+ppN+Im(0h;`hIELaUqX5~(u$yWB7F|ht$$(upFmoNbc>)L zLV5(!&4RuM>5)h`33@ZqqmXV8^ai9yBV8xxbx32Qd$?B6tC1dyv|G?CkRFG$UC<7s z!;sDu^b(}UBW)6NKGGAAHVS$X(i4%^3px|&bCK2wdM477kPZ>_bfm+PRs=m6Xjd2sXNGpP#jPx9&TaSzKN7{sRi=ZbUJs0U_L61Z_6X_;F4?#K$=>|a$ zKsp=gIzjhD8ka~8*9tla>G?>z1%13P&|b>K)Mj=Izg{P+JbbgpjRVZgtS}GE08Wm+Ae4Z(%1kU&K2|$q^(Gs z1f7rc5~PiSUWD{gr1gT%M7k7douFqTU50dspr<2^Q=f+wK~F~7hIH#uQT|BVk!}(6 z1f-WC-7M&lNIQ^j67&$Hok%wbdH~Wcr0WFT6KR|RJX|a2Afzjib_@D=AE1{bZ5Q+r zq^pq574$bqS0il_^Z}$-AZ--%M@V0Sv|iBrkiHaYouK#pALhOVJc{bpLxJ2+x#MQ)J!PgL*#07${Bz}ZASMWsQ7;(1X zal~_pU4kzlew4WDfassNhPXrU2;yH8w+S9f{1|bg;4_Km5!VYIKs=wgPVkAuj}zAj zPAC2iaYXRpe!x!J#mNN5ybx>ZWBC|_@Bg$g3lygNL(*?0P)Mjb%IYM zeucP3a60iK;)vkGCjq}oTrPMYaRYIg;2p%T5tj(wO1zlZD|i#}65;~E>xf?`&K10x z_zmK0!OMu361xO1CVrE+Yrp89xRJO+@bkn?#BG9~CSFF|DELX@<;3-ZA0u8tTqn4i zcqMU-;D?Bti6esVCvG7w7kn4-D&jK1w-L7zmk7Rzcr~$C@HND55f=!)lK5@nT)`8G z-yzNxJdXHXVwd0xh}RHz?GybIw-I*;9znd8xJ~d-;&sH0g3lywC$1MffcQP)I>9Fr zzfW8vIGuPsaYXRp6M;Ve6`xJ2+x#5;(+g0CU&BrXtqCGk$;T)`8G zcM)d`9!I>J*d_P^;yuJ&U7~;DF5(WsBZ&7Bw+S9fypOn1@R`K>iR%RqApV@VPVkAu zUl7*_PA5J<91(mt3%HxOT<|{PgT!TmcMu;UE)l$y_%N|o@FwCT#07%a5g#Sa6}+1G zOX6(7%ZR@sb_rfg+(X>8NAyq3ce>&of}bZ&BW@G?G%;UgjyDQ^l9)$M@p{3J5%X1n zc%9&CVqQgz*9d-ym{-T+5yAHppFmtL_%32Mahc%Th_i@G1m8r=E$p~g@HNET3W^s9 zzLK~fajxKr#3vJH3m!*&3b9M@1;h$**KW~2F|WYJI|Pp)?oZq%cqlQi=EfTZpGnNE zv3R}U0mK7|>ja-jJczhPa5^!sIK(4@54(X+CoUJfkC;bY@iM_Xh|eG{5xkX{uN23< zf;SPLMO+|w9r0k|T*0e}`RZppTktYs9=XO{f)^8W>n+~3OY~2iOWYy&dEz|cHo;F5 z4<&9C{3P)(;(Ec45qpU11XmNELtG>HAz~gu$0LI8Cq9?BT<~4Q!->lT-$tBITq5`; z;t|AN!PgLvBrXtqCGmO0xq>GW^XhCoTktsI?-RQOUqH;GiFnse(LZqkafjd$#D&Cd zf`=0SkhoFsnZ)N4*9#s%%piNsTgYXqkg|AaUq_;6p~tBK16?;|cH zE)%?i_@~4rg0~W1L+lm2iTGOL0>SHuuOrSCyqfrW;%vdoh;JZv30_QmBXL(;^iNzy z+#&dR;-3+>34WURCgMiHPZCcht{40mF^?SLb%LvjZy~M`{1EXp;)vk;iFvgsUM~19 zVs1Fc%LLy>d@FH@;G2kVBlZfuhWK{k0>M`j&mhhfJdyYp#My$!5&x3dCHMm3JBYh1 z(LZrHafjd$#5@9xw+S9f%q{(Rqu?`%?;H|{>6MF@3BIeOgyg=|eVjjuIa|N#^=22=qTktaC*~Biv zi-{j3?%FQ;Cyo$z2!5V;4sn~{r->gTZWR0^u|`}k_%Y&2;yS_A#8KiJ!4DBXOdJt> zKXDatx!}8qb>cF?w-Fn}C4z4vt|s;hzJ}N&E)aYr@gu~!f+rHkh_eNcBc4m_5_|#i zqr_d?ME}G!#2tc15dWIE&Bh0Yxu$V{K%O@d;o{+nwGO?BYK}hg#V}XlI@M^geunMU z8sF8vpZKQuCQrEvL|rbbNpsWj^9)V`%Q0d ztlwGHrRQo}PtIB$>&K4W%to#$!2 zb~Jsp8{GWeD8DmLV&;=8H-jKvaYA+JNP4RA-_9doeBf0cZ`QUb0pne-vgm*w^6b#{ zfx_r?{F zihq-`XtHOAu@|{LtJe-ktg@)~R5*U~X3w*JWf8)?dj0ueBW3GU0_|RXzxGL*_ds*H zUUM2#Jnr|r00wmk%G7Ir09q9M1(bJXWQ*H4w3NCd(K9~n(=?y9y`NrNU=uy#>uJ%p z^z&)k@c%RXZ%+4VD>JTBAQj(@)H_rNR7 zm-nIH*z9ZS%vjs$L%Hyew0r%rQQVDi`LaGV_WRnmGcexW?rZ;~eH+rSgx%`{Sx0?& zpTiDL3VG@f^h_Y@!)bn_ZankNA`h_xc(v^r5czk^+6Xk|Q|2%CHSI|E<+ba29z@{N zwq`VYf8o+TIqJ{a?9&dX1fw(X4UlMjG4QQh`vh5OI-e!N+N95*P60xZ^`tvsXmhdY`S^Kq~bYnd^N46hr z+U5pp@OAsMAi~4xzBlj{ck-)k zwf?Mk!7oGcuklvT^1RUWS$f{;tbJ(e4f}?K<5 z`I>h2gP{kRe4Ao(__3}}wAuOfx=Z2n>kC3~V8+UUWo|OG!0>Vefm_jDaH~G=$H?{% z@cOGqu>`}IhrZx4(6CH?z5WV%^@b8jb@DKfj<3$lQ_Yh*KsvtqqCDmCrUT0|{d(jo zkm&l!Y>j%ul>lLPPm>*RU|D*2Ky@wSVwa|ATTVy>ryVa?U)vZz;lMJluAhPgHW&I1 zEXyT1y$LRMaQ1P7o82rL+PFS6$%a1k1f-`o!Nv}j!hAj0SU;_4w{oD_>(%!{;=_`x z=sVCXC89UM$_|zieLYxT3kl!aHOv(+#rC;>#CxRjqh_3KUT+p_lg(Npc5W=!@BMtfix zs!MK;98T9Kd!DH-xkZ_e?$}!$_Pl_+UO?dP?)7DzO_4~|H|9v%iEi`zPctK`S`L{`?6ZI-u3G> zZ-dyc>+isUB;SRD@zt00KDzOfeObHUoaD>;63z*MtS=>NKicUov>-AHpTMB>iWAJ4 z(~Vi4n(CVKD9Y*?9?RFXEz?Ahw)N;qlRb6lmeP%xWk$maWMMQk%J1uv-F|=8j)4A! zA62c_wyr_uetlWf*1pK}5`ahpTSpplByZ)3WswFNclsGU-5+S%(<2Qu%=9x_vkpst z)4djba@N{N1C1=bx+WXW8N2*>AEO{_>3h8T%&SqjbfHGkUi3A6n%Rvmxvz$v6P;U} zWL+RD)wb>zDu32K3>rgFI9>lP`1!N8`t#OkTQ4CcjDO}&vo;B#F4^UEQ!0?>EYChf zUW5L*F_5=Bkhd(5*W`yG=6b6eenm^nYliICLJEHHABthx57DC6+~tk*q%(aTDDMX) zjlrVL`S}A)twSQO9`+0))qcORyn8KFzeZTqw88P^9m+a{a@3{yjTYZR$ZxVIk8_W; zofvfU_G0vc$*l6_t;u^UZ;cu9)cE!0K-L;RY>2-wj+rHT=@m`!zNo{MUcLM(ZOah< z(CgfH`m5)=dBUT{&eQ$oE8M&f=o==)1`qK4GcAy{+=tUh%_*8=zKTt75l4Sc6Y%I8GcVaC|4maps$?}8~7vNBJf)6o6}+Qs#zwyV!Z7W zY}x`|O(8>HMIJ+6b`QinEo6i|4Sw^v$?yj-aRBR4@cQO_N)Cav0|8d5elo{IGx{E) z>@szrOr;2985(`{Y5t*=?wOEa^&{?D%F~R^Kx|s8FKZP#^IPFJU)qUZ-<&OW(fpn^ zwdu1#p{#dA-l{Rfvr07NXb$<*9xnF2wdc&u7kXh>hv~1Z_W4CoL-eV&~~8dUTB$J~O1NjF1eV-xm$_qHm_F z?L19IWUa4p7aLs0I5YHDCaaY|stU7(MXNCtHPkU;fO&^ttDoy?h$wF(z!$nYNdRLG z=;~zx_5yV4>g75bWJcqTzP|R)^tFCtS^HMM(aI?m+PSd|aZW%c0?f(iFi`gP4rE^+ z$Xdz5!;ofpFpe%zP*t?0rluVy*`g2Rt?}o*g{J7wdpA(nScG8&$=KZ3D!z-+*)2&H z9br>B7d_NL|1iJjpxP84gf^5#(S2pJLaRJSuRQ@FMQeS^qD_7<@F1_XyD?_>MX<7{ z)ws@cw6G^{ukjHmK7v7D&PSW@-hpfzjKLmAYL=&MVVcd-E=~hyQ^RI?js}dw%r_Ay zyumbYZ{dNo)-1L_l)7O#B%UB)Z5olhdDtk=*OL0y#*x;a*BS!NDx`mxF6uM@W}Qfn zEP}|bH(^)^ARv&pHjvip&uR@ATQE%Y)%DwG*l6hEhCbykMYS)B4c5n_ zC5(YTV9uLKhbi9DYiFLoAoC!C(7*UF#G#qlO>-@pW(3h}k~KafPTu4?9$XtR0lf@8 z2f5b5A21)UA*Zy~fDB*N!ZwzTsAGAea{=2Lgp+NXA2mkbonSoQeN-5a62>12 zWD6qdZ-*WkLDz*k)nHG%AZhz4hnp};TT-cMD zvN^=iUiUQZItf-|%g&Ah8%x{vOAU+2eI0;XAs)t9Bdj4VdEJV^7Jd( zV|;94mc|ykc8@RbAj)zW1sMod8=5*#3Zymq(FF`dxnA^VH6@elx(TBw`j}a6U}Co7 zfy}{XUqr68Y-qIW!p)eG^`_4SDw3DfZ?a zk{HbK{-o=zcHF+95P==PkFmNs#drJaLE4r}v_tv#Dj^dS!eIOE#B>5WKIqri1`2oi zjr#Y%!q?vEyS;S~cMG75PZ8oI9l&w3A=qwV*mBw+?dqssZw(ZF>Ngf_kkmVFUxUP3 zk+|lwRAS_Nv!^lGzSEgFa4Y6dezTv)s~=+4bstLN`0ei^@fIZ3x1|zeVZp(_eV5(x zu{1IkbO`+(2;=F1aS+=DX;5h$gc{k7n2NsDI<+NXSgk-m)c@0>e`-r2pnpSR!gfqO z_2tCW4~LFu8PpXl<7rsN(>{HTuloZ(2A&(iHPbRO73>LZacDQpI&;Y({ysVVNtY$XecI} zzPwHTqOHZ88Y=Ub`JwQk*oeTe<7?WIj{csM2=;r1K{39(Hf7OTSb(poBO{Qt0VC_R zDUD)k5NzKOFy3?Y;y2NQ>1zu&LnWWvA{KqJ$84}tD(N4B>f4aiZ?t2}gA-F&5>}Ik z0)^|0+Jlm`Q?w~|6i+3E9nJ7;2)6GE7(1M?i0%1lneAEPLFv&&~TPb`cNbv1IIlxv~3dI8pdN zzKrW&cc5~ecTrk6hmmRCMd{&FxMamrmC0X7JAie=G%Us6+cM0Rwk~Tmwotx@4UloM ze!Sx2(mqoH`j_77QQyy6jj)vrgCJUDL$Dk3!{q^^i8XKf^s^g3YsSVcPMrnK?WFI`-yxSc0zi(el~z!yGtZl zemz?8Zg|lq_rR$*0eb-dOsg2g)M@`r5BIB{?AhPFZrEgxm9|c=;oqKA%|So^co^C$ zdcg(XN1agaT|VQ0Z|&}J)dNRhtC0X&Y}%axpx1biArg&1*`ZZLD~q~yJr|+fYfmD2Nb6hzPFVC}k9B4W&-t zcL{TAJ7$`Of8;<_TbYTN>tv8E7nH%QmGlWqkP@zV^A8=clD25^YgHEtkSo&vJqM9n9Sj>(dnGW{CA(3UfQeT0xApG4kU+i1l&`bA!x!Hifw* zX3bAw?t)pB#F&a8J$J&aFtJ^J9)egm6WbN$u8cLAm;y$HxszoTrwF)FWS!rOfOcvP zO$6u~I}~d`3Uh13N>5=nH)|jE0UQazRJ2b8KZF!wk}Oz zUE>&RU6{gLMO!12xHx2ehhT*0j7MqKY;-YFDHY8I(;e%}kDLHq<7(5|mBL(ZSpOmx zEflL(TM}rTP+5(@y2c5Wl-8;THY!JQ2`fFvoG&BDx?(H36|%5uNMAX!l=0H#X(c60XAI&b2Sejz!y2Bzy2iPNm6O8Uf3Vz1Ts+A-LXp@a z;yI&r?T3y;AR;b>txXA;=^9tg*6I}IqRCoJY>Oypy_5tRr<`en-I|;#5p43bjs?Jz`Dlyl=TH`ZMQb= z=vbd6aq(r=1_E0|JcPBzYzAi2fQY!Rw_Z)iOxL&vVErY9xdgPHNO7BJRVRVQIkt5_ zu&!~6ZHwqOV2Fr23&*YIMABD|ik+657s<2<5$$8GC;~&{ zq|w@%z`DliptUZAxvym{OXA|otyc(a5%GlD8nh`TB5p)j^GRTLC)~)eDpQz?Tq~U7 zcB%FAB+$6zu&xHyH7+u25lsMwh`4QX+-jandNed-oUCR-L?_v4xs7t%w4Eq9(vIn! zR>XpawuZHmQNDPFwJZgGVZD+B8jpFc=Tex*jn?BS%z2>|P2%Eft(hrsvvoUx-JGUb zHza{}I+IA3-h@rfWHjEF@;>jN<8*CrPRCOx_v@tZSSM3Ue!yxN;K zE0RNzwGoU&SH(ZEHWS$8xz2hw1+KT6l0f58zV(k3=4}G&Pbth(eCyXKJeqh;3iA-( zx-*4;MEtW9=JCFD1+iV<9~1i$@kVi(H7XVP76tA}Mru3|vIeH&c_L+Hrs8>2WPQFN z$(%=3)~CdFYv3W0^&YW|NgB_vtQDzv?i5%rC$O$@pTK%Hg?VOT%}?UutF0=65!77q zFRdNx0i521+u_!&jJ2Bzk2kEJCUNl{Rw%{i2J4a(D7StHpljUvu^Y)yU^EgQo*dWE z(@F0id1s=9aP})hQTzi*$TOAWCTvAKOh*oA62q9JL<@NdagNxy1!Da^fpv{LAXY4e zd4^;?ki^BMRyl!)Ma#z2)M|S_B^jQ>SeKK)mJAPTtV>du+uGI-lH4@z4p~D|m|I|$ z0<3G?0kdn`1JOf7JQF%@O+Q9FYRYq@gp`s4_HsKdPm7M5_8B`Z&z_QL6H=-|oFgUf z^;q{Nu&!~d$NG5+^X$&LI*E&aYE2}trNqla*7NVBq{LGuE1v|mlz0?nosq)aytlHF z+%)c!S%)ZQhyeWvcX_Qiu&!}8(3a9hU`S~);*ML>*GOMEavip-`i>5`?jIeE3tyRJ})oFxJ5`gk$3AN(ugk`%RLwhkn z(X74CAP_E+sAjE!uJsz|o3+>IH?(><34U!3**dyN_N-vbu=#HkWN1&pb&9;%A^fvM zHERp#T0a&3f2H5h>fj{!uXo5c&;|ebf~|a;e}^DLdjzh-f2%|I8;NSxYUx^s*0TJ+ zr5{s%I0^nAI%FTw1^<53jmv5k`cnuD%?&3(f3CzgYx#6RpCj1%tEW9}A!aH8?23p;_ziB-?58 z&$9XFNvxrLnn=;C4RZ*0JN&!oS{vIapS|=Onw6ji|8$3crjyJo*!nBz5qp*(LmLCv zk^k8a;W&wE*8Fs>`-FdhenYzm4ibOIp~$6MdhPYguTo?8y16wLVUqRi&Cp@Etc#FX zFV4AkxiW+?F3^p2ZytvA{u*9?9qWH*7_Lza`f?+k(YrSxX5&h^7<<=S2r|cBOD8ty zLm?QSt+tHE;s71U_-rh1`dTmA#ODa{+08cb-)&-)=VON)$+!{Xf;(JXV|?S~XOt7c@2*UW zei~L(^X$P0GXt)o;@nwN07Z_`%}Qk(n3s!>v)8k?-Um$1TpDnSv7mEqv}ocl4 zc3q+6>sv)G2R@)P`dm=P*Da@f&Enjm0Cw}ATG1I%V`mQ{kD{}`14pU+8F)ZxRxL^t zpFm)aKDvP%#(qY}SZ=+s$|i}z+LR%FY~xd3KSuI|zLq%pI)W6v^c8-a?8ZK~n(PMM zV3(^0G}Z+uS4*E&@bZCLRytlNsu^B5EpQOA!inguuNM*4OJ6Zk{%7^I80Agq>ojI} ze0?o{jTP=Q5EPESvPk?b`g*Aaaj`+;*V7sOD#Q~Xy6iamdX9oCI{R;MQu?w`qJ+L4 z5y_6NpaXrK++yqN{daons|B$MeZA%A%S2c&eXUq6`ubv(lu24=<8WfIQsf6 ziN8f(U#>)4Y|wAsqceH}DC2iE9!FoJ$)o7(i{Yg7H3lV0=xZqpG)`1+c#)D~a1^f>xjNre`j{Vtr8zI2o*p|2N3<6|GE1AV=Orbm5Mpt*>?pv&hOr{Rs1 z2pgX{_8+#b+-B$fh{UdN$Hz(jp#N2ZzQm@VW7A(?(_f#UA0YJ7-&P?kVGpKb50@gW zmpx?Kyhhu+cCVFsUk(~;^&7N@9C#gj802_i2&cgd=X5x*hk;H+Z+kcj%j#th|DvJ& zXYFAk>YcEMY0U2U_Hfn9Vh=Zi!m)>+lK5NfVeB%*#Rjc!qci#hP{#lH`f=>x3o4=L z?62UY>|rKKl(2^nMN4B>(t$nv9-SlY;TDXOcKgM z)Fdrp>{h#KN3e7v(Po)9jDCcnm>1ZQA91Or+>z-)eu=D|nH;l6PytJ0{Xr6+{rARU zt~b31kThy+9%n;ptazr{Qk)Afik+bv^E%*zW6_Dt8;(g1-iXTPTT%F5s`S_D5Cf;) zcKD7xkQ;PZr0YODf}j)A`2HK1+jGm7Vkr$UCrqy9VlU&S)8A4&laAV=Md;#zx7PO2 z+vs>(G!~f;0yo$`db?8I9AwF-MPteNAif~sHRg?h7q#=!Y0*?C0u?B+L&}{H6eSf` z1}|%DJB@IVR(IlAU4|H1tsPO9h(K{u-VG^lN6KrZyj>};i#r^4(cLL;cFLRh8_+05 zZYp$m%3F}~j!AhBA`3V8wrC5S%&dPdrcIiRK@C`jH<`N{yP`*3y8~Cb+pI@P604D5 z0~1^!B~J2Y%2^3yqQ3}w~&LzwHzx7 zdm zLGvO{5Z`*V_JUof#WxeLMsv1?VpLI$m8xm;j`oE6SntXtWFZX%dCr7morK|AH5V4x zLAeZ4rByDZ8G~vS9BZ_lFd{*}Dz~Co1cl7o3qt19;ggC!3!fpSi1|I)YEcU^x&`zo zRBq>1)%?|PRWiSVJd8coUu8^NY4yi6MesjZ0;#a|J<_1rpcs7MJ?MC?E2W?|SznNa zj9u{|J!G-cYDTvh9{^B;@oo+ll~dGMpZmDDEIOzfC?00YL#ZV!rcS=Tr(@dpl_8aq z!}VC`dR-}u^gdaXj=n(Hk>7sSzY^87+q|iGaQEf$ne|vMaE`< zkE`Mbsy22FhEkLa<>?2jS&XHM6~lbYQc>{cK3jm(Seyt8wtqQTV*Nw%>*LcvVdGx! zo6gzU0K%J9v)?)F$5i7H&jPrGtw;!`wR?Bg<{H7^E)bD7*GkyF{Tof zDV3))9$wg{-&3l_9`QtkS=f`^;`ijjFVSOA;SH}tg1B?+RkcQ})iJ8XDm~JnBE8!# z9|wwqe}`7Ig9@z=F{Mh?Wy}PsRHARgrz|=Z?{<`{xC&H8g6Ylpiz(ng6Z}Kw%_{gffDN#=t&h!0;n$^7~7kg;!4(dR*B{CjG2T{t^vKA5W- zyRBN}k0o->r2Nl=bB;oE4?dU;4{8#b^`_tGh&Ik_2pLDfidj{>qFy-I6As#@ye(vG z1;h2iP&Mxc!#&mno8gd2M%SeLj^Lbw!t-=^$WwV_EXwLP8l#P>q7L?`7tZkHgdw0k z)(x-Fw9zU;W-eVfwgFV5(i1^CrSd75cF5Rnt@_*7<#hx5A(7XM@L{z+(4)_{MGc{W zb)`oK3rB7nUh5?{{;{Bt`K-jN=8KY5C|2(7JVorOm;CO4{I;U;qPp)NBfnCV$Clq` z!8uE#-Q&0q2MX;zje0|WoYB{| ze2M&dbGx7dGTjo)Zw<~lB>CjQ!+exS_CYv)V-?|Xi{uk#tzKRI2cTn?v-tu?JaJiL7*L}D4nGDBK_5=^tNrP zhGT68uK#Z%m&_EG`JM=h6u%b|npVTTs9VW7Cte87f-fg_$A1EXn%*WTAGA%D-)YtH zcaV(DJHA3ZeNKb`X|VADU!iZDCDU%S9Qk^%C`rEiD+jmM#V;Z)$GB7z^Qy5DG;1_7 zyPQg8CFEGxsA{bkGPdvgn+l`qZc}5W=%bFHkGjrNqEwBcthFO)O6A$~VZ{;UgpQ0$ zRMX~kOsk6p(59aiNvP&ic5A~FBxGz+(>8{)PylqTHdD!?^xjG2AHEyBD{dfP=wpgY zH3DwC75mYxny=dowMJ(c{f^)w=%81zI6lY1o>G2?V2}0N*OS`k9B4{5 zw(}gVU51XU^D*=vnI1im-KncaTPm^;k?}KOf>c*3b7MKCJIMb74C8-*kPXda>Ji|mN)3T|AQ!oozf3jQ znfeo%{*ImZ*D^iRy2Z)#dMDFCPNrb@v86isbxMBb*fHe$^=xA&=bW5@6?bEz0EGwG z3#jJR?)YC`rBv+opY#2Rpz-CDtAfURZ>mz}FR%<$F*UIJ5_St?3LC8(rBuse#|LU_-nfa%>zRV{`&gkcol4Ik{F zFYeHWjDwS66<{!R__*fGTvVjkOSuJ&ox!5rO66M|%FIiLhs?lm$|+<{$qf}XO){E7 z%J^1(DFcIIt5V4??1hZgA!9vXYaGszB9|{X<%H0kWm_x$!ZCw4mb?^)RVRnDlc%$)^@-0*A|x?Pj4f2H9`J<5g$jmA*X7L4brGJY)w z^Y5x=c`jQf%1v=W0@>Dsf3}4v!yQ5^&XnSHIAVL0U+J-nILnRV8T@LIsy&e7nq;g{ zqBjEt4J&9)hjv!0MeiUlnK%p&9+MZPoo$^ec^NH~G6q-XmZ#>&3wu<1s8=36m)sM> z{U88iP1Bws@oe}~@>h&e=weWHTYusE+0d`18tkg8cGX*<=tR{ERC6Y(F4~8Y^sfJN z$JU>glv|P0YyL6anSabW0dtRN<9($;ZDtOpYTvW#-Z?d>JuuuA_DwPau)e9N`E<^r zuo!cMp+ujDibMIIsm{#lGQ%%>*?%^P6}`*8dQOK4Bdr!f#)Ze)yU(&?f6{py?}$oIhq6?kYBv)(i|z#Lu+ z8DA!*(~FRGUzQQmX|-r&7$1hX9@A;etpW+)>V0b-eC+~Q5vn4g_fO^oiz3VXy5 zzSUlLgpO0+QpnP_*Ke)w*X(tvQ{P_p%KADhOWJF^m%aYrSbIhPfvp5{?0sb7*sNXC zA>B!vO_^#46;`5Aw4QI6EcQ!OvDa>E{vQ+e+AC++>q1BGL5FUJ&?!-aqfBp&D06Q^ zQ2aOeVZXyRAHNFr=cK0*#Y+)sZDP-cm7)tKe=#JN>Ki4Uy$mgEboO&p^K>;f0aMdu z*aR{@h+l*uiFAqm9`V=1{5-XB|9$Tg66## zgt32zcFnDpVC?dON#?z%%bIYGbvK5NkkMn^4i~e?@TrrG?#{Ps7*uKpRqV3o$rzB# zUOlvHnI2?=?lAbW;$wxY4T%RH^*AA@c3}POG?EIbr7R{O0rz4g<(_wb&SO%OK zG(L>`L_@Fx#&p;*72AU514te~YE0fSmpjv1i6{=gZ#anu1&x2jyP-}7E^yLya{tMV z)jblAN8%ftoOMauZAF~Km_=R3dDe#b0^!hYO>;PGBM0nDeVxO2hr`9aIiRoJ5TERD z@bu=8^Gyz!4u_*0t5|!M0qWTxfAu9~bX!~PmczK-m{5&Xx}-p^1gBP>tmw^KPtNZqJ=m)IG`ge3CMLI~S1yWKM++d5<;X zchb|GhUrl#zssIVKiJQiM%QC-e^GAj900?Lzk4eW1dWXqQ`pv`Ci0$?|5XrQ+T)zS z0}nzXoO^a*2*B%tD=?eE%(j>07zPO!uIm+M_fI#X4jeLyN^`=uQXGX8lGzV~K{+PL z8`0jOUDW43H!7l<`K*y$9i@`%3$7kGTi6pa`gyFYo@BK`NUuciKy0d@%3St?ac*8= z|3}KRsj#tEc~aV)Rv0Di6Wdy~dv9p!GNWzMt*aW)V@)taC!QCGwuVm{7kT}J0xVfwXaq%j z)!0wc?rt@N9iTF=sswS%jVH*zbC+552p|?W(dWS=^5%d(NQgxsz*WkkCwSo*x8hJd zUJ=Hza8(&X^e1ZZYd&!uezl@ZrGHf&e8v+`NocwF>%|`y|I6Z^DgFlhg7GXk`V+6) z-~j<|5X?OXIh%JvcW*|3(Q#tswy+UiR?<~tPOds?On&$%W;u{U(G~7{cbOWiU}$eM z{UWUf4h~q%c{)7J+B*EgVMTD7#uD>s)>r^I%o-Q7+u$JWui+T;R^eCI*5cRHC?!KK zYpX3dKw@*#Zbl588MebJVr%FVG&cM4puw)nTu6a|inY)#&YWFh{=|)S=uo-Dma>Qz zf6dW7OJDlPSc_ezw4%#UAD&nu5uawM4ICB>&SP|V7ZKy5btl#YHA#*A&em8JHFK=S zs2ja%1Afh_r|@fQtOGuy>O%>ou8gWK@l#hu)#u`;u8gXK_*E8Fy$(mOI%)&zO0W8o zVD809jZsaG#$2eqXv~=(99M4?G*s2BiPho0EHISBLh@28;L23t+%z(#U}K|x=?Sbd6`>uhNd-W zTFvqG#ftE0mIA?HQQ(O2Ir@@?tM$}xXdI(g)+N50Iug?*J8F&{^?Wia8&O;&96?v@ zHmh{0?oNA8g&RFW(FVQ0JSk44^m3^cBa zrpk2{S&=vG$hS@NQ&9O$(-LPMO#$05bLHG+szzH;ryjx$N$jhrP22jYX&*&q{Wu#N z0q0?Mg~OT2D{-?BLW!b?P2wgj|~aeX_xJd2^-1c9FIL zgob%D#={^tLoK0^JN6!d_uK9L!YkZ&p`W4wi@l2unx(L?cjnBeS)*>@h*oTE znquj=WzMV@iKXjWjSXn&y7p`QCi*E+RI;CNW z`&897F&J0;HBj5(>y$@V1H{+kCt)edqw5&-8Gfw0&^o4}{}Y)h(Lck&9D7!xzXdR# zuxrZp$+X(|v9^FdI-Hjia0a^>OT*FDuRWQXDK@Ux%7e`BY zqK>F(E9~)bvp+jK4UMA#L5Oub{ajjPNgG03xIjNuBRRqgr~9wY-; zdYw+WB>Ri?oY!1Ls47#8}8Gn+tO#ob@p0jgfFx*<8q0W6W#7ud-;~MH2C> zL=4BTK95pD1RII5Z~=b(<`wQhbe%qrf(z$Lub9{mG{a9EHNrJVjb8QCRM0`Pe#|T3 zYt~o+D1znW^R;#;@-Vd!Vlj!uFC@UmfGH?`6J0wilTsC{040b(4ULtCs>+^7sH!iN zmQodkC92wk9?NeA6RK(r4@}KxQssw+SOL4FRE5hYT|u*y3Z<7;A6fDMqm;^J_)QvK z1YwwLGX|4wI(RVIrVo>CI`(*cjN#pkaMWgo?S$c=HjiOCWH<0JwY?iSvyg!*Piiwh zQJco_X_yx$?M~*?iE&Ct8a|j$(}(%A?L0l<+$InhRO`q&ZSj#zNa1r^9cXqiu)i zr4#ER#E4G5wjOfk8LY11nAIcj%bY`6*SF~=X?T>6qbS>YVT=^kvBt=%q>S;n>T92$ zP~UwruT9LWDJoIl0}#FR&r0PrSn%6(jg&p6^cTMn(TVm(eN;x+m41Sg1FVR^F6~-& zww2%qj!P(7T$p-v3{qXY+@4y0*Q5oFzWnjTtos#gQdk9l1m8tfrRZg-W&Vvqz*+}Z z*^pyfWn;xG5`r_lcfy-vd#91!_Ffw@tL&BhtGUOlUq)D zitiS-b`HRP!L944NS`@5`@HD7itN}GX=)K>C2Q~cj9Ue^miI5mS&v=6ukRlmyPu;h z`g;wy;lo}Pr*S93uzGDn$UM%Ra9+rKm6z1;Eq}lH_geT=^VJ9)S?BZ092NrgaEu8B zSaraIdtO+NuYxa_-*tIx)=JPG4(7M0`Kxhd=zWCagl`p2g_WwK$UazfAdF2boX@SX zkI_y*cf@l~>HoWk>{a}M-m5No~P&8=X`z&lMT!;IUqr5(I zRJ}2(DmD(PS%veJyZGK&Nyx+|#Y}f9eJFp8+SHQ{HV4t*!V^R03uA0Htv+YW@S<4D zATqDow4-k@7JeW5vg_3Lt-i=bb5Qml;SLT3dL=;B}#eXZf4(*u|{p*u4Y}-432718+hHd9p{QI#XN6kXJ|W= zNt#jY@tIyXcKI>_MlQGL%*a8QHqK1r|B%TJGhx}(?scKOeR7i&`;AS?L&LEx5--IM z_X~Mp!oc72E z2FMwN4P0W2FvJQK7Z6D3ZDq)KfhB{HR;FUr=kzWHZm<+1xW*!nx6!m1eJZ53$6AU(f@x4Vc_Ej_UHy1x3}<_$d;=1< z7<)>vN4*l=N58b$X3 zQ7m@vJ`XDl;+*J#ic>()`McEkc6TmRAPQ0*{wanyYDB5J6TYP))fmf_zuVehgGPBP z32;~h0WP--`=NmlY=)0|90TAFFtM^mJkoo8e$06CjT=*ui!tHrK;1&-n>05J89r0d z4q+Lv@&qiJ&3oOBt!0A+fF7KTO)|bvqBmg31VosIzr-M}8}E#1~0l-X!sYI@BH8PiLjJHZF~?0u8TzVF~$O18c? zn1#sUZ=ejAmt(7%uLg_bGJ2w$6@jL%7zoF_3O5tHH9r{{}PJ_0R; za@WQ{&+YKwZ#JQR=roq1BvHC* zo}{j|@WP4i!xleMWEv{2v88gl)lQLq&$X&4)ckWjBtKWk-G+*r9Vzk)Z1RkIPzQ%R zL&%4dd`dr~1l?1L{3MWbyb?*kxzL*P5G^4?>oehZc=I4K(}Q!6UC?V}Cbxaw!Whx) z9mxcCz)(aTBcpjDqYEG-&M3|Mq4W&i++QN~#l#WFn6N_5=xOb z+2qhv#ywCi$uorf_!4>ya`1C_nH zST&pUqxb5G)y371axN4>fnCksd|bmvYa0P4rHTWPj(L}e02fUEi1Iai&w;d46z_o| zS>4$}@ZDnw7TN?)!zkF-k5iSoyr0y&Y%&C$gjj+_}uq z>Czi}fnD@*PgikxKne)#&bbuf=*$oCG8PPG0H$WxZ{-H{u_L%@*066fb$cFJ6CsG` zZ_a7-%)-V7ghkYr3HGw6WrEB^RbxV~8k;c2m@*tu=P@1JF?2Z# z=aMKkp#(u*2EkPww?tenZ$K~|5v5K(32FH+&vtg1F(1T!(8ma2N&!@vf|+fvn-3S5=)GA$pFZm@my zcBH&k%G;Il9!z=p_7xu_bEmw#obH6?B)s;5W{lk2Rgab9$5;C4+x{$e; znc=b}^0RiM>8P>j7(^g%EaabKHq>{4GPl27j)5o#3HY=`y^aroYBI1S68o#GfL>;^jF~BB#ujC|`tgp{aq<%tV@&Xe8y;9dC2A2EMfBD0iq_%~9En zX^wJl3TQqZ@TjG0d+So(1u1X6<5lKf2TA$N8{NFl6-O^GZ@UHxdkXQ2D~fB+OK>mL zt6o^4$_@brxN=flhw--WU> zAb+ygnsGN2pXV~G$1myuy0VC93(r7(YJLgu0ZmkQ}6MhNAJO zvAb2QOVtbS&93;9Y7X>RGudaMgq?r$86T$PQ3`pK9Zwz&6ypCz9+;auvdF;uG>|U- zge|rXW;s$o`9%yD%Kq8Et-tY+KYyE61Xe!+tD-vx8XN8zgX)*WO4FKI`{P#q&rk>V>b=!E46Y6DgG!q3+moRVrzZ*!(l13~!*Z zVa3VaOtUX`tJUtcYF?9?)kbDY0Q+IEj#nB;b>S=Qhs;Y!Z|B+2%*L>Bk2_M8$kMZkL@i2JSAjcXY3w?;jo?x}JYeXZ6mqBv9@^k33tpQ;Y z*z`)}2+XyuKO#*$7rqod=D)D+S)Sp3?Al=`pp-YWOROlS2l0t01>#l4=Q{B!nQ$f*?F(!XZ z9<LE)W|Ek>$hjEwj%~;%EE%2su!>mK z7#Y(a9#Y|c68gRwVegF(_lNR;GjB*r58l^Z`N0% z(9Z1j)g~DdxQFLlR^<-Xr8(%b?|0Ka_E1tr(^BMsQ>A#i+Px8f;W2hahIY7*GH!kB z-kzd;iz%RcZ$-cNJ^W?u7TIl-Bd_q;_&d`r-b`*kE{0ZJ75!SgZb9g}aRzw^pE&9> zVNJTrm*0xBHoXvk$#9kYVeY0hjQiP2{`f9m(W-m9@HZ#5El1N<`-@t`H>y)tw`A&M z6PuV;wC0}6)Tyn>fOG_m?H!PTfb)6>WFp`!ZCM|L6@B2OyXQo8>bjQ98Zu2@XJ~}R zdaWCeyI{mc8d4=M(tP6@E9CktDUP{$dhFd+^cl~f1=avK^8ps|IVKj{Cmg`D&D|ECJ^+6r;AcffPpi=g<%6sdYCB!}dw3sF#k zhZM(LXgc+$#WGm!1P)(wRumI9R&KmH4*+Mc}|5pk*boYO346zl$(VNe4 zub_SXGUhk#ZbBiv69(r++u=2`V=r_`HdIo^Vjm8BU z((-Y(N)VBa5avb#K1Q?=zf6*2Cm{hJBw^J^%NRb$DOKQTuk!XPN;1cmXd(+FOEPVV zCYV`2kYTbCUtj}gHd%hJ60NHe2kZzj-{@eL<}1un=`VFu%@}i4&BvLe(0WO0yl$s4 zUiORu2DMH021^4LEQwH;2=yZ#JJo!TYE#=}F_sd%Swf*M6YAGJcB+>>r8c#Y;d_d9 z^nA~BJ6Rh`W{B=oQ}o8KTjfgRe9v&<^*Gh3;sbpGdu{nc{^g`-Iquf~arfly?S5)* zrk#?N;nNajf%$+P%WQk?9K>%(E=(Gcq&5pDs(?X=(w!)hI8kHZMNEwoLkd2I92783 zEka;0B;W&auuzDogF{my0Utxk$RrC8f(R1uK@t|3w2a{+x~K;Lb)&@nn?nTXzYKIn zwA@a#LIBSonBgkAhOi)Pvth)E-C#oKyqaY7G+ex~&n}QyVsw!{Y0<%}7Xp z33=JJY;Y+-nviMRYzSX^EFcf;I_0VeR0Z%D50ukM8JmXtU-(E6PlJYsiaPEI202t; z=NZHCK4{{@m9ZBn3^~Wg1tBb8&|+CsZFzw;?Yy4d%{S|i(Un4 zk$jzEMh3FM=NWUuxWR;zze%xM3e=^}b>kD&#n?XMqlEH1aA7qQcNUw~yf$2v^k(3e zLS`s!S7(1~B=QLs?VN`0Rr0@*!Udtmq6Ovz+kHnM2N`*tGvy4qtShZto*o z%YWwNvn_m&g;@A0Jehm&99oeKW= zEY!WY*lBaHu0RL@J2qs6`RgT4i1aHG@;xV{AxU$VgxG^CQ`a%3=wSH9Q0(V9$C2(3 zTe`e1ZA;e=>Bi1$>BQS2eq82!3llTc5#LzA4z9KW_Hjv~-UzGMnao4V^LtRU)-}u( zx$92uKl`8Np7^^a)}{ZA{3RF3|7^*B7Cyo#@DzcZ9bz(=CR4<67#(cTePMY&<)PhQ zu()#1u*GX%`i`)LV!ny-5T@MgB;qnoj_0i4&TX%g2--T#T!|jRw!dTQO4UaI@qXOC z2cI@Dq%2m^#b>TD&6MT~rCjqr6-$5X!W;j+J|ri$#*KIf#L7a7_!{`6351F)rIMf8 zl-BlIvb8N`Q^V7%wKb`EUB|Sx)2G!~OKx!5!DmXP0ye$d+}1>!i+=$DaKY>4TpMQ^ zyRG&q-;_rD;8!SLD;5JhE5=)uoQTJk$R9I4Ri^p>kG*#RkE*&FzcV2~kk}J6YOGj- zL`w=*s8KT#B||1~j?O3uD6QJ^R;9FRrI-O)L4%VJPEW^T)z-e%YHMG6QR}S*#9Klj z0lWpGi1ijl;S3RoAP`XC`>nmtnVE1=?E8M-|MGnEJjpp{U)NrH?X}lld+oIqwoKa59fvjo zBF?jF@Ht9&psFlgRjzs@3VE2$z3tFAzHQ)^5SkTSIRn|apP9H-c%y_Bnj>&`T3u>7 z-;&+7(@cAptVznm(Hy?kBE^Hf9N;FjtWEG|MwHRxF4X#22^56rk)x3IRUQ=?MJTOdrD7D-Hl zId7TqePNp<&voCtf_)8KF}_pXl3CRl)?3L7ut2#D$uHOl@%9K68Inu?Kih16kh zr9<6mu%hIt(#0EoBp6p!*>&g4)BMD^E%V17lOwaY?eG=B2{MMdxd7nI_5|?_jN6W9Veyd z7q_p}E8fK3t;Ru2Q;L7G~^h&~Vexc?k z3lTyD>?lte9Z}?qcD~hcwP1pUl0ET5B~q}HA}%I_u4(5K&j_k{CL;8gxKGB6`nJ?G zW7I}qx135D=2lW`B={*#SD{ifIJ9`?iy})C9WK1E=e&r zg&YwemZqhO14RjMzI*fAg0BwzJ5?Vi1fP;8bfA_eaYbCz><*G@`6F2(xyi0S}H)EpdV)pO82+OVezJ1w?GyD_ROt*0d6)S@*$+G zyS8(Z4yj3nyrV*9qkB+&|BCv=^{_b98Y{GR{z0_n%SR887T6C#XA&lKmYS7kdBs*p zSeX<%@}OeV^ZyZ)>y6?fRc<+sn)Y)}E}rS1FquP~l3SrAEBLNa_d6Nb9w{01bNEtz zth#4w5@N!4AXDaz@Uee`s``6!p&P%y(vATkq3}5L~Pyc{DNU&Q$jIm!UZ7 zE&kuG@O@t;CNbPOoZo$nB>zejh1F7m z#wBc;@ug^7vuef{U&)6hNCuP@Tvk2LN_=?~9>2gHp#Ty58MPykSM@m3IMn0lEymHQ zDkhTy9Lm2NBLpi7wHCcr<|oW&IacXZ6f#hRrizT(QVCCoKngv&hu|-94eicIgJk*# zLOg$o)j#!oC01V%h21IFi09!3k(Zs-xY?V5LEu(*2cuUU28tWKZ{scvUvrlIPOKd- zv4rh+tNgHcW4Pl+5EOZ9m>>97>`&sVP>zB1_ru}pQzc@{-w|t9{432dwY+4%p5hls)HmdtBW@_N z^Kcd`$__;D!5j=VYKPO2kwirR35>(op?EunR(pCy5|P)SgiU72Hf*I@F+ns-mLhTA z3>)gG;<67p(JYjSj638gTEyNSQiqBC&}41|uc3?Od~p&vHf7%~Q)0`Q zLV`g8nCo5@=kbS}!Hi(paeh~_n^@wae=wc$Vt{vF9V-K5D19ib zzN7{ZoO_G*GGyNRF(?|s^o)#i$5T|Ou4o8o(<7h=t(Gn#YK_*#xP=i+CO zy!!O|)6#{pr%7hno%$9g4zF9g)|h>kM(EAi#r>r_m&yqu#(Xb1wU{p+AQPoa%^|WC ziKnk?m318gyw6X?qBH*K$m-GYChUE-1gb5|$+zF&SfkLBy&KxG+Bgrq+U%n!XJBiz zF6xoZejv$;JuAyP%$q4CwnPBO&Y6N@i`eC=J`3g(0j2rw`io?NC89IiPWjURAzlLIC`)hWVJJ6Ez;Bvu$Oiunm2PjPrI&9Ym#cz;lpYyz~8SFITw+L)Q&M<9P zh65ha>y_vn6u+CMQMu0yurA$e+$xvgRt?_?Qe^I{`wgE~qMUqU1Nko#-3XLs(NDRN zl1;HIIBOgGz8UCmbtzORh3`o0tTv|5(SNRhBN2t)OH5htRPOzMK48Givk0g7KB zvKdlcnR0_?x48XD%#{kN16wNfIBr4Zg+{$n_gzb6k$j3*7seNGr0%wo{?YQ5;-4w; z=5Oj`31i+vJ6AJ+_K^|0!Q#5Bk}h@qnXIj3%jP5*`V4ieWm%^H8*DY^iJvf;unYl? zg`O0EM`|mu%uG}iMgv=_Pq&;CEPY@nSHmS4kN&((7%0tU2g)`JH9Gn^D z3W`i;IQkbVnC?a#3%?2>FL-)q#J-@DurAa72?E3PF23cx%L=ZEJpd=Hhy=Ybn|NidGRcjM^2dE*z3HP&Fzr(yMaelk2AjFFAVfs9t-d!RDmRzg`vzGr9$PYt2ODF3xIfO7V9^h;Pw?DDG0?OT}9RnJ)OG$}v9++ZTLB{W17; zV;(nUad?!3R^n1AR;F4`7f@y-aoz2CK7Lgj{zNk53du%)P~W&uoJ&8m$9)zFCSz~I z^Vmz+4)?&;B4S@lXLI+>L7lDpGqb<4mB(wZzn+??f5$O_qwtm|99}Ct6=8;C-bBKiTPz~<^MhXdB>>#Bl^=^ z^3sd?C#Q5SHFv$QFEY3Hay!~5T2A{Jde-l-`r6Mqx@Tl+ZK=u?q4D_&{<-H$L&cv6 z*ZGNBcJ(GsZnj1fL*HTJ>Bf^;yoy}?yzFeaUr|WmuHYs_gtupIvK*FyQKZKhO1A}) zgi??cxh#;|!t7`n*Yg!wiHTi2QEuL@Q%tPLfO^;a8pxbqnE~YSWfvxLu4VPmuO&fR^&-=oV?(anA zvEOyiB%REa?h@j`fE1=MAy4;14nD~tsO!DdJCHin`odqfv4n_!G|LRK^{H%1CKOLK zYX52=&e(gI-&69Cy34g1Gfos*xz>;?Sx5Ai8dA#vZy6v`zudaa+UKOyo({@{R-^v! z{iK=ix_=Nr15K9Q+p@>7-uNCDYsiI6Rap^k9X%8APkiu+8=|9t1HXF6U&vsM^yE*G ztk=fY%W7GCS96irsp16yv8q9Mr&!Ier z@*GAp%Dm=Ocu!NF#1HeEWIWoUmIWnP%bL%p#o{ibekE%ZXYk|n4Xua?i*&w$Lg4r@#rh& z^N4-&8)**Qjc9Cv=F<6E?;{H%13JKM5(^{5$EC=z<|obtpV=l-aVK)DeIwnq9CcVz zp)|Lykdi<{1)VY}Ro~y}p0S{Pth9~iB=0#{o(H15MWF4D@@s@PK>7IdGf_Sklux3r z$)J3aM)_EU@{cH0#=E*Ky72!$Q?5kJWm79vtX0cEN9|WMO5qj zMtY=_sGgsfqI2lxl*6|Jy0Nq$3ah2QMquI>N;{h%bb$_((7U}?yl8g!H!=2E(VSXN zog@x9cS%BPNL`IQ?3vSW%YdFwTNjr!8FjDrp%l)o5?I zAW=S0OieDqyP>sRKw>`aGhh0&QveK1#dJe{ln<@u zjO9vuw+>RX9A!*xIrXXq&VB79vYbccAuV94d{$y)%c(+o9%%+Gv1tB@QD`7lk>xzE z-XSu{Wy-(7DS5y%^@;(5VyOe=rJF(%%IvQ13_T}flfWV#S<2H!RlYfdX+KfCR5Xtz z%kaz86O~Kd2TXEN!$Qu}K2gQn6_XiWu0EEN^`sP^sLRxl{QPly1RKGX41$y61$DsnDetLR8|DV7;DS z-)*V!Xw{)i_XlKl>=m&w6hTEC`?`VH3WN;1aQ0!vv z%>fh2M3Fs1qwepbrU9_Qa*Mg_VaQ&y^a|qV(^|M>)5NH|opf5y!w4D-%B>;y7V%Jg zP+sH*!AO+%D#}#(`7ECHek~=KjZ}i!4l(b8z2}~zhMO69!LolTrSVXe*!M{((7XC_ z{wZ-xt|s?j20Im-LwD-FF;ZoR6O~D7&a3l~A3UeRdnMFNhmQ4LxoVq;N&Xk@&h`1Y zq=qp`N(P!LSOW!lX=W}(E7o*7>hv$mlT`8ceX4lpUio6+OD*su6?hO&+OFh{44xEC z@g(Lt@dy3i;^W&x#tq6OK4ao`?yXVHzju}Z#%cS>3P5EuAF}JG=`S&w2aA$Me!NO9 z?{&WSN^(hepnNq;P}g0)Y7+{DD7KeMuX-Y_D&4YG$(DVelp58Bo=VnIJ|&d6)j++T zyoh}J2fkAB?dM6gIi5s|z$iZh8ziH?9pFU5`XP`UDAC4{Xwj3S)-7jDrtzZQ7oy*Og!5T7&2cNb)W3UfF$&( zFkbUO#e!jp7ke`_GdoFoR7b zOVRNkBI}gQtRgy)bp?+MStohTu0v)Wl9{zeXT4u%ozFvl$&UqKS`9e$m<9;7#_~rEJs5DNcU)@c{v21XGzQ zel6`zL9i(WL9;+0%V4Q_FB^DINHQqX!+?h-u#Fr`RXmWE^fzKDor`p>%LBN8rCc9DZZIZh_NYDVlSUTFyj>q-5zSvYH}U&2){aZgeu6riEl)h zSzhH2y8lU@j{DrE!74sk%?NiFst;;<*S%cj-|DWHAm_T`3b|j~lzP8~( zs~#p(bXn|Ov3*cef1*wg3dE_7mG1RtYbbV<0YzL1k6Gw5s1vcQ5SXIQpOti#&?irR zlsa#+9UGsHk3etoEfEU9!8iqx;Na~{9IPUthlBlR(UwhL*HzvsRXVqb!L?CaNg3|_ zq)Z0ot(i0+rIikJe=ec3R2@yplf36qDm|%^4Um-kYu?=3RlzOpN_ncj=my8AG#oPp zj_<4FP3|5c2Im%Rxq##QQd*(ZC|8OyPm2D@e9FHyQgnN$^<+PrZP*>Cq^8ORyWF`e zQs-DT|FExC@|qI9jYk`mBIZ+By(RKs|1hsev1!c9RcC|4#bzg%7FsghGX*>(iVCv_ zoyx_yGOsy3l)oQ+a9BL{(825+8vRg~$R2uSKGQ~JkuSiC;*Y>Wb%Iz9`&JH92YoBc zNKpnd84gugG*wpcUEzIK@XdkVO4Iu``NmUYv(p5%Q`;(IdRlfCcBd~?>g za;o)mr6%N9AzT(N~h{z^C=&Nr7IH3h0z7U{k7sw%a*ZGI~i= zoO6dDjc0g0hgIXIPA(7mQGvWV@v$no{Fk49P&Gb@0IHRT{75dZ zPJ9~wy_2SKk%DdI>=HO<@j)u}Xi;A(ALB_P7*9M@2;)gY7*IMw9$tjJdY8HQr%=`1 zE%TMM=-uElU&)Gmh;TSxNs4@kXgFWViIjXdAHbp>PW*oTr5=nk{iPlZH2ozHxtUX zje}V5A%}Yh9JM`mhfE`%du|~$xA%0PBQaH#3HVCVcti&jr2_6z0ZOT2Ilq)qXE}>x zL`9uPb4kT~+|HM@f$a!2Mf*nUXba@rmmG`1c5cS#h2eZ#T_#NAWeP8p(K zmU&#wcsH^3kTZDhDN=Lrt=NZ5*6c2Anfanf7Q>{sD0G4tGe0kOSXi+aR)1f#0EHHJ zjtnyoaRyKf>hy_QeFpFfIdQw56SwPAc12Gj)~RC)ABi3Na?Z&pfW(fSvkV_;JNA5S z$Ifw_>oeRrz2I*g?>Go#g1L-N!hVe&*ropyVji1D?tyhmUExt zdWb&2G~;BwPW0^AKRH!_FZS$OOj%C=?|+fbQC+)62h^kj8dZQVw?3)9*aQ#}2(lZ0 zq-5uvGrE!dpHFv6w8B!y(lu2mA%~jA9cfK+Ke^lYi|Y~5iF)2E77QrK-gIB(!*p1V z2N`UZ-BMj?_Ee*mC3>=+)q}SV6Vij~jJj)OX`m_3sK1Dhq@1bAEF$)-5FPhIm9fcJ z4X@bm{#ez5vlfY|ki(CYxV_5kfn;Uc+<;(@62qja8S73-n9pf+gj_^OO~C167|&_C z$|S6%>JV#+u-13r2|dh2yM2)0Ur3d4pb``2g+yR90I0NTSK==%~}xXAL#E`-bxF z_(u}+rHOJR@i&5IIg)s@45rlTrt=iOSdvVV`cyr!yF2DdnGZ@i#C#K>Hm$4l?L#w+ z>;HE-KT&sr(5^l|aiTQqfb$bQ{jkjQ6P*LSgc;{2-txn`ou6pdp=zm%-S2_tC;r?m z`k?a@^SebKbbjK8-J%aVKXE~~=r24!!FngvKc+h00$*m&z09Z`FWNU$HRxSMlKG){73hYT%&d$6d7?QbI|1jqxOD2qDT+w*vm!g z*b5VedpeU=)cJ8))VVod?z}7J8j8Ve(=!)B|HnzE>T@mOBCoIBjSajF|&&VjS>1$q- zJqU~3#|hC}DAHu;_6^1G*-SWWf$tofZu0a43LNZC?p7d_KEo@J6Y*17_D;$d^a+_1 z`6QB{K+adGqfY~Mc07S-91e30U4=Jn(PbX%6)1)AH#I+!m^@fma*=<|sGI^L-Z`Vz zlNE7rs7DuA<~~P=x_ZTxFGnAPzLv`nuW@1cXGnVZBnVOa{MT`W5eQgKA zy$gf+LrUv;%%DMR)imU@?dtTx`r$SaUYyZ6xUH?>jj@YsUXdhacZ(x3_LypOvDn72 zlf|$eGfRJAuE1CQe0eM`;J;`V30NQxZYAdRZWVVT|0SM)`{faDz)`u{+%*?V+*ftn zV>&K}xW)1+^Pd8SfCd#Xfd2vq0V`C%E&P{10$NqTet?oc0@mpO@*qM;K)Vj$V?Tuu zAdAu_(a?OV&ccYnwmT1Fa~x4WTI4&TJ`TQNI>+rJfSyBDd=q%XTLSub`&d`;V+Q{X zq~hklimY^>`1VNNF%ry?)?%VeC79`ii>u{ySS~j7_ELA$F*pmH1u!AI*`3G~8RD#a zE#Fn*zoDraU|T8#x!hqin7^{DP}|n3LC+Mws)B+NZA!G6IQr&cz-hk}wz&_}={ZZj zxIEYB7_vawl2>r{r=i*ikC;_-GyY%H`NP=9X7HuzqrxNR6d8$nig~_F%2Frip}l-} z=}CeDIX9Hx=B~tf$51L26jAM9Rjs{Toa5m=kH2s6cV<>gMXAKN^$@u-Jpa8EiPTky zSw(dprEutgoHXJSN4K0IU~h5j`;k80^L0K0@j0cvjry}ti8)oJX5zR(Gx6bfRnDzu zpml${py)4@S!I75YD>x$Z+BLXHEO?|iyQiZt?`y@`=-1QO0JMySr}@r$_j++7y%RU zOt6e`ur6a5rS$w;WjU1ZR(@5Q%NrO|H@@ioNvUx@HHPhN&6Q<=woMXn4*{V;cNVXZ zXWN#r{c+gt9F-{D!FN_;^4LZP$kP1!eOY`G;HPv4k@gtS*5>At>iEWDpcT^u0l1%Xj$@Kg%z;^FKl(Z6Z zAP!=&r>2NRolj_SXZQs1$6kO0^Qqebzp;X^;n0bf;rpFYMWNuBq6*_zEy;HYN$t;P z;6H5d4B_cPke=>}U+^_>DX47QVqSG&-oWO>PXiEvUF{Tv?Ol@lZc?;ul{aA)13A&Q z%f7HwzVA%Myr^R0yL;Lf!o_75F%;k5!>IkSypeJN@${(lNvO@W8+Wya2R71q_A8BB zbI=P{t*|c~s{5@Q*%@^gf}-TjObZ@fr8I%rylQ-&YS1&PK@{G$#jh!n$h(6cgyiB4 z*#q}!niF6{HkVCQlCN{%o{&ARP~d5ELxXCIr2&%tex7#aFjDAnX>y1GIGg(qh@LN> z#0=~T^=S}f%E=JUjy5Ly(xg5Obt}V0RYO?lP7z^dV-OLf9m&U;k}~++f$h;9)U7>c zqWliaUQK%jHizPk+35z^GYbYT4ciyy*_Rd4FRDGNt8|B`t{Ub8t~>F5ARgF4BdTb& zG|%4M_+H=m#(i_&li?P&8}MGjj!+VD+5@;&j;jE9bXnuz@>)&uGSO-P<*CMj^I){qaR`vmZNd0@hBKI>PCXO z&@l8N*F%xrjy`}iL>7N2`BMRV0v<&08H)=^YBd% z17a0lG9I9$<{m&;CZ-_tFTv8);ejjc%AB@MGBUygR{>ZgQQ%gmwu2*YWC&ItbLmaNjhUDpf(Mx%M_k zTC!872ai)!W%6wqcpkGzT+D5{5T1F}E0IKhM~cuR?8X9_SqA+gHKYVjAxJj9yEl}| zie~0+Jy$SG+*LWQZHxV}WW=iO7sZQ-@w$AX%(I1> zm(YeQlKO$)ULeRcF}eEi7r1d z(XUjZ>m*S&iQ)~qurvL1f_Q~3n?Bj5yGW4Sw#lxX>XZ4v=7CF-M**$roEJJlagHU| z!#Uoj6n7S9;e7svAoo)ul+@eKq&>U=(I{7(SBU6I_hzo|QQWbo_`ieyinHK=zW(L6 zFv0`%FLi!crv7D`ADE$kxxx?Yrhgf&Ll38a`Fgi#CPLI!N)#(p9w>6VMIWSpNg4`X zukeHPFRQvmf1&UP1mEg#S0@r&fUvB^qwsHxK&nnC^YJZ~QhZb))Zq6y5VqDi?l;m*75(}#-rg5{-bK${qzH!?sD#P1Q z5r$Jn?de_}r!xad9Y&%}RWL^?D3Tf2&XEcVq=Gd@2~WyyRkd{7i{9Ie-!eE$Zc4kj z$jJ_dii}^}O8Lrl3Y~#q&6jg-$1!Yf=W82jS%MwU!p^y{DEP|kjYJf3)jOfFO;xoG zoZdF-o*>ikhTv|~h+xPa?Ube{ve}l=AiXZpQTUw5h*9SP$U>?1ssqA($YO(%E2$+l z-UYtrXu6WhYj2hp1!5@p((LsDA39m}kR|%oF9!;(GzjTcq&#LuLs@*4uL!t^OAl=^Tucq6o`h&K* zo}8}XFlwuTS~W0sFGb^7Vww^b=_XEDCl??Pd;MX*L!?OiK-BS43O?bdM?(KM9)kX9 zj!*yd{@e6F@6hz0>(PJwzf1qOekuB&{sr_uihi&BUrhhg{~PoVTlpWM|1scU^bylP zc3J->{p|7UzfwmphTv}ez+G405atb2qF zCyv{EGT(Mv2WPVIk(btA+?>{5n87xq_Ii?!xEA((ADl;Nu-v2iaO>UFqA+SZ3zeOx zt;$qYg@ZdXv{go;fxHptESowxsaSoo@RC|e@#mgRR3dWH&kD1u(P82fXdOCR;oPV@ zdX5rM@hMUFILUm?*6C6fnyp9n?Gw$`d3@sY_j08R9xCNu9gx1lV+RHeOWhgt+~w%@ zJzp;wK2wxiJ!h6132ek6UHs*MdjW!Jx3r4S-F&Gz;^bHQ56Y?@AjMD2QQ#YiD+HhM zn+m}uAXbUoV*d;1lf8+6uX}v1?j>*#eCcLU_z-KI_Z{Ald>T%v`SHnp2xiWVo!Ak^|5FBk zHfq1C;8j!ROS3=lrlSvi-W(woN3t9Q~HhMnm}!}miGYJn;V=yo%PDBJ>Q)6W49=}bnn{_H9BX_I?7jb ze-jZ)&tEp;HJ(2D-(oec&mQIE6fzj^Y8t+hdH5+PC99*KKhKFz5Ka{rv_|Y#%(jhY z-Rl@=;E@JOT{_AsD8w_^T@7XHVrQiRSf!Nu;t?pz-Y=D)5h8v09$i`a08}w|HFexY zJTgYplm%)1QsSchcGs>oefG4ubq#hG2UVn$biB;3777i-*pR(e)cQL@at9zp{}JlZ z%L<(V#zI?6+SQRE(^(?79dd=My)B%$Hpl&J&%XUtIK~<^I&t-xIbld&+keacp0N;* zD++Xs<$tNlh%aXtKc|bpW0w0Y*~7v0vDT`~LaeVXD7XMq}ZxCAIyIa@r*zscf!6 zj`>vp)De5#UI#FNrjXsg*q56Ga~fa!wK%S>^u}w=i(2>(6^5O2jD@#nKq4y2#CLPt zeKNhezwDg1?t3qjkR#fOCS8p;FGP$K7R zptjJaCjfE;FCmI5YX|$lKko9@0kgs@!#9m}M zRG>F+o>8iu;SHmjz3Xn=#Y{@cdj)aMc^~zL)g{h4?z`DpONJ2ScJvSsH9|AI(+>eC zyweNbeRATI1_eB%3&@cIhDrf{qkxWQGqEyBRs$JWF}-geD`oP16j0x zaL%aaH*gHgySWma6)2<&YD30z6Z-Gh^x_ea-(Aag?%PkE0y=^73G@bbOwttvx3J%G z5cW39ic({b89$A{(T^+cT0^LnZNz3U3EeI*e#}}OBU^(-M7@_0^>jLlLxab)WklVdDzBWF=8TwmshA347H7maQ75g;sXB%*$q5p_~JYBEuE8Btj(sxN4|^l}(P;)>#&B}G0y+$TiY zox-%HXm_tH6a@3hCAp-;>h)GLQ*B0iIf|f=kjzX5F+xO@NZ$= zk;LgI9;38Y;skelRP53|T0!2h^Ml;(oSZlHzl+Qq@=9V+Fs*kRDS~xt~r0<*n zqpuvwuq_s$(Yv)g?BLlIBaA$rtE$<#?d`pf>_Q;xwBFK zpP)_gSsIlwOWiEN@L59BOct@H0(@|z12PNnIXSlqKBxQj;|zdTVfP+vg3y4M5_As6 zOLI1@{8vw}2k)V{}vjdvj#JS?>)j{AcQdf!sPUtc)!>tv#Taw`9auQ47~ z2K!;h5gYzpJF#G(f+C~#Ln>hGi2ZwhM<3}wl>?~7pG?Sj^b^au6oFD1%PZI#xAd?Q zIW;|oh3)oG^XO9pcImD0ITLyrb!Q1lO`OaX8ndWGeDxR$9U(?77>DrkOJ`le-|J?N zYb!x^G3lc zW&g)+WwYqyInV^pQ?HSy$tKY@dV{1&iBFM2aGqoK&rzZ5$6F# z0gzaksSkmOXY_L{#0Xpqd?+p+F&*KE?J}-IsFjAJ!B*z)oVYuUkNEOJ_Zz$R;ox%z zcK?am;u?}f><8pX1RgW}6Q5K-0TPD-<$?J`(vAkXP))=E`57fTuTlHZsTgVkW`*zd z*J?qimNfE`FE28%Yj|3===E#WR<=bQ=#F%W@{x~aI8urN1y>1E9W}C$?sx4J8mp!n zC#N{fu{)fdyvyupH+7V=K4(dtr>=LC8jOCu@DYg|I5Stu2s!Fhxhf=VFAbNxFWzNW z7BfrPP3(||8n^Up+}gwa`lo8qXxWX`Cx#NEQTe{Y;k!gZ9o#$1-QBs5!>o~hKn8~ivWCojP!ZC%&DRz(9!Z@S}$?p@K zTK_SQGKY>cEms^EKgjMmLr%s{&@X_{ajW$h;Y_Ew z*c92>$v^TnWr6SqqyD7fJ{*$|fJ2R4*bb6se%QHYXqu%KToUe4jC?h2aC7L?Kxpn~ ztQNCI+r>{9bz>0UtI$!@ozDvnKLigl?2PBi$?<(id!r>I#o|si-Ji5W-+qXLT z%q*~n`1_xQ6UFGD@IYoP{EbW`$cw({c@Mt&O{bKBhDVd;02@zcggnJYT z5qTAd?f3AY(j)%fXQ9Rqde|@9dBtwNf`yK44i&RB9yi#@D;{O%JpoPhH0pbwA$`YG zarAZE=3`z}aJv~;wf}vOZ^_}=cLgH%0>o_TMYs_IUnarFIE9fM2Y50sPaK9xT+jHP zK&)6uqV_%_(=Dl!q^GH*nxDq^Yp#?!+6XK2?!1HTr_xU^c zRa2hF1wVA0Xrl-gNX_oGk2b_xZhZ>gt`4 zf8wliyi};Qih#f>*ZsrxeQ?H&?r%5y(ELE4$rW6re1bEYQql>Xtw>8o*1`f&1^d12 zPTa@>gQdIX19_KdS+3ycsBhr~aKJxNw4#ZYK%0B&ekn<~V75`e?Gq}!gJ=)`@XvI9 z=K?hzrx5LTqU3o_@_diL1(dmDKgB&KGgF&uEb4Nf+Qiad=G}~9rYkl);DBOgN->25 zrrWE^qp0U(%A>r6UG5JLQQpsTg|=QHe;W5iB|YvUN{5h#eCXa5fr*4LL*-B>G-+adc7CLkP}7bL9V-m%dhh+{%#BQGe-9l zTAsK5JekGj%Y|)7(Q&*`|8UJ>I#Vl7gw7&~U-Vl5v&T+po|%}tP9h!l#?5KYv{`mO zOV!C{$!eVQz3iA%&61a^&l$c_3|K2rQf?YZ7|;$4UqbrxoA1pAkr2)cic@Kkwnf?&e8H_l{8dWqM}#&a(}$R5F_>q zQJKr_8;SC@DD2H$Ya-5o$iRO^`m75DSLwSc8i8>I<`%J+!fi<; z!i`QLFxkXE)qg&Dq*`Ru2PDBCRe}nc_s_`UWE0n zmaDrpemj`ydDdQnjzI)u@jQk*(%gUSU@$umNCA-%4@gd<_V*<;8d!Niw9AWeEVtAu!EMvp zNXaWoVNb~0q|b_!ylw`WG>rP|%gK%n^6}zbQykMFE1iZmE`qGYZpTH5yuk34Tz-RT z@z*_FRL9ZE4b~RudmUjG2Zrr!zH7QJTwz&#xZR}7s+*XFE3Ah2Zd|y$^m8Le6llOQqI@B4PYs`C<)rwmGki13MUskeA%8nI~RaP|4oKz!% z<&V>rxsoqod-?jN^JPiC1JO7NcsUO-n&bz!^=tw3b^c}G55YqK6$RTQ-PXU5z^4Ic z{9su_?Cl`q?+N#S)OiZXqm%?j-LjlR)z>W9e$cJH1L5`7UZqlYw{9Pl#_ugsOpz3` zAmW_*_(w`U%pZ+>h}4UjyOs~h2op&nQPEs4*WHb5Oo zvM(yMFYRxSE;8yLBDoWr#yqvz6zR2ekr~*xe}nK2B}}tC!k>lGe;q7L@547y^6!W| z&YXg<6D=IRCQLPIF4YP+dlrjvvwzsGDAJldUkS9+Zgi@q#ofYq*KtPuX#jx^szX8G zomC=QnC0ciA>wzP%7IM*lx9RJU$4aAZCaQrUz)1Og;TFR&yp9G zdCNAj5&5(%pqO|!mcs3YNpgXVbFKg#EwEeTO9P_pi#P?nE>85fB2HfKN+;)Tl!w@y zXL~xwP;m9k)^L!07h~Qu%4DW4GMzqQ`(iEsef2Per( z@fWtVMIgwEZAqR?_IRWq(ex3){&A`rDcd{87an0Q8v(=z5|u&RE^klC0Qxh=ME%0^DyOs-Qutpz~D} zikvy{M4@EWaJYVHJyJ6`{H26AIrYv@q2lW~;#tzk3F>SoAY2^u?Ui~nSxPB0$rRnq z0hFjr-rzJf^XDyaau&C>r>1~jnqUS{O0t1}N?)M*r)p@AoX3+x*?M9u5CUf&>f}7u z)}H(e3M%Q;TS?ynu|OF!d6l3W(m_}A+SYaG8RxMLR5y+Unm*ImFTIt!+GLQQMkEM; zgQPH$bE{MEbM`BiqGBzwdnJ3QB%n@rokQNLa?I;B25%LF)%E-uJ4&USw~+g+0FF#9y+ON>P)=&hQtu}Jg)b0J!mRz1Qts-&6p2Ey}rO0Zk| zbWX8*C&wrt^a4<1GMRsn%kt)#iv^zos8jxHbBX`K{eyKY@kHGyB+_ROcjXz@>zdW& z-RAs6t(CWb2+Q=*6G(o*Cm579rj*~UY_B+Th45w+Od4hL@cARS|C`FGpmK8NWfXb4 zju6y!BUKPDr22Co`8l^5b>D^InhuKKe(YM=q1@yImATtUql=_-&ef21XAZ`rYJ7Z6 z#SMkRPxW@kDp+Aoty@Ft#m1uE)qshf(OA^L6OFxEtP9>@&p*(k;LQ*A@88urP~rU* z-kq4*ZQJ0LH-lMC?Y5OZ=kK<0XL3pyklnVhb16kZ-V4Y+#Xdec|8t5df6iERj)0X@ z0a(qtD3km?IgH^QEQcw>?(EYl)uL;S-0|dVT8Jsd5^39mNo{QiG&*irl#XfES)1x@2GPW zT12OeW1A>KnM$Kh|NN*^+>aUggpgBE9O`>){C(!)V_5pi3>6A)o4F<|7l3VeUjQjf zgqY{naAZ6zJ2M+{IP;7!i`|M~XNyQRG7CRKMkNOyNi$?8Sv6y0bi~e&8cQ=cn?ZFqIML}9mk+|Q0=$_T|;~y?Rb#j`kek>Ka|hr-Vk4N_PL$CJ&!u)lgLFo5R~*{2d2y(j~o z+GEr3d>(#&eK3CBc<0~3&)cVX{Z*@8fM)>(rSKEy<4Evx&!O-$T7v|BzKGAr_*^g$ zNQ7M;uU>$pR|X`trR;TZHAK|O7It~A-Kp565KsRA?2@>G-XSzjEL^arWoAq<%U9LF zeCq8lH89i6vWARD0)G)ZMGF20zbP-kKbo9ACTnLCeZ=s~%zgm;yWjdZ;cp=07r`&n z)lb;2QSfi{r>lA7Ou@g3(5Q0^O%NuMg|3mQ25anZ(E+$9D;@5Pbk2@%48%7Cg1ah= zJDVB76~@AyA^S|{Ntw5TYiF(ser(JeMyV74lVs^=zmAv1#*H#(MFK6t46H;ri#h8A z<)l2=m16e0-^`%zFKUm_(;t|aRC{*${jrcDQti1^^@l(GcB3cSSsX~wQ{NuDT7~6z z>k}5kOu*Mr;J?M}hmuk4Q}}$N;Lu`(w4=fAmr?2ky4v!ujCLG-r0p1V_;%b&f&W%J zt|sG=wqvv34p&&RjE~bY+YzOg4mbbid-HEUCkqb>eL3(96!&k5b!*ps`T6>v{``2Q zKVL7PlvHcte0co-^X8*p9Xuad8kkxUIYG#g&X2eG^W)j-1?*;J!n6JLBZB9ygW-9K zoGE?ik;8M6FV8gj_?bpQDg0b@WboX1Fgzt+2v2!8d9PH8y{g~ z;Wgu;4(70Ib*TkKUVdn2AQ0?S*$&tDV8XldZJVzrJK7N)!QtU2mJw7C4WroZ>1ir$BeoM7z@d#WI)JytXJ7W z$MZ_@Yb^gVP1w9mg|&qH>OL%d@_UJek5T`1f|D!wmoEQEHL9QVW{8VMbrR;dN?Myv zIjP=XLg?peRFP+HHMGNQk#vf#{ApKVR0}bKV(y9#QBHXb2NF@P=45XSXCUg*~jFf zqn+KhbuV6*+SaAg3lgPlGtMKIf6q}LwXM53P+cvY&EBK8bfa9LB3rtvBLf?(y5qf# zN7L>VYn4q$me8{C*rNAg;UBU|>o~Fn>TT6p!A``UmX4l`7rPb9fu0q$`(szeyGAC) z24b640Sz5*fS13JN!rEzNV;D_Z2w}oq&r7GxGPERx(^df*GL_hM03EX#a;8#zWr>o zVUggjfo>{`Fsz@~rc~+A4@FPTz5(dD9{+qewdFmF=OlSbz+`!mvm9gjm?|F$qFhAbAA%@Nhb)7TZBYSbQ0n)sfPM(q~TCPoFQdaAwJ&uKbb z94E#O=D<~`@Wjc1oMEw9!vc=)75Z;gi5~~>JjTwne<^|$TyDf)QxKh3y+&cAKrkW@ zsW!W#U)i@`HABp{xGqQ8hGH@9|+&-9$mE#5~MTb6QksM(x}C#6-Jc_{t=v5bS1*&P}17`X?uQ>}S|c zC^l6|!ZuBDol+s&AG_A*Vw2gVp~CxCt41}KbZgX4V6GA8At6VP5Lr41S!zm^eg7K1 z_pr7Co!xB(O0lhIHtO#Dij1JpGb1H;-A?6FbQj~e`4Bb(=leDTmyijo z3-dsm0pAG2w;8wx&feW-U_}&zxzWD8fF81&0@Y~f%MN2JpsX;ou|SqwNG4Vo4Kik% zBZ)s1*8n!RiM8@LfEhBmZE&xBU2uKCSevp+0zE$Zkg|eNd~>-`+xIBN(Tv(PoRqLy zswkE*-BOh+PrmcLZ;xHl(?a{^5C$c&MG`AF-v~0*{cj9XWsz|)#X&vA@Z=~OXwFk< zvy3#WLGxhT3o$|RnhCF7(EVY zV=4tAi=igzy_|W)R$!H~g83Q42Hd4hV17tI)cLBm&a&Ftv8IwE#JgUP_Gyd^d^cK` zBNxK$j*At{xv>@4S%ZJM5U%5SefI$8xKt5X_`aF=2lLX4%Gg3S&WJj4GaKaawA7yfg z6wl!$H8CJtanoyqy_yM7gfIx{A|_FLw}l;?g;o!9^k;?jb(|2bTUR|a+Gkyq6>MT$ zAR5G2XZ4MzT3DCC2BH+v)_!rK;MI%ja$cr~t6#CWb#tI3?|8*=gkwaU-wA(VGguf) zG`K!w+_|*l=(IeAkF`*6r3jd7*pEUwgbc!*_{ic#KM>@i9=x>5$RzAeO2 zw}o)!Gsmc77!$_DkPX+$UDn)X?Yvrvh?u6SNi79S4b4_}yxuD*7&zFeMLat-kaIuL zRfMiXpJCarnI(9Fe#4T@alBk7%6CUgxYRj}94#osJnbd2AOtju#TpiBg+{%L zb{<}d98%q1ynryk+*)R=NXquj2d95ArfPvE#%Xm`NX>5@nn7++401#S<&50exXrRL zQ(I&8=`;uKvFc)Crq-$k=&?S7Y*GxeJ8mMj>TTQW>=)?lhK}BfF2VbXSWo8USPJjT z)UBZdJG))gr)K0VBHzOIX-d0qasE&hGuab;#` z-yuS*nON|cJe(T!uL#A@V9>?-UMTt)g-mQW34IMrv>B^CsrRdu{P?>hr^)zVXiPOb=2vT z6>zP7$;~`GLnnVz>R$wB zf5qeAL6N+WwH_m@|4KEo2AqOz=VD-V$3G`Md0vhV|7alL_e=N)!u3hu|9DnXob~gT zQ#i>c5^N_DtlT>~F2V`cAsA=6`<9XpNE{{GJOQmx$;f`4>o(9JSr*#su4mvq~iz0YnU9nBmT^OQ+DEoW3F>~K; zB;_npB2UO6%jA8{D$U6{&87jQc}mhukkXu!d+P8(4JDm> z0y+N2M*XS=f7G`x7ud#hnLX8zet0*ZUcV*R@08Qi;o_09T*0KG7i3{IZlGUwxrKmZ z1vtuIl@i>dcC08lhdfFg68T68N6GpwVH3D~ZgRgRG9m5-#=3*$jRxNTf^^-JGL5iw zXq8@b9$Ke5uamQKI4xBxl#)g>z8kam*GTugK|~!5hNi@>Kl5U>FfEES zIogvZN9TLrhmxzR2tuI|r*9V1_N*9t8&%GxOt^C9!9Igo&oGYQo;aCkk`GGX+slPK zELtl*5mzrtQ>`;A*B!^8a(|&FFkh+{WOUrl>Z=QUN9|9z0NrZ*jDxn3;sDLczAypt zA$7|Nb>8i&Z(PIzB?3fB+RfFj^q$4qP*%Pr+akdYGcGrS&2k&fMz^MgwzDMQ)S{FR zA93ZOsGW~a`5+pT`en?dEX>vYXBfj1sha4gR4ts#j3-4uVwSv)cPRH1O7FN|ZZDV} z4Qw_SgHibnVEvR0yfgm;S!FX-WVtSkoZ%zu$^iP6uT zDx<*^+k&W3ro)3H@~cEP*xh zp@#RS+~glHr;jG4jO0{mCdWNrAQgu?Pu5T<}_bOK$S}n~6_&3QfR0Ss>f+sQ?oj$)qBjhUE>{DYgjXaUW4^ zvY=Rwrlyo@W?-qs%D*W2J{E@uGLQ!{8OVFx7|2uzRWp!FDONKOb;3gF@g{=DgYEH*e@|)mpK1b>anh)ib_FQyu0kUAH2~T#JuBMyW@0R2 zkdxHa4bnpvDjk0oI0lzuY8J@o znJ5~VqU6!gW@=3DfE`+mdl}PFhV*iVbog{2kTJc?AJgsWG3}}`J+#}H-jW*A_(NrK z6a#c*fG-%+%a348Z|OFsx4IWmx{T=$WK4gMIi{B}rkANP{UIHiw0cZm{sd$CnQmjcOR{-`#_f;~hSgPcdup*g!gRic zDd%uTL%_UtO`g?bVixvbM;VJ=mvaJYE2DtD;ez7{{VJhVffs^Hr&QTro&6Te>_wht z;FV{kgmw-Lp(h}j&KU|e%xD-<^pqO*}ORpoIc;Jni` zIGl2G(o=zXw&{#y>EQG+jpy0W(zfc@F^RoUZ(|Xy3}zemcsp!ULUw>;VJdD-E`K_` zS##TBKE!kZn7?8g&n;yGObQdwK^SsUPBx0CP#F!mCkwhE$p{6?>=%-=$(sq-b$pvn z3NW#UJq%pFrH+DYk%DV=^7Kr&iZb9rJM=kV{aS&Af=h$-V)DZ!-NAZ|?+ma$4`07O z6u#~vzrVS4+k8Hb5MRGP5MPl404whf*yrHOxBTjcuf0!Yz}3lz57+18>o*7D>z`!J z?8g7%`v~!M-=XkzO(tBEGT{0G`nvBxeDx=&QZIi<>2v@XO(Ej|y$ z67j?fo$~${JN@B)m_lF&F(ovp2iCO=_l98S?A7)zW6@5rvRQ>Br$%^-jBw$enIpVK z4YvnLlN#Y8MbnurLl+&OMQTRrManR*_XP}(i=CVv3=eGzbBY?`q4|OIC~r!Q^0Acq zxug6H5&%^4MRW?<$_amf+>~}y#3{mZ;sA~YH|sx)J!+q$4LTDGBdFtB!qe8yZnM`K zi`IxS&bmd?Vqsq}cAv#)o|fzLw11E$Rpt3UPg{#Qk~+kqcp8ScSefNugPYe6o_1{L zlzw7=J3n7%6byxet+SU=@j_Mc_b8MGuT^!57XzlKW16#tt*s5Nf|H(40%`CVHf!bC zOLlyfdDoX|c;CY{{N07=hBtI?c$VMrr%98l@<dT3H!DX7jK0{u zkQKXH;<163DT~cxQtW>G70E1O|3k^{vg$mP+^$qJ9DU`CYjPL`Cx70hr}pRzG+A*< zb{;rA^(93M`J7xWI9zFI~K!j7lnO;n`LxFOHPF>-K+) zN&HJ_xHto?&ONIm(HUASYe-m>(>Y5cB`c8IVmD;O9ZtigWOnZW^Z2k(A6B>3QS)ywwJD*#J%q6xf~oCzQm~KM(t>1{!P7*t6PC6i z*+z;?4&S759LYrOn+$cEcxuU>nh>UV91jwnG5vpzRN~ujb?b#6kUd2l-{IrPdg0Ckdtm@s!_EU*>ixjD&5&TV`1wEHB%XhS>%j5xrBHtv3{YQ*@4(IHFL&g#TFu> zq|(mjN&^yO2ScbfB{S-$y#a47C72bF`_?~Dm~8zxgF&-3d_)V3qXJq4@ppywblkw! zu)oS^ee?_Dk4H%`d}nf6TL$ruRx%f8)e9=IZAR{3HI;+KLFsU$u#=2MXNThJ#lD#Z z$984m+@dU;!M9pqt)0C*C5&819lkK~LFQNp+eQAI{B_c#M&Ib+JCQWIc)W#d`9Kq%XCe z)UsFRdh!Yi^VgGac5iN>-`ujy3ctj9^1z->uO~M=@&!G;oCGrPKYc|%pKPVg$`~Cn z``m7AZt><5*3h!BWkp+%gHTe4furkk^6n#q*1uciWD%I-EJh5ae%gJcD1QiwiM zGC$=D$vpY+7c_na3BG(|J!|(vHFhQi`iNke9LgTQDg`TU2S6~2O8Il9yBo&hlMWZ@A$Ayo_El^!T$_J@2X(<$=vmo%N}fi`v|T*wQ}r8Q1z*A`8t<3_qNp`$ z`|&P|OLmQt_|wtp*#t>mC=t@O;3}hb8XwAeRq~Ql@Jl+g4#w+OhJ7vhiE-m7#NL-`ptu}9`&1}&-Z7rj92`zeS%^u6<(xpS^j~&Y6^!a14!D$#K*CQYD z{xjvMSW%Ai1JiW4>*+GE+-~9;0oyC_MqAQi#`m)m_&QK(MSkK>qJ~w*gLozVlFd9; znmJxKbG&Zmc-_qLx|!o?rf6cbS}Hw6Rcb_4dd;jXl33Nu@w%DgRWqF`oL~Fu&WXG) z&^u>UnBW1YJ(11v1D)?{dwUPc;#CBcv{ZjebhtRwKT8$s2`c4L+bv1rSV$}YWFWE(wjkjIy zUrC&tLXdmL@AhSozDlp}TTuMST;lsPR`(cK;(vR)rmrdp8}8I#mF!E~!7f$wbCPV3 zBnn_p%Q|JiiAPd)?LoyxcK~P+97XW%uUoc66tL#vV&mmv-?jJ2&hBVZRh?ialcmyQl4tF0^QeD7y6g+4o>^Qbjhs8dU{?PpS~uMl3c8quQ&driuk9*Uqk$28Ry9&-h6uYjG~J#v2cT#+{pfs zSMK7!>EBUmoW3j@OWfZ4nkoTL(v^H)w16<#cY4CCfOW?`%eUui9E=)S(EDuW1gTFe2FjjT=!bAqHshZk;E)CY=nxH zLV&sU!|FcUjT^E^v`U3kJI^m3wK%GQ3$X3f6v^zFNxSz zf4~3d^XH@4d*{xanK^Uj%$ak}oKddhsr)L;4mVqsQRW|#C((~5C;HBQqRFR|NAiUH z!8JF;RWP^-5L+j~8Rbu`t@zNsvJJ*s`<;itHq9jldWxQQa?49K1&j^7iUbbr4V1ML z_t<_yi5ExtW*C%2I~K- z8-CRJen6IP;2BpBY^xq57D{p7aT|=Qi>b9vYUd05NgCm_k9U}L<~=o^LWUAf zk8=yc2r|$H-DSw%!x)yn)@CT*)MW^d{8r8=+~zAKo4eRomjXBkzaAJJ)ZaH`y(Atm zc0$J7%wPJObHqWO-UC8K4eXMZ@sCu7657OVqCg(p=i=0}z~fs<>YeA()H9mfQsKa6 z{y%7vP7JcJIh|QN*4B+{xmv`CF!AtG7^r|2zY{Ig5+B)!)d&7{ew)X z-uyWfJH`;sS9pFuYY2VmAv~5E!ZnNk#SpHH4K1Pc{+zw7NgZNwZ<_uzS>UhRZ zc$pkY(mB|X8oI>QYJsmKKV-K;?okt2hQRMSzU34RGWh5IOj;pnP(U&9+vSzpikt(22ADF^YX(>Us;Qm33-pIa40RIJY>4?DC5;i~nR zVjK&*NY)rf?#-@`CW;R{tjB(enJv{(jwDsxKwn}z9dyd6OAEdB!?Y?uN*Znd>o7q&C z^B|p&U2n39V#(J9pOC4CCI%)VQ%y2HM&EEWin?m?qd`bKLdT#C1%>@NB z*URv5zgAarjnnUH7^&8frbefPSJXu2Ax z;WtVt&OWITyp2+hyZL@a7Q-h1+Hp6(hoM8i^E`8yYxEoq&zqBsbrU$V0@?#yEX~nA zigx=G1DRmQ4G6O7kY zRU>+IbSUx;81MzG%5Hd@&%T-Jn7Viv376d7J)Zj)ju#LX1Pt(09JJ|`9Mn12Luw& zn1*3PwfJaC2b@sMZK{pH^l4=$H{8CtMDG&J&c-3%Z} zv*}qb6I?b^_8mWl(0ORB^m-HzGRhHMjGvv8V}FF4m&rITlhI*TD&q~3@nte*wUZ>Jfc+>~2zPn2DlK61gXG0Rn}b(=M=o%Ifai_^kM)$UoYBw^B9y zDEsPXQGz&^!M&-~pUro*T!oFpc2gWi72{wqw{gtmMwDBJ3O)5;BssIL4~@Lmr@QqI z^NAp3KLPnj)yVQ%Y^-8H&W+7**@EaoQE(Xt5=&@%BasMr)#~r(#lLQr5H{bmdRa~6 zzGgXdHit=<5FI7>a`14Flo0orjt76OAS6OSQ4Xkc8S||E9Ci|2gS$?KVBgH4&CxF; zVQZDhH)D%c2ZaOO%L1tGKc0amZEQ(JcQV1ag#6d~Dx>E+tpwV;j_~KKZ|3D(uk2q} zsr|Gqv55}~-NSsgPgeKTjm*;V#MfvuGD z?D7e4A0bs^zg%Mxl%;wtM^_lGHSnQ;qT3L3yC%9{_rDRj9M&|Wim^+co|0EMl+8Vd za=cCpjI1o3wVT%xUZWfW$0Rkfx>VQ3oUkvH)dP& z?_&%Z$5H87PZ!ilgQuc_`-my1H;Ro5L2rOsQtbW@0M#)$uvf$Y^iK3$PDpbUcKA~t zB3@rAqsZNVMvE3t3*mMeg3~5m9M7wP*wQTwhR0eWIj2LXNf^v3N=9 zWH$jj-d;XPehiRK!-1|9B4hBV%NGZ_h0FcP^llJs14U zdfjUsRTU-wN1DjZiB63-OO0ox^h5u?zQX^kz6~O0sA`h`Q*qFh#kSZl3n8#cdS<@- zuBzB5n~E*QF7z4p)9TwE`w8{!Ef!Wa%+QZI+)~VHAw#p6k<`r#eHEKI@+LTYVmFf2 zb5%K`E{R+6Pat;8GfPaF zxR+ERxb5_ex+MBnZCRDanzP(kVNG9CS=XIEOS7i8$9CKpv#+i@(nlM!mkhZoL(rP+ z(jad_@>&l;1Y>jD;?YS|w<7$8cW(8TiLF@A60i)fz zi#&((XAQ9KY7fM0$wV149U)VG(E7GyA}_g)tr|fLhx0ZZ;g4QkM+eo$KJFfDL zAs;Ola7X%(Z$(gB_QSqa)}-ZC#_oVM$pJW!Co=B7F%a8D&J%qC+L+fRX933|fLLYS zoyiYEPM+VhQSOb3OZXtJnBvwn9_N#=R%+rcexGRry7?56l z1fHvAo~S2AglSy42(zX3M{{Ko{>7ELMJF;s)DGp4C!bF?ANR}rs4Pefk;QGu))hpcf7mZGC^ijH=>bkwRXi=NWlS>XA1`9J|oaamQ7 zr{iCex933fd&P;BXh#13-9~SsRNBbQO&mEY3$4{?h>^t8vcDa1$(vB znP&S@l9fr;th7VsQ{KJsdtICj{tJ4oyL$v1wlO>bcdWK-uh=v%fP!T~tG!1`s=b?5 z|JTDVS=c-8bhal0cVur*(z}wivMZ@7NYteZyxIJDO-lwEWpRn zOxueUJKtkh%7#xi{^s~{edHO<4d>)MFNe#uI7+(iWzISCJ>44<@cERyXY6gsOdjMU zS7FG<@scrVV%fxV;@>#V0KW&c1QwvT!pwXbN7l&D^qc>i8!|Bqaf-s?K@ zWxlji^k%)vZv%xKfyie>!~sC*Z3x`Sy4hzOLY( zqu|$Xw8nHtzNlNlz8=*++@mTRW_LI5M*8WB?ma+9v-NA%ce-`Y5#o1zS&!}U$W~zo z>)Yk9z=Fcny8q4SZl?rzRdN}Afo(=}Y)x)u{2(_X$)lH0D^<-YJJ#w3tvQjq!H#Y9 z)P2Q+2USC#hJG3U6de0F^dz1rWh;ndGLsNntMSd`IJNeix1hxl)v>GD&j`abhp)UZ zr9K;jEQfuokEgwUqtPewH7vM?He$i@tf*+pjES+HmZJkgUGUAqy(lw!wO{PO2So9F zT&b#1P2^pyU(lC>$g_umyBXb)FROlzo{KD9UY46oBC9c4tcjG939! zFKZP|n=%bILEkX;BH=B`zd8CSsShz)DaPnK?nDLVrQtG!>n^&j`(K@ThJiHEFmOZ~ za2>;$W3+M~-0UGUw}m~ex-qk$XQoVlp)c;6qxvE{Ak~XAMIH?(vZ_73%BX&&^jEYi zwiCTk+E$0gozWL>usdOBYFqX?S7699+AMU>A1y;;lw)5|r-ZEf3G&69hn~g{rxPjb zTOW8hB&*UY4rYXArS`^a#@=_glw?UP((2dHMJMMPCHMx~`ic;|jO6L4kOD99P-ic9 zO00;CODrNmDEDBCSF2}*J5 z4f{Wy#ZV-lmrjspSh(x}_rlAYu8rLkJ6d48HRE?EZ$j1rECYx2*mlnH_QjW^>@dc< zK3!nh^Hy^rkCW1UyAJ4oNd83OQ!wwKVSfblyKf~ZcyzG0Fhf4B=w0x@lJ=p&QK9E7}L}Cz`>=(d)wmyda5S}=qkYGsf z*(nw!JW0fIGEelF>G6##bExPhRrDb{HcRGL{=|Egm6dG{;=Z!7!j{!PtgQRwQ7ow` z%d0#qgRG>BX@U2XT3|7T7ApeDpAd(eazS?3ya_976;_Me#6)zPm%`ATRE6;b-TD^A zFT>_}hiQ^-&BcJqcQLc7r%Exf3QYS>SuU)ZD0rQo+$n63{H}rZp#9`L=^8{U237#8 z{n|4W#lZRslLT{QIdAz|d?B@m&C#!_8iz00h9X~lj>Hi9dv-A@5XO(miuZ>-1B5BB zNeockc3f?8h7V^Kse}bdn@$>fbL@fkmFAKWA}c5ezXC#_j=SBp_;vEAEt@q~^5@K; zolJ}oETe(t3~qPwyJ{b#wmkM@FrgUUrVaU{)ea>rZVo-AW&aCT^m{gN7zJ@zCKMMyI9FJ2&BcOrIWcjXyD5hkz;l+ZHCp=*kO1Wtyh zdY^nrQ8Ihihgdx@u_QY(yj%F0nzpf>#aS~oD7F%$0`Ad~$zdE{uGc~K`)TlUnJ=yU zhl7A?$)T=Fn3!a230pT5+iOJtHP3ii+HFiO&?R6GkqK}s6xSSsNpZ#@^$jhxdB)25 z2){pv0M)J+Q3RLdzTM(#nSYHl=%q_@s2-A3y&qd*zr`Rr_oK%u`6BP=|PU30T(Qm;dS_*aw|%1ws)#!o((t1&Z-@$Np-qLNz;4mRj4M(lKfEN zIhrOM#po4P+ z4fIOv0IB=mUHwtMJrl}E>5O)W?y)#0dOnzR+Vw0Ln^Msv-D&Mhs6bV9@)6am7W?nh zQQNlJIZ;5csa@18>*}JWg661_+%7-}6-VpK{vmT7XRRjokbSs5b@l+9!5MqcY;i)O zGdVd9#0YqI-F-|L&$i4;rBogXR{a>~CpYy4W42|bGE$sYjZ4Cxy^zk4zv+TR_{ahs@xuSNy{gxW@Eef_B25?2wlol5cjne=48`LUYj7#7e-SYO+ zM{;R;6EA`9K;@UI)liRZYnq^n(N)a*v!UrbSTshRsr%cs`xi@5&pKqu1DI~k6al90 zpProPkmPOB1MRt*9A$BH)Cr>Y3Hp-_Ma7XC!!?PuibjKxi-E=Vdp?v@UvcHX+GyPS4m0mjiMHnw`sN#OR2zo=q}!GR|}8x0pheWq*rp{P09~tgAcvlKq=ocpBl2d>?WnDrOcTxlD}ee@-;#%u*|Q6J8XkV z*t=j%Jio!Sv)=tX`5X*v63VSV$b_ErWgJ-brLP{E@ZKMOwX&3OYnSPVIA(FAFCO7s z!xT1$fA6kya%!xnd=8>8S%Rp8k=xSprA*!ic(7pXJ^*vNYaUllkor^Pi>i2cJ)F=J#9o(X`;JJS_3rq_$Hvw@;)49VyY zdL^DE%5IZCfb0(cbwL4gD*RtLJ*La&WtX2K3yqjISYQ$%X;FH=DN6@NmAb(by|3q<)8| zTNk!X!1TikriQ{%uf>1E$Qf@3t=csAx{&LmI#sJ9t1!?I&rA#hgOR?2*38_%J=_4N z<&4vw^PxH3tMbj0dN!(s3k0?+`59 ztNwP1_QZA#$APIr2hJGn{=PFtXTH&6jAXsZ@=MS~19%~PB3mKfRn%(p48Ein7a%bIaZS>E%>?;|dZ_iRhi4j_UlH8YHwQ9uO1}LrCJ7QoNL4Cfos%GrzfQu7D&hVd)uso# zAf%A_ceN8VpOzSSHRcnYBuW>sVc%aO1+ANr(j$lxXp+it>5`8_XN^5 zV`l`>eiaulA;g2Y4?!G>(PY?vo3AAD1F5JaWc{!_>rl=z>X3g~^{mi`?9HktnXc#7 zR6V;QXF2t#^C@mUyP`>l?=vdxf>%bx@8UIN{zmYxTQ`Z}ch=bokHT-^()K_F_MO># z+=6x<2|PdP0?D`cvn-HMmrmHK5*FO#40MNjtDYP(7mOFO6Q=$jk=>c99ri<%2Y)A_ zJ4q9|1FoX6o1K~}Nf{wEed|B1X&`*SzChJ;GWt%}gTI6jw@1|@)F099k3_Cx=s+El z4ZTBToSrZ9Pl&T1Q7^_6S$~A|LjHde#Z^w|IFzT|en;iVJGJ;ZFqqFns9$pMe1QN{bjp6(X=9pJx20$` z@sj$oa&o2>u0FemJO-jLMn_~SiDQi@k&(afYhZN|yp(G3VUnIn;oMVR&lC)j8>QEo zJOz#`APO@4BX&jZtTDgO!~2nIYf$F!)EyaJV{)1cxvey0&O#-&$F90ji1T_BX~YLb zYQ^IP2heC|$ec=Aw>>)j@(c^QeR{x6o zd8qn)SC7v_wfbMl=bj#onFUA2Cv43waO4*h0V!R(A5FHLiwK%u4UW9MbmsM8b3sYS ze@KhJrH1a1eIs2DIv!KneWN5XHm3wF(T~*k3w;N)`CeQOZ);L}1!%Znny$^4{aQ~M zr;b{3h;zkX3>&4opJPrJxpi19q*8|#>rfTkEfvUF6y5Mj1p}pmjanJMz-||2U66c~ zd8@}349$t)P z;4V_5JF4Q4=et_{-zZekHYOL**o@!b2 z+=S@%v*gvW#g3DP2w?O1sQ)Q3m`l9;yCDzLe>!8jp&D zN!yiMoOWn&aTrh?j6MqJip>c*pAJ3*$2-)i2WNewr+mDE9?+uXx^(-p_|rW*8wyz8 z+3d9ot?|2;Uo`Y0Gt8KE@z=BV({bM%@>VnvMHGpss<<`ay;)fdM5Din{J7A7`v(*# zECHBybj zsI}nRl{u|?Y%_<>U*Kv!7E3Id_2j;L(#KY1?CdLEJWT$%N(r=Hb$-?(pl>K!zzYR&v*H>n+GrC{Fe!xd7a+bv-z_Pt6I zk)Nb*VXionu@9S%E9B9T8&W{Cis;tZn*`!bd?U0(*wYy)RLgR1p)Bmjw6O8GPoygC zemOKi3gZ;#30rMn#%K=Z1cqdr&hwa7Z>eAbz48(Tv|>%gMz?NC<=A(~1X7k8ObaX2 z9CjLt;83jl_aKDKt5x`1_XB!IFQjR*6McP+NA82VX*P=W8%hPaAdBbk@u=w0MbyKT z6Nj7ee9y+#>%Fk0y6$hI!c&|Ik4c3LAR%>&d!Y3K23Uweu^UVxpCAe|zgWuB&lGK> z$~Vw>+ngdDdQnZJuRNAA!3KG(IxaGqBAdTmVVGhMk z7Zz4244tc{j4fefAHAQh%$GdO5j0Rf60*jXOH=A3fqy(UI8UmDjqWqak7Xr4={|Fk zhlO_=4_>rZsY zX)U6x-3E?@Cdbnuk!L3A_q3Gb`4~d1k-XJ(I%M8K+^^a2(p?c>jdcgYKoq6)9((O~ zr11Bdn4CAF4n(7{@j-E;-`qHrw)y|rYrk18bPCXkA`CAUzRG^H#My7M4eYt!Ood~} z+6S`VY|q*UX7-!4ROg86|6;#c?Cdu&^RVAc-O$!gU&fj$|FY_7{x#5j+wq=jKRV^qJVU=($S^ng*w;Ul?PGciniK*RE z+!)bAxP|0N{0cD0TSC%mAA7p9M-&Z;cK<;tOq{3ou`~5N(z1F{v!ytVur!SB z-S+Zp>AU%ex>k{PM#@A3!;-QRW;PRMw%xWSNDd+$!S#M3xj9^EB%_^(5Z}I$RfNJa zdDRLc`!8peZ~#>Th^5s5^ge7a1xW7!$Pw*Ov3r#{LaV%jRQj`*1*1ykqs6z&_+;sVGW+Xw^2J)>u6c7OS8TMuj=oyw-p+RE zFCCSoUPer$3S!!XjT16p|GY-Hzaxgom`SdL$(XSW$Rf_3Lg-+JwLpzxn zxMrtP*Eu4tLJim-DZVUX!!Yj4NXd~)J|RaY#z9C<<0}*aI5JO4geVoHI2A@8O#W24 z$JvWjXNEUlpK^`+imb+*?PQCsi|qBJBB8dS_LskgwQj7ke@mBml_Lw#tbN+IS??d9>4|6AmDuM(gz}Q)C9^$O z`e065+(^r1i@sE&X|hRoLYkT9O$2#@@L^Kilu1@;Uv55Cs#+2(LOcZ2I)>Rvt6wbmfJ6L-9*Cjqd|+bT zyMQ!)=6dWuB4bE2%MCPj6Wh0?T>7e-^(a)5Y1Sidv)F&*zME4wS6q57SIwF!&9c8H z?TK;p&uGg`wZvpvmy#dqMzYHp>xup>&USdUw96VkQED9E)_6fyjaRyeKrN)jMcxQF znCL5yE-LJ$H4YB;3so?Lzl70?t|%%!{$IvbdMs+GR!(L~+COLxwXT1OT4gw-;m<Ml{2|un1{F6N7a0mID#{~`!(?#_*M_nl3Mvomhwa0KyUmiCBO6SnftV&I4mFy@YP za{f_Dk);e#&N6x8i1kjH@mBtMd=x^&B`Cj**?f0FlK zXWoA!?+Y{U5A&{0{R;mF-cRKHR**%Eo9os~+lDV}Qce6XzAU{KeqldLvlRYfM?8^R zXIztJ0h|JfoE1Bg7d;iji{tWf4U(F?>Hn<$Yff7K0VZ0i|NpxF8~;`PAYLq|*64F5J zot=5yn|bWYJm&l^owp$KSe$t*$vl>39y@`6Ad+LBs}`|`D2X^pF!dV2^pnyQ-B>K`#K0_|bfZz4%8#^yx%B8X zl~Wo*PH81S(no%z`K@*Byf78TZl9-@_q}CS^>k7tb%SMf`Z=_0Y z366DLKKqAyZLl79C%e1TohJeRJM$+PTY|{6-oW#+3?vJsJpupm`6G=k<8YPH(%h9F z+d5c64eN^o9q)0HpvD?_ABOe72D_v4PhDO`-@ixwFMPiGlQHCY`lT(LEu1^mw^Ic+hghBcJN?rZUM=50 zPam6=54M9R>XA5U>3WJ(9~AsUtTdk!{)-e%!+%=fr22762L8`KKYYk91OKXQ_;S1^ zS*Y6o&Cd`27@LW7`}gpYZa+H36nwG6eNOy5k`4dCZ1}=e-1g7@{P0I*!~d^r_~IDu z!vDhOhyPDDLTUWG%1atQ%>5Mnzf1b(qtAKS@bAcmFB*Nf{a1f}_=B?HYuWJ8w58x5 z;)LDjZ2yZCP2=Zjfs>+t(c`=A|M};Ke{DAWtFqzeX5fGG^TR)OB&+>q%*#7RENh3f8ADN6$x{7IIAaE*iQWD0Ktq1q90wI_`L$mxp=`&M!v>>oTE8x zsN_7;NxaApMhnGLw*Db{7cL_}0R@zSX6f{YT%c*+p-h23qc&I$&jiZs_A|+1MiAj%UKwafzC(g-m?FI){Cy*N1;{1`9CwwA=+>k>D=K|ZJvQZ(n)=XC7h zq+hqa?lJ)pc`24GknaJr$XCDS&b7&>QtPSxXD7$6tg&6n<3C_{$>#OdH_f>}*4ZUK zO%(O|M4ZUe7whH#{ZPA}7brkRVXK4NZC3MA@z39oZr4bNC5h{xlm!?HfCRnVQiI_2 zx^!JMfloFB2zl^55zGQeU!Z~WE?Qg`drYR_;sx3lL^qeG+8MoFTrAEC8U(=IV^75* zEN1g-B*WLoa|(w@0{B1!IoNP_cjUVQc8Bb!2q^N1)A>0Ua$vEuiygM~Dsq{cz$JpU zf%kRnK%HQb{a1Aal4%o1<+byRqd#<3MZi!b%Z3k05?AaE! z?!c*pAfNX4;h>^dxJU`hvRQ&Fz)eaCS05RfdMoDvrW3?qfXkzhkDhi7)(ty)F{sOS z$$?%m1_n7(KcK2OiJ^jdU=6SQ1Dj3S`x929WA@YC%>Yjg0 zM0~8qk%4C2lRVRA0{N%rhf^W-9Yr@qb*=YGevff)I_oF+xg6ump`Gz2VGonVN%96E ze(bE6{3LYYoJgfITT`)C_JFTZ{Vwu7rhXq$);q22abJ^q#~ncZF7b7$UzDKy_9hYC zLUBo@*j^Y=PJE)nLII187z37=D7Ro{ED#xs-=cDU9qPF@Aey)DG2|OZLJp`2zsfvf zRPK2S-{BQCgsC$UN;QIV;?+y|r2K#+PBCs3`St@u zfQl+MNs2M%|4U^(;=_osxPFoKZ0{2at08MSZPSI490&nP$LjZBQ?)k;PRk&HD#s47> z=Hf;Y$nuP2`7&8_v#~*v2<*i<&CM|Y65XH*RWJa5QF$%z zmr^?@cmD*$8>!#E4Fs^!ip!Qq9m;}UN!Q!*=kp`~w6grGdF1B?DR2sl0vqB7*iAD^ zqb097dYq8+jbl;e{TFbYc{O?n-&*UWKiGTy((wFC$rrA@VIXl*w0NOMbcdaU8!io1 ztPC4}kf!n@Z4VpoA<6`e?LlMP2D^Dj?s=P^`{Cw$wuOG`3zfAQU1jZfiP(9b_Ve0) zG^kBHMmX1PtT39JcN8@zN<3>lTq$^2tgCSLne=paHOM=GE6z1*! zbI^kX^!=jDJcLx_3|4IPMImsf#UV1;>X)D!v||cyqj!$u`D)4UjBH{EdEiUVcVq&> zVc4_D%ULS>4)wb-Sdk>Uc`=_#?Q2O2R&?qWn{=aII$~cgfZc+ZssgR{H`MQ{V8sCf z{W}HERR!&^snD!fAbmV4m`*GbIU&vOCKyNUIn2q}dxK#~r!JM{z<8@;8~!;fjP@xl z@_n-Uep%!+S12Lyc*i#V&_+zJZuF%LJW)p&UCwgz@uUgX9hVA6jWrF{LU!}<{Q6z< z#~6pzp$tbMfx;k2pp~3J$NLf@r+!UP3wBo3cQ3f8VzXYmxzXM%{TR~8iRMRPl`S6s zI}4J+GP%<^MdEnOv$j=i7CIycQR=<+;}qk9_+!L9oR{<`=RK2LtBL<9MENI%&>WB6 z6_IiT@}qO;%QzZ63ncVJf$CqK%nExZtCxJ=m3&v~NyVE2-Zfe9Dg~Y!Vi$-*V()z_ z+8q6Y{bv`sJCmCnxbpY2;IsNa!{^r>d^*DI8?1S~kjnX+PeH2czbcnP?$0TnLhgqk z_m9aHsrAdMzBJZ0SU2`*dRKFIetq}+^Q>x-H3~%XV0j!^FB?C5rLcBc`BL&dfjOk- zDgU&P@rvktUuSI-Lq^u}gH4Zwil$Ue5g%tag1*~Q70Ouwqf1+O;&iqHHX9a>Vp6wT zF*$FttEn76yqj>0YH^%pYS#JRUhK;Eb;>O%TtL3Dko`7$?*pp$P1ysq#BK zu_LPd1}Xnxe!%kvI=;A%>_O|wOJl8r1J?DWK`pet`DlL7SS|A7Q4fNb1Pd*#GWKZk zJFq^T(z|cTUYH>`o*&KVUJt^ zlpE{Jv&QEI{cmgc-$gs?n}P=ZuJynVm=i}GtKv^r$F?e?-PjlCunBD|+uuRKA3gqyPfz?{Ka*2nl^o14iPtyubVq_ z0|bJ$uI)>-xF&Cag$W6E#7_DaF!t4W-qZ7ta-8VUp6v$$+8rYUZ~#Q-ZvtieS^Q}| z3-?mQqiNdy_oitZ381=}!%WGQd}=yNE5i}^XMzhhu9UiCFUhOU5H-8L9b`WjZ5YBY$2h91Xj9xNmycJ|#F?w$m zt`X_K?1OrEG-2EmvhLw3i0|xki(hoOoHD0Z(;cZ zSt7;#lx(QvM;~KcMt3oNYid8BtyRXG#)d%09yUmf1*-WifxuPG> zGd7Gful>WZD&ws{MNqnc;_-R$0Z~ zt15hXobkva0Usz^#pH3OluRLKS_O>+`$}gb5%I^JM;uKcox|v84^Cfmz=FtKs&-6A`^kyDasSi#%C-z2ej2-SZjqd!6 z)9A*3GmVJ*#ykp?wFS9*O`Y!u)po0yRAqEQBdjYgLA+`r9gud|22z##j;;{G+Xi?PeayiDtd_AQWr{pUs{fGUtpJm!$LNMSi66 zne(2_0-2s|nT%=@eF{LVI59il1Jx+lU`2Pr_s4-TAnzxlwhvF=JDdmfgF9Nol=b`R%CC?YS&*OP6cb_NotWN5X z{|25%xare*9_2pI;ki;h$9;8Rp4XuJ+TgtE?rWp-8g^eFb6&^0uTMI!6W!OpIIol4 z*XNzrYu(o-=k*5nbv3Wgi{iU%6D=L}yog%rF`SY|s>}-l=7eK4wTBU)WhO4sZ`L37Ddg19l}62-2<+S7Y=&-#%6;4CfdSs^k_*t0?RADPvT2(@q% zrW$eMER~@cs$YPPl9Pb#NITC2kl>nkl!nU^0i);{z4>sdUba^J_u~_28`(#NO?*6q zp7jtQ)!60(&Y+gTZFlHp+*T&n0G1kVatZ*{eVPCW`@&LbB{9ScsdF!?s4?aPPYGpH z*nn^Drbc$o`^Ok=atcJA7Jn5~1r0(_MSSDS-U?bb=YK{RUM+5BU`$pp`g}$h`C7a> z1LFn-qwi;g(FeAYfiYddkTLiy_~^?yW+XcoA9ECp&x+Fm=X|MxvBXy=)F)`|_Zgc~ z$ho*wQ*7YZiUL#o8Np~;{KpwEO(`$~J|mdoNVVG% z;b5vIr+p?6Y5i%yzUl$$qDR~( zq2&;^5Lq}1>B!WZ5#;Z1oKlfSwu&IH4d@%0hoGg3yibuHIXhKCDra?ZWky7Hm zk9ud_+cRrvWD!6O z#KNAa8p3a)3TfQGx!NfD+5EF(O?fOfi%mxmkUYU5T^)N0yReWv$KgGFei=Kvn<@_C zqh5>6#!(rF))(dSsKs`}S2O;R;sGuC0Mx;Ql>f5IrqvH&bwDpHx`Qk=k;K!K;|7dv z0gISeWbj)RsQ`KYA*-ld_H(5W%R}6jR;t#iB-A78)EKL%zh1k>T=aloL(h{_@~9x= z&a2AJqV$rJJN(JqmA!Mb{yeCd+}g6Yt;_R!!XDo{H!IDJncRJP=APU;H*3npncRJQ z=DwkKZdQ-{Ozwi7xu^He%{oDt4>*TvcVW-mb9(1yMfg)Dcfai1i+pv0$zDi=_sgKU z;7{pUpy4D1;PTa(0!2LwG@hgY{QS&Jfm3@Hc^7+f6)bXmr+f7Q9=#+} zKoZm9eX0O~{(wU1b*e1Mgh(tjfQs>_aj z80VPqxTkYo7H(>sqAe^YEoQTGoa@af^z6IN#|-f?_Cvjvt%MwSgEuD{xs8(8 z(ULfQXE(~NjfqS4baz**N&X6-+kTX=e|?eTRhQBCrSy+VbuIU;-m_Dd+cpn>L<>30 zVKR8=i$~!Y&f|$`@Y4Bk=+>9btx4e(ayG|FC%?&8B zv6+X1AN*>l(WQ*@ruRPW_g&h(a>zOc2oDYLa$aT-r>%gFK7O6U>EZq8A0GGBkr7L5 z*x**;-L^GU&Nu9@I4B0*Vw$Yf6F8b^u70!HELv1$?B#RCL8ZSny-UVe1J9Hp^}jy< zYawgk*U`J_p0y#X=;!KgQ>g*1#g_j&{I6vLD39f;Hx5tPP#O@PGhSr?WEtbv!%pBDOa z5&gMDL>1MaMO?n&<}<%8-9ed=)g9~pOL_1UVlGJZ1KD4qIDfbOzHsx$w0tc83eXu8EJ(U@7 zWjAVXJD;Jrr^6Pt*At`$tsh*<8Dygc+ftRmt?($e(UNzDlqR|PSiW&wtd0w>UpEtMQ_lY;+0KPPUH*0Q>GN4#9hudEGJUPThVfvuYocIu02gCF*$kmkhfwZ z@}kTW=o&!`@153SfBWoxh=;4;(XnmErfDXaWtIQcDTNWD%xI z7bK!uFtQ>>Bt~EZP4SPaip|Q}pXhMxqT-N4sLHA#`>TxCIbx&5{|79_M&jG@TOQOj zJ(%N(T#b!z{&_M^c;>V%>*iY+GEcM7@0E(5fg0M_2ZNQtF;b+c7D2WX_A=H87967! zi7FUL7XNP(Ia!=CIDf~1g21&BXHmuEsv|u|)Sul6xn9~`51SIJ#h{w%4=c+@J>Q+~ zVKH;;7eAb0`_2nbbbt4nlh4n0Sp48#nf5bz3UVY~`P#_D ztcg=66DKl;)saENP3DM4;UY4$qNysrCNd9D$7P}p#L5bejpr{foFLbWvr^P<`>64I2LLfS3py90tL+kD=gY+2=Ie@zZ7otgZl>~~)D z38vxfqZDTk8+*0-$Ar&u#FGy_NW4jcjer&CX3-vo%;Ok0Ni0QwSz~;_5kiGe2F=SA zwF_U!VY?Re4a2K!V8v$kq?|5zhX9nR@ge|;KdHrKUtqj#yw!X}jx4|l&EO&iFxIKX zy1cXAR#=e039N}MEXDTguoE={si#j$Q_#(lP+E?1w4<`ET!R-45aPwOd zFvHIxXRk%nX28yGOE0AwoMY`=U=vo@ z>zO@PBEKNcbldaK!g1496T=}C@BK0-@?&8xWpn6WtKSOi5h1CP3PvUJU|mYyL0{_t z@dE^uji_&$|4hXiF{BF#VSI8x`#Ak5D8~u_EYij{f=Fpf47dZ#7V4|2R9dOEX+d{9+?@XkR!Au-TtShJThRjEf4ymt5v7ln*Dp7t184OP^aE-AHaN*i25@VKj{G zCVq&x+`hth;$(4?cI5Qrm(_eDG{7w4A0|B{YRl$!+a0G1e$;&zPAJnjjpCqGpPU~4 za6zlOf2^%<$k>mt))v5%6>CS(FGRit1iFdJG6&ZUZJ)mLJT9c-Y)zLk?&tq>Q?tE%jkvDUz0{vF!59SV(?r5Rix z_CXg5r&x@w zglTwBoKv`eno*ow>h5=9M@tt#^-li#ocy__lbzhY!u@2nrZraVLX^Tcx5qNWxWVzSXTyR{+Gh3=l*0LShmZ_ma=Fr{Pqp9DNL1-uohFV|b*W31;msVTR%I)A7uY!j3Rc-o>C4zu!uSPg{&%^ zEm$0$baD~z2s|Pq-w~~}x|l}G@eN#V`^tG$^E*7X>Yf$$m)FCDV|N$8e6Guh42I8Z z%OrlK$BrX&God-HQK0AHTkUU&zR$=|kfF))Xa3jlm#zCW_!D7|{|WpFxHyS}zJu>9 zG^8-iMqg|ABlA&CJACL|<_)(9yiiJ7@^sEUI%(01T!t2ap`mLw4#P}@rNK|e!PIaj zLb65mKsf29=xQma1z(mjO`bqQzbaH#?7@V)EoUZiq(hhEA#yotp8e)4bQ3MV`ABMz z2RVcM#q=Pj_`f>MvOl@Po}d;5gkBjSIfKGU1dN|@Pxrd?SbZaXek4K_^}FU2g^&UZ zX6CcgiNa20JT^H~1y3BuUokJ(aG?YU&-#?*UyK*y!8w|7P#-zFU?$Yim-xcvN?3RJ zZ~aDy<>(yEzjgs(^~V+9g6D6WF+_FNI%D`OafO#Y<6CTZzO1?}KAkzSW)Jq$jVxQU zzwTfrPm1fT$0U7Ig7I3zz941&s|kzOjO^CO{!I!1p28jT)sgO<_eu@T%%EHmp{(KU zPWo%<^c^hg8Ttlua;to7XQgh+{l+-YqX5jJ6Q)1A1{o`cOqveEv(J}`VMZl#CV)}g zOLHnh?75q}a$)J(!c}ndI{#EHZb3zLcY1gd)k58!xjczCP2HV&Q!y^w6CIv-j@Pt& zQFo_zYUID`=17T3$u~zzj8ji}JUK>ux*za@*l0Vhhhj-bnTaO~mxNLsPw4v99RxVG zXz_O?4UxK}H8&?Y6?LfaU)g4HidkooTN^3Q;6r<^qO$J9)JWgThH~flL9=9uZWj*5 zSqK)Wu;^4v*3|?g)Jw+G`wkafK(So({oyBe#ZKw9>QCwvz*T1m6X!lqNUo?Y{JzsJZP}(+^6F7@h+Bk4DPZ31jdd3+ z_#W2_IKFgp{h3dEXbL(yJuOHU>3zG}V-0BuGz{XjT6IAvudf_Ya_&sm{i__eAZ^** zIrel|)~Fj6EE4G2vL?A@w7X#bBeCw{1?3eR<@$r7fcAIdXsT+qDe=-c?CEf8;FhUD zzT~f*_QtwP7fevCyNmX!5&_feOEvCPrGXKLxkK%=je?1(LKls4TA9t+jBMy^$5a7T^fKDVEv?y88BKUndW6bMwjj5e^!7! zxOzI!C8PAC2XuVeq=LxVNC_&h+xoXU?25g?A*(C)vZr698tM={J6Ip{QEbbitsKg> zIeyH{jcCT@#OYG-c5~r%PI&i?$fbgRM zv4hJrSEmV@1PnWgj!yAW9>U=V;D~VyIDt0ilPagC)O?kSysa9jBK81@f)21%$-k!M zDY&Enr&o+07p`X=rzJ{iB12>!C-#t$&X91Wmya08?YE-b;-IX-FJS}dGrf^eSOjl(Y~G<^W?De@h9eaJeyFM;NDb1o9l z3L`=ABSDLOFRL5T&Db5TELbkV2pqX7aSI#KOncqK5y*v+ei;=M-19=;kX6Y)z+Xxi z)P72)b8+!qm6%U!GVnMGRi=a{>`ePAnp9(?5B34}pLECRiuPayr4kGHliDwJS4us4 z+bYt!V_Pqa6o~+mqjwO0A7jwD7qUSwwax4mXRPD-A-88S?LpZdEs`Nxt6uZ_zlT zys5jaBH~3XZPcEt#&mhLXH>aXFWeUkl8PgO0D~=!i$tw)p0G8>Q+K#Ll8hy$>DFDj zb%(XtW5U*{uPl&jxz~hh2O43|+U{*qpEd5Nl9M_6FtF~j93{sf#xN(^pGVWx9YA!O z8M5Z&Mz&&dn$~EIJCW>Qo>=3$k}s>@kCP(rTI2R7U*I=pPeX#q9i`1iz&-Vss>UXw zD&3=dR(E$+G$ns2kUs))@^N`OBu^@BpFA~68piVO~=epKLJe1cD^8 zfx}$s$opCF))YJN-ts7Th70e16ug1i@ZK!^PvG6@!ZRFreiz<%`K@fY$t%}kq#Af1 z0Nwt7di%;;czy?7g6>Oy`>FO7WVi2|pWeO+Xx=(Cr=xpwWQydk0Z^>60F}|`hXp40 ziOKo+{RP6WWOGxQWiQFX*DqW!e-bdU(bu@`xr<-prlRcjJOQYGkN=Ph?-~bQp$qS; z{DQCiYx&+Ad>@7HYt%UIX_(wsxKiTB zeY5#54fLx9&grW#PyIRB_4}lLSsMUd=TtW=(}3HZlykBh(9dZ=e;V*=er6oZ{I?W- z2-TphkcnJr2lkKrW|cld=18TACFtl*%(I_7)j{akv;Y;0?j=83aQm3wzaT7dw7xf9c;Hxl^sZI^l?6d;jzULZ3<-s zZ4;;h{v#;l#BuukncQy{GCo$-yn#;PnEfS&2}QLWoz{d?aE&9X66?0JJ$ARuBo8qw zMn{V{cG`KGjL+iYQtBcGMp*YhS-|s*kt)!}7JE9=Do{7d#|%*ymA2Xap&M*S!i9d% zGU}`{Tr-zxk9=yNGt$%X$LT39GS=umQr(ZFI)Y_Mb%v@b`7^l>OB7Y+*(1p!g|Co8 zX8uljHECBn_kGwSt>JgvE{cgG(mJt19#v*q`#RzgQTu(ss+*Ia6B$a* z8)vw|`ecQC8_8n?ne3SWuIRQOQLNZ$hXMh6-lW)-!;dB2ABRmG9q)UM6g14R43RK_{^a#74siTPQSYSHV$In-!% zr6#>|51HE@z-sk(AlCp!PEtz)lP?iTff4^v0aG=9H5H2e-;H-5EIqcfyocfrXM>RO zfo|rh4T8o%5RcP5Zy$IKTQdv9R97kH>p74)d|}v2j!o{6{R1cA+yjk>%29%i9J0Nr zwa8Np`qnsu>5g}7?&KUTew@}2?wI=(g3g`MW>u-$kW~>(x=HT4kFqhlyFgu^+})1H zbkzurU?(M9H!wo@#!BJh=#9)uRiM9a-92rDg_v`4OfYR>_%;|*PSZ!HApk9Q#ahD=2Jgmbk^vPZYH+o59xq?4PCPz_tN?- zU@LmID)~28KPKaeK2@=e6P=*x*gwRaHdO9W-+=+e{*b0tY^04t zMMF6>Z6z_a+!-uZ=x9y!zCKfBc5ofIPMfT!1GC# zhDz1M#`*%(NU{4G?U7Jx`fIY&K1;~R8vghX)F{2gJyD`RHg?z>MTQgm)Y0WP&{6vw znkKGfx;1`;?r3rKw#uP=%4w?{AwRrU$}eF?Sp&!G{6C)O64ED2I%@tYjue#PmyYap z$d0Hr{gdCF>+K>1iJ7z$eDvm*u?5~fv+pviz3fGwVxeTKbT57m>9~>43!by&2ek*! ze$Vao-P`lstR84yUE*(__vNy7EH~)=u<~%G6b4^MP80z=LGNWN*fb_P6~63h$j`i@ zDDR45oYdCLpJh()nKOs_-&pXYvi%r+(E8w+xB_K-WQsZALUU%hfB(E`JwN3}D$NPQ z%$XzjG$r+EnKaHRninZGCmtAN&O1=*e{(^o?1&3j%+$d4@4LHG*-^^gu)gEL5SpSF z=Y4Un_upsU-(+)~d^WwG6K4?dGVj;{}wFQSUhH5 zO#p(I%{vE~p;G^=cXyN>79$%bi`q5hZ}#txcGT1=!{Zb@YF83r6k#KP0WyUje0btB zn0m4prS5lPgc;}LPo*7?uaXRk$7A2xO+i=}?uc&EquizsbxQX8l2_1C{Eaz6Kw;JvdQ<9CD3bPuL$bcK*<~UF z$NqOQkUSKNz9Rh&o1;UyBL8$d=g(A~zX1?+ZX#z5&PAmnmD~}wo>d|XAylcCTjqM< zYq$L*oUDhuqFawT^2)oB3%IckwC%BDD$|^^SWaYZ9p*Of0a*lN|0}Bm3vai$(!BH- zFZSuQC4^iioz3Dfv`L(jmvN`4y2gX35QIl{J>!jG{Jm>Vk(o_UYhDH^<@| z!EF(&yyxrI+#V9k7JEFiTY5_elgT!>J@jvclODCDaggk?8`7Oi9CIb`OuKv0r`n&c zQ}V$vnA7Vu5S|1rG`<-$t}54!H|?7bfJ)i0w%FJ6h}4poYY!yWtGb#9J!*^nA+^-q zEvgzK*0X@spC>|sQ08v?OYG!oFaZul67O?j2o!}|T*zAX7>%@#@0L;jwNRi8rcj_3 zmpM>l{XdEdPj}aeP_KC_a&sxP(1Q*`)_o2gu8LHLn7%>?N0F9LE`F1ds_q^_6VC<} zTo|M3Pf_MAf+TYdqtalUNU(QJ>K3O^{F`EKJm# z0YS?q0U^Y$peN1tU?qGQZOJF;Q51Yqq(wFY4nkk~SjJ_G9aqbJWjY>@EIc1Gu#Cb* zm6Sqmzw_7-IT7Pk!m7ws>Y_g+EeV-;RmghL*G1dx|Dny$ydBh;@oosmkz z(Bj3^8&>FyaKePZ(6LtXh5V1GcmR=e1aG6jy)KtTvh6C+?mH;(oNFS-NUK=^*q{vv zS&Q7JArSld;12WyZX|*giX|Vguiqu(9rx*E!SX!w|7GsX1EZ|2{-3aDRPc!kl2)xj zqbA}43N;Zz6G-G47%GYjE-0-Vt*9t7N{d2pCcxwHXtZiwTe`e;ZMAhNfV+;h+EZTFv0X(iXGRkm*k z18K94t`WeMCiE8OyJpc?@CmR`0*CYL<1U*FKugL)8a2B-`1I93(>UbeU?u0P3}Y$K zY7NIS;TStHsf65FCU zC^fmpUgv`OXOPnas>MPTIr>*+bPkkuC=smn{Fsfne1 zc+2?f8tazU^pj+R=gbFQGZn#z=sSm$46Idy`yHD#)=MZ~4UaAHMr-=CQ9BUOv0B~s zxfl*_xcL5>H@+t@=bBem`p+#uclGZlL{kq{^24s0Th2#pXzGfu?8N3ywquGq?@fIk z3^lOeI(^ww?Iu>!eAFpho^u5qDYz0#aB$C?8t|K{-5PXf_RY%x8Uv4<_B3>e}P zMVUjyr;ySeoMSm_39+P8G(Dn}G!y6!`l=S0flJLRILF$(f;Lmz)U@KC8V{SotIu4Z zk$au#IAUUg`lE*7bgXj_hCaGd6N{wRMIC>d++X_WGP4y-5~wtYk{`3+lgiL%g*oi< zE`ch7fn;uC76*RbQwUy~o4wmm7bGo)!(*wP+h2)qerMgEJWL9FDORBj76ba|+k>2UyI!vh#r?u93-`htkBy~`S&w3WrPJNeY zni4L{ts4BJN)_-cTttcLVOyEWzZlFe4r1+hJ~mG$SRr9ml$oXb`_w0ZHxw)Znlthjrt_kyNP z8h>>++Q1}mddEWw&Pg9(v}024F`rMDjps9O!6nVZlq?Gb zd^1^5_d=e#Vo8)MjxEb{jCfUVWlQ-&D`qnx`d2*LqogM&ztWp&Bm$Q!q*mE>=tD}F zAVmqk@weNz`-`7PF`KBQKW~(DUzfLN++hfBtWOHqD{q_a01_mOH;>hjGZsr;kKak8 zCCeJ9EsN$@KJ~omcuLFi;Y4Xc&nH^$nZpH_trfY*z;(Cj_O z1Zno>YRcS)%VyD}F8(y|$+--cOVm>OoW*bN@C|HA{?0tB=FLIPsnkp<3|ZrSl(A6+ z47pgzM=)-xf$g`JH7f_bKsj1ivZLmkEXfjDl-1Q(GU=4Pf=-041u5CEzqg56NiuKR zMXNSps=MAK`SX~k;2f>ax!MFO^95;0zh19k6wiGej2|T-QPT;hgzB2VL-!ByyJ7b7 z-vCwc{8JZfM&TU)T;2fw^f?u}a*=nl=?^%o{o0ruQWs@!oZ-&sE2*>{e*Oi~%{^ zBM)>0a*;D+o7dL|{b&`x!xV2a{klWpPNza$GyI=7tSbZ>r^$aJr^z(w7$l{!F!MfF z>d^w=<>l2##n#Be?Hwc7w<36040AjE!0bz4&ek-!>qRR*$GfZ}7%8$};@FEli*BL2 z175T%K8jX-V@*4rzOekp_SD^{Lr@v9pTLJU1LG$~ft0N`)g$W6HhsD=3k|7h3mCGe zE(!qM#xL&1fpar}0P<|MrZ?RJPZ<5O{{V13o32 z_mndrm7DIc1x(gkq7~chmpq`yH@JG^hk$sB0ntaJj}@+rWAy$A2L%SmkWNMrQhK&Z zA8JYmPX(dFd$$-)!#7#G>ETh$JoB(xA87eSC~UZK^Ci6r_-p605DLXyJD69hCd@d=kAop$_j><{Nv^x2#o6V9E`b>%O-8bXfyk?ULPjB-+VCdySL#n~d51N~I2erJFM#C}yh9KOuGYDp- zsO4#jr+lo&SOErd`WX5J8`+W0H=Iwo)mxAfoU2}gX5kJVm{?4dV)KfTS2(dh{(eq# z)IDqsW#sj!NQMZ8?yT@}-M_Lc{P&Ae#}h7JR=!LpueTJGs!Tj1#zX6?a1-Y~@OMbg z?pMq{+MJDPHNNmZ!>t0!hS{a1;4)T|d_6vyj3<;)s{L1Dc5mhQVS329TRFQaC)H3+ z4hmrrI$6lyc+kqErm9Z`l|0O4YSTbVL#5hjX;4RSyE70yO{S>Z{SkI0-u1M}8SeG; zaf15ztww`J=+0It?_(w^eXVe5>|71AOVpgz_!E+-^2SIW+-^`>AqYL(SS35Js^O%0~=zEFMR>rP4hDD;u%(znL@jzDOXWXZgd;;*+j_ zL)Y~A7YHP?gu1g-7llNQ0N8Kd)i5}ZWjI)lM;dzsx!wwyTO7S8^34yKVW)++JkpoZ zNE`dI^c6G$|JeI$-cM*o{9oWYNw8;rC*zZ!`U=QKWC_=DinX4tXeMinNEJLHm*~) zZ$YN7OO*8B8UxH2(}Z`f>EzyZU_9!d#Yd~&i5-%ozZ4dVR-plOY~BRORqKQfo*b=S zg~w5LXA;Al;nQmq<8k<7`^)Y~U9`)N9Z~C}w zqMaJn+fI#C53f)kyG$v=I5p^H&s;C7)XPDpEEs!FhFhFR+@o zpUKf11Tvi_WFkA8=H_nF@_V{$7P#Sy*mT_kNwZPfo!_15wf>@=Wk?JRPkJ;Ho(65)%yq4F&;ktr;rn7%lZ;!y_%=_v- z{oGz-8hQ=ILQr#=bP1}Z4|0IygVu>HV!z9+J}_iVUi-mQS8W7m?raUo`v^GI$%D*e z4qn6G+#yB6Q`DVOse5bkkK>Gh`z6>x-0BJ%@or@_fm#f@iEkwmP2KPPhL8UDOYO6g zMV$plb*a}AW;9R--rgS}IPlbGu5sny@LtBgJN(20L29Njo>o#nSetedV`;*SCz2Xn zV~qL7lY--MX5SEB-29@&{eXgXQ1#MPXeEQ;Ud{0jB2{ax-vW?Lg{FBb2OBNqworYn;pY+~Czq zRoFin5q8I?U~gdy3>JkcdAIr>KBn@Yg7pT0`t)JpeH-}k4#AN8_1FGlZdnI_eFR`? zwk8PBz2Bk?+9^Xv7>h!ipvcO0oBZiBqz6s=(ELKAZ6e{px1(G=KNic6s#0tc*Up`s&Bjwu+Tb>%r+0i%`yACi z+^-E!+z+Y@dE$6!A4s5b4U`c!N!;l-RhXrPYeB+M5`}?rgCh4zGi?8b+(l;RxY!#? zE1BEWUX8x}O5eU8wEV)$rsYf2@(QKpS{}UXmd6GyZwa;zGyGP1s+E~-TlrdgTl?@G z614JQ(8_T}$S?Np3Vt8LZ$Dm=zq$D8^pWP}YPsgFL;{b^vsTuXjbh`0{3;cj@OlV# zx9hqjdwZu8Uv=BTRRs}+NwM<{D`hOi5|U>J3`~I3_j-YRNr~qmIZ27R0>?d9rp|F? z#j^bAp4Epm*4sPwCFb%A!AXU?f(kcKL1w@BIJr66$o(n_DXQV>I6{^PTLgopZ&FTT zAx$N7N%zfs=BUA#`50QB^)B6krV>lW`s$5wk?`fdHz5FHzW|JmfDzd3X1vM7d<@A2 zLY6ln-0BHRd`ol~+U#bDlleBgmt$v2kE{SF#ytV83gXs{J#WBrp`*H=YR{r}G!;~< zK14|_NfC}<5Jze)-PLSs(7(KuOh#rp{;dCoeQpQ~Be2gs{RX`pVZhGX=emVphQ_&H zzG#4KQrRy>W~qPKILA}j{(d^N&wUc9_$0FMtB&$4!9ce}2D&n1n{yz!XvKQj<#=7H zBepqXmMinkavQB_C505gEXOT^OEM>?KdD5Oe?(aaFwa0xV{mf}Krnq&O;)c6rt<3B>f~o}| zL!`B5ireHZk~0K9W^D0{QS38(wsq+8Z)ICC=tx|s8#FJr)yd3H`)q6C z-x%!Rpu#)Mpen3nEQW1e7P75D|9x!hqn+7SU_bWef=dA|x)U73fFs~r%U3f~{rR2G zx8^GGa1z_+Tj%eBZzZ1-eygujZ4dn@$G3i}q*qAF=UZK}eCq&aBr`p0&-l0a*K`DA zz`wqFmEJBf3fivu*Q?JOV3(_Ge^d5f=3l&^`#;0KoRTm#Oq>nH6{BUxQbO#NcHAGXHu2?Zf9^=QHo#*`mCE zlYf1Wad>G_1N^I}Pr`Fv0p!Ds@|)vdw@DLOZnV{Zf`7HDw(S3c)c9WhL;hv|BL?<6 zAjsofXk1y=HRD;aE{=!MzM`1O^}WIjA7IQ-z*X z@XuE-fz5_oYruaW*Lr_vuGQr26+rP2lp`qaM#ByGRq4yjOp4$6{Aw>HUM)4aT|H}8 z{OaWvYPi+UucUS*wR8Mxj*<=|DW6~Y5xt~mT{`LipI^O-qzw2~RK5LG8KD(eiGPP*eU9qk^Q%Xgcke+>=D*3WE(bEN$~4gGUFlQBo&q^; zw80#|+8`CARQpEGBLAVj)kElkUriCDJM$~z1qEj8sqe2w<+7gKKW}fCEL%oepJrle zBo&e0c9UhZ_|&yz=VM|E;V9BW-Xi;BR?zV=`8v=hfTSG+3sE{Tr)5W0$mK@GlWh3n zFbtjX{nCoRoUS3?sQmBc1SkI|87^UiS^B%icg93q9MCtjUMi-T4YWC36Eyt z52Ss;ge8p8J*`)8erUUA)5fWV$rbULI#$*g^7erPRB`GOjW%y92%WowULP>Xntqj7 zm)rHcfr?2kw$~x<7?#Q#}%29BWM6eYGD zTkGs@P5&`JBOQknCl(dgIz6H(%(+4fE<>ewoJZdgxZ}v_!Yw1?wzhv`ziSmWueHVjZqWzlky3}Saw4JLlkvfY~ zc7Jxcc+S}VI2m#}4qM8OqL|yL%YDGv4EG=!V}O`5McvMt^pL#LC+}RkVPHY*zMx7O zRp!e#U7+2!%&*Ule0tj*JXzD<;;qC)GyUvd%k8o1M<{AdUrnO3Fw*hl;zTpJ8`7!a zi}OCRiVLmAzC?KWNH;V~;S#@mo+a0T)kU63>H1}#LXb6k##Hzo3aw0 z1N+T;YomHiv0F<#Jw{NM>N2o4@i~#I4gyRwyiYZ}g#@W1Jdgzm)N=qqEXrEh%+5zEVHg3O*&Ch;~Nw2 z9oq24nb!2w?q*4xIY3Kd1^4i7HX%!D-Jby4!h;!AtrbZV8piGW0b}QO*k_TBV8e@` z+2}f9yh3H4f%ny z&6rsggw|iO)=kImk>vw%znTnI$G!8_ zTkr}F%xIQrdb8IUq^sL}CUqI<=`+4WM|L?`)0&Z0)25Up)Cj;bYBXQd&D6T-%H7Pw_gcop-;Qo4 z(q@O#_0FS$h*MGb{w%+hTgYbb3PXF`!ISIgQm;3-5PEfTuuB{Vgg*J$UEp_$W?2)J zhveO%!vM)Aaoigcfsv9za;cEKFTIO2muZN3a`L^o?IF2uAU$WPbRZ{7O`4Dc;v19^ zBKWCoAXxukBU{A%2)VUAeLo3(o^hVf?4Q$@vP>-2$P;Q5g~P4P(bBuddh#q!H67rJ+cb9Hxn z?4sEZJ^0{*Ti3tzo_o}(Rc~4|r6SEaj&aZK&A-eoT<>>}UUDRoRxtW;OL;?%K@pd2 zp|dy}Oh4!=@wR2n7kjy{S^jm~dD|oYqKRsszK*zAA#t`xlZqtJ4pkEGe7#|j^zJ-Q zP`7;iFcryWvl6YkE#C3!(+n*BoD@t^y`e-rH}i=xT<%$pJN>VaR6t$N7&UW;C2Xkc zaaKfo)HU-d2;p9&O-Ph=*8E-wt}82qw$0vwSCa)biYn_J?RBr2cCY-Nbf)Tkd$FF+z^dRCh=^bO)ab>|=b>JqdtMCS{C=TcaXU)Q_I23dXZ)42 za_}lIL_aY^k;Y9VJF$(=v^TZwPORk|if)Jl-dTLmwDT#_HGL-StDlqk)Px7K%~DD~ zY7Sa!^42~P8~w_(PQgvX^kE8|U`gYa)j9_^5X`iZhxZckk*u7{^@PSIrgd|mT$$|HAJ5!z3b zvI&&xSp2a-@%IyO;?gN+85QyJPI)R~T?##kXM$Slp!-Tah!y1^rjj26(yeAn{Q8C! zMu}Nz2%TF{!~p%w`wWdfy@YO@g+@WW7;@p6HSIwbqGF?f7G!3H6lt^rKUy+mm%-|m zJ}!@7+h+ew*-scz5-x*4$_KiIqA(}Tro)@Xfd>Tj`9d&zp;7s>dO~+KlQD}vY(`ft z;MG{sHhUK<`#tzy2xCs3XM|Gte`zSsheD-o>3Sk!Gxe}p;pv&5(}=_c;v|q5pLwsE zY4)|0^dp}^RLx;WlYgMc1p+NX46d(vVZ=-)Y^-a+))hRxSJ#ygyygckleaY61pl?G zUQl3ho~KNfH9#GN>>yMtc1%OxUSEOD431&xTy&Vf_DczDAm{Bd5~XADGAb4a5o0os zyVzA+SshRu>ui%f9ziZXp{B1cQwjuO7n_37suy(iGZ5Ga{Aoi91;A!+?az};i*JP&kPq-c9OGJaX<=)vyD@J( z!9Sp4@9G~>cd?9v**4hvC2w+qEqgz(RYf{UERU^L3WnDbOi{Oc z$#XQ5(SFXY{=J&C%=fNSx))--Y}QkL_xr6jsW}k`JaA8GId0OtcWgUvo5+TnF?5#D z4SUT_TE^nh5Tn=>--^A@z%d?Wrj=AmZNgaU(Cddk5>QCiHVM<7xm@6uNPU&Qw`!@qxFT1lnUW`OTE|M*Yf+oSe>6yH6b z0y)qJV2_y_dmp^^)0qKUOl< z?fUC|bNKdKZBlaqzK@iV;9tb|{!a?6zc8(&Qff26_hk?N6ZoD;?f)pg@B1sr*%vx% znC;boO@r?(d;{N?f;jNKP3io#G!*#wR>2V8rl{L}SqshFZOFCyG&Q-vpt9?+`{eNL zx7wuU0({SrM)NP?`ws{#@NHU2rPOAC@2?;HC-7Z_7WW^;_X$seoZmhzd^ZETkMCtd zNvirw^1%0UrSsR)u)4;4s9=b1Q`GG~YAMb1GB~b&_X)nAOq0;}0xO4azttu+7vOuS zbc}xy-`_{%fp61FDy239e7guvJB3{OHvWAFwL^UCe%>pbn;|AwGp_$WG0C`F_YTMH ztldd!rbZTdCrjyI=v(L+(Pf+@*Zu?1)&DO$jZmQ)1iV5uJWY0f{9E7G^ivH}k1&N} z)NA(tbNaxh$C&(6nb(HdcQ7|TJlyTQ*$I75qQ?2-&C)-&oc_1S-+L5V9SIpW%(jISE2;O*P_kZB#)*bLyFR#Q zj)+H43U`T7`q0&vOZivH97C zGoI0wehs~$xckslclfher~*oVXzVrSLqiG|swwJrKVKX9;}}=E2iroglCDDj8U~8X z?Ly3%$p0+=x#SVxSpfrM#9r5aM9g4U{O4k$mObY8TfG8( z5DxK@5pr#2tV`ZrWU;rLTJp~&m|E6fWH!Cz@fzO+f%ld;!Ll8m|AOh`0SG4}AW_sx zHgdA9ebE@!S=3pI6%!D<(FUc>@sBK1d@Bv-)7;TJvrzeKyZSu}DO~kREul26Vma>vhbQM4<`93AA`Sk_0RZHRrsn{^nD4`CQ z7HWUYAs*1ldJ!IDX8A8_LpQ5&otm1uM0gB$e8f!4o{vYJ4ZNkrlO-1wddq*M0r5-% zgR#Z?gs^HF*heqf#Rc483$9Tw3bPaAi~&a1~_ zF-iNcOnbGz)p$NU6tXTf%5NnxpxuF+ydeWS8g!5oJv}WK9!cjzG-8L@t zmld!Q^lVM?Y9WphniDWg&nr^hZeRHxE>u<{f^&PqD*{(49HOd`RKs7R+>#}ZX?$S% z$UQ|bwayC$9~;5PmO3rU5FgV6eEjqtA0NwU0`S2Hg+hF!(T)tAD8ZV4dV!8lhQS4=76L5l#VH z%l(tc1xx%K4$iofQ}wdd_+vU1eKro@i~6LQ^pDIM0qek_s5iuP-nzo>xWC=;jG~4U z;WN|eJAFhBUP64kU`lEmV_It>EPmnr?QCC@BR*W`A?W9RvR3PF&mqUH?)3nS{&w_- zhS@V}Sx8YlANaJAf5U#NtIIdAEI5#fIL&i7&;g?xbrgQusf-*30+VSVRV%T`y75=g z3EzsXo02+R*YQVcf3q~-#*M&Po**7f$CHYk{nPL8TkBo);e%{|7u;WXJp{B{-E=>o zeTi_@-1N4I>{b~-mpMJlpBc$0j4JRQXQ`FEOx+sBxbiM@?rbH`CJBJ|kAA`5dg8Y)~WB5{sXH$$6t4#laIX3XPPd?s*9CUDH>lFmEhL*Y1Ho~cDd&Fgoi@G zWF_wgM?Qu8`3~_%qGTqg8@z4xHvdvn7@q{#FYj3hDnIk0EPJ`cT!I)QtW%+PfOg!X zpCMGdQO@dguu5hRi02lccqUf)EVR+^L@T+Og#-VAYV13Bzpt^NcIAg+!V-;>OrNot z;W{E@zm4+_*Y>KL{!8=^?7`G$QP;R z?urg}hg^0=2NO)6?b5-F-!ZO_fqh3hsL**Fd`5H-1yJbVTDZZEbl~}Pa25;${lPzT zMfwng)9p8cYQp}7r)mBkH>b7r&bGjBLpeWZ&ipfTxY-yvY{-8@P8+3$`Z-U*O9Q46 zMRmqq14deGd^1QGYoh%HM`?lIMzm@x=TE;k^s2gU_nW?g9Np@lKsNJxL7Lu~sEy{J z%jX8TE0tQwyWkhzWmHQy8K#tkNYMMnl|_rvu5=^Ar~Kb>pXBR|G~#gZ7$_=V8r=Zo zD2j+8B$Bf~4>gJN5oNj%A~WrvT^Xsn$k;3-u{(CKI}R$c=9lBmWHml$V5ljmZP~4$ zE+z5gR&KJSMUy8rQZy)c%K{UNP<^A6VZHf(Q^dKL1&|L5I4UAU$xJ*IqGq`fdyklc(#}rTX3;Fl-cEb(G$~AllW;7~*k~De+g*GijOkmi;4n zww39%8W~z(dUuv>xa~||L@}L>3Mwrf8AQwqxn7b7p0WlZXy(AYPma8H6+HDM&cF}Z zVIQ;(RQM$oPr*5(2*2#~auU7U*<;iu{Z}jm4_V_qB9g&Dz;6Zr=&H4_+A4`I`nVYrVw15? z@jjZYgCR!$SCDD%fV;a09iPJ$dpr;XvQ~u)Ba1f@N=iU1pIHR=_PP=OU!t!#51Gz~ zyaD$5@A7c~0=k0tE?!@hy>>7u15*~0-W8}IJLB(jqYrKNPNC)hJNP@BsGK|CZ{dff z;4s8j7J=Zln~&H${JlJM7x=pyt3eJ2S^Ry1lJpPpcdRIFC;EGes-nMrXt~L^PwRcX zuUXd+VM(y8|JR7Hh7Q98-2Ib$B!Z+o{N>YIxor+hw1Dr9WEZf<0yd8dLUtGaCV%Kz zw9P}SYX@yQNzuT4i`!!FGm+R%h-;v-5VsmOl-=*J%s~3LRaq5=^Y-($rwbt~z zD51^HlYG{>7r=Fi|AJ1HSW=iNg=q%*S`HNc|}C*+7wje&l?anZwBSt{{CElNC6SD;5l}giTY{+|I;GxLXZS5 z{afQv^zEAXwZ3A*9R@D;=*2?LlTaG9u1YaxfC9aM*UKcaHOZehtMN*#+2CPfo(M*;U-uIJghrtaE*sb<*DXhpig4)z+fMxuX1k&<8;=Z?2fB0^xjAAB)lId zw(W0CH;*#7-T%lwC;NeuS@ZkuH+e`M@2EnK1^#{j!{X$=4sW8>I-i;?C;Nd7WktU4p+Qc(0JRgJ$hyYR1ZXuzd&55>ERnRUF%UK44=U zTfcJS)>S<}a*EAV)SBmB(&N~bX*~7~+S#XNL4+jyo&8pC-+^3opCuX2mEC^Vd@fCH zGxR&h)tn*pi7@WlFiz@}jvMSiA7S~&C@*@HW)M`I+NPp5y;K}vD%Rx6h7DXz1DTel zY#U+vkCpzXEPoLEGn_2^tj`esQ znUktT@XKJCa`=WKVU{W9V^q_5>?^{hj*z$=sg=4uq&Mx1Bt4~Rcxzb};zbZW%tpKP z#%pOH$8YA}sJY~oNDlh_sP+$=l>FuAcvj=>rdGK6^sZFE2Ab&Q0oW33AfEIuTAv7L zT8ehK6o0@Jr~RiQm}e*lK?Ra+H?}*sAvSx?U{oC)B5xKK@ZJ;74MGh+IKTh|?DIsM z>6(yUSd!iN?w!LJIgf5Tij6`KO%Dtd9{39^)>pV+@s^RBdBNw`njbi}*tWus?uu#O zrYSc}JDMshi(RS4_nA&yRCk;S-QRdKriCpC&p8F9nxPMEcZSV3_36Cm?Kb1jygUvD zm+0bu5Nlj?gn5jg$m!G2w9grhq~4TfpY>V#DTb1LzcP#$Wh7;}otUC0r2KP6F*d>S zs(Dbr?Rr1eZEbXnujxF&&n12=?e%@$_4*>uSg%(0d^k0!#G68*u~!l)^%oRRY&$=; zu|D~3j5rit2kcN7t2qbUmRPqov2B#qcoS%8f{I+FPMNbA<-x>AB^|CWkga31G#0?0sNBBATt!k%4l9F78HMRi~MKH8v6 zdbC+4;>Rg=w!j!W-0nZPRL`*uE;7NJyMDyx7^MBhQ=v*PflxF0k6ypVlg;)_KKB zE`ibV<4`N9V|TvQU^(Pl9nTLepK9c4qjbl5n3|PCU2+y_IoN;pv4Qw#9OU`c}G$!tkG z^xr9Iw+=2<$$2&W3u0P+gK%Ju<{e6sdMY&c&eYC5u%pDbG0xJ-$0W8*jGe_e>fEsp z#Lg$6b!p^+rG5iCzFGKoCmyPWuuIdA&>W?fYtT?5w})!1`JZ}Qw2~5E7fv~r@@7D_ zPiv;rQz&mfB zN&b>8ia~p3$!76SQ?E&(&Mx2XeE{T~(a>xmy*CAG`b{6m?qS{r7^uG<(0^;4tzz5J z3(`c3<}n1GXIxb0d?D5sI4Y>dmVzt9P$CxRwj9!wFchaAOB(mXlOb53X_13Xk8# z){Sq7I7H1iiJJKaC7~HuH-5>_sC(3+tR9-yZq0-w>1}obi-~r)lv|c>PI!m)Cy`Lj z>Ad8mb^)D?FPYW&mio)e1QVFj;6oa1(eR4Rq5O}yT@KE6@FM&PE?=m|H)eh>e!;7^ zEv8PSu+^M4dWQp&N#*f^%zG7GY)eR$CnpWx!&(5 zH}1+@d9JZB?(}YwrF-%Q0+W<$NY0q(ck~zMyiQpQ+kK6%pMs1 z)(K$P8~w!AzSykE3xah>yC)Ecb5ZKn%fNejIT zq=q0Z6=3uW3f8*y2b&9ZQ5SUzl|8Eab9b0mIq0|~#1joU3P=$}l8lk6HSJsA0)44?i#1;~`CR@(S1*&qw^HjyguA}gOyQM; zI&>&?M3n!&TfFz;#Z<3WBYo;;ach(~^@hb3!--7p3ibz!r`C)i} z$!K5qlBo%Kgcd9AU|O?``zzdFUYGe`&tTxHx?uiN_d&m z6D#%shC?}Pc@v}*c}4o8O1GUO;~N5IN7_Ap8rxiTxK+Xh)#wrFLOaki@4>j;KYQPJ zu6}L1SEXTN;&k0B9=pj5KwDazC=f~)%BY;I>TctJ4Xj5*# zIa`Q*Q>Cc`tj=`ER)=#fNmKm%^16G#lwp+xy%noBO=j35I3rD4s^Cb{pW=P4XAmTp z8fD(tOTN(zq-e_R{@z+MWpm)SOxY8rZ2rb(r76mC%#rW8%-49)Zr*$F+4G8%L= z-1$&(nnP@mSbZU^-a8$%>s`*a;9Nl;BEnYIxwi<4q@R+9B$AGw5rXddBl^%b@8!IpsfkQsWM<-$EgJ2keKD;7O0a zOq4dIcW>_8u*>by=(kNoA9&J&#>zou*uSUAOykDep1@yH{<$`MM`^yK!zzemT~VRmmeNru?2dsDqt562b(vDrp<#7~OJ^-1+& ziq6%FOv?`C{ngN3P$1-3gDBHx*e{7ln0E&~7GlV}odt~bw5|zYrU`7PIx_FWx@M4A$=iNr@lXm@#aY>jsi&N)7%Jz zXr&^y;yUR@b$mOpjzjb&@_8TK*mBv4W7fhSn_u;f+h>!76);&glTZECS|_*a_pSa< zlXp+>9p4Bvt4f{c(mq-}4OqX{e|{ciyUDUUXijrlqaUTg58Jx&Gx19#qq!)zLMawGS#=YHT?;4j2rWEhQ}iXucx4y z3sqd9W;$5Y^o|EJKNZalsUU@L1ngVAwOV=Y=B8J)K?XHNAa8udwk2=ct{)N5c6{{e z^HD*~XOW;yO)Q~&2~!i}^FTf)@_7`W*Ya8Jzdsqc4v4LQn4f{5BbqSju40`3bXvgl&9!4btmSYDKkKt-xwDtxR1qiqt$t6zKQa z5Dv>}A$=>u_vIwxZ$m^TTmbty!-%|%M&mqJymv%VsMNF)AcsF@qTGBsBd|xon=o~y zGx9}|d~+UuL&J7%eM3V|0p9UU~r0FX)1H9z7k^wD%R9MAkvLcDlr2Cp-6KlOb z4A(o#OtD!P^5sAiOb;^Vfne4p!la07jy>hgqGIMRLUWDCG0{u~zNe1MEXvu}q@DQg z3U>e?FXFs?Z5zeJpQ}F2dN@j~Ur$_#eR_*7gRa58c!FKEI=*k_Q1GQd%;kcyYISVC z{$tDIAL@y@j1|fw!u_n5rkAL$n%onKVjfmEBITAiwcPG+tS8r33a)zJ4)4%GNZ!<5 z{<1skN(#24GUt|^kzeE0oUv24qcT4spjq}cp%VKqsLTVcX^pDx_PYY}#+)C3HYp)1 zu0R~anZ^W4^A65@#|J%*340tbwK-Io$L>-|AB&RS-J2 zn$$NYLu&g{&Leajcpxd9T?U?ytkS-LK}1Wt&GysR2ebNnaT-T}JpFxq`fse1oIXDy zJ=9-0x3ZGGMrJ$E=WB9m+^%iCLLat*>Q*a@Xr?Dmxa|*K0rTDUB^u%8y40VR>DL zA*%i5bx66tyuM&vx3|20la*>3<-6n5+^&|_8K&&Nx4cfWrj1c`w_npc5c?2A$(y|i zbg1KcmY2VtSx92X2svD3U)kmAVzRZ+^B0)cW2YgGHJk1q`a@(_q2tCv)8p^U8h{{J z)3=%*`5o(CnxwM|`73*-iFT4(+`ji*`|G>P=dM_k{N?=?Q)kX_MWRzL6-{r9n5S0T zF7Mq;um5m)Kkb7R2rQp~Q=$W(tiv@rF-Xc=ITr;=a9K!4-?bO`rvsH3fu4H!n%e;#ynOW$~*U;SRJ}P(! z1#^z1VhJriMyn`09AB$^q(15$sumug@+Z$xd4rkDC7CrLqKuFhuD%qTVL^=qCSHz} zwcsf(RvE*WZhDWhQzy_$%bGT-I?8OH=8yki{j&K$oHk<>M!n6`fsW*WoW0d3AIo|6 z5wmyhm!vg1wWTAX`4rKgf0}JOoyxq4V~rspCTUj6I=qC^Lfb5MJI3h9rhBdT5d$>L zmGg^;iz?@9o4pth*EVA(X{2-Zq+v+paHIf?Yk}FPXE)kbvK|)ieU2D2;jm>{^N&St zr(ot4{igdbU-P@~*{1sm?RWn$jnRF42o={*QIOt$iN+H@&6}ci?^ZXUfZzzRP$NN&Ju3t8fty4= zdb;@V#g52t_?nAE1FhcqKcVH*9Iiw?e}cc{)G11fB14A{Ric@f1frgv_D0o znq-zqzcr}Ig8Dfu9Jxt7sQp&Y2wL4+t$N;tG}G5_=FdSh$u`ZbVwCOBh7~k(U_Nkr z0vxag}F^*X>stl+k=(NvojRcY~x0{Uok3=zZXgZyE}k0$Hbfse!}P z)FbLi>V^O`3w#p`{JSDL(3Vq6ij)E5%jUdnyD=hi zl=rDQBugDuePtUZ-Rz-j2|8NC_S``@Xg_@;VJ&1lp|5u$txF+T1ZZq&k=d6-b%2L< zZ9-ml(iPHJ7d;{W72}M!-FD{?L?Cu8l@%gZ);rQaR#s^x)ufGmfbqm^+dYZx=tzdj z-L_6V_O;wfBEUd1zr_$7^7(?8o}?dy9HS-M0T*qVPNJnW!e*$qQTNTBRs3>SAf6R~ z2z)^4{q$fF@LKOwvqz(C?HOpK9)uTp_o$(&Pp!ti7`}kX9m>^ms8d5|_=g2@+oQR> z^+zL-st>Kk=b-n@e5@!}FfwbOlZw+lX|YK!zm?;)=ylW@11;$jNY2$C&XCK8&sC=S^Zz1kL&`v@`ykr!g{PU>SVN! z%fVM?;2Wv-efZ1`z;EQI`2vyxRrB)kJyA7zcYTRmVnWGYdwzO8oHrOR<52bcG7Aj- zscfzwo>$csYQ)yF>jErEP0i{6cy62cGr@g@)In>m=vjcz4`nJD1Uj3u*|`)`;03+C z>5?;v>Q^bj4wEA4Dcy%AoAgb+%~c-A^AC9TH@$84USJZ1_1yd!fBsKKa{Bb_Wnq8I z&I|hUUQj*8Z#u#W-0x`F#kupmu)kq#`uhVVG+F1-U#>6p{BfSF{%3yE`xfT1Aa;gM z%A_z9c@xJN_SHB03iTy^!lA({)WTUO7(Fbm7%uq??3_uGnZhgt<|P-OU`Ke&Sq7UK z<9HKsUiO~*5ktw_jSuH2eAzYUU~mYG^KZ^{WQv7> zSjkHZMXr$h7A_L$;c1z2O08sHrRP#gtYpWwDcCeuXkK$=imc>sNQtJ#V*URh_Os!s zi}>HqmzMHX@nyJ!TG6pZt6V5#a1sO;%$6A;=C1K7fGE@+IFvB zn6@^~o39)LXbqQ!iEqSz&wJdYZT7l^X>Z1-OI9*7=Mz7X=4q0dQ55JRtMPopt*=Ff zDErkm*~L~P23V#QXifI)HrXXsuzE5a&72T-~er_eOej;sCSU;cdw0<6Fo5K1ziU!OOukv`pNNhBy}CtMhE?!Vrp&nB0;V15F6b*S1LKB zwcR_Yhnqg`DV#P^`8-qkV6U60yw&RxRQ|46=nz!;ah99d%106;-0|P2+Qn^auOd%n zUhvDrOl^4WYU3}coX7KP^Gr{E-**JHoAPTj6|2+Tg1)cLuMN}7uYGn<`_%l}rK-II zeP4Z7=GSJ-`Lz!WYIn=8jl#G(jp#SEzmQ1MxOe0^X8y%*c1_QpwWVI@`R=wU(DR_S zDaeELPV_7TW0sz)^6B~gHuU_fJbE4;((`Mubb<+2`t;l!D~q^O%5(3`im>-*jOGYh zgdO;;ZTAZN)cAWw-yB@&lXi2A_YNlIH6R*)MS3b!k9PWH`sYw;R$n1=wx1b)hjz?^ z;{mA~P@w#LST=b#P+COaT|_S(UL+s)6XTgks=m@E`R3T65%;<_l{b6CgUThM{Z|e3 zY&QC*X3*E0b-je%zW z{AO4o-`Y%iv_C-yiba^E@*SEn0^57u&*Ns(4gxx)hySDUxjUAfP=DIPA441c>C*3g=uZ%^OJrmY^7cO>6dM?caqN&+hp$~pU-NOy_0-Cu}$_rBcB7| z{C`QmT?%^+=jSKq1apz6-<}?(<CI^#!4ee?k(XX>W;xI@&=m&Hc>>l z@cPmV3D<14Q}a~EJ5=4K=B*CuclP!*386Py?1-rQ$BD|sfnUnQV1z+5bpb+st+(kc zar<|p&O7mScIw{V6r;590)cVYhE>Lh@{CGEHDElz`x6z_z#seuV*AsC6sa2aPHVj; zKQ;ayZDQ7wQ=9=~nZ5^LbfHWL#u&eR2u4Ftg*afBjStHYK$#26_s%OHOPm4;V$u$%b%OLmB{ zks;GFPGbr_oOqwT%@xewkYc;SBw$Q9!P8K!i+a%Brs^hMc4UG zTlCAm-`77meZU7b^l~}ac9usgKI0vL$ilBX;yrIyEw-kA0A`|9nJ97mG97qn47vY-~0+bv&0*a@odqJ0TUu;R&DO`kVAWZEwMb7p>T$uO+-} z!ZApUg05}%Mg+Rn{bzo?eW=^F*KmiEXtxs=1Jsrbk@~iy zhnhc5X(!KR7II&4DIr?6r_VMzMh%e4-8wW^>SEzl zSRD87Wh~GZgk{3x6)CW{EK>27U9s9siLmmtu;KPCh_tqTr}(E#*?KchOWQ zD!y>CTi3a0c*VwO)%w^z_?ktXk1*4C8&A_TG!HLq5haQWy$j9&!_H*@5~r!_gtZc% zG=s|vzP%`TU}XUJJvg^BdkCLSXEPf89c)*%;1b0*NHzY-aAylh94iH#=1~YL>qN!fiJ~id~$%K!^v8bj}Kg#)H>q{kHMqz5P zeT4}F1>VB(Zkd=_|Ea+xJkNs~g&PZo*$C-knx1xzhOJ}S-9o&Ky*mj>4ZBT>X4|-~(>b#OTt7lE5>Q&=-7#|dM zJ{P)Mhk@?IN#)#9TEbY?ony{?&AJbeYxq@fMz58M0}U{n+Z;`D2Q-iiq+i2Q@dz|9 zIjx!Bux{mV9C2PTX3NhoTb_aLS6>o!e+;``GU24ANa{c=%?}h|nYpJCYh`B^OZ#We#)uwpg|8;wUQ0_B!Gi&|041 zpn>&6bFd-54{avwL~Bt_A*X$aE&du^(9(6-4!0>X@eVERom*pV+Dq-Sy>L1(_0oS; z#%kOTa?2ZtHC>POq-97_-|F|do%-F4_^Ubi5j^d|{${SPstkj%8b{Hn*`(01NsuRc z`a7ih3GoMmYZTClv8Ni}@Db4P`5b{5_v*Lvd_(-RUh9f8YbbGYG5>~?S``PD>6H~; zBZ^`Mtf(V$M&S>&);ZQe6ds2y65cqvJQUOihIWBKUMJyPFb&D;>v(^iUt*AU_6Rw? z2edgtLtE_4hCAfz*Af5zmf>#^&WQ|vvzTR`Zic`84d&L_(A0Lxcee{h)TQgp=$Bd3 z4v-QNtSQ^+Tjt$h`WD-Vq@%8(b-NH2_lA7m#jjI)M&M<(I|xEsh@Ug9MjSam3}uI< z>wc-Bu&fSt>mdIidr&d?@Vp3d74ZB`ic{I{P!`cv(@4dO5gtl)uRXE17Ux2*bLNDc z{oC17R%&M`t=il8vH0R6Dk9H3P^t1GN-?6}@Ki(9z4bEc2v{tj@o zg+X9t;n>n191C}V!^VkvcnY=(Fpr*YL@_m_whQIhMb>aHXTtiHEVjblCFb5Ua z>OtmW6L~kJuj~z#-8I+KS7_(g_)L6W?YvTu*5`vhuczN8EjwRrq?GI zh^7wDbo1pq?0qNxk7E%0A1>Y=|JPRR`@s>$36$xxLN@o+wq-AhSoW)|j{NhhEIja# zBHXyDMk5y1#9m|h(~x(uP?|bC;N+S6bNH$~lbI>ETEBx7foIM5g9yvWlx-nbBG|Mq|LS4etF7c*6`|6~leOe0 zB23WcmUyR`R)01O;T+-CTnU8xc8og-2bO(oP3}~7u{EyQs^BwXP3B!WR%+&#zWhi` zDKCh11LdW53a&G$)Z4=c(Dnh50L{UYo{1Fo;W?cpv?g|iV0m?@U>OfA=xR&6WvHc0 z$pSkusiYu&G$4V?G~N&B3pShph`I=(XRHc7frv*zfhgU95>cm2`Q4Pi!m8kteD35b z|82-m8r&K~hQ9vNmSRqcrgqEhALt9kek2g-3`{0E!!R`QWn52zlXW)#P#=74jIe!Y7Z#z-#Eit~%e)gJ;f;)_P~as;L*1Bro!&he7e5OjfS(8JD`A|0vtB%(ms1Zo=Qb~%D;Dtp*fUtV2rS3GCG zl+hkBxnii>5+KC-LcvZmpMjLHOux+~x&udrm(Ec8gs0SXy?y(@TDB;Wocu8R{k5?Za5QQd z*egye^ z)%_u$_9kmq9QsG`e=a zFytLX%c}7y15u4Xsz$59z&VSdr9VPFc+u_FtdHu)p{zMmRIfXvY&_k<^^;{*YVE$D zwe!_lqO!nhe3q#+b0Ym<6c8*ZXy^c17YCh%w;1>=R`1#{c)bla4cL~kCwVuDPK8ih zpyr57oQ@dtMlhvCumRKJVD3u38$%c8JhNKsEmk&HH*b}2u%ECWel(fF_yuhiSCzviUgE)-~u7a494 zQlv3n_~TS->xTL$xWlwIjA7E=Ev=bp5XNcEhA=*uX9(l21rSD~!1?M2#`J4Ai|~7G zE!{D+zKlb7hHngEzBYt(1N#scfq#_4l{Q2SS33Ps82fA-((rD&6nH^(segZZIB}{{ z*TK-Yd#9QVt8q^@q{DIPar=FPC!qSgLv+*FY={F=bG4rmiiY9G6xtvKCul?$aT~t4YQPtCM#p7raD57?>1cbVnHkuO${rFVkG1F zfz+^0wWphr5OIeQk02<~h^VtHTG2cLsLO%M^k>>(L+E$7GXALVUr;2H>kqWf607k7 zQBZ35qu^(C-uP)|JytRr_s)XQncF=;LIF#L>9gI7R-Y4IF&>>B1T~%uM@Il&1IydLRBtt|GAEb#^?&L)kZ<};ZA25* z$svZo7RUAw9Nt@qcTwl>hqRLL)gb;z5J&72?ynQ`F#(mNX5pXR1Epg z$cb>8@pjcBtMNqu!4x{CW$@TS4&O)FjUV{_0*3AU8Pea9s4rrr^Ay9Q{L->{4vw!T zGb|f{@j6H|ThYx?2Y)SmYD)^eKOmU!MkZEQV~Tp-s(yap6yqu*zVRzkO>g6v{#1Pt zf!d)!=ky}0QI>ZUJsRJ0Jzg4$RMkj&oy4_9aVp6L(?>!$H5QWJg(K zJvkQ3#;dWDBF;;Z*$+MF|3xY=!#<-`N;sWm!yBKO(j~)&(ZnN2w3ZNl$%dPx3$f(X zl%OTl&Cu1{ZSlA5#C!D0gg7H7Zm|>PVuG=KL4%G7io6#GKo0VKv7R*QfS$4H&qxgd zk73tIw41MMx4)YH9^d``45rPy;ox$?_YH27uQD&S3(V}3`aqDnkW`W9=EArq%-6!E zL=_K^4x{S;KfAaooUg{QbYZN{Uiw5soc?}S$LKmNvyytU#Poh1U%YOB?j76LpC-K@ zr5=toJ;#RSU^&Q7sS4nDU!|U6Im2NO1BS_dSLnqu95tx<##UBNdJX@4{EEbI&)vQy zaaj>8XPnkmcDBu-!4_*_eV23>pkh87=9=XaM_bSL-Hu<49#om9xrX*5i2psBZQ=fH z`X&BxgempRTLPJ;U6U}^B&@7~8$8Ha3S{Ujv3~}Enol$}{A2kcS@1L~NOFh4{iX^O zJq)km`)z!O7>Iw^8sF2q53G1s)$#9MecNW_2jjen{tON*5Ss4JQ0dQmjqHpQ=v(Pw z$4mD*CWIe*a3Ig}uLrWI`ZTvpmx>7kKYIHhibY$eY(tCC0&iWaxKj3#KN7*ZAM-gfq$fu%puf+1sq zh~IFoLe|O!W6etDl8|8t&w?6a=vj?|)^_L4;=|h@lqAe|c!DC=Vk!!>)X#-#_kwcb zkc^S433+}HJ7G)WQs^Uj54EMbb~0OP@Q_u7t;V(L0P!L+V7J!5&jKV-z)MCJ#o0)* z0bDCKGT5+@kzF!B^4A?wbClZs3ZWo&CMuKLk@p;|N*Ns)M!K4L^UG^QN#k~^LVuu8 znyV4SI}3XVNq4Z%scbEwAg?5SVzwQd*z~(A7OE~qjE~Cl>e;Ls^FUibifg@TuySwx zar_$q-9m4Noe=8FX0J)$U_xP;ttJAIqs6M1Y-f4=`Qf z42kZa+F!;hLV~~@xu4E3Xog@W@(cDiF)|bfcGC1ddfeXzp;Ff8})1>chjf2D*}frmG;c?DYqRurI!xbUSfB(0p@7|(+ObO#Ba;u(J0w~ z6{yJQN5G*5_kjU%o@#d3vPrP9K8^pY0)A35<4g{+&33$vPU3H`N1#`ivSlF|9!?&(pn}uBJUC8jWTjV3CAi|yk zbJ2eLwH$xn@jk_lzfm*n)Rdy_V~C6)eZ)+j&TUftt{ec@=YH+p4L>+C*2DWP^n-T3 zH@biEIV>iBynUL_$-6;vant-t(3rj&fc*B-DX1j}4)ohO-Jf=`irCKX>7#q+=W6_^ z&d-qV(~v3S?Liq3ZVUkDqz_QjS@FgCt{wxN1-wwniuLXVEdXgEzXGG~x4_NLgt&wG z5BL2H@cc^gmwjmAd!~g0jR@di8PbP~5wLALQ`{b(e>3E?d3^pm;d&1wKpX!2|Hyk6 z@F=Tm;eP@V5<~}Vw5X^P3=-sGA^`zG6PUn614IZ&>m`ItLQ+E#lL-Vb?MNmtV@OR; zQ_E>-ODk<@>#0;JMzl&W21KiX6wz7*M4Si;D&?Xi^ZnM|@B7XL@aTEI@A?0q=kPFD zv-e(m-S%y*z4!Z0?VrpV0}ibYOE3D?Ph5?@N@34MN9!vS;ylAJscByhIgRz@_5@y> zBwI8QO#5R`$%$sRNi(}cZk~tsMzS;Si@Yh*ool1%pK7D1dpx+C+1Tc0?wj&ROU}?P z*U)ZPTX#>F{fW=GP+|97Eo*60(wLkr9Yh+8rdVRWdmheLYAK=?ZW~q5 z$~Bas_?fHiqn=dV#odMd);Qj2_Z^_GG3POmHxD5G#dV$(bT!_~Zfo_)oN+s9-_9XZ zZVdU<`ze}cDXK%a%Nhj9oTfW>nD1f4DYyI7lX;DE`l)Jo9?+f=%n96fl7qvnV9vO= zYWL_psSQjDxq)I9yStdAYh~4m8QPy8mcqM&5rk^P=xR>RdTLJ=^m=Cs?xK zXcV7$|1qlg%=?c~#g5qLdwv#G{NLVxRQHjL@%Z;w^*?hwaEjxAg z{ypWpYS>KDoFs^bNTbq{d6`E;1Q{nFP~}zhiVnsmm<3IW?z# z6IY`q?U9VkpbW)n+-S%R+;S3cvG#TPFqacKjo3&f-Z258<1T9`@`{ihshTe1P%iT^ z_IgPj<-#=1ImrmzqsF6)@hHR5#c|22*#X`U5v+vMO9b*sZ_h~8X1p*+IdVyvbbCIUy|=8 zUyVQF zt>=;DxxBHtoi80;;b&nCCh=mkd5^WjE4;uNA~+r1Yc&vo<6ex2zvZr4Sf9%&7DcWA zqKE^~;k{ZiJG^!c`U~(lbQ;3RqybVBaHRl@WyspYbEzq-)U#ymN8-5mWu*-mo0K-f z$HEvy0!z&nOI_ONe@iU(d>iQ?~hB~CUx@4J$YL)OUbnv=Yd*lv5Q}8Evzk_dXhMvd3RiGCY+*Zd)5lk z9e!7Nwr^AIrRh7pb$8i3Jsb0e2l7fIJYci^Rp$~#;sUSHz1luGpB@%imq3o+e*u4u z_w_^75Ks~4cxLK=4(|XEFw12E=q*wG<#y~hb*_Cw^)>Z-FYGgEa8utgB!<{G%uKD{ zdqE<<^?iO*U-5Tryz^0#y%V?lr_MF>7y2@GJeQ>J)O_OT+;mmbKACs-@Fc7LFWln- zI~W0No#!(M0{$d&{LPp0mk~8YjVM($O1{Yc{OS2hkHZ4!)}H`7ym6vchxfG3^mhZL zKTDcs4QU`%NF5O%{m8z-FN5!RTbw7w?9x&*F0fk!#JmW&?ie}#<}Uu$@9Pmp6pqoF zoK%Q5rHNn0fk9aJ36V3J@BxMYu|fD+bI6E((S(Bv@hE?hnt1AL{a?m=`f<6Hyoq}~ zdM1098W?4-2KxnIusuNLfqyEnZl?mR{{VD&xAE6j;tY6Sm0V}Q|2oi<+2Q>=5X(t9 z;0+D7Yw(r^yEPDIpu8ghX}u7XG4C>FM`#I6YjC_q!k8T?61LW8e}x1!bBvnK4zCDl z)!Y@XNs*{w)!dcUuxh@kYu;+q9NXa)t64So88xqL%%e!m zYt{T02~*#5wU2HU^=70F?|Ldob8G;1cwd%Ohqqm4`a6Kqe5{^pnXD?L|Z38U!VwMk)Z;aZAik5%1!dlB;>~X6>kLDJ^qUo(rm_OmKVGpoGn`nB?3Tq{Q zzsercH)?P4w%!jO_XSxCS_jKw?-|G}mBKe>$Kimum+SZSe&eJ1{XHA=f9eV}r;%r_ z4_myFl7F%D`&0=#Gn3d0bIE-^nXg-AS+{vElC3s-fA31>E5KTSw^deMo5v1IS#mpk z{iHO`+p1g%t4f`&;#XB<8P`>04X28f#_5ru`Ke{us+_@T9{ijDZ>w6W6+c-lsVt@N z6F(#@Vv??sqN*qdMpY57f+|uP#ZUP*2EU=+qa5|whk9+Qvi5gGKXF>9ET!-hPs-#s z)Vp0**$eQtid&}j6Q7JKQX0iiyfL$KfA5b-px>_n-d5#HDq+Rtqq3C3Py9HD>L$p% z7yO45zBrJ)_7M5Rd+c!byBAK;{*Jd*mNA=Wg3*4vv~dn-a7=^vcqK%V1}Pe3Xy62R zTh(IfNrICsFO7a#Y62^og_^hZa1@7pP1CJ`M+2V*EgG!RV7&(I0B@_Tdt9!CgRFFo z{sWqWP&50j?jN#9hxKuZ@%ww{YEY^{od)vmX3AD-uvUXsfVWlo0g9Wf!;SuvnwwDb zwu(0p=CG9wCaB26eSn|_CpAdWGzVyqs=-)AL>vUIkGKSl{$rYhP>a?_`CC@|$U9D8 zBd=Nn^v|QW{%dIcJvr#>$d_{Q=%q@tbsgahl*SrLpzJhYRzctLvAl`r?x5`r?mK zUrJ-^%Q9<@o}u1#ycLo8bdv_#HQ1}cQ4MTV0HvP>4uH2+E%vIntt8#(m&K9ZCe*yG z$^|g`^H6V^rjn^ao(A(YsM5fzL9+&{0p3aBTJ-o7C&JXHzjqZK z0ee2K!E+kCs=+%N9M<5p27MJb<)-itLp2kLsmHJG45t_F8% zP_DrP8ms_#Tg8ph`iSGw=ocpj4ni$jA8`v!eXzRpuc2Ot25A~(YLKVFJPoQe@B+N8 z&sf}A6}NSon^5z%u8-o-%rP7sR%@_MgH0N2*I=&(M>Vi1A{#6Y;z&07AJ-g&TC_gm z^qTtg_ny@KH9<)A_YTk?RfDk_xHOonK`Fr7D(hB(m=x=^Y{gry@JLRIK>_#miU7^3?Oiv~!?!?YP%>#s8UcUCLt7c~&?~o|j*t8Ks zae>!m6XJ;4glHX0j^Cff-}-|+JkM)HlaA&WxoZLCz`6;lj+4K!{;KRpOv-0dBpx`d z@s{EKrQb%LElV>%mVa%XJ?i)Md}FVF=_si#yS!n2XEn}n@qBpW?E{>R1-beO7-!?{ zd4a8M!2uIPRJU(fDw_=a@Nvh9cQXeqp4<2XxMljEyp~*h_R*~R_xrM&)WNUZ#?|lZ zOF=3H^#|klLEJYEIsOy25D z+!Sal2`rt0YW4f<{)b)q3ljC^?WLo0ui`X%!@vvePah3@+V)9;)Bo@^FgA6@`ybBH zsPzZ!V8`=7wmNzFJ^(&0X6JlD~#We`_JZa@zXXA`KXJAjbsh6o8+Lp~H-X3)OT>qmN zOH1yz`!@L>P2#IPdwW*fA)4m>o@lw*|L7%>$q!4mebgh+W`BB_ml5SmZbO*Ftu%Yj z+CBkfMbVc@OF!KECA}w$?x;)RRY}_s3Qp92*t0gFem{5Vb|&(Z$zR4Xw9y1|ZTsQ_ z+X8L$nYO+0i8}(D>-Renw`J9T5odR9Bem~^`u&-baY`~u277JZeLuh$A(DvkC6mNv z?+2%y$^67+U|ZYXghYOR5LyZJ9OjZ9i94Xx!|vP>X!9QYQnKIzHOVR&Y)kMSJdwD) zK15RF>M1`2nvBkS`|>}~7TE3#Z1x^_z2u?&Hb>&t#LW=vDZdLUxi3g=rsSQHypqA8 zAJm6>+I{lqj9kRIVAtgw#?!w67`h~&Oz3}f8HOZHSL*M7bSVk@)7zzE%64Fae_4PW zx-b~@DE|+a3pSnqQNLhr=xe9I|HFC`aF_{cO~7~-J9ZLt%+_vNG3Ho=9bvo{OTz#8*{Ni|fyeEm zB(fRoe^}DT+v6fqB|OkLyJP9qLhl8l8(SoiOiv&G!%`IZG|+v#-Qh_vlgHcpd3x!D zxn8JS$>}e5dXZXYHcd26y;k~pq5O=9cjyn1=NC-t<^H@1e{(+&{LTH<-&SI9*;Qt1 zfnJ$c;?Hx-Osn+YFlnKE!;_;KiS`W}Z&2g-!aiz#Rhz$0j!{|c{Tds;Yyr#nE;uvf zdna!73xP@Q32`3#gdU#r8z&7vl&H_68z+?pCd~^hl>?2y(oO2GZ0ASD1NNtz<1t75 z{-fSjnT>3oZ?VxK)0oo!jf2cniJy7*+r6za2dNd-FLTuMaz*fsgPHaX$0FIeJVa*!{OCCCCg8*GM1PPgNY@U9g$Tal44H|D8O#F-i@0SG=xKfs4`-XKg zTd`7#Y*}7LOUvfKiNL2>ft@FIW+%U$mG}m^arU2V-f@JXpB31i{ATiwtmLC@pY(Jl zznA=3cJdx)@>|a2x3d$sI}_hzT5~4uxsUK%P^OHe zc$t#+_moUFh*Uw|gn-K#v47C0c8vmgnE@usyb0=j0CHjpq3;m-mmBmq$yt@&YswpI zj4QLgnT{Gy7a){vEyHOF?a;AQk`cpctsYI18~AjsIhZ85)*MSJ;k7p$gigoO3n|iF zBK_sHnQACX7L^^w*puYieh~@QkbdORt!1`g-tMzhW}BwIe}k0%`K&-Ut4iWlRwtQ1 zn30)|RZ?aTR++Yay%M)FJKHyG@+)GPb5pPv3wK-Kq^$34SUK@1WNW|I+@z6Wf#9l8@rD*`00vrE-ACew@}a!b+VNMa@^{eDH$0 zPRuzu`*-RtNMl`Qpc@aY?UP>h2d}bkSdlgsii29x<^pn?`mW1vd`KM8xR(>R`J3zT zh_f04C#5XV!3kGLjzD(0+V)-SO8k`9yaoJTP;|k#Zt1eFw$6(m+9!xI-ja8ZJH-OF z<0DqMh8>=<^{@5l@ZKQwGyUtv0P$@+y>%9zvGgZf?I1dm;P{*U5Lcd>fo&ijdKnDu z+$s#cKWXUajL}a5YxI-&*w9Y`2d&@3T0e7W#3T06+$108-a#?k`<+&WcZr{ z{5ACx><+I&RQhSRU13D&Hz7tp*|g&`+|W^pgyK^HBbp`Uy6t^@6DMlTgdR(E52>B~l8c6esRTe2u#SJ?JLeXqGG$p$E2Q1ztX} zGb{O2;umsp!2XjqE&$j!ycT#}j^BIY0T9t8@5BSxoxCkOaho&ob!Xyh&ctn;<~#k( zuSh$$w6U(g$~Q>=9pG<%O&BC^OMX51wd8G}y{-ydw`-go3TG#Od4aj<-*tG!jB(E^ zO`ese==`(3{O9Alw1=2JZlhR27vCqv)`eExUU69)#clkXs-F!4y9Bzv-k+7}x(9ag z%Pfpl^4PMtjU%v`_(0i#t;ug@v3TvyN(_s1_ctG=8Uy8H0r(py-fBA-kB34SnD`mv=o9kWK1}fYkMJe&i?)4z6StLI zt$cO+)1TqBA0?k15qz<2vN6)PturBU8;lY^W1|@nqRumvXG*hQBU1mgjSZc|ZQfS# zCgT?Mtlx$ceZIF=@)%yB)scet%y|Ywz;C ztfo#+KH|#;9bs`v-(g`G-tM>;WK4U0O(RPH!|e|;dt?#LpLo-myd^GlXj#;HJTGQFmV?Ma z`DynWi?N*g=*4(F(*(|j95kJ4F>a;oYcIyb#97R&VkNGslw~-cgw0#$w$&yRnO6Cm zH$ly4AHk90L%Vf62?BwwLI^)^A5ibx%L>T*lGIPiaKrrW0l~a)ah0D3A&z3@k`h;N zZ$GZvZ}{=U7{|Wh*7Pkl1{vQbr*Q>rGmkhnQoy{%7KgpTEuK|lG0&45ME+zD`8h-y zGvnnMt7dSreaGdYS7|}tQOGG@l_&oCHBE}Uv3`Z*FJDK#7|2F`eKT40n<#5hWi63q zE6uW1d|CW}RcE!Czee)iR{mNu|8dFBwer`Q`RgS=!^&?p^PiLafmZ%rGyecz?8{z7 zCLSyPH7f#-72i@XVB-CNjdxHI92$pN&GkaPNK^Ec`?|X@sQIXXQdA!2d?7MZG?dIg zMrMk7lKGR!Owmm;WlFbbiei#^Ph_TOC7E*~GesrI%p#NbUTh-CuPSZ4?L`!9c!Je2 zi$}*mX!tR!K=7LWx~FvX(LH6ug)u$lauh#DPx-EJke;%So*~`sA%n=h5OFrv#mjFO zHTbj~by0GJ*qJfqcprq|FAWkm86-x=${`yb=a6GFGlG)iI|h+ciCUS?3q+2&$nj5m z!(p)KvzWwt0i=8~&FX%TWp9g5Q9aWqCCk6y@lLCU_{mabD^%ILk!8(hS&J&$Oj&Nz zv!zj*7AsAAi@|RZMgMBowwi6on2n54LlaWxQuGue%Wo+Ks|+Ij3?eqAXda60v^U7~ zjG}hm{BvqJAX1AM4Nvo^tEGL6hI=UseooU!YyUVwTe-e(j0W-)#W|X4s?vZi^Jjy| zbc4uE5OFrT(BNfz!@Yvl^jICH2zOm4bG+GQWF-jRV~{xCAo1n-T-cVE>9lXLU5g08 zZ9TY1E#}GsGmEFWHJ{QY1OpfG|XJqz`%$#dvp1=rJ^?63-{>aQ$ zBlGWM%0ph^XDekfV>acVexBQB!xHNLrnKd_`Ue;+c3;<*{3O@AdYwBvUc17Z_LX?O z$JLiBw4c&V54~sHyF5F+2ave-KyV1%PMUMedAd2DPK@b8%WOs;>cZ4nJVG5W9dxhg zsryp&vbPGd_GN!%cy+EnrT483{%f&pgx&)+|KCGZ_)j(X-w?rHb*F>>HoDV;ihsW9 z!_tjpq%T2?X#T^6|MGFLwuZ3uDm8&+sIn^}%cOIuvI(jzj^W67ma>?B*xbr)V(6%v>v^F58lA)~@l%70i>-`im0@p4{{kXS z7qmPeZ9RJ8{Q@SAA+>QH-8ne$)M<4t5l#0si;O|{Z&rp$_gUy3`dza;&b{*U)1l5s zjvLRX8tHV^aoE#75=@Ba`PKhCX_cR(H+FszE?+CFwe2prn_Y^!U9R(%R2kDR%X}LQyBJuK67awp!)VCG8EB((x~6 zkRp%LPw0K9@%1=p=mmEkMg3h|vhAp=-WC^3>Iu&N6H>>EU5$C7VGcRqec!@6%xvCy z{TXouzIIeGT5UnM3w`3o7lFnW zpJeIqLiV2}NpawJE18nF6+kS;MfJ+T!U>^MH7Pw=t7?Jval}NWLkwyitHt4+ArB!@T;DtqBqDO-W$E_sUy&x!}r4PrY^YmM7jR;)N_4Vda3DL)slQRW6&G^3Q= zWQ7PavSO9}O;l;DvOY?&r^K`2?q);Ttj1oV?P$@qnO-5wKTH`e3L#{$b#;z=^QB%zm*aDW0D3(t|uq+am z6$Z--4VK;AVU|OM<=+l#mf0X?!%_uS1KY z=6kiDb1ibmym|otrIXhfIl}Qvwk>Pi@>kW|`wlL_p?~S;(qix$dw}IpSYu-15}}tD zrq>HZ*|)O$5HvC#61<4GN%57firV*sC3H2hXD>tPr7SMW$rQl~*!`bItk>(pfv@wN|P< zt#U2bh0J)J@-hr@&`t)4PiC6&3GY+-f^@O+!Ic9ym&WKEeA4jud4DrQu2X_N<^R7I z+#~fH5Z6d2d`7wm_N3*VY;{uq3Nv0g5-gQ;s-`b*ds6i8*YpJ6CFOez{=EM#Avi{I zron-D>b+npDeV$Tr?5ACEFJl329x4rgLgI9hCGxP5Dj)_mI}~ zRw{b0L8^0lxV%;HTeW_=KQ(lq4e>+AF^3V~WE=yZze=Nn zq23_tSKNQ5v~*hdjc@_K!lL^QUTWt8#XFMjUp3t+7T$Wn`;oF?Bwio1fZmlB-U`7x zcm};?n(y(?O?}*gH~$R0do{h+EW8PVH%-|plJ6&)-tR2DB*EK8?pf|@>-#UQ>hfIl z6`u@-E%8+nz=*HpSt=c0L;ijUWKLfYlW+UVZ@JToKNuYj*&-+Zz@|kq| zs7-J}fpcvkw-8#Y-Tr$FBIg@KzN8}=e*1QC^uEP*)>Dr=dXsDyd5hDu$HxZH9+J4@ zH`c!+`2(zc2{={01euHOV3H4fPfhaIm#Im<2%rPT{vVzWHE&e2uW=sKpO~rD$WRPq zHkSKLR;GDO^C6kR)oj~}Ju*Ml_DDmOD0@gloMTJ91y;%)cNj#zJZktq$HMjiN87`T zE;t=9jkbwT@|O=lWJ`Y|P`t5T$BDdnp3{xTGMNa&oANi%;^wA&5k?R0rX@v_MTQV1 z2oa@;{oIUoKwGY*0yu$mls(UqaUvim3H(;=_gmU&362jD0rbE z+n+zt3jUInJ4(TCom;`{ky*9l%e0$Vsmvhq9f(-xg5_YLqH*M6_dP&Z6WfvlRf{ZT z)#Y4mWt7CDmBgw=F2Y#By9{1kA8Ua>Cgh3|_~LU5{3BSagQ5WjkyeAqT8LPI@hf1d zHu;QBe|Aat;7TBAKg16Aou^a`q$HJVn!%@NX0ggFgUMu=u(!CyHUtTEfWD3iMG3SV zT=mLB>uju6%%o-(VsCYj>sv$T#EjzJg}MGsan&ci&j{NI2HSTIYXiMXpaolXTs8vE z!8`UDOfLd63-3zBULT;WdDIa(!(FGDrbRK8ZA-Ho4HTwZXmQbXfx-0l2&QSm^kApK z^cP@S=PT#brO{?;AbJh$xmr|Kle;b)O&&X^h6Pvh5hHbJhLcH17F_>zIxAl;= zN$ZiYO~#0LQ{NZ+bDq{sBUz_;=~_JTF{8x09HudWM)>{~{vq!(_PMAVaSr5!hTZoP zZc@zo*GcSa;Bl_yrtkA?2Hh+6TuWB^2zo0N;>76qQTRsFTcyyp2-{IQeDKR4_% zAX*>UA>*9x2M`qjt}+C$YXQQY_m}S)>}P|i4yBAvtInnzND#f=trEL0<88Xc2T}a1 z!giPQ$qdauM8^>Rzv|Qmc;w@7M^XLwN^`{i15ER*S^BR8+S2c$+2;%U<$u7(x2ACE z&T5IeQ#8sgcM=&W~9Y~np!Bc$>^g6 z0w#9O!!CSm!Po!AY^~3Kq9!-bE7oxLDoUP>R)(x=LV5=6cj&R0^SvKiXu2Lh)hE7< zF@qV0e)fjXsoN>9lq-p@&)e>aNIGw!#5}*&bS)k)vNuT_P^rfodnCZcn`1oizUCJQplpO^XFLS>3HfbGe;p+2#WvPF)rZ4HS zDy`{DI#tsDGSi{p07HS^vebmB>7rZ~5!8c9g zRakiMd;nfwawFa^!5N-JmuI<>D*5MD zRJU>Ev{2o>J)lX-;if7OK~#Zw5Y&*UTop(JsR|D20tsi0`@0`1PI|x0xbH0d&LW~r zn-L=J(xgO~vmlFG5`nx&aS{5wjg9-Ia`~LAqjKw9-49m88P+v=I=|I1~#FmcEI4_yjOJld3@p6n9K{%9aM znf_-kmx7L__|*hH_`8VJG~MjA&BP z_KL64b_~I&H0<{cI#(KWE(~j10}9$A%K%wad_O1fu#}2E&bvX${IqO>gk^r8`NueX z+#{jyw+vQKy{AQfj2IwBhkdWmu%h}s2Aw;gWA0CZqC_^%mE}IRC34hJ zYXd8!RkB=s8QlhYA7epOsS)VYetIW^9|ei1+icYppW42B7^R##i-Q)nh1|>4r_NW1 zDH047*1oGvv2yW6eu}0OyUa3(PKKyqm#yGq7rXCfrW{M{ zD1&(LJgky43^FIGG}a(vqe^29av7zZOs|gW9G74aqjTISqxU$Pi13g8OIu_aL32#! zxa6xW@+gW)=lHWhXSzY>Cg@l@4Ld+mW?0E^vXqVP%H_m@!BT@tfZ5oAYzznlz8e`v{X0{t^F9IM~OE?vs@R& zvN(d}e+tWYXhE^a0|v`-lO@-YCkV^SRj74&@G0psa&1zAmnkqa&M-F`CQ%2_vS}0( zVuj;$Igg!3MI+u*=drS>ZTGFfmySMl+{&3E}&;LT{{zr_QV6(UlGB znEeL9%QW#A70_Fw*fLGT(u=@T@=bsu=hYi9f>>$J+qz+<5VxB>R`r|NZ|R0<0#WW3 z82v?s@o&)(G3%Jbx%TA+xWU#qSuPz&&yqTn&Wb9Pj-*RXC)q=ph{}M*MQJ?8{Ub^m7%asd!%O z9C0r|*>An(zsTT!iNU{@$=~c{f0Yc*$EPX&#Pde)l6d|tI#rASI-tKwf~4qxKGL7@ zq=rYQI0+m}N1TLbNxcM0(`!vkHPH!sBUhqe-5 zS}f!o{Anwtrg(CG8_w}#3UZ7PUmPr%%0-0Xgjbz)jH4KOu_xiOj>;4>O*|Ym?1YM@ zYxms{m21-8C$tAD+FpipSYD-vPkypIxCi4)@2GoI_l{B~L9=%Zk~v_=E4p_)0ity) z=YEkZ!8%Fb8%~#!X5ll&yuHEBywbTNT<)^UWh~i! zv&BY#010`;9;sG&tCTnJm`td14M>z5=kpio`2CuHnDKjy*yxj}eFMf{!x(ai-@A92 zc;$k3(HVHJX?nZCi#W%>8DxEqzgk&`c+TMegp3mIKU;K@gl?m&ypa~(D#4QhBMoze5T>&ZYlZi7BtGVmW|x~k zD|OW0s-EWFuudN3CJdHGxe4smv)sHTCOh!9JaU} zIqA86*LUQ7FjSIAo!2%|dbdCCyUxIyC*G8&q<0%nNz3K|XVF{X5c8n4>>l{li^Zd# zm7dM>k$1?e*5uiB-ZkUTn`3W~8QHf}O?jT{{H_vSn$+-ygEQ(8vTSYG$z!Jd{jNL7 zp0d+H2Jd9byUTd~QeJVRp1SvRV1?onyx?Z*?xIZO^T6R)gDvh&DB{VF-dt`c zFX9|qZuYX^-B>SI)fP=>if+4AP0`=GMNQE^2k1aHhy6a3^C28rYF;$XvwwrYtwu(@ z5R}=oNkW7V*zy!r8!(`#T1$71QkAvuT&j*ELR6}*Hi%>zMA9H)gai%XXjSDz*6#ZN zFFaaZbvCkmFw$ukfFpegdzH#M%nb*!lu5v&%9M|@{9|TV4vORw06U+?W0FDoka>=E z3;}{63GvbLS39(pk1%+mw7l}%S{7j@rR7G0$af7Qw?M?u@&$0v(mD*i9W5<~Ci2K+ z`9$OmRvDD~8I)|X;?O_NW%L7(R%eUD3?i>=*E&7B%M!<-#i4)Isd;uUE`PMqACUaz z>zFYtqsyYI469?)mdG+$L{*uNJ!PihoOExL(SOd!HqO{xV)I5if!KVQA>ZZ57iIIS z&aI@~RC07xWB6i=eBLlmrUejC4 z*%~G1ePKBZM9#|$78_sFw)*4F7&$LHx17(zTFLnjT1k|xHHZ{L#4z|}rkwH)sI&L( z0wN9ufp}u!(WvFrN7=nZ(0dIY{S6**@Zfksodym^#^AcYiJQe0i>Y8{H@f8!HGao5 zAVM}hb~4HetMI3~ zgnkXzl~Fpq7QuC?aQy|e#BLJ}t|KD2W(e2QDwId38ZfPs^4-i-4Aa#Rk7D{MZ5zxn zXm-A;HF*mXrkzF!%>nI(CRZsSE8=qw$_ocuT(;PtKuFgUEUAVtR$x{M)bS7VZ6dxJ6apeDryvMW=yj zUE|T_cQYM#9#Af*b)Fm%{|f&Vg6LC%hW8Cb@9p&N2s^F<4>@0_ z-9*mHZCcI(ZqAxLPxa=JWT+APTQJS@a_Pmg0~3e1(Q>X)a>|xv=Y(iE>!SHTuKCX} z_#b&$^M8-P$Kt?`QR~3E~>Q{qS1D?W3Qv0x`!SyeRwulV@VeEaP*D))NJsZ zc#?WQWIRRGv-|E7FU%Z={R~ujlAv=QxvHP&VvBzX5lH7`tD2R6T=L}}y6KP7e8I8w zn$Gvk_?P?RXw|t<$D>m2dX{vvinxfzNN*x-R~<&BgIh@Jj;_+J%ut=bKs*&ca{v4} z(xc%_TF%S&NPPWcGyeTBxK+{>nxC9^w@UgA%}?;xN;<<#hk`35y;AcRe7~e`)BGe| zC+Y8*{MgTx^jqQbxums=B16O8z>!a=Qzj`TUnn@%DwmWFOloA0YIuH}Sdu zZsAK;w>NxS2Gk;5F7^t(4F2t8Qr|d_|2NtbUmkzSjDy``!yU>dUS?zy?|kWhjpw~) z;Y|>{50xz<@g&j(?{^knlHe^+Hj2b6!wTSi-@-fG0baJUO(fp?n%*1>uU+t7ID?+- zT0n24g||xZz8@9OGoLjq(0qGYcpkx2g3gyZSh z-$P2@#G9%j-tT4nUVQM_GfW@){;7K9W$+DXks%{ry-YW_S!c*joW}Tz&Zx68R_hF} zmGOwq@LL(**BRotY8nf5#!4&WPMxvZ%D7c$thF-6>x^|)#!#IhUZy5GKxb^SGJ5Nb ztyacyS|8=MTNxkdj6GJyE}bEwlnmxnTkuJpan!>2waz$c(P-8gGFLty zFI9e^GsN-Nl2+&pnX)v|yL83?$&hF&n5#1!R+SrdMyi!@t4Am}|>5O$2MxxGW zl?)sbJ_b=QH{9f|ozJjaLWPix(N#AO79&&v9B^fj`Zqb!E>l z18@9=I*@~Xn7z|?K93_tf%=K@xvcV_3VnGc$%{k?Qsto+gye_8KOoQZQkA)nqTr%JpD#CNX75=!AoUBXctBmTX;5U zTewwoVP77@OvVhs$zpv8&i)7{Rn z)|5m&r@foT673hgptUbSlBk~W?Q{2pp9zOXVw)csMA8i+$q+Gmz;SSN4;X-4cHfnx zqkF+X$zOh<+SdA00*SD+4@KDRZ|ELOH5hGuUQ64$Ic&FU#BL|d0I{1d)`7)sB52c} zToBit1g}k>f~;onS^cJza_KjXWU@(;8YRn5lq~92d>;|z7cdaRO*BLqp+(tZyAC<@ zt@ww@QjK`8bSb%F%sa;9{YIZ!TrEMqZDrxJ<{5(Yh|HX8WPVH&qRi?j>Et17vt6G> z+h8|MAmaV_CT^r+6Ai%2#WqV*Mzl@i4X8M&@8?nurH* zGi_Otc(=2Otrb7*fBGWgP~k6kkKkWv@V6WML(C~A|Et9`?>=ep&jvHQaiP5WOU8M2 z!^if9+mIuA&`5Y~U;fq?5j%Y{?$z{}!Wa9pI1#QD1T!8nU!Pgna*&4T9)6 zSuS8k;K|k^diCQx`d2wn9qP@0GNdh}prJ>^{c-j=hKqO~1QQJL)^60|tt8&U%#nlg-{ywHJJJPY zP&%2a)K1uU&ncc-4hB=6i#LX;Y(K~q*68l>xZ-|`aF^$6Bm1Yk;U}V9 z-J1XFw4?B^H24>o{LP_z(_h6}4Bg*?nI)S92p|n5`wxX@2%uVS`8ar6W|I-DOry6D zuAnStAnW#xoC6vzlt*42 z1aA(xvCn4*7CR!}Pu)3eJziwk{^B8j$t$Bc5q)A4)^#;}?73Jv)vP~;z3$-e=wYr# z?aYS*0l~f6)%0Ly@DjL7rC-uBH)f*t^CGO|9R3|8Tk0hYkbkm$hHrnzU7e3TC2}-d z{DZ%Np}76`A)wx6dy)4^NKPH7LTfesAZw1i?TT9Uhv&H(3kJAdjq!t1g);BVnVY_e z*W_*$H5z{`+SDJ7^Bd_tu5q{UOCR!fPgmoJ5r1_6E`IbWhb<@2mD`x?cc%1q1s+Jr zLn*l-;POnA*y9(7&&FDM*7JEU;((CTsB^CRyg94>lepT$k~ajuRd)COg&fAZoWqMO z>vC>fpW>A~-v~SfSHp47rS=WNh?yjh-#bZhxdL;r$A$hW{ap1O19AdXI+|1OOYu`H zF5AArlTwO6cHdyucj5G}KdGT$Z%ARGay6=$%+<)N#WNFJL$|x?PulIv7Qo9eLvG{z z1W~B*;s@3{AW)u?DawsS+g_dtLh!lYDh1QR3jR^&Tp6k06y`z9&?m4Zcs|XVohSOGiGHbHS3i0BQS`gQ)UTZd z>1_I4WncDt@j)#8259}hy;BH}Dhhx3k+l-gD9aPjNr}^vk%fBb5`_c8$_goW0>sV%7TgdFGp2Uu-t~Liwo+<=;SXT)p@jo+l2y&p4gNJTzDC4%Pof zn`j{kTJ*0r@GrOU zKc%6B{!qc^ozKzupR=S$lYNHdntq#se~KBlbCZFe(^#G|S0?`#4g8la{2zfYB`*tp z6+K(zk$G;K&5#@%z5|6m7^Hct8`YY?{Ih_X-qE`fUHRZBSG_eo~qpI8{ zXG&i15wYYuEb`&?Tkv`b-dGDSGq^zTTF{#Jmr#D5l&4zdX~C&do{!eL9H*8!#VU6M zhe&x6TI=$jQl4Oy_Y3xx@?DhUq~0+^gdN>JSirCImmFVh-6uKjT&9kYK}-U$xXuf*%|-rvvW zS%Szj5m^LQ0$ywg#&pj6mmb%Gz3*zgF-)^_pLj@GzT+ag=mVyf?||eqU98-Irin|S zZOPZkctAeSUk?2T?MJNy2zB144(V+8PC0>NIDP-Xbip6~>U1claY71|USRv2c04TY z7`P{)V{$)R@K?VUCimbobjU!nG5O^Nif~iPQxa6@TSBWiKi&@>Et&m`|mf6wk7Xn&N6tL1As3O_!-$cX3H!Z8_PR)s$jS zQK>C|MxL#rvdUIn;8{{tY%8xUblb}G_Zo;6+vZmnR)AMtTV^Y)EvqWBeXp=wKGn8a z-(DV$^?}n`EqEcsZ$++1S zNQHWCr5wl%MfJ~wN1p$$cOyS>G5@Ax&$5M8<;4q&D?G(+TTVscBCPAEtSYK>7mwt| zm;N`qqPn=KazRDeeMnhYy`Wa`9Yy7ZH8qa13diEgYPZ7;hP$|0Rk|wB6$&ILLC4U{zq-*Ho)K#->I-Q<=I|Qvv6Peqmp6bG?0#9W@P1(ZQ@; z-Z43M#;k1BF=}e7sw%5d!?C2;qeVXpe$K3{{QU2nqrzG6r_9RF%0CCX?e4GsXW8#8 zbe-8#vS#O=gL3xFnKNdNcg&tXYj$4VjG6h_PRG=|sd=*B@R7y#ybX=yZhK`JOvABAyRcJ;VxbjE-9<13@2*K+!oe?i0{==Rcc@o zt~0;C%eK^y~L3}Eo;`T;~lo?*|$5KGp1$J!jmO!4Dp+9 zz8MP^SC>`R*3hffu$Qdt>T2n5g&t4w!YYr$Q|YLwDqLLQusJ-X)y0MGnvr}hTvS+A zUO2y851#ptb%jRoBuNDyKcA2IJhi$jbiuE?Le;m+*=>AXhkN*Cf@!u4K zpBIy!8)M&-G5XcTl*h__GNP@eY`~wpLc^c$3XS_)S7;TV%;&m75As>h=f^K}g^rN7 zXMI@Q_<$Rvw^XSH|(3^Z# zg7+q$OZc9GoP~TZ;Css}$WERASD$$3d$x6j4!q3g#ja33pZ3jNp$tB2+DH>btU#WZ zkagA8uF$S6=*q|0LA{s2L$=t@`t~#PB5{tvBfR|JKdANh`V42;qT=cWtbvo%`kq}^ zR9xjLtE@2BVO?kM%^Idn*6`@fa*E2oADA?=!gGntAk2TtyK%V7YVL91`*^A=%gc+a z9o5AP%4$5u;!5TG8O|Bw9XaO8t??BF93IDFnP`*M;uGo$CGhFTCza39Zu$xzKi}8# z*~DiapDI3TdV^D;8|TYWQdVB<7-SoSNKAAy3l~l+Ug#`dR8}MtTZCH#etCIiQGs@v zY!M16DP)$MIb#}i$}21HsjZSlDXq@tC}EZ?c9T7M#_U|DWBQDI$IR?3r(;S^Znm{* zn)V)N@s75yS)P7vy)ZYyCceMIDM*Y1( z{T*9p8!_j8&tUiP!R56N4z9stu9Qw%U@pGyLQhOoP*Xb}cOoV;GH|eE4lc(R8k{zk zzat!jYsL@8@tMQYUtYPuk%DVoR#Qs(U}Nbw5C+%uv1QH2)im0{s({a5WgC%GQ6j#S zCMLM5T)b6V#>li$X^!jDu1_10HfluLSX=VKf_rO=Yl}yUOR*$%sLkPU7J3TDI~I#1 zN-~@Z5vhjhMX2(>kL}vo({G(VGM#xjBeLg;^B7w) zCu^pa#po`st|%<8an>%Z!YOk&h=~@Bw?T>THAR(G#o?mjI`OY9OvR%>^C*~3S91(b z8!e8b5~7wM%0L~wz=i{A8^PaN+bmDIgFk5w+w6*aD(JS3f<@&8i)u}l>qtc)~Y{bZLS#h??tp(jc zGRtC;CiSdIlX1t5!f3H-iV7=AOsNK!7o$T7p9QuQnJE_*FVr)o1g0gGwZx#&`87)_ zJcV@*HKuKgZ7FI}JWH+o;u_C<0>M(pWCy_?vu;(b$1%ia_PogQB4%8I9NSs)hS-KJ zlHMzwZCK=Yck%q%1!rWGMd2tRhn1HtEb~O=mll^-F;7pMmNng3kef3-JCcr!!ePb` z946y2sw%6adl=JZaWuu6;$n9cUPUF5Btds1L1|vQt=oT}^3W zb@6qU*4LF)6qVPytsEAaqN*yh9)r?RP*7D_<49dxT86dD7*F@r4t3mw4_{U@;wA}U zQisNr+QM_gEFx7_Sj8?pMGSWo*Okd^U@l#A%qc`>gyD{|1r=-t5F*zW%YqouMiDj_ z8NZ2MGINhC)tU_+e0yQFEDPfuDQ-scqQYv6XDClI>Vr<|(ZtV@_^?GkenPsly#Lm5zn1jI4V#4hiXG znUuACgkx6i0x~_UVG9fIDR$J>AfaQ3`p#nIn4Fh4B{ys8tcfF>Cbc12Am%TbzzSy1 z%AQ%^RNh>IT+_VQs$$%1X+}U|A?EcNA)W zz>%86lE{>veuLxs^pP1uRfEh9$F{VHHR!brOcZLWORX`?BSPprT^qTrDyBzhvSUOo zD2|cSESq1vL^|hunTKk`(<-d4E?go4ss5;2x1_wbrgZXrhcSzI7PGReM#YH~@>~J*AMZz)&9DJ#DHB zRS+=OGUjMl#)~W^j*{AnBJrCY6@?3nWf0ZSh-@fjP5+KV_A1Ja`r&q-gqJL}Dry%x z77=mN+eWAcksXhPg>`HUEo8GeY<~wkMV_(|?lQKlWD23_s%qz#mldH;b&lHVW1%(v z4N-!sWzVs=u%=>&M`q*Uj;iA7(!wfs1M~oPSCz@OnP?zpw8n&Oh;*#tI?s^dj>>As z!m=9G<7*bpD)!8hwREIoR&lXI^_cXrX=x)=w;45h)iR(Gh5&j3EH zncbned`|KMMl1P@<&yzk>__Ux=KX(>-g6VO^BKTr9Wu@3vxlSJ{~NNHItpCj?G9C* zgbKN;U1IhOqWs4$ks*Ue0!1ku@keiQ(kB?7_kzNBdn|yCu zcj$ulbAPr|zSY37ZKmGW_?(O071TXf*;nQFfAP$E?|%bYx1FWF$xq76&y!oaLnB`K z-}y;-xjXbNKDixVU;iTTBkeU~TX*Q9m%2kgqCe&Ekun>30{@DgXF~h$)cN1|xfmNf zMgKaCUB0gV3Dk+i-HI)r#E$8FI_dvX_Fv=)oK5;busf9U(f`hmTXg61&cW``oe`g} zvd5|qqHVSQz?n8Y{eE|7!U@L3N$3Js@M-7s4xiI}B>!xml$iYT<7eVYeRCc*;i5_o z4=rai8;@~X&4SxxgJb-76@b*#u*VVphr2|0AP)Go#1IQh@mtsgNM%<-V_o~*^y>)? zrsPb|ndK^&mY;WPQQ54tg6!Gli?RzKLwOqIvp9zhm(I6}!;Fk?;HL{cB8uE_g)l{f zU*61|>G@MM!hMm{%y3+(Nc?9w(J5@)c~YwItT`LS^R9NJC}%mGWkyYcXvX;^W!0iQ z4y1%dmet0OH{36FVro($STvkJi-wNm#7u3N#As!n^-A_PiXY95vxxY3Sd^Eh_JGwN z@%$ttk%KMaGbcB1=8P%%F12TyJ~GX5wJATkYr1&mYJU!&a$%JO%;J1Iz?BG3*B8ew zG8m3xu3boWjJ_tm;ZhEc#9!zsWFLL7n{!b7Q3u2v#B>^#i{6Gp^7Go+!6ljtR-y7FLaa=UV}S1Z?;6A9v!uq#(=&wJnpt(Yh<~RC}oMb zwvHMCD0aY;Jq3oTsv_+YQAccGSPVrxjwNNqtqPVV$^1qBN#Y72_$>e8<-$mPVD-5r9v zCE3?!M{j*A0jNKwU{)2^N(e2A-QSqV^l!d@o}MwMoa+p1tZS=8?V=;kIFU!TL<-bS zmb<`HxIi20EPrQtt{)XCf0d`2lb5RU!lGi?wqGcgnN^r06WZ4@&Hre=V9%+xijDQ+ zLQaILYMhk>iR|bvEQrXREC!C)cQD3nGp5hU zo;fvJf?L^BFy=%Fr3))+xjAD^lF{`3XT-2?gv3e@Mq=?IHUraa1gPxFOh79xx6pVs zBlXsxZRE)7^ndQ4%)PFtu&9)l2l>zJremr;h(|AMCdY<{1aD~n0=8>CC4x25B2W(akw%OWw&}S>R?T!I`TrEuD z*(Uc0n{5{lh`Hxb&ZFt8;J=2qb{qw^10S$czWsD4r5|bDj#LNqym>m*F7R#S0?!95 zm3qK<@LT?MI+O=I23!mDyvI8Yk2w4!sI&-v=Myn)lKFBGL!J1Nu6V2N-{Z zOM1Zdz%^11Yz2BghtId*0~`ok1N2>tp2xWs2~6om|4T^oE2pKvH9*$@-nQ3=Gxn&eBP@09ODrs=7j_fj(gB<UIM(r=@ez<*H=T(cLwD0lAf3T;iM zK5#E^4e%r|<$cb)2SFd00&MT(4jM4yBkl?S-N05!AL1?nF#a%iB(6p;U@7nza0PJJ zC+II};9;Qi2=r1&12cinqsR$t0j`vM;4WbM=g3F@b{|82pa+--^qt1;$kzfKAo2o- z1J?sHf$hM2;4WY(FusfX8^8?UN}wC~IIsnHK*kU7B=8upFXJeQvwa6J1DFBy0A0ZK zz&n8{ob&r74Lkt!#jz*GxO1LIJdg^0FZPj`nNoVQ?*jAy{lFIBD#=eE#sE5jC#4*i zbS-ivc85xV`F*-W&j~!A*ns^%PhaXm-v?X=Yyq|d*8}$e4*-t=Q!eNZ4HW)B7qA`Z z2D*7wvyXkXPWP&>K$uYuFEC-Z+-p9SQ>Dhf)qq0rne-yucJ- z#U!WVI4~Xlz_Gx5_8R8`TgJ0*1#Abdm2zOapPe?5GG4&VXc1fY*SJwI?4a4j%{ zy~wRn4on(_9GTsr;lMTd?7sm$w|9qL1-1i&z@)k84UE5o@(kn!t^pnZwgU6#A^&J# zA@Tv^=d-s4^Z-`^TinnA9s~9rgFTA7L#e0ImY&F909t0iFh?l%mfK z(D@$pf$M=)!1hY|1@HiH7x37<^s}+RYT6r^R6~3UbOW1#>w)dScn|#ym=7F24!Xcx z-~pf)m{HpuS`YLAgTVMjwBLBrKo@Wq@Bv`PV(@^+fXAe~j(&e5Y2aL7(vt2_InWJU z0rUY^1KWYG0-g6kcLL?W;Xn^C6X*lx16zQlz%{@JfV=Le-GB#x?*P|7NdKFN96taL z*aGwc*8^7rcLCP}-RygA1!g>iKEV8?^oyIo2j&4Y>gjJc0~@eoCiQ^pozPhhUN(3S z(|@L**9yiLFu#d@HWfTz8ZhOD*blho5%dJcH+P2~2l^gmyt+vL6#WFQ#4bP|um!jt zxK8qaMmq!DtB@xLI{@9lmS51nfJwjY4kg@z-Tpv*pzqJn2WC7)J)ma`{BOlBTcHcg z--dmF?d%n$Z@znVrn?C1_Tfi1vN-~r$YV8%}51Nwlw zfbqK+FVm6pAMgX_e}J8VYYxH>c;G|Ya|ZV4r2T;IkB|qLaR@q+K1{tl4(5$!1ch46WDPk^?;cIPtl)&$G&9V1h$+;k6GBWoBj?wU<-xT z0-ZfWp?>+u**g?+1K0N@t1@`hk+ho>KyQZkBq|S|}7x zKivh)1Lj|kzVz1vz!ktXqe7uQz?9LU&;>u$+6r_}haY_N^FpD4 z@b%q>{eUgNfp=0q6M2F8v#1C3_&6}5 z0KI@7;4Uc#2BjQ$TFQBYT)(?12c`hm1IGe=g}gEpm_I)hng>kbN6$ULV?aO9!_T{| zlKkROXdTcEYzO8q!2UpA8SO0T@6pc+NCO7~IS21#AJ%1?~ct1DzGv3z$-g zy@2sm*b8_7_#CkPUhD;Ism5LcJ=kd;^lGsaumzX_JO*?D-HWgj(6<;n0X=ot3Fusc zoq+8=`bi=Ep@Dt^O!<${|6%W2;G?Ln|7RZ)0trh*z^JGT#26GY2_Xa@H6htNAcU2~ zh>yB?ZAdUAYqCV3s8KMYqQ-)ViW(IVE3NTSii$Q?M5?HN7az~BIJUO0PO*NdK>0d4fx(f z`$2bswt;>Gx(c+W2Xa7HfyR14cLxGxpc(JLAAs%x-2*y8k%wjRY{jxL!E$2!px6!z z^a4B@f4gh3k2@YGj)Z(i;`Cv0SH-p|=bv!S>60kBmgVz6`>s70h$VoJ1W*h|C2{A$ zzz`kg!}$ncCoo2J&|z8ZE*2ULy7%Q}PUS>R54c{Tixd0F-7&DkTui!Yj)dE-(;SJn z*`_(1x7w#UQdX!rj@0&;97opDK?RO}i|u3E5JwiU97hUxz>6}|9I=IQkVm?GjlWIU zdmal)2bMM^9_?O(_Y3c};zOtomuVe7+bdSH8c;L^e{&$~+&2ye-~xoK71kU_V!JKR z;aqCB&T=H?2z4ayGVo?Ym%*S^FY^`(-p@k33vrpg0GbE6e&#)l9r7G*>yxlTeuNcr z;_^&!SDbZ;89(Z!e%ORMH$u-(?dS&^oMp&Hp?=5<_QNbko5i-sV%iTm)DL9yHrP7v z<%5C8qqQd_ufS1ZD>4^X6i2dlLe|FZ2Lqpl_n(<=B&*nJs#<a1D0~^p}^3$M!MV9SRAo=FF}W;P>^`O2G369 zRQky7C4y(Qo`=TpX!P^)w+;qQCjDW9b{fM=Z3PbJ{2^jg=Ew=<#N|TpF2Pqt>4%OL z>!U*8b3&liO&>^J19%dVuW3{9LDpi?h9eevO?THw*vEcC7(#1AY(V5wOxh zZQTSc7I_@a#?26;Y-(4*3Pl2RAI48!;X7F&N1Y#zU_<K$nw;)=FKyomb}CQrqFV7^ zmajnhEhxVe<)@QUp|NG=t7vT9Xg+UB;;gruJ2A2?{chnFyp(ixw7IFbt)WQ&BR|f1sp*B>I9r*b$C7R2cF4mTm!SLtKA4V@fWc7^wVf5;TtTN<~kG753 zu-6L6+I{Mwz@@O4{Vr^lXE_pXv%12^AIARD80+>S;R8>^RTxc559zZHIet+4&mbe@ zo6OeX>5guTZC8xx44OgXi)1Dtfb2}hcjSg2MyB2uby;j%he9TFHN<~KnoNA{L)n>y zIRe1`VVIu9!FVq;&!;;&Ew*)oP4x`MGR2U!Blqb2C5_!RkTu$MC~$qa4-DB*-(Jko zdYhTIk@l;Btcw1Ff%6e`+fNH?YiKTn^YQeV68*BB`Eh*xL?aR8EXZI+b=h@eqo&T8C)oc z7}f<*1Nx|eK3#|mg-}C1E<{e8>F6_RN2&vRPrQ@-f4Lnr#=b^7_T=N<3T;jg@1tg7 zvAvGghDfUv#?hGB23fxQc`lqZL&*D2<^n`0#rRt>4Ex55aUX~0e8k!h1u&nSG@mfw zf~)?FxQh&kTx<70Udm;-e@N>e7GdmRJ{941wIenG&_TNX(?h{MFtvp?Ga0}h!@15b zAwK~fc%MBJ<8-N+*U3WBIgqm!a;|1MypCYJudwF@;}~moxMz@Y&?=PeL)l*(S%>M4 zZ0ikXI@F--9>^)Y9N%F^tgLGb_Ae1RgJMUU-L}v?0LY26O)~F(1dKG6@{YmFQ=d5$ z*g$r!3dW0OHY0uihM@#+Ygn0BRtIF2J$ESZZ}Jn?CxgPw96t%$XDUp_EPEg;_JtoN zW=X-?yQKS2;C^bO*?bADAJZLfo88=$`EhO({{njXs_^}Dq!8>jSkO!!)(#$LZbzHd zHYa+>u4F@IA@p0jmgnF_Qs#d$2QgHXcqD@szK8GG2gY^>lyybrnzSR1g3gcZ^}t)%c=$mqniRR;d2fpwYi)0*0Y zYku@o3dw?#z*HA&?LOcufQveS*E3ER*8!*8l47lJklyr{2s|F&t=~a74mbWbLBD3;BoXj()rC0`sH>ZMntXp$+xq;k)`YUumzh!kR~GZ?4FFxkHOD>9h&F z%fUN_c(FgHHg=Q#z}_S*wD-WuZk-+6dLTk0-?ksTg?K-}E5s|tTtRpaQRtpaIYc*n z+ZwZJO1>>)B(WM z{3GHyT&Ea@#_5Dn7#AqFp2}eiSxe;3jrySw*EZqWEV_m=`h3WuP&bM`_k*|Rd+?I2 zJHh(}cyUWW$mKN=zLodGu*K3CL|j-|f(s&8$&PzS-<^j7FNVil(475$$d0s@^_to- zFHW>Q1xcZ`ZyXBTLwd{+Yw2RzRFjSKaLxH<$ZybEJ_p!nV1r}vL5wwMr_Wc1>Yhz` z(kRJDN=XR+M)fz`&HnABT(-sckGDzB{gAQo?U3&wj2gEM*co(=gRl|6`ha1(AP&M( zfb9nc5kc%+U|$3K2_bbd59$V%wEIxt9LXm7y9SsW*gV4Yb2Rm;;1s2e8Rf+~z`F{( zH;401ccfMYc?;u^+2O3hjJUblspzL3l>Y*D5`GSQipzy3mE&PQuI+$q!(Q2JX{7|q zyu+(Fp)=rYf@I=K1-cJce4FD;5~R} zAkt#uAZ!b;oxmu3rGv1Yz;*!B<~meV`he{Mb}BK6wPlX5ND@9C#I=36b{<^|^~)?; z9oW30|B`4Re0(SXkFWR9;{HUHBN1MkJcJlZiW|I1;DtpLoaV*{?u!L?O% zEi@<0_CSRW_o8UiQOxZvkhKZ2){~FKTEIChgY8}9%ZuQRZk1#dq=Au!!%cv z$cZa<#3J>Fwl1}|+g4bqzm}Yc`H1rQIH!ZK4q)`G8;u7#Xx&-|tRL9*1YBg|uV*<@ zn?xWTTy63x&4?R6OVxJ!3frw#A$vdMWPK1mKIkT29xyRq@;Ua%k-5UrxHbpZ_EWu; zlncTwKz%OKMZTBJdw)bGGDqY&^O5F^X;)X+Z?)ZKmH9`={Ne|cnGMypL1xFF4+Xv@ zJu$8#_Dz_Flrwc(Ux-YZqAzJ|#-4=v+;=E2JldKYn#=GFG#pG~c&y(QkW~g*-I!Oe z+NC}`HqHG^hsBm+hcpbbh#i6TDzjST_(vTR@NDDJ=H|CSMf=H!Kk;1T|MH&Rjdm2H z9b54H=AFp-*bkno_c3O+FK~1pV>@X`w4Rh}h7&jW?B5&;yk-sSO9X8kLtv5x?>lE( z-!bjUnH+zTek*wmUoZ9hPxdI#K+NN(p;IcJUm}(0AiuE#*aQQ%2Uv;$>!v{Da+Fq$v9ZIUpQhc;x%0VC(cPX-XEY2&T$qv zvVRt_y|LaEz68nnQvq3DKo-3#Shwbf*6C0jjJ$#M`6%`iamXgfs>5@9RiqbUyU-Y; z8`EeA#@KWW5NgA2@UD#+2qYi5-V#TL#k$M1;yiIbEomIa>7ao?3Dt{OqY}fOZcdOb zvvKWdT$|0;5T)XduiU?90qdjo`&#r_fuqxk?{MgQTij2eIk*~@&k?hI7DkS>)YM0!)nv~O$oc}Zrjsm; z@1@quV9~-jH>M9=@51#YJUcGzEv{px#1@I`)bBmGUW4l!sr-?3fd?~Ld7%r%U!xH; z?|>|_t#XMYp&Tuyyl`-_$i+GB(-BF-_sVsmAJ=x_+6=meV+sE3$+(t;=iI~V zH|swHQMRq-`=V&~AZEr{?=`iuxMzs&QkJ3qw&a08DJg_nkrc+NIzzaVGM(<5z_$f_ z*AO2LH~zALC7m`9m}^Hno|&xgkI)(&>W?Bv_lp+WD)Sc5W?T5b(;)YZfxrM&66)WO z&kDtY#Td`OG;JM(rJ#5PWPJfy>qyr0pwB9GI1${j&nkk?qWQi8ybC9=f5T@QYn{M$ z0XsRoZ$i50+lD;Cd}>`lr z=#M4b2h>-oxHjUffj~K3ga2u#`@`ayAZxB85zY}lnE2*^ulNXjPVlvXZyEUB$GJ|Q zEm9x(T-Q4AWTy@Uyu^e4xRv%HE9m|luSeMb%$0XQf*YWMIE)|Y9t2R7Y}^l7HISv{ zF`U5c;}I7C+kkTgp$eXtK#TL@uI6@AoU#$+4uYp1{b2V_5_<5OEpnBVFj!}B zXD{~vj|Fa}v4ea0W_dnqISiNo5)8E+xxSs)tiJAuofT*O6X09}TpDL>lTnPc<+-P0 zK29Bw`z};L_H_eWclLmMHV&Ut@mB+^3s?cp<(NUQEVUtqLa*_dDUL(lS1#eA%o>#0 z`5%_qi8A|4%hUzynPI3$IRpK2&iB`i)}RcOaiWZ{v{-{7AJ4a7=nLJ;a6S7!kV!UL zjxv{-mWi(WR+L%zAC#f^w;yFzp$z$br8pQr%4bcBbdRm!GVwd{-$&s8nHm2D;r!GN zH~2H~P9j5J?Q+c%x1ADi$T*5crxDWco!JUX$El7GuO6XwHr zx9X^F;RnT-Mj%ZO4jYLzK&ZW_bE%!mtitskTu1s^ z9B?7ZMqqn@2@hf@^HZUl%)yawq3?h6gSVMVgEwN{7duiBRi&U)FzLV`tc$y{0F3_9 ziNsJ6zLTcuLi%L@O9d87@^KJW2yB7@y9`(gupxp=^7&XExgs%)~=h-3J4K(`?Y$hsFHJ@?xVr_9n*Q zWsoe_?jBeL-_P(r`1xGtTtA@7VnV zfz4e1Vfq06g1oMYC_E@wf%0=8YYAj64E6!`Z07gB(Vy2yQyaPfUq`|XVw1-m(a&>G z$GND3-s8Ckb=ZrM2#DGT^gza+u_hOWFYD7Ot~0Lnd2uO_oj3*Z|ABW|;+)n|&NWh8 zcwsOWL<_$zJCq`<^}s2WBoRp`5NH1O^DWZvSk8f+2ONG$(9cw(muQ`>89RNjFHHU z2l|Hku@CY_#Z@6|FD#Q*zwkFvt4SQGo;6QMcZR>J7G!5K;SB>)8Koo9xc3* zycyu_0q+6g4cQ4RsC9bKOlS?vp!7l4b+ZPe-KaVu6jKaq+Y0^@wj*=;IOLry{Bmz9$>??1Ic| z20`XIQYMcDvwKwVwYFR}isPpF*8zF-4v`IZ(79pqLi@3hZAPw>4IyszYF+vSIxZoKd0XylN;-U>PU@V=B?IM?}=nfU%U-AGJ?t3ehfCyspb zKJc!+9`B^#K8e`(itf08Cb)h_O4C_!;xFZVIh>p=;8}7Q9%}O*@N5K+w)RJ~xrm0~aATXr z9-2bv(b=%u4FiD+wwXR26<@M1L{TSpO|S>{-ojSo=XRmqgc}C}e;o*a@PON`9D1Q&` z3qlX<#riDP%_7R6m}3jBExC6fa5`k`Vx*9L5fKHSOX*9Xl#Ypc3#9*ptd70NEkTxj z!^wJiWp%5nz$9sh$@{{hg4Dat{s!o*>;3 z2St>n^xg_XQOp=BTa2>HQFeW_vNKQ?UvUqs7Nay3{L4}HAj;0AvY{Ahy2H63XfhX) zZi#rdhVC=a7}$z3Sq}{a&avUck>cbE+jxv{lTOPMc8kS=GhzKe;Fj?EOB_zhz)Mdm zzMszu;G0j5MCA2I7g|GiK$j&bPe1c*e_*s;AG3a(h3)KLqI9E(aT7_ONAON6E96xl zQQizkkHxl_8W_1K2j_AFWX3*<_q;=2`#8u9*%_H{F*fB5Ojq0m!A7Gr_3cKKZ9v&m zNT07y6zyaGBkrBdz(XXqE1*EgABy`7)K4Fwtot$iCIXfHRF;j%KVyv3Gl~d*Y>+}T zOP_!iKDh?6QeB9De#x=wHYqD2_7BaM=@@apieeQ!IALNf%wh83Yf#UG#|Hv~?9ka4 zr5^pBw!>z7-+YfD;4Vrg_^eZ#1vi4jv_a3( znBR)>Tb>^XOrd_A6K12(nAh9LXS>LJh|Y+kzKt)yIQuQ$e}{AX*eLQseM>nQWYA5f z^o%$>Heu4YJeK{aC!t$DXJFrXf_^NEdr-JxMl)Wd({qC7Il@17p=_R3wllIULLx3Z zGfrQY?7bgl>lzLQhN)=ZRkAGiKjskI8*fIi-Hl$Oub%`HvM%wilT8=^iFp4S%q@-t z{ND|X-p!`Rb^%KOHiY>w)0KU|;(<|IL-T&AwN~t@bK$>8PAmel3A!?bjRuwi4C;!5 zuvB14z>Z~%*6BQ8slZMpO!tgEU8}&gJX{-3*YKW@nYd9*U$P=uOK>d<`D9Jc6kr|9 zzm)jH{1d(s%zI_}o;lg`T=2%C{N3bpFFjsSaNAKopIr2PWO_@&=@@lk12{*#i{T*3 z*ZpN6a0S(tQn{ec~@<0V0bC? ze#7O^VK4eaCqLv1LhqZP??_H1TSYEcdE$OlB4iaq{)uD{dRhhg@_GYbxzvszOf2X$ zN90^B6uHA5l=}kX?P%{uxvBplYaiZ^_%6=vH%J|Le8Erfd`0Hmy52Cn@+q$xHsFH( z+Xu%akE9AVR> znV8>S9{s%uvS~kbei}Mc|JdnEb7CBZa^sk@{4TRR`7SfJ*wvWmh8x~E$d7D;e%;V7 zmH-?y7Iy<%l72979lA|77DMrTXkE&4)YeVeg(|D}`q76{T7M5ZKy4IJN@V93>FO*9mNdB@jRwRUD+>)4;L~ z*bZO~25b+oH3qC7*fs-ZcVk={utZ>^t>N`f09I(gvVr-5>DyKYEY%i{)dAaYz?J~p zXb

09K<00$1wVyAD_nu!nWn7GMoA0r?CTa9V430$T%2_+PQc+di`J`o53&V*`O? z4ipl=e-PO6A$VV>PHw^lSg+#(0X!ul4&qA!Rsn304$A_z6WDm&m@5XhFCOo`)bUjS z%NQC68|!|O2dqI?b~&)Ec<1W}y0UA5Egu$+bpb0&2*0p?FP0VnAR^zkh>q) z#^Hg$S5$8(wh)iQ(ngMMKu~)}%*Jz>BLaatb-JVgiys+~?>zxdeCGn2W5C?N)&Z;6 zm8}7$;Qh2G=-RuG0#`me^9*e_Y6hRQG6L%abq``Or|^$ZgpEWnMR4AMrc$Rg641 z)x8Y$bf$*tCTtC`hO`hy`fLK0l@8ku4b#Wm7H-=|=K9Qtv;EQB7TEg9{x5@nIX}Fw zQ-G}irtjBtf$cN!xq)S52juspp(eG3-fP?q>@J+sL2X$GtT-nS=nZd6G~2odnf7a8 zob596wrKs@hkCZ*UA)@Zqq^z6%008-3&`moc}%S|uN!YITzmMsFT-NCcpAS?5LJ;a ze886BoxALYWd8%32R<#96#WmZ0esjhiz5a9Zvs{bzQkkkAvAxHqp_*SYDpSgO2ywC z@ScnE_tIYbI~dszKZ@v;^euX&wp)+Ja-bTn;0a^FqoOIIf^RU?x@10s~5_D6$Yf!cyWpBs1{Q}u0 zeeRqdN6`%hssT}m<)qJJ?nD>;JYL-uuNVjG90!vG-Uu z4Yq6@tiCr`**h2rdwL;f)Hcf{zq2cUv;p5@S8@8beTcYn)*6etB0=f5y*GI4FlFCR z_0TY7yMv&w94;X5P^RGXVMB0weF9EJou^t($iex1^^nD~#;V?DwQaYm_ek9;*CDf)nRk zheO2n;l$rFTm{fSTwQalvhr9ur}l2KSTI36!D@Uk@#@IF{s;kD>o;+TCc#P%i6V;o?TD~2vzT>q0`Xu!k zr>*}ab-B~F%c;ITM!9_q@$49*zCXscdkj?hdJGl4VJwOHV66J#SliBVYVSDPTjQvz zFUL_;_a>1d>yt>SUnG$tyOPuol5FoJk!m4(+)m+n1;ZmslRSTD=1w zR$hBKVb8Z&)Q3^>j_WL7d;|Z#expTMf4xP06~aGRW>Noelg0A4cFX1TdEbr1_2o?# zbxnxPj^|==hj%1NA9IhayXl44`}k27WI`l;!OWpt1QFOX2QT=10@u5jkkepcReIGsuxe4=lYza4*=<)ovdf|b(_cLKgCyr%CoEM^i+X{q03Rr;xV_>GyCX>U@K|6x%-idU9e)#u}t zHrv@Z$1Bg;rml@s+SG3>Add`ESH)Z28T=A`xPd(G?eQv3x5TTT#Pc8|d1yX)s*BYM z@g8A(o-hKR$6vk6t~_oj{Eb~%ZB=pp8|#<{?aCo>ey?rZcQ$1$>*%Ni|Dux5F-3%m z&~cHaq0gee8?UUfTGz%~UbWqiZfsZ8*W)c~XyiOMNWDGY@)UXZ-ofhi@s>kzIK4Su z{W{)uSG@X%p|&0I>I*}aKgFwS9JbF%`)7u#JBBJN9qI=T?byT&Y=j-}u&W&@%AsE2k-4 z4i%4yJwEL8C&nrJhpC^OraX6y`oyWqs^O<^K2>>ZIQ+S?;n;@}Gj18B{_Zs8uH)2u zPg5R0PQ@>%y?Gqk^2u>3os^?AwynZyD_Kha)z-Se+9y>{0pQLO* zN&RA+^6^P3>T5fhYT9-(;lDYB^my$Q^|oZ?mrk`SSy?xhke9}igm1?ZvT_{Bd1M@w z{rfmnvMgCeL!L~*DF)(kuUYOGi6vl^`lpkWkB(CVCoBIxNnRSZWR9ZjwWv>6l~=V% z{|e0t47&%d%Cm>Vx{2>?i;DE{Q_MGvru0pgxnEk8TNyg$(%)J>i&0*(svU!rTWHWi zqhrcDEgxHzhpZ~zrHZ*AzyuoquUQURF!TSR&F?y3TP@wzcDwQj&y%6Y0?SqX$_i2d z($1Iy>Ls_(Yf=A>{~^|{Y_<`yiv)daBlsRJKV|`-tyc9boARbr{RR9OyrfNe*rxv8 zrhKMVd^(u!v#L+ol}By#f`8OA291Cjt>pFh*wnWNDX*zb2#E&~@GLhiYicVpeHL|j zjPiSUNmY5yrrsZ;ylz*YiBYzzgnUJgYH19y+#N#~-=c3EqJq5ht`rviE=Jj5Ro6qP zO}!;ndDgDJF-ZBVs^Ue=2VzuQT}7334kDJ{52B0hvBbEM<`Cp~ut4??QXaLaUqMq# zF3vYvRfNxbtkpQ*VN(Nxl!LZ$gxl3a!0pH5e88@LH%NI{rSq3n^_xM;*W&!17`1zl zvNNU$_@~^=QP> zKOJPdYtVU$^6nrNz+P(TH-pp%VwI(_1mKq)Wf-gM7~>=>$9!&64+R&gVHbjb@y{)f zgl5fgZ&+y6#8kqn_l?Da^A$Y2#*p;HWicPcC>vwcn+7Sri5Z6TKgOtEA=KdE5KqlM zMmI?Val>$>Yos?Q+y8QV}^oG z7}2C$I1=9*xEddRJbtvmj}|boKteOT1&)p?Nh_))P4G(ET`Q?iJRGSgPVrDZ@+C~$ zn5J^_xaUgAuT`~bnO!>-6rrd%*6465q2-kx(BYJmqSO){>kRlf2`i83aFS8Y_!Eqi zY|>x~<6Ct6EyuwD03O#uER;s7RFyQ;MX$#rU4(u_$~wfGsfT5 z;napJ82=07^pS9+QpE8$9gb9yLVt98YQRsEu<}m>&Ts_zvHzq=e(b-{Jf`vfOj@APGNQCN-gonaEXB$3O7(VOE{x6(U ztV+l29N=o7A259WmErRi!{_aW&prHE+yI39tdpf=x{Xgq_flzBJS{4Y9VeeE=!yK< z%@j}niX-t9d7Ylor6X1n1&^DFEkOzeKR9UZqa*QRNoj9DM=X+!bl`@fI1Vk5lVj8pp4QB0GW zW-`rZTF$hVX)DuqrmLB5V7i&rGRV%xT1L+enf5Z>%k%)#m@~NjOp}>rGRZX| zLW5%z(`2TZO!JwRGp%LX%Cw#7YNi{QZf3fjX)n{gOb;-PN#pvNCNs@sn$NVHX)V)M zrtM5uGu^;+Gt=!%dztQKdVpz6I@ixMnQ11|e5U10Yniq(ZD+cg=?12onQmv=%XBZ( z159J+Mg3%drpZh*ndUPsXIjg&m1#TE)l4@q-OO}5(_W@~nI2#olfm^fO=g#fMHECHBQ!~a( zG*lw`8D5lx-)xM$ZUd<0P4ri7$bxA3l5pG}%&Uass-op5!f`w^#CeTye2}8$N5b(~ zMa!dvADTy zT9|`}DvgItIY#l(BQS=;rVLlKyqErx4R_bg@ezua2MgyPsW>@57LJcn%=W`J++EK; zGkp9}IU8lAIPVoM=Xk|9UM2qtN}pjIOI*w1g`|+%vMc`%gb;Z~yLSD^yXRpM??~I}Tjg0s0k$8{LN>Pr-jR=xs#PL|Cz`N)rv^ZX5 z{sG{mPn|)Z(YS#@a@szS{6As-TE@E>|0m-wG2Vs8OX(QN`cK4-j~J|X%X65~De1ky zslPh7zqI=$FEhTzfd7N#bUrA{Yy3lSV~6B#WE|Zmj#Gh?{N*f1!>2KxZIFK%%UQ#6 zEG%aM#>1^Fr-J3AF#meyPjE^=({m@|u?GAz;ACg_SPXa^!^Qu2RSRxV5#G)C zD8}(^4}o`%ll&U~FW?ph>j;nYWad8uH{wW+GF9?l%y=dsvYU2j?VZNB(l?>6wyWPFE#zmf4Z2L8Jk?={?`*1l(-BI14!GlYM1SQz0ph z@iqgV$GGwN*h_$u-_YV)Om;P-w9+^pVc_Iy{!Nl+TJj@23lY9?nN_!T8` zfApP@6S8a<#?rw_YvBBPZD_K{^d;O-(lEyOl7>=Ag4y)k^7p(z=f*1_R#67 zDEK2$@Mi^%c;GM6ufmOs<1NPfekO78ZdF|Q82DI>PhX5&A6N6hnlZv$pBmtTzgxYak;BFyY?xxg`x7RhnQUo%l!fRjF{?}oQ) z6c(W2z!TCW&f$ep0G#;ye=YSy^Tn}B@bd>9d6eZS!=#*YzV>h6m>Lm>iU%;L-fX9o z@07)qWX65-r2h9Zejek>UnPGDOT2{f{$nMhW|IcS6J|&`_00b;@G*#&`c4av51(Ux zH^-&27JPw6!|BCkI9}un-vSr*{7lxX@n47`OYQ2!x8UfQDE`NH^no7(zm%FAUhm1r zo7=|)oaD5fBlXwb8?%IQWuL5<-gidF{lH0|zSrfz{G9F9#r!?^E)gB)i2w2RDBxs= zu9R?n{>A*=JbpBPbuu34(QL~i1ep{CF91&M?PouRWkMWp3x144?(hp44=~<;x73sJ z1$3N(g~P1gMuEpGUHk@(pYwctgz-*Q8s=3gM(G1i_Q`%h%Gc)6<=9XV-n}8bzm@?f z`}ZxBhV-%ghnTf-$-_v%kc^va(JHJ!*bRFC;1)6OFQQ= zz8N@;+pl@Qqph3vF|5y0*)9wxahwF)O#ev&N4*@MPhx%-@C2-D#&xQg`JF4JJ~hl= z7e)R$=67exez}bKKh@;iC*^4EjU6ldvEn4jU%>oH!0|8QC}4iKMfR7~B3arP&z@iv zKruVw7l4yJvo}lpJeK&T;Excm?2m%`er9gZ^T)CNaZ>*(7Wq@)RIl$DS?{Y-U1cfr zr;d_91MB~+Cg-=|a^7P(%FR;F{mfsKB<1%UCvmuCaV!CD*6(Yh;7l*&si+>wVxfubsS4Y-WAF0#1Il z+u&DkJWcZZY<9s}%KY5|=MOs42b|>eh6PV+0)ur7=Q1L>2>ezlhQAHLJP zy(P?_y?(q_TMO?)z8H61Epd+6NejUK4F=t5m9Vt@I1&p5rT-f;yiEH`5 zNrFF8P66}xuwNa*EG<#^?-e-WmUwB;SDAkoD;|EI*?WxND9jpsHV z&n1j^0;hh@K1ph#`Kz?Er2MudiEHEVeBk5<`Z-S4#&ZR5lGDTES$Yped5Up2#{*h> z-(vZ`5~(MvrFEeEQcY_?IlF;#{f!2h2~ubtvpPSmN5epr5`b zyzS>wPx@^OI<95Bi*BsosAK#tfeR??zo(eLpZ(7XhfL$GZI`T9^H(*%Nzd*lrGfXe`~{3>|4i~vXZ$vn(`See9|2DDJ=-wfD<@0& z6(37Ey!}&d08aB_xxvprFZjbuAU^D7Ie1f%`1}%&htHz$56$F$>6487*rM_i;8brm zuge&&;+PFw%*S47Fo+e$8X<>2=*VALP72G>=Jj_`_>Y^y_A%TK%?D2Q@4(wp=(vyT zsAgQ@ebX++f5o_u_o2rz{swTee}%#R%MsX<{M4b+4r_Sfc|+h_SVs~ufQ3Fz*UU`Z6yPlQ;hV%G*OW=A=dH!$auNWu! zM=<|S&X(@|GEu&o`4Mq z)$67ocf`@n{ql3*B;RdVuU})_NjDI2XnxH46Di+mkpFYwB*&NsdxY@{y!(xgN5ucA zA2{h#!Q*5xWc}x*F>?3|4HZ+gAfQxx#$Y;LE{N0B5|6{=) zDgRrR)5iH353{7@N%=jz-#^(ZFBCGaB-sRTrbXg4z{Ng`^Gjn`&P{@!Kj_GPET=C= z${EMkUI0$^?BM;lW|NPY-+8>`*L=~}jJIu+3f|0e#<-;Z9VbhE&CX{tUU9X=D_H*- zz^PtiUh975?^!DuwRLR^aPoV*d4H{qled_^|0KK6_<= zX}2MMSi$_=^cpN2*RcEzz|H*3Gg0tQg&Z!dBe8{~XXJ6Bz~Pr1vb_j*#W9=lzVoF% zS25nexO=O_r%3ZDYk*UK^%&ytlp@*QErxin6*$?gB3H_}nC09Job25Bp&Sn{^Z1E@ zVTs>q*gu{oa8^!7&WVEG%5wU6U2fnq+Zk``m-=Y=?e`h)m?-g;%>M;&>c<|#eUOne zr2fWz+$7+ne*(u#T3^s_q7dHqbJ@@~RaQ{Ta{AUw!^qkdjnOm+eNc<0Lt$ zYb0siitN2io<3*!$n?q++gW;ss)C;O*R{U^^P`H{ygfumnmOa5Q7q7MQm z`*e&yRTN6#qR&K;GXxuL(!YPb6mSabGeO{y^_~Zu^z3^}DyYR9z9{^?EZ>-a{*2{h z|4!Dc^?UOysZURrRAdCJa6RLl4#{86_^XU3jF33JHja+t-BM2Wd2)VaV%?nk&gaa=2K{-7f(nLl-ktQXTz9P5G8eC%ZZsqIsqVg3ZezV$QUVm$La zO<_5C7nu8>RlupgdR(&JQ9MrQ_ZQ5@3H=5G^_MY!{wGb2AzpRNmi!fld`LQQs#m#B zR#?m9{7rV$ z7`}EBa2mHh!#e&k^LOz5xC-VUjR`31!}n#TF`f#X^z7#QL{clfCyx1z@nkD-vM1hb zDkQ+Yi-X>sKb+6?B*5}Jct4J9jyO)c$ejNi;55Gy4D;(o;G};iZwRjD%63PQGZGsd zYF8@vFS{9K8slAO$d+cZK9?}wGe)-SHOB8?yp7`%ZN0b;xR|GVq@2ynKjdOrZ)cqB zuj_c6qyZ;>pfHkOlcnv`=5 z>vP&AQqPXdBu>9sO-CDW(o^|^#QE!N%ID1QyjBW$oaM~8l-tXGc)b*(%xAoh^T`Oe z#L)qq`gaSjcUpV*0H=CW4e|C@EXVn<)JOAI`Ikxl{y$4x%adFQ+-w})DR9IUoRE;c zqbN@@-eK_bpE7QIKH-F)n#(^k3Z4p64p$<-pHd|Fk$>R*Y(3kjEsC6#z{zg?hJE^D zET`fo*&)B>e)%2aoxhSTO=bKI;AH2vm!;iaWkXzYxp}*O69wM~ob>UrKB=s~1sgY# z@8cV5T3p)5c)uY|TMnG&X}{rq^g7@)FZwv&K9c1>&-})`cVdN<<6I!yrR9xN8P7KC zzou(=b2xvMz?F!jllgmoEBULKV+Z5?-%9*ttz@OtM>$LS6Y<+WxHeAU8dHc)iGoj$ zg0E%yZ5$Uh^Zfk{L0~h->&Yv*5k}vqw3V|^6)Uq!*kVqjDMw(&kFpXE;iZnh69VE%-S(w_92-E`D3Zj3+MG@PG1 zrSC)1u?o1*{{ty!9^)@CfB#-7*eSRb|yS4+;4gZzqKNtBgizXE$$*#BY>A*c=V# zeFQHHN;Biec>8X~yLsQOvO-%l{=22!kd6>XufX|(j{H3ep4cSy?2426zshAU5V%eN zpL&^Jxm9)`ha<{$z{#E+hI#h~#+?UbziW0Y_L=v~Jm4h9Nng;zq4B>X__1%|ylx8X z^A+>={Z889&Ukqt?n>FN3VxnMO2hX(1EU#qkTAkUs7mQUY&36h|}L zZLq`#nEw>u#Geo=^|_uaUeEl-=d`y1Cx4P?h=+SL{s*L;@w1)ccw&LM-K;HApZ-a* z!ctkiavX5dr|(@U=M+pO^$_K%MN7xR(lHLIf>Wd7`5NIkWD z{;5|u8nowf)r381Lu))!MaE<2U60f5CW01>Zww`gIRV*;r}~u;Wv(# zmce#a9QMUhpPo}C{tL!W2QK(o{~Ot$&ojSqf3$~jV;&~sXCy!JXo`YgFL3yQ1lg_) zT=Z4O+g3(rMAXML4Az(8T{4pa3B;PR&YK)i|aiLIE@EmTzU!P34Grc;ix#437kLZ$Q#Vx z$NPxseC<5Y;Q6Ttn+}2IG^(#C$RiW1;0)aKJ~Gj z{(RYw*9?*ueyQPyBz`vYe;7qh065i~&Cj0{GXIQgWxMc`Oaht4_(I@hPdDG+(cP%rU55LiKW&qEry(!#Ti|Bnybn0pzv~;>UM+9wzK-q8&%tVO+D(l2 z_$0sP-yQ@`a*W?c`zP}ozsILuPyCU`X~4-o#^(gi5&T#md0woy$g;DTzl-PNaV&Br zuq9wH}6NZ z_3=8!Q_r#qP97G@?|_rtjL%E#W8BT_U`)iYdo9THm^BccU)DngN z7UoZ2zro$BJSzAxet5rg2J5-_P#C+z>mKuXA6Gx<6op4?Rm&b#=EbP_}_;~`8ybQbG}8h|6c^oO6bVs zo6X1POyJ~y5+0R)Lz@>hjJFx$q8k`DJ}1@#ocg`naDV(m;MCsizsdGq%Kd)&EwbLO zgR)&t#;X|bJ685P!X$Cr4V>h(y(am!eQVqbb30c>!LN^kcL^N+-0*z(Yrttd^ceCU z??sXGFW{snejHcmJ(%@(+$#NN|E;oJnomdqPWCr`|9TqZ#_!8q%(!trE)Y0ZrXx3N zatzO_ybYZ6G=2~6P!u_*-X`^o$g2xnS0SISVSZzNzL)VX?%y++f7tC(euY)qNBjO@ zp}-^MU&{PW!+vuk<2{c{`*(5CUu*J5NcrP=yzOV)_&yU093%CM@%v)O0~h0YnUw!; zNt6oa@4rsU*ZkEzjQ8<DXw>zf1e}cj zL&)I|IuieLbA6J5YjZ)zu3RN>#6K&go-gsmZBh6~!hmGAPPSVj^PdWw>{h|g0hThJ zqv8C1fcF`%X51Ge?fEL>YZ-U*z6{~IIGzD6=FvM+&&`Za!A3@mAKnlCp2ypK4c{X9 z`RlUEHsB44+Jl`CJ|6eTM z7*7seE$i)gLF%)OMW!>J&Ck19d0dq+-p%*#3YdQ`aB8p9;3w|@Zl?eHEXTzH+U6KLGi3$2ZeJ%cGZ{_^Frbe%;%9S?N<8jY+ zm6cuW$-l59x2$MZiN_-vmX==LP*w-l8h2Au!<4IIS`&{)=i0G3}0n^ zbJ2{_$sTvfR2n;FsJbN$=AA#kvazPPzR{c0JeN4rQaz>K25+@Lt;JtdI?Y3c8@w$o zMJP-12y&&TduDpP^L-7}19kO{e$Rr&`YRWBi>A+>QR+`m^VNH+y;s$@cwu@NwRu4` z`l!@D*}uToKuo1mAf$Arr)WB~6SYp!8tAF_dz&l$P0d_+`lR3$AuIfPo^Mu}M-KSO z7`)-#UfDdCdo3LIHdc$yME9o~%g`7gb?ciNHJ(%>&wTHEIT*slF7VeknBlDpJ#@)b zmDVO2LRw83G)K?{Q#|<#8ifv?I`mG1x49_4wbgYAMouvXRZ&S4?h34z6FsZh8szo~hCrN5p=U^wEMRJEYi1dcX< zNx_Vef*69{v`L<6ARY{*%DGThDw zr65m}kH!M}0{WGiicMv)VEA5&rNV-xWwYENwW@vqy^K;*JuLP6s+9({@^i}IvP8d< zxqcXnMF__9*4r$761-S_L#5waBYo1$mbn)!@GkI9^$Qo}Z)(IUA(oPK;Vg^M`K=9} z)|QqQ5A}VGdtQA#hT?q837YG^<|g07N$Hqa)FJ<=t4brs67(5Gkn3`_mU&9EFfP(l zYnz&{s%)+ax&V*Aa;}{GX&GXDIr<8@z9~nnFZ26$ZL~RiwDZ8k5BzsF&rNs1&X|0Y z*psGZ(5hIBd8l=C%N6y$79X6wJIhlv%adPJ?3#^EpErBPf6>P-A$(F$xL65)2)XWR zv?FX3Qap1>S{heXUD;S0YQTT5KWcHq<#}2wJq`5~eN@hMP4dsD@S>pn5-eCSTTRtm zPm32zVk1__#s%}Myv?nyEDa&Gz9%0f3b$WYINOzz=PAl-b!GZJ^A{D>h_=z_`hJ(P zT0D*3g?>>ELZ?E>W-Kn58Lh41wTP&|LHs_}XfOX0Fy~p`*v&(1O4Kp{_@q&Rqca3478o zO{Gz~V7{-~-{7gOhn>+uzN-IjMkD(62Zd0t{x3<#D95VOC`UOKAmQ||)F51%{olB^ z!?*`b<^L1$8Sp+7!)eZU?3S8A(Q2MO6E+PEcRa!lD-!k$sa08WyzB&IrZDuz(|`VWIQG>8aInTZk=T ziyQ1SEB)1VM)Cgs+;{S z{spzQ6RVXPZ?ktUR%tJ`#q&MY4cMKxz#G;ydFD1WRaL?VVz1fasa&v7L94MnMI=0N zO6Jrob0&DX#(Ga>b93b)kGIj^yhy2ShT|kpI)4$0=spR@8^#(mlSc;Ex|~8-P9S}% z-&@(7*K}2*ySWLz8N2)3hI&*dL-gqW9^Dw8T}aPt@nX|`v|`fIu+-2Zk%t9?2EzPk zD^7$4xf!l{WJ6M=i=BmVC?dJivcMizYUEYbSa!ZLFp4I@Wg`3(409Q;zAr_$Q>c{o(DL>9}ufP>Eq^X7-j8T zv^T^Szo-TS0_`oLjbboKL+41+fVi-Y_F((mg0KtWQz(tn*i_>c9HN${Nmv(L)sdQJ z1Y?ew6dPtVG*w^WYNaxvz%DJd6f+-YU+k^PO{1%*vAMCy17@*rH`*k;Rfq=liIwxNwBFf&+R}W&vrH$^~=l{2uSZYOjwn3$2kY zPt#@p^3r9S!+IlJZ}$wW51IoktwzowLU*LQ%yp+cSUSa%$Z%3B6B$K)KF#B6YJsrB zJWNwx^`zzl$xE z7Jm(*7o%)BBN|%J{3e8Hp0u>0Q0k7ly95hJD2t2H3AY6}`nHS8Of7Gijl}Fs?|iM} z!=%7fV3DY5f?Gif$&)z=wwhSOOxKb7*nM| zSP}J$Omtc*>ThaZbRh!wOn*aF4*GE3JaQD6KG46^2QBckT5woCe=~-S$iq@siCrAc z2`sh6CCEU}ZCoI7#pxbYa|MP|Lw)rkS30H3G3aXQTQI_)J)S(IUp+O*FH+LR-`v!ITqUC|$V5X!TtibBLp5-rP0i${u``Av zYWB3u=ZmPJ8g3MQ4ZXdMRSj39dpy%-=XgBWYtMii#v$r6lL9N$co&k1Vfs>SU}ws4 z?FyUY6J>HLyn%*}O^w#EC74SMxYvS|z3e`$Y~oXKQKDl?$U@9 zZDuP{>_~Fgp||Q>uGyk{p}HtMmByc(X4DmvChLb-`5aG;?1E;>FChPbHObqIrGy4J zqB%c$A$+zhXoP#wTs)R6xjq@X7z2yDc*^(fV%9_UN@Vw?arc4hyRW*g34@M9AX<7C zh$UCQuB4|@yde$TlCNz}c?L&LlF3(LM0qhss%Vm6j!X^SGhm;JL8g;%P35Ld89lusb(c^R%E~o(KuP?=mTCNuQKg-*QE6a8d_HWL~Nm<%ii4kRA!`fe(-?JtI7fkay%n1Th7!N`{U8aQ~W-5zUC?yV*6$ftVphmBC)*&do!f{kZ-WQXNA8?CIn#=B8U-WUb(m^}S;;<3bc3kqMD$lVoqx&JD}EnYymY*b-9+a0Is(-hY{s zqxPSSVA5!z%kzpAo>xH{eLqm}LI#TnuSCFB-?Ff#er|n4v^WI`kQRTF4@0&dZeHg2UvvZqT8~XuKyA16C!5w;FfKs54fj# z7kaC)O`O%}qF`K3T9d~#sOLnJCSj+~AvT;6;+OgLjo5)i*s%n+v!l$UsFQCBC2|ma zQoIn>FB8*g=3?nb-;|c&K6(Mxa8Ehzr`Kc3Q}`rj;$a++7SbJdiHJTsbX(^%U6KvH zG)nE0@O(^>8y=h?$a>D39~=y6VbhNzjIbD@KDbQQv(lNg z-IGu2Pyj@a0KsR6g+SP$Y9Ua)upez%k1BwGfKUMOpAJjf=2!ZBUPQa-?C{)>9GPY1 z`gKEFs^NK%0F3T`5(fVJULhRWw$@ z=UiyNGW7@EuNbzQhW$!#qiN75!hc3h4p6sfbBKIkn2(}{hP=d-`O=5;##Xpa?Xkb9 z7h=ncbhMWemPYGDXpIC@1n>rKPz!CHbDBqB-^A9uIP()e9>< zRk$0`h#GN6MITgBZMww8(MN$|O#EOGmw7fU)3BwDx-}}9fk;A2d|{h_QR8cw>TmK_ zHppjI**F-@BAFcCbLdUno({)oz=s}PqO2ROMq(a>4O=mok%Ow9AF(FsLddkN+^`2B z^BXGXW>CI{7G^CfkTDgflp^M>X;#TN3nxYQ3E|GoXxS*Ucm5SM^%287+TA`fPDH9Y zZL)Wv4-X;c(|r#LArU>o-i>DV3RltCw)E(Gy6}SGTZu{0(;#8Rroauz3?$0y9?m^X z1~M!?H6J-@c#y^`LYYFHpG})o($pAnFN5Z*a5$7358g2_Oxy5fV6qs(JQ5GH1D+f` zx`xlmLN>Lgo^DTI`-vMY$h)ScHa7X|YZrOw@nWO15)Z$HR*kewUHS}}eQef6uoZr9 zko`Qi!9U>Ulif}*yH=#jU-4ZmgtWDh!J28l>ZpRXP`2m)HFqt&ktJC<=)WLH~NWxJfNa?J?oDs89jtadt$)9GqU2q9Q78>Ep~uwXZ< z9%&XxEZFu2sU=vjf(0Or#2;XV1_@}s@0>U{9`{B%oi;sK<+{#C+#5G;#Cd$@J117i z&~ATpp&&Y}Ebly4D9~IbcQ_tq%mxo9h?zODisu4nF`~=DN0nuGc9qIK#_}=A`Xe$s zXd9u&3yh_|y#Mq?d+X_o&i(Djonn1sqd%M9X=ssyM^>C0&nF9gg21V2P>AHCi(|(7 zhUe&s>!uHN-rR2!19>FR3id)PMq-^s8_5I&HG70-$Z$dwGH>BT3lVVi%fSWs`$e(T z-rJJk2YBg2SQkL`PkTy-;ylPt6F>x*B{%f|+MQ(}J`#nxOZFAJ8jp*&jaO$9mU%26 zA(;tSzPF9@L$^9Q9=`dYcXGzaT?48a425`(clV%>;XRKXzPcfc6XI=W=m707|5CC&wPfC$m~P_qHZa-o;JR6JrckBvf#Y~y!} zMmpvw!-0rnUxCds95CQ(cMY^eaTCXY60PDNwF`8X4n(x>=Uc7(sEV-}C&m zl&8#&zf=Szle+nwXmfLYpCu z3iTlt?nHi6Q|_OoO=T8lOejJ8@wk8^Xi~J41RF;4JK6 zrQx4Iyiq@zewj3X49f)CJrwWPER!nMKM_96;K4W7qnvM%z2S@lZ)8;&=aj6aymZfG z@zD^0o-TMz5<{ijWMIae-8!dr1wz_fRtcyzmo!3v6^QsiF3J-1mg1GpOWMT!Zb@OFrJ;>*SQ9$xLW zW!`&vof^N*`D5tij>wW=rKoxOxV?k(yjllTj%izTM?zLQ?Di9~L8_`(N?rlb*(p7@ zzJ5=M1>(7RmO$N8X)ZIIb9VpW^%z-;!UWm6>@(S|!q*@X2sadDU<$VA1mH~Te1hO9 z0chgxd>|${@miv`t+&BU1W5@})D=XZySJ0Xu;Bav>fEb0R(Trg9hl&u10l1C|%5vy34)51RSV_(V| zr^)raxxYN^6N<2ryt$v~gXy4fv;Q%6N>kMh!D!XTW03p{I?j#N))5teE!=*zo*pCy1A(A07PZ!jcgfnu11n^AcQ_X^J zb#~gwUEZZnfD@Uqve0_ezwDiZx_0pZnN?uS8^@GAj{J$|ulkazfcJ^^1Z*X^4+ESa zV=6_9wR#UJYM2!pumK^AJp-DK>5>YO6JbKRgVW-wvN z0@|1=uNPU11=*^JIH`!Qymt+?S-f0WSg7KaMfdc|3@Oj5h1a_`BP=ygXR~y4*Omh^S@LLQJ^CA4RXY{D6q888>{E@sp$bkPbaQIFaWUOd+NB83?@gu)D3X8?1ULFN5d0^GnYZcnNQ)m@Xg6W;qX_X{; zNe!Y-UPU_J9Bf%TgZYfeDNvF)$Wt4UN!M~Szm{nc?UZ$p;FtH6f7#YEs-n zWT=<xYs}?6r#L04wkifz0nfHwT> zi+MK1a*5gA;t3!y^HG%>TpK*yozY6&3O&N+e9SC|wJ{APy9HD1lpH~Ry;uj^auaV1 zkf!36vk$TclfE^CrM25XxHwiG2kp4C;hP!>V9z3UzH5*}vuM1Lv;Plsgz32&t}E$l51DjJ-2Mox*TuCxm+MfNJY+w>dA)ls5~QP*PdBGUCF5 zch1c%kBx118oJ%)r6Ig!aIm@WkNBrN}gOiK;^m%Ks()%3#jlG zv5JICRd{fi&jDE>Qzcnc2Jpv+*w;R=*wkKL$vJHul;mOPIL*9*FKfDCsIjE={T;*% zP_Z#3*Bna9PAb?>-^7%1eLlAe>nc70C?Fp)62l^zx=RA2=59a4LKX!=l$@3I(|vXE zy#?(nbrF*(Edx_2j!92Y$gqkqZ+dMDl?dOb@?j5EJcaf3B>c)xgnH$uy047lAt_*y zGe$63&a!=fA8P!J3)VIb(Kvl=}$lOn09dLYwY zV`{K|lzzq{7($y_f4Vmh1QBsh{Y>B7dZI$orXF{}+Qy?k>I76@>Lu9cQflj9KCe{;E4OuwV6rCV}^dUmeuBZn+-H92k zTJu6@cE}Qe$w>RDuq+Apr0Q+nz%{3k5%=29c2qzGb};CEh(f?x%m9`CWRxP$RmZeA z{0N3|g|_Bp6N^9`9DwHKOraP}V9pvV@ltc2i0+o#n1N!5O1e-Q=;r@TtucMXHk?)C z+X8sCPzR_8vw|JjqQ!XNp(!_QY7^a~I-htA`IV^U@ZtE(xgLRe#e#cQm0%aJ=kSg2 zssZbH59t=ARAj2^jE)oHR1b@)B8dMC(Z`Lw{$N%Y^-@DAIG?#qMD`ZC=SN#s?9136 zI!Z7tuy7~mL%W*fTCf}C8-R|1S_5>jMy^y0|BPh8^O;JjL3**HhbUH=X1UT^Md1^$ z?TVPHqUD$(e9a+xf;UXy3b$V+OiN@BY*oT1x{6r@na zoW$ZoKn>dQnIdY!-cGdqobXVLshF|=C2AAp=t`C>Ge8}CpjPc~H(t zJRh?}@Bjk~rL3D$am^CR((<~EF@s#ZAZ0J)ZQR&Oq1o;D{3ymkLjR$_HSrofL?wC24D}J4j4WYL(xaugSOA)9C^r?;rC}Xul!~Iu$8t^Y4}Bd9A3L3C zrgl3hF;bsJQ-Mr1{$B>Nnk(2s;;cX@6gyyyQ5}AGz8!imd?MQ6U|>q-Au)&cYmS?j zn$DO~N*H0U)<`xh!I_#XeC+Mcj?Y~ZZ4Nza0jJ#bUqS*Z`C3+sDT_M9t0q?Tt-SS7 zjT-6XK}lvGrBYYgiZX|F^GkkBr{5B^d}}|}i@1ALxj@rhg7bcNbWwGAcNtvIBRJ~ zbg(S#m_#_5sJCKSyBlNh%UtHJ3Q7&lOigI1fj5>(nHXc|2QKt@?giR*5o9g}kn*ws zfm8FQwk0eO1fV^09?Z}Ta~>8Qil4CR)94Bq;THCph|CSuy2R`7h$nj}lFi?|kw$Vd zkDCxdLLT>+xOFb05`m&Yfk(uQ#rUW#6g0_dj_!)Xesh-+t2HW3SXP7zAE%gn<&t)O zUJ|fDzCrh7|HbJT+k15S$X8MGrAk7AF5QZzhl&j|+_NMv@99z%G@DGm{Gj&{+|ZMm zocRVSeSz{*76@1U-U;@y;s8(OS`q_;_G210NJJzX%9`+N248ToP~`BT zC|QAOyF_2`{4A=JskPQ3ZYZ^R{X!^HNPu@&XGDLA9_~^51ao~s0>d4=_9XzEd@my{ z^fEycA{hzpNo8~b18LF86O_c;7!Smo=E_gRjx(J^W1`bJFDLm&gLbYd8>xw$lc1ecK}0DZebQcg-74LEvcdxSlvj(^TLRIxrp*|rpVcbHn}^I% z+Zjr&(min5a8dV3P$;TmH1li0ldPjhRn&wdh`%OZ?!2z#1SWb-Ed-*~(dtmVAQ_s} zN`ktoB~s$jD4AMW9nx6`XGwB6>c^p`m@NN0i-cHtfXL+Vz7B+SKpH2y!8jO<`nUji zVEquo=J#-z%Ko96f#mF>w0kD0cRDKvR*qq}~ZMc}6z&Z?jw*dWqSeR?rtoM2jG z!?dFwM75O0t$*NJh{v1UTFw8o_omRA22tP-`v9bCt}?N*#Ouhg4Nws#X(Iv|RdGk^ z^#4Sa@+wxA2Y1n9)!WN8W(a(!DMpyDqgUwtD0}%LW=!U9rPyDMc_i zl^sUl!hoWKY68am=IxDSzjp1iF3D1`O)EUC8TZ&Aua82_V1v__7Ln?yKLVg^58yE0 zJlxZO=o-H1Rh2tM$Bt)LE(p6)Sa9b(nfl=@Z-{ZL#!*EOdZC`*7=%v|)6ObtxstjJ z#X(|KW&@r}Tnl+IUqpE-D^19pR)Il^pmPU;G!_u)C+=u*fQ=qyUn&m>g&cws&~P$H zs!Int4&0Z$@sYs2fJNa)vXZ2Cm;2n0>@`umZY4`XtZ=0IgEqdq8z%d!x}Busm$KH5 zkh|#1I&xPHN1-zE%^c^d*c+kZ+jNBeg>08FDej0fV0_)wCT%XL=^7O!rikF)1F})h zZNp_xG+u@~X;}swYt#=>E?;!b;Xq*X*dSTJCM04&M;=+TDI*Fq3YBJ@qagBIE5+_2 zx%!C_9!;nOxEtZL`}?xx7ez5csknW&4J(PusD-TYRU?TDy=saoz)|NpdhsSvx+xOx z$P0s&-yipTqkU%)VYn@r$YN~vwEWkbEw|wCJ>~<6A+^d{Mj$<&K!~%HQ7OpF`iQM{ zI7tyfn}nkS3R)$}T6&Krt*b3qJ^$t)AuiJ?7U_N`~VzmI;;*^v_mv zN$n2Yz6C5N@r^h`;cSjeZNdU3Oi zExlMLNlsS-T=5)XsK(6_xkt?~6!*6-!_WkDooCu&MGuLNHytaUb_haYx;0CT>8RJ5 zYHh_t^yx&h&7_x0t7lz&hvR3776Ai%&0)1BH;o?^R*?l(G(Z-?L<PUDwb>NaSY5<(BOFtOne zbfW>lS0bBKDZ1?c=aP;bl_5a0`Z5H?;e@J1(KKxOq`A+xs<98~M*Ue?HBKuO+|0#W zqOzMc`?W=`h7{Qr0<1tR&rQlXL!V{7V<8PC#rZ zN~jL7EycM*$wJf;!$8#4oC^}V%(5v(yT?@+bw_cZ*-Iesj%(xRcaV@ zuA<2YE>i3!-T>VUgv@dV_wzR7HI+MP3;R8mMW!Xd_!_9yhy9~5_Z&zpVGIH2Q22*% zc#GSkh}eQunP(*D>AICaTO%paYMY= z6qLL1P(Pn+SWwUP|Bp%>tP@6S9EV`iqydTIu%79S5c$-bsrGqR4O$0xV4T8C~Q4B7LgFI^n{U- zvkRP@5hGL+nG}xiFL47-R2PbFs+^lbxhDk4HOOGDaCihnWVuD3xX%`Oe%=}?m!4mM zp&gbH#C;(1?cvkSP8$$uJmX`kQVrR>e)1E$pCZ9^at*3@M3=KL4{OgkRe}jG>9M}> z&zwZPyj8toMJptUQ%0+F`Sa2me z_d>Q|El}KLJm4C9_z?T23ZUYK(W5H&K0r=PqNe5qCk3>4fRt*8Qg`W= z@y>* zFcNdr%dIk@=x>!Q9-tzNewPXGSF}p4?784XQzpSs){#%c(O@(0B9=0#J&|E}SK7sE zsg?IX!^n&2hOH-;NL&Z9wa%K>Fa#>(B~+3#@{)z`SX^#$@CV=E4bC=G0Fl$F$>CHY z?zJFgcONj{IToQ83VPW(@3(@UIJHut(Wz;O6IpTm;J^tbWfqiLYX(^rrozfIBv zeKOBW*0r2rnmNPejsn9Hd2_oQh!(&7&a6O3Rtqp)s77TqYt&;AB*C*zL<5H1w(qHx zj(>S5g{0gZEmIeEcA&YZ*-kW?++`PH^M`(m!`9$MSmageE&AsZR%Q$AlqCV9LB@n- z%G3L4vC68Gpp+s-iyx@nl|`un6D-=d(6d>uqjk5VTXprsbif(iNXsY2(md9nOWAC( zWp!}49&6*H%6_8-81;q&0CV2<>618gy`2@gkIi``!Xrx74Is7??gulid9DDpPRb}TudqKp#G1oiB_8y2n7sm%UiM_(v_fgwdDi;^% z@ktM@K?TuMBBplUz0xz;-#i=pfD=o9yMz8d&N95<Gi*# zw14$C`VBk2{VHy6<7V&vb<+OqD>~ur_`5%E-_&Dz{lCTAJm(kP2cN#9H~i^4dY<|3 z#qHDU{~bR51-5rzOWSY7&CB^~=lLgm{*|Ep+h5b`zx_46zJ1S5W3TP_Z}@=YZ`Z%) z+TU~S-%9TPo}I`Y{~brJ9cw@T4ZZ&SH}v{%xd&_GX4kcO_{V4)UjMy!wf%eVYWq)Y zVz}#BdprJT(!MdT?Hlvj{^O+o*3OP!z{SJsfBa2t|M54q{XKVGJ6OB&H5&6hyZ;}$ z_Mf`;|6qc}HMnOD-SNxr`t!;A*8aDX_t{tegvVrvwmo?FB`v(!}suO{iiJC s57)Q#UEXm2-TSWI{}28meAi#s-u*@VQP=+Oe@k!ttJYE1AbHvNU-#(&L;wH) literal 0 HcmV?d00001 diff --git a/driver/sw/simx/vortex.cpp b/driver/sw/simx/vortex.cpp new file mode 100644 index 000000000..e677accfb --- /dev/null +++ b/driver/sw/simx/vortex.cpp @@ -0,0 +1,324 @@ +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include "core.h" + +#define PAGE_SIZE 4096 + +#define CHECK_RES(_expr) \ + do { \ + fpga_result res = _expr; \ + if (res == FPGA_OK) \ + break; \ + printf("OPAE Error: '%s' returned %d!\n", #_expr, (int)res); \ + return -1; \ + } while (false) + +/////////////////////////////////////////////////////////////////////////////// + +static size_t align_size(size_t size) { + return VX_CACHE_LINESIZE * ((size + VX_CACHE_LINESIZE - 1) / VX_CACHE_LINESIZE); +} + +/////////////////////////////////////////////////////////////////////////////// + +class vx_device; + +class vx_buffer { +public: + vx_buffer(size_t size, vx_device* device) + : size_(size) + , device_(device) { + auto aligned_asize = align_size(size); + data_ = malloc(aligned_asize); + } + + ~vx_buffer() { + if (data_) { + free(data_); + } + } + + void* data() const { + return data_; + } + + size_t size() const { + return size_; + } + + vx_device* device() const { + return device_; + } + +private: + size_t size_; + vx_device* device_; + void* data_; +}; + +/////////////////////////////////////////////////////////////////////////////// + +class vx_device { +public: + vx_device() + : is_done_(false) + , is_running_(false) + , mem_allocation_(VX_ALLOC_BASE_ADDR) + , thread_(__thread_proc__, this) + {} + + ~vx_device() { + mutex_.lock(); + is_done_ = true; + mutex_.unlock(); + + thread_.join(); + } + + int alloc_local_mem(size_t size, size_t* dev_maddr) { + size_t asize = align_size(size); + if (mem_allocation_ + asize > VX_LOCAL_MEM_SIZE) + return -1; + *dev_maddr = mem_allocation_; + mem_allocation_ += asize; + return 0; + } + + int upload(void* src, size_t dest_addr, size_t size, size_t src_offset) { + size_t asize = align_size(size); + if (dest_addr + asize > ram_.size()) + return -1; + + /*printf("VXDRV: upload %d bytes to 0x%x\n", size, dest_addr); + for (int i = 0; i < size; i += 4) { + printf("mem-write: 0x%x <- 0x%x\n", dest_addr + i, *(uint32_t*)((uint8_t*)src + src_offset + i)); + }*/ + + ram_.write(dest_addr, asize, (uint8_t*)src + src_offset); + return 0; + } + + int download(const void* dest, size_t src_addr, size_t size, size_t dest_offset) { + size_t asize = align_size(size); + if (src_addr + asize > ram_.size()) + return -1; + + ram_.read(src_addr, asize, (uint8_t*)dest + dest_offset); + + /*printf("VXDRV: download %d bytes from 0x%x\n", size, src_addr); + for (int i = 0; i < size; i += 4) { + printf("mem-read: 0x%x -> 0x%x\n", src_addr + i, *(uint32_t*)((uint8_t*)dest + dest_offset + i)); + }*/ + + return 0; + } + + int start() { + + mutex_.lock(); + is_running_ = true; + mutex_.unlock(); + + return 0; + } + + int wait(long long timeout) { + auto timeout_sec = (timeout < 0) ? timeout : (timeout / 1000); + for (;;) { + mutex_.lock(); + bool is_running = is_running_; + mutex_.unlock(); + + if (!is_running || 0 == timeout_sec--) + break; + + std::this_thread::sleep_for(std::chrono::seconds(1)); + } + return 0; + } + +private: + + void run() { + Harp::ArchDef arch("rv32i", false, MAX_WARPS, MAX_THREADS); + Harp::WordDecoder dec(arch); + Harp::MemoryUnit mu(PAGE_SIZE, arch.getWordSize(), true); + Harp::Core core(arch, dec, mu); + mu.attach(ram_, 0); + + while (core.running()) { + core.step(); + } + core.printStats(); + } + + void thread_proc() { + std::cout << "Device ready..." << std::endl; + + for (;;) { + mutex_.lock(); + bool is_done = is_done_; + bool is_running = is_running_; + mutex_.unlock(); + + if (is_done) + break; + + if (is_running) { + std::cout << "Device running..." << std::endl; + + this->run(); + + mutex_.lock(); + is_running_ = false; + mutex_.unlock(); + + std::cout << "Device ready..." << std::endl; + } + } + + std::cout << "Device shutdown..." << std::endl; + } + + static void __thread_proc__(vx_device* device) { + device->thread_proc(); + } + + bool is_done_; + bool is_running_; + size_t mem_allocation_; + std::thread thread_; + Harp::RAM ram_; + std::mutex mutex_; +}; + +/////////////////////////////////////////////////////////////////////////////// + +extern int vx_dev_open(vx_device_h* hdevice) { + if (NULL == hdevice) + return -1; + + *hdevice = new vx_device(); + + return 0; +} + +extern int vx_dev_close(vx_device_h hdevice) { + if (nullptr == hdevice) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + delete device; + + return 0; +} + +extern int vx_alloc_dev_mem(vx_device_h hdevice, size_t size, size_t* dev_maddr) { + if (NULL == hdevice + || NULL == dev_maddr + || 0 >= size) + return -1; + + vx_device *device = ((vx_device*)hdevice); + return device->alloc_local_mem(size, dev_maddr); +} + +extern int vx_flush_caches(vx_device_h hdevice, size_t /*dev_maddr*/, size_t size) { + if (NULL == hdevice + || 0 >= size) + return -1; + // this functionality is not need by simX + return 0; +} + +extern int vx_alloc_shared_mem(vx_device_h hdevice, size_t size, vx_buffer_h* hbuffer) { + if (nullptr == hdevice + || 0 >= size + || NULL == hbuffer) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + auto buffer = new vx_buffer(size, device); + if (nullptr == buffer->data()) { + delete buffer; + return -1; + } + + *hbuffer = buffer; + + return 0; +} + +extern volatile void* vx_host_ptr(vx_buffer_h hbuffer) { + if (nullptr == hbuffer) + return nullptr; + + vx_buffer* buffer = ((vx_buffer*)hbuffer); + + return buffer->data(); +} + +extern int vx_buf_release(vx_buffer_h hbuffer) { + if (nullptr == hbuffer) + return -1; + + vx_buffer* buffer = ((vx_buffer*)hbuffer); + + delete buffer; + + return 0; +} + +extern int vx_copy_to_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t src_offset) { + if (nullptr == hbuffer + || 0 >= size) + return -1; + + auto buffer = (vx_buffer*)hbuffer; + + if (size + src_offset > buffer->size()) + return -1; + + return buffer->device()->upload(buffer->data(), dev_maddr, size, src_offset); +} + +extern int vx_copy_from_dev(vx_buffer_h hbuffer, size_t dev_maddr, size_t size, size_t dest_offset) { + if (nullptr == hbuffer + || 0 >= size) + return -1; + + auto buffer = (vx_buffer*)hbuffer; + + if (size + dest_offset > buffer->size()) + return -1; + + return buffer->device()->download(buffer->data(), dev_maddr, size, dest_offset); +} + +extern int vx_start(vx_device_h hdevice) { + if (nullptr == hdevice) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + return device->start(); +} + +extern int vx_ready_wait(vx_device_h hdevice, long long timeout) { + if (nullptr == hdevice) + return -1; + + vx_device *device = ((vx_device*)hdevice); + + return device->wait(timeout); +} diff --git a/driver/sw/vx_utils.cpp b/driver/sw/vx_utils.cpp new file mode 100644 index 000000000..12ebafd3b --- /dev/null +++ b/driver/sw/vx_utils.cpp @@ -0,0 +1,91 @@ +#include +#include +#include +#include + +int vx_upload_kernel_bytes(vx_device_h device, const void* content, size_t size) { + int err = 0; + + if (NULL == content || 0 == size) + return -1; + + static constexpr uint32_t TRANSFER_SIZE = 4096; + + // allocate device buffer + vx_buffer_h buffer; + err = vx_alloc_shared_mem(device, TRANSFER_SIZE, &buffer); + if (err != 0) + return -1; + + // get buffer address + auto buf_ptr = (uint8_t*)vx_host_ptr(buffer); + + #if defined(USE_SIMX) + // default startup routine + ((uint32_t*)buf_ptr)[0] = 0xf1401073; + ((uint32_t*)buf_ptr)[1] = 0xf1401073; + ((uint32_t*)buf_ptr)[2] = 0x30101073; + ((uint32_t*)buf_ptr)[3] = 0x800000b7; + ((uint32_t*)buf_ptr)[4] = 0x000080e7; + err = vx_copy_to_dev(buffer, 0, 5 * 4, 0); + if (err != 0) { + vx_buf_release(buffer); + return err; + } + + // newlib io simulator trap + ((uint32_t*)buf_ptr)[0] = 0x00008067; + err = vx_copy_to_dev(buffer, 0x70000000, 4, 0); + if (err != 0) { + vx_buf_release(buffer); + return err; + } +#endif + + // + // upload content + // + + size_t offset = 0; + while (offset < size) { + auto chunk_size = std::min(TRANSFER_SIZE, size - offset); + std::memcpy(buf_ptr, (uint8_t*)content + offset, chunk_size); + err = vx_copy_to_dev(buffer, VX_KERNEL_BASE_ADDR + offset, chunk_size, 0); + if (err != 0) { + vx_buf_release(buffer); + return err; + } + offset += chunk_size; + } + + vx_buf_release(buffer); + + return 0; +} + +int vx_upload_kernel_file(vx_device_h device, const char* filename) { + std::ifstream ifs(filename); + if (!ifs) { + std::cout << "error: " << filename << " not found" << std::endl; + return -1; + } + + // get length of file: + ifs.seekg(0, ifs.end); + auto size = ifs.tellg(); + ifs.seekg(0, ifs.beg); + + // allocate buffer + auto content = new char [size]; + + // read file content + ifs.read(content, size); + + // upload + int err = vx_upload_kernel_bytes(device, content, size); + + // release buffer + delete[] content; + + return err; +} \ No newline at end of file diff --git a/driver/tests/basic/Makefile b/driver/tests/basic/Makefile new file mode 100644 index 000000000..089739a14 --- /dev/null +++ b/driver/tests/basic/Makefile @@ -0,0 +1,37 @@ + +CXXFLAGS += -std=c++17 -O0 -g -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I../../sw/include + +LDFLAGS += + +PROJECT = basic + +SRCS = basic.cpp + +all: $(PROJECT) + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -L../../sw/simx -lvortex -o $@ + +run-fpga: $(PROJECT) + LD_LIBRARY_PATH=../../sw/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-ase: $(PROJECT) + LD_LIBRARY_PATH=../../sw/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-rtlsim: $(PROJECT) + LD_LIBRARY_PATH=../../sw/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) + +run-simx: $(PROJECT) + LD_LIBRARY_PATH=../../sw/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/driver/tests/basic/basic b/driver/tests/basic/basic new file mode 100755 index 0000000000000000000000000000000000000000..1a2ab35ec79795ad8f94187c6a4ebc8e74bfcafe GIT binary patch literal 18968 zcmeHPdw7)9nLppmB$>>>5Qu>gu#8-kTPB42MKl2h1`C1$O1*tdW+utVWG2pqi!Fu6 zSapoFVlT2*yLhS9wz|}(?P9ey6zgT5y5i%dxV5{q1r3$9al5i;#q95QzH=s%k7V0@ zp8b;p^S$SN&-=dTJ@2`E=bZ1H>G}49MAFM z0#ORwC23ZAg9VUkh0{v4hHgc70#a_i!y?$>SjDHIwvezyr40lshFeq(h44!P<}0C8D*7crv%GcH8{9wR2|& zGO55!S#Ihl?~2Pe$edW;+EujqJp4#wdh<8h{L5czxYzs2BOm?uZ{Bi6FH4_c+6eq~ zz1`8}H-xL9oHWXg#BWLP&6Cgk{+=%!*!_X;?VC1^egE}8`#>Hrybmg6?coO?3`GCW zLFgZWKHjbb_*VzvzjYA$=LVs-4?_R9LFmyz=!XZPzc~nm3Ij04f9 zg6_8~0lr`mdJXhfh*6@#-(RX$(yK+ajlK?gMhLIir8^pMh6@zGT~8O%Mu=hJ4SUN# z``waCXJgyYW`((O{c1BBOUGK`nQSb*e)ZBsDj8cJZc4<2X|}Ybl4d3wPG?QiVjY+< zo{VS3mThKJuGwtQrWuXKwwS5*Sd#H@B9V%inbvSR7B$;qZKOp~?c2?4ihKpMIh|_L z1j>zEO?Cn%e{C0Wx!OCBz;#AUHgd%cNEb%2I_Ek5xw~Rx3`F1JpS)1qBCzD9xI}2A2Drz5na9d zrO1IIx^l6kcNNjSl43nxDxwc5q8~1zt5=&$4RxG!hdN7Qqx>TDrLL@__i(7=dH11$ zWbd4xkMxV)NzdasY+M6k#9Ntu;%G0zq@NI{Yfl`O_*2B`(i2@0|1NR5^2C0LKSZ2O z;KV+O-$$G-J#n|h?;%cCp4cVvJBibUC)y=`3vs&c#3qT~Oq?z|u~y<~;&j!C28rKD zoGv;sL*h-qo9_lLL;W9D=3l!Zl>c3*<5Nlcdi9*$~=Yas^;$!Rb)`cy=^gID#l#IQ077qs@U~<8GDuuRlbu_2E!CnvA>W zkbgsH%BPSI9sJZ8%J+m0c3&DYUI@MRX|@^)->$M04xz%q^zB;wGT20}F4VF3cE&c) zo*|*m#oqb{yWWYOEb8nL@yKE%B8J3c`^Jie zG$Gg%DnER^6j=xRLZSSQmmt`c-|%cGzk2_&eDJ`FLB4Lqi@`StI)eue9(67VzOiFI zH1F>Wz7fj51?z8z0ipb%P-hVGFLvK@hUm|kyf*}aka0MaukHxGVZ8rSsiHI#Ioz3h zmi$jsFNGFEC?9;MW5+up>p8$8o#@h8_VITW_|JTD7C)Qbi@_s!7A!rok1dkXeZbk_@gY5I6Yb_tc#SQr#zodtwp-$JN$&f)#r|Y|v(NNvh z83Y4;`XZgU8#W*wKD(^fqBf<8yEk+{$9E3-Mi=pol&K)D2hsB9MSU_o1)1Z2?epV! z$aGWDE)cc+c?J3RXmDq)hg^*Z*qlO7_ljOIwC4%$Pk>cW^hwxlz?lU;4p;;5-Dc-Q z!v-JJ$$!-6r@kBPd>o*luc5a==PUW;$n)e^32%%54AKecKKd%Zh!@Qkzj&mX~@Fa0s=um80kZ&u|$UX?$!EdS@m-t*oG zbsRE63x1RP1K$zXUf+0KMg?g2E(OdeJqm=hz*%=OKhS4 z+S=BI{?=Hc{d$=yL@b?7rTq>5N!u3s!;x$*obXRdM5p^>+uCE1Y%EI5BX#)KHm+M2 zTxP|WH?CZTINt4JoQu{2@<+;FfDGqZKo~b)DU59u#+V^x?z@e$3eveY?m}ME6c53`{6b7b8u|C1?(LO> zm9JvCulllK-mUIk;?kN$Q)f*aujN@DmVs?Z2g+WD-!&*pg2YB&#chtILrWbku*lN= z1&|*_`mEygq)Zlm(C)|YX{1M4HZ33Qa5}FsBoleM@cRgUd7+rcdC+1&8f`m<-(&Du z3{WibReZ&<#8>@gXVB;0?ONiSd{;@xH{-U_kZ*oR*=k=y+Bd(^H>1%vd5O;tKJYK` zx#j$GI`TLSJyr{$vUA~_2hMrmoCnT%;G74}dElG}&UxUR2hMrmoCp4X59s}Ay&uhG z41*oMV3CF1Bh}wC^d7YSUNKtn>3#4q3fF718iniqaIVuBboz&%_NIvMRf`3KJ*xMhM_FXCNf9)CpW3|E zc6TX0y%(+X|BRw*J}ebw`H-C?VP8t(?Mfe((mGvA_9(nTrDK^Z({(wN`u{gj_)cuo z_+wRo7ZiL;!FLrrrC_OYz(@rzRB*0>%N4vz!Da<*+5JsaeC>6Q9COqvHCC8&kxL)J;kDm=}>7^wq@y^s^z>qh$r`>C0T9GZF~VdE&~{M6b2G> z`~daoI5Ho}PIp-|5U1gO15!>$*$T)xU1ggkvGgrTEb&mIs{|jqgyVN4O<65vORj*8 zj^pH=PHm2QO5c@b^a)0HGg{&Oe{#_7Ur<(8-DYQ`^*1A(|Q@7?70U|moSLT@N^-6mm!h)o|Q~- zNTk7YBgI`333+a(-V%wd_3*Qpt5hNzJsd+^Zi62?HhH#Co5x53Y4to#wjo9n7}`BM zm{K8;tfz(6j4*CP$~Mm)ri?U}1KH(yjhT)z)&sf4!*1!SHnN~~c&?z#SmRb8cX&1v znPlt%!`+@IDN}23V`s1DM??Y=+2?tdNS#C;^Bf{FLn8Y<|3YM@Q45&^o*o)HOWM}u z`DdCl$G8&=&kHZVqPgyKp8-d;Yaa_){vYIiP=4Gg|0C^qh{bi6bFgte+5~|LQRUl?nz~BO6q-@S}A;UplaA+5L}lUqz}7>@dhjY0F7#t@lyBqprnkY zsdyV%mfX(lD*}w(A)||sUXqtlZnBluG~qeqWpI@skKv+X6SS3%`Ius_lHoICs4z(# zN2=o(_{wVHpgP`z-m)>DM|4Cc8^t&5xnQf@2Cnim=arvX0nRG-&f_3fxp!4zV6SrD z)+s^$F$wPaxdgj^CBc_ZO7Il}BVUz!&ol|Xwp@a5TqnUlY?a`?dnEYg^AbF8OoDwS zXcJZLhet{9?FkY*I!A)?owtOSpLRf6w5F2VO-m7r&p%>Bb#q`9a5Ac?0-Fm_bA zKiVk4$2AiCb%6w*qyfapeR!1jvJytgk{d>Kxnb~=P?h^7OXm?w+3zi7$1E+!EiLa^ zs=KLdbTqz2xZivm8Yx&4)DLugzw;sZ)mh>4!>dl0Yb|{5bh|#Vh$jKYxIK$bfl7au z`$6zr+yqTxY#LwkJ&PH43CDkf!*dCH_LvIK(kV0(xmf(mIMgWqAmeV~mJ&sGOV zP_|j6dM{P4bJEvr!ZplUK7{`+3f$|Yfo#@NK;|Xp0?`6`}PIfZ($)b)n z;Q=PK%bv#+s|i(;_!5ciw00{e2qwRBHImtx&q^Lab3gw*JlVpMX?(=gFbfJ6{g1 z-UV#>Ro;biX!Tw!$2jjLa%lB7$f4D{R1U4)WpZfs2IbJ|T_J~7Z%B@*-pk~e>iw)7 zQ@yL?nCe|E$5ij-a!mEEm1C;+3XZA6rlE3&jCZg(469{)qKsD@MGGD}k+oLwO-5(Z z^oo^m#?W~($`g4*7cn~dPk1=$@bG!qUL9Y8vwTAsopKto#sYHfR*Mw*O$NAe|6EM= zroI7<7K3xovpc80C;4fObI<;r)9^t}7z-)x*t2RnkJ%YAOUItj^x>3jybn`V$DXy* z{{-8O72lTF#_3C7fw9KIHi_CvWUUzsajKf4mLu{D5>?(`56V=jp@uTfJqLD9l`0gv zf9F(_`fD!4)4AvSJEuM@HP%aX-_EI3G+WlLbI)VS>@oe!9&2F@fmJH^%aAj}F?eBG zTo~glhGnAel;YTJG04<_l^UvZD>tT9;`H-qSe=}f?pZr69cvcG3=7)`mKob<=*V3T zA!zI=WX2#rt^peN--OKSk^M+3gM?MGkz{u4*(7FOMfoy4y-rAJ%2^dxW=UOV)ms_s z4V5u1A`G|E2j1bvBwwZ1=^Nu4Zj30az~ap@QaF7Jy^FjnE8)+H!t1>R>3H|4D0)Z9 zFi1>04RJoNG#CA;(l2RuPjvijPI9WX@Kp&R3;^y?8-asdA0G z5H|XyO6H5ii$_!V0i7Zza3#Z~WCa6i<1!op@a?ljf=Ax|T?! z4#$f;wdW%4jDzC&uq__7<3aj z!P=LW%+}_xC{9|G2-qQviK>7RkyNrd-hvb~ZYu$CDw0fygDsbYx~N6B4v^x=YB~l2 z>`>=tTLs?w7dPeNiEM2=c?k_i_jc%kr}|yTg_XBH^UQ+}Uh)KDGu%&Z6%LoP6f1R? zqx1opL;L@q*>-QmoF#?&%$Im2p!Q_B*8W^Ihji&aQb)( z3X#sHfLM`46f7*BLKDJlPi2Jm0*jo=WnpMGwKXCw&4jmDhN8gCwmFdsS05oE+oxfIKrVS(Y^LfuF!iOw|ZaR3^5nMFlq35ycpGoA@w zV@hvXqO8;UdQ{Uq<%&4k5c*9Ud}lVJ)v*=DSw=IL+?-5pP4Zm1*&dEUh+w7#W?5ji z1?C8IOO_|n%~W%9CMK1@9dyYS%RW>f53F0KxM7lX9~-Ug0kUo)IaFjAr`~Z|+(ffO zjn>bck0^RdJe{(d=yIHCGTRfm3^>i3a&hz+OMrf}nolkv!qF(&DIAwdM72qD1f_Yc z!@qRewEEfBZlS-nEt^Zm7PrLEfa4LMtj)#Nr4fH^i@$cw41X2SGtZUiz6z--ese61QN@J! z(F_u~Hp|bdeW7o$`jNNQjC09cCdM`ZXL8t)jq0Wh1~F@B9rWqMdtyGuWqWX9u-5mF ziqo7J?YYBe4^E8ydk3VK6uuJ_%Q*1@(BBjK)60b3XYWsU3%x(zpI$EZaRq4$PT?th zFDOoO4sZ{I=}vrA*@ua!JvhZs(T+ueJvfE0aNn&s%{kD$5imHh&3Lr(tB+53lv>{kJI|*A!3L=KQKRr<@gx`_`eNw>et`*$jh)DKVyJ;+za|Z_CEwV z_2}7AVOcf^|Bt2q5n@R3r4rB>2Gak$OgXz9{t9#hU+?Vg=cwebJgdEZpy>L$qeSs= z!BNHl?Tz=i2D%T%&GCWgiwB`!0s27q!KPq5@(<9SH-aDg>V^J@IjamUppRGaV$cEl z2>9Q=r*Q}MI7SKm9Zbu7UGi(J4{~Q^pnGMH4#NMVLFiqB&}*gszHw=q%9@)l1NoC5 zQdlngHo}r1AME$&xJ@z;|3#p)zU<@7N!VL~{$;j2X^t6Pzq2uzraK=FMHe z`Pl$W@(Lhk;_2{qOy9EU?V>pyZi|`GTwB|Ah!kNauO{|m?VB5!*Q~0a(KoA)uU(7j zzq$O%#??VHc=<9pHN|w!42BdjwCqY@F8kc&jjLBK1!q6ioYNK&Q{aN(&L{7Qo+?`q+HY9)WrwMH@uc5cQd$>4O%u0Dl|wn3?h|6c zQNg%$sjpiWUA^R$PCF}>@DVeT$w^NSw3Pkdud^1!0;^z$RXn4dAY<2%yupp(BD8Ys$5NGn{Ne4_9bB*__hxa8pwn zH-1$LZqUbc99|k=bosxNI5Wc#kGX~w^twPp`wWe|#bE*vYzY_S_4+|We=#%S`n{{k z^@tS9>ve{P6E&lPcKKzXpTgN3ZNFZRXgFWVVed)?`*ihn<+x1~eJ32(rUXOFb~dL5);Krw6kHJ^r?krD2z1)cM=Xf2)#TqWJWAEe-vu!BC!mi@`4cWzfi?mvg%m zgNB$f^b7X-&m*;1exH)pkjE>ELxn;#{2K6LdA;t_P}{3@Xk5bwZ1Vc=p=fxecAPC= zt?yx*ygtvTp>3IRR{wci$?N(%s^%>kHtUQO)OKsK{NM&e%jf_DG&;w=5A z<@Nei!*(VX1$+K4BaJ4r4>9OJT4-jkFmSd*P|&rxYkwM9XXVDablr%(}pDVRpTC-k~7a zX_SmB`5$s{E(&(u(SyjJKiv{~N;gcU!!Cc(Ao8!Ofp^H?ke{XG?dANnBD`+vFgpKY zXv;!cx_^#k@P57=5&Zb^U8(C+zkfJB=(BgqNi-ib-tQ$I3fedU)5l7Tw)Wj Hq2hl4p*@6D literal 0 HcmV?d00001 diff --git a/driver/tests/basic/basic.cpp b/driver/tests/basic/basic.cpp new file mode 100755 index 000000000..7f5b98f4b --- /dev/null +++ b/driver/tests/basic/basic.cpp @@ -0,0 +1,107 @@ +#include +#include +#include +#include +#include + +static void parse_args(int argc, char **argv) { + int c; + while ((c = getopt(argc, argv, "?")) != -1) { + switch (c) { + case '?': { + printf("Test.\n"); + printf("Usage: [-h: help]\n"); + exit(0); + } break; + default: + exit(-1); + } + } +} + +uint64_t shuffle(int i, uint64_t value) { + return (value << i) | (value & ((1 << i)-1));; +} + +int run_test(vx_buffer_h sbuf, vx_buffer_h dbuf, uint32_t address, uint64_t value, int num_blocks) { + int err; + int num_failures = 0; + + // write sbuf data + for (int i = 0; i < 8 * num_blocks; ++i) { + ((uint64_t*)vx_host_ptr(sbuf))[i] = shuffle(i, value); + } + + // write buffer to local memory + err = vx_copy_to_dev(sbuf, address, 64 * num_blocks, 0); + if (err != 0) + return -1; + + // read buffer from local memory + err = vx_copy_from_dev(dbuf, address, 64 * num_blocks, 0); + if (err != 0) + return -1; + + // verify result + for (int i = 0; i < 8 * num_blocks; ++i) { + auto curr = ((uint64_t*)vx_host_ptr(dbuf))[i]; + auto ref = shuffle(i, value); + if (curr != ref) { + printf("error @ %x: actual %ld, expected %ld\n", address + 64 * i, curr, ref); + ++num_failures; + } + } + return num_failures; +} + +int main(int argc, char *argv[]) { + int err; + int num_failures = 0; + + // parse command arguments + parse_args(argc, argv); + + // open device connection + vx_device_h device; + err = vx_dev_open(&device); + if (err != 0) + return -1; + + // create source buffer + vx_buffer_h sbuf; + err = vx_alloc_shared_mem(device, 4096, &sbuf); + if (err != 0) { + vx_dev_close(device); + return -1; + } + + // create destination buffer + vx_buffer_h dbuf; + err = vx_alloc_shared_mem(device, 4096, &dbuf); + if (err != 0) { + vx_buf_release(sbuf); + vx_dev_close(device); + return -1; + } + + // run tests + num_failures += run_test(sbuf, dbuf, 0x10000000, 0x0badf00d00ff00ff, 1); + num_failures += run_test(sbuf, dbuf, 0x10000000, 0x0badf00d00ff00ff, 2); + num_failures += run_test(sbuf, dbuf, 0x20000000, 0xff00ff00ff00ff00, 4); + num_failures += run_test(sbuf, dbuf, 0x20000000, 0x0badf00d40ff40ff, 8); + + // releae buffers + vx_buf_release(sbuf); + vx_buf_release(dbuf); + + // close device + vx_dev_close(device); + + if (0 == num_failures) { + printf("Test PASSED\n"); + } else { + printf("Test FAILED\n"); + } + + return num_failures; +} diff --git a/driver/tests/demo/Makefile b/driver/tests/demo/Makefile new file mode 100644 index 000000000..eb603a564 --- /dev/null +++ b/driver/tests/demo/Makefile @@ -0,0 +1,70 @@ +RISCV_TOOL_PATH ?= $(wildcard ~/dev/riscv-gnu-toolchain/drops) +VX_RT_PATH ?= $(wildcard ../../../runtime) + +MAX_WARPS ?= 8 +MAX_THREADS ?= 4 + +VX_CC = $(RISCV_TOOL_PATH)/bin/riscv32-unknown-elf-gcc +VX_CXX = $(RISCV_TOOL_PATH)/bin/riscv32-unknown-elf-g++ +VX_DMP = $(RISCV_TOOL_PATH)/bin/riscv32-unknown-elf-objdump +VX_CPY = $(RISCV_TOOL_PATH)/bin/riscv32-unknown-elf-objcopy + +VX_NEWLIB = $(VX_RT_PATH)/newlib/newlib.c +VX_STR = $(VX_RT_PATH)/startup/vx_start.s +VX_INT = $(VX_RT_PATH)/intrinsics/vx_intrinsics.s +VX_IO = $(VX_RT_PATH)/io/vx_io.s $(VX_RT_PATH)/io/vx_io.c +VX_API = $(VX_RT_PATH)/vx_api/vx_api.c +VX_FIO = $(VX_RT_PATH)/fileio/fileio.s + +VX_CFLAGS = -v -march=rv32im -mabi=ilp32 -O3 -Wl,-Bstatic,-T,$(VX_RT_PATH)/mains/vortex_link.ld -ffreestanding -nostartfiles -Wl,--gc-sections + +VX_CFLAGS += -DMAX_WARPS=$(MAX_WARPS) -DMAX_THREADS=$(MAX_THREADS) + +VX_SRCS = kernel.c + +CXXFLAGS += -std=c++17 -O0 -g -Wall -Wextra -pedantic -Wfatal-errors + +CXXFLAGS += -I../../sw/include + +PROJECT = demo + +SRCS = demo.cpp + +all: $(PROJECT) + +$(PROJECT).dump: $(PROJECT).elf + $(VX_DMP) -D $(PROJECT).elf > $(PROJECT).dump + +$(PROJECT).hex: $(PROJECT).elf + $(VX_CPY) -O ihex $(PROJECT).elf $(PROJECT).hex + +$(PROJECT).bin: $(PROJECT).elf + $(VX_CPY) -O binary $(PROJECT).elf $(PROJECT).bin + +$(PROJECT).elf: $(SRCS) + $(VX_CC) $(VX_CFLAGS) $(VX_STR) $(VX_FIO) $(VX_NEWLIB) $(VX_INT) $(VX_IO) $(VX_API) $(VX_SRCS) -I$(VX_RT_PATH) -o $(PROJECT).elf + +$(PROJECT): $(SRCS) + $(CXX) $(CXXFLAGS) $^ $(LDFLAGS) -L../../sw/simx -lvortex -o $@ + +run-fpga: $(PROJECT) + LD_LIBRARY_PATH=../../sw/opae:$(LD_LIBRARY_PATH) ./$(PROJECT) -f $(PROJECT).bin + +run-ase: $(PROJECT) + LD_LIBRARY_PATH=../../sw/opae/ase:$(LD_LIBRARY_PATH) ./$(PROJECT) -f $(PROJECT).bin + +run-rtlsim: $(PROJECT) + LD_LIBRARY_PATH=../../sw/rtlsim:$(LD_LIBRARY_PATH) ./$(PROJECT) -f $(PROJECT).bin + +run-simx: $(PROJECT) + LD_LIBRARY_PATH=../../sw/simx:$(LD_LIBRARY_PATH) ./$(PROJECT) -f $(PROJECT).bin + +.depend: $(SRCS) + $(CXX) $(CXXFLAGS) -MM $^ > .depend; + +clean: + rm -rf $(PROJECT) *.o *.dump .depend + +ifneq ($(MAKECMDGOALS),clean) + -include .depend +endif \ No newline at end of file diff --git a/driver/tests/demo/demo b/driver/tests/demo/demo new file mode 100755 index 0000000000000000000000000000000000000000..cb6cc5fc7c8861a3a8693cb8168de0ce650ad740 GIT binary patch literal 105576 zcmeFad3;S*`#!w)IhiDK9Abzui5RviB!ZZOgaipO#&|>$NysEQA+)BN8ZlQ@Rg0Qh zMbT=tXicSBPe)pvbw($iwyLf2UiZD$US~`AzTe;P^ZxPv(QwYXhilE(TKnF^IcHA% zz`izJ*UUe=)a@s(cH8VA9r_@{e?v^}QU0BUj(?Z!m`KYa-4OvAR%7U3*mF-BNMhOqUlbQ0x z$b2y}pP4#kJ~K7@N5#-Huvw9U<#*fF|oZbqBjocxl~Hl;Bg+jNYMC@zSI;(k;4gzc9+ zoNJ=_mPN6@CUmlqzkEvYu}S+je(HVwm+|fUx9Z?N`&JtHYT;$h+k+?D>AF{36|&XA zt1e!>s4 z+uiWR_ppz;hrR3`_SN@jPrG~A&)%b)dH29)-2=b=9{AvU*zdoG-GTB$@v8WD_#XJx z0N!mpTf!b%u@LB;?_qx)_Pdqy7V33^AT?^JiW%=fM-^k@u(}u})YnGNR@v#!`gwB6hKhp||+?l0VGny-LSduFv zvnVqwr`VlYG%Tr4Zb5$Lu(XM}nVQR$l~<7ODt4z8xm_*+y*n@`KgX?2D|HoRre(}< zO-~bAM&>kEL1AV-c}fa%3(_)NQ!#;P0uYTMx~Qbn1(hMyED>T zwW4+1QFDz>9_o(CDJXVLOe@ZePDFKak@3^mUXWWHKe+FZp#?)-@h}W^M`z||vc5KBA7H?%d+U z|6OtE!%$cU*Wdx^qABSGC2s0Wy68t?iMtrXif*S*aiwQZaZO6g$%P@kG|iQU8Ns+^ zWx5Lr-5OqLMOo5?AxX>4nMpH3Wyu-IOUudET$veZ?ld?i78lFmbfN!Rzk!Lp`=qA2 z+DAkS*%f7xq9Qtg3O4+s-H!f9vh)5(6784fo@vKUDE`@y3T+$ww9kg(6c0ZjJ)kFIeo>C3VqaH~U8TOBTCzU$|zZN=I>wlP=K3Xjebb0^f-J`uvK&hGW?Ss$F`68O7P~c$DYe+G$MLxqJJn(S zJ!r9;V@D~ z%>U6Zq1-#OR;ZS+@Tl8%`<;aOC;d)nw>!LCGgQ0X^f2D5g~UQ4J)81ezIYpdP4|;d zLw)%j)_0Oll)8MB^(RRuDqY^o`g+o73NCMAeKqMc^p{t%zJzod^2>8se}Hrv>dS?! z&m^6O`0@nSi%6%Ty*!xp$)wYeUXEpbBIz`gm)o&Ensge%%b~0ffj(&^bpDI{DY5L` z@d;&LCCvZk>fm7mmwCU8wkIqL?88a-bLi>qS{;$+w`w7At1-=_33am;6-mAR1-K$^K^xs`Gcx^??@LfLV&r75+g>{LS8hnM=3 zaao5$0(U>*nk(EtH59Hfj4Q{T;9}?h7+N;#$Aq$yiwWgP7t7;+OjuTH9TiaaR@v!G z>;AcYyDa|Z{8=||S9|#Af}`%Za%eXuxvS2N?@;vQhenqM zpwX{VUoL%*0xoqU6|dWuES^SUlXZ@(T{SQ)sm$y@{ z@>=CoS2>|RAmF*19$=8LpT1Op+??qLw`n?iH(Wo38_2lRr>G_>cG=zF!3y5cEY=$) z%wlJ-_tItLIY{G#vA>a#(7q~n)a`v~B^+uboMp3amX+MVBgeRM4m)Tb%DrPqL!*M>ltcOoWqXx&hf7=0Cj$f|0I@OAK2ns-iwa?W51O3H)>h6O-=)%l;Zzc0I1_mtMDP zewr}JnO5RFGAamKQpvO?H>i?9AN4aoVIV**&!kgn(f*ZhC!t z*Homm$^7`&?b}x|1-H1T7L}-w$&n z`uWHm{?*FA0rsch_eZ}}e!I6$04o15UaK)KiAa^-7N_!m3NtOwT2}vHc5|C=!oC;& zO!D`3I9J$uJA#+n;~k;Pym~u~rQQjSc8h!x95M5KlN_-{j+kDKcD)=%Z$~I_!1s3e zaXd!zL3;!?pnw1V9f5yG;NKDWcLe?&fqzHf-x2tC1pXa?e@Ec|MGXE))U33>#lS@Lx1Ku)bF=$ z7m!X@HR#X0wpc0!^P1uX={K(d`bpiq_7^O&^Sw7IazYo>=r2KD&oj?mVr2i!^Fs3) zCEcT=zgT$@(zN@@2Al0R^PATy&H5XO?5ck_E#&^8I?jsITGkKBf^j;_y4kL)QlBgR ze@fj;mizy8V%`s~SnhT?&@agJluSR6>31@{E>j;l5w&I7Or{-W+E=C{WI9Qv73=*! z)y?bl{rdFj5^4;eSd#B93GEcoA);NI_9d)E&W?1CvMHaF%}Y3WavLXG-{fS+51j0DW>LOf?Ks&pfRnwGIN7(9ll|K{ zdG07D&wtFxf!{cJ!I4ebUwn&`gI{yx za-!;F%6GCgC$A0Q|r_$h>yLg%-2ljYtH$6>w05n z^%^kp(= zWajbp60!~My$Zsm1T{R0Qs#X&8zr2C>uu9rWji{m1x=m?_kzB~aDNTg&!*c+b!xR* z;sE8nvJBgJH)?|q+FcbdF)+0^B*6Pz>6*^&bP5Z{3HeviJ`n*DId@hV|=16$JN)hMYi6sqy1t zi2d_JG;J188}ZtPSCv%wv>IJzz&U7dyl@_ddTRBa#7?>FSA4P*nns$^TLt=B;~+r!aWIqy=fuK9mT)h@yupxU#*%4)BI z>Sk{*L$2XV9q(U+aAOKk%kX-LN}g`1Ha1Tl{eAyC9d-K+^zs3eWWRyyyTS4g75NC&r}=*gxlzDW_y&37ouIgxZGQkn zuM!m!yA`T`kbc!y(?ZX52fmiR9+U3h7P!!vPe7`z6Z`yQVF>%WGo%Lklgl(M7rOHR z>Tn*SiW+B5)%-*C$uA4`Eg>&Cq&L^C`8UzuLlcP*Pu=MaUxRyd{;l=%BQ%ZbI!o?j zl$qbCZCZHfDPX$jt}dEJhVM*_7CzxAc;fWDRhmY4e|S9^Mg8G%*c$zZ=)0P0+G$`S z2t$GgsD;~}24=KQ7tP5v?9A5UU-W&@VNB@$U_5k#>^u!HpwiQr1_WXzXXYlP5&tb{C@oq z=9UT)C@uICgs{Hf6LCVSRHcbspr5H?PV6H6KN-kH(V&>v#X23({p-RYCU%+rO+QVG zhwgkIjc|TMjpm76r7tfMElVdaIi!~+_F?_8cEUUFPOq5QM|IkTX=1mL`wPmRjGWl#^j~2i{MV+($%DmqoY>Fx4<}(~gl9f^MEiJRztZbu zi2-`j%p)?2iTzG*i(Q2>iiy3VKi5;}0;PEew3~??!#f~yAtzBQIcfhGCmmYmldoe3 zPCCVM5;K64F7Gj<>t~#FyTnQNUpeWyjv=uRaMF7_8~g0zB zz#tguZ5z;3(>{dmd>J0+QM?I8Rf78?A*Fh z*Ff#YT%0l5HUC6lnw>^|ndyZmDN{N)GslQpN15KtK_<UfJINKJ2X2+K6^{oSY+bpX_&0A&V#U@Z{ZbcR=;mX>3IITK+l+7KZ}N!O9J+q12_+;5thEpgPo0rM9NL;YzIvz6Ul_>P^gG zU@M&_Pt>J_bQnOxK1Xj~L(^LFxX%-CU2n+)zf9+j=``{!dE}Q13(rMM9{QD53y=M3 zRVNSrL#j+3{WVJE;eS|Uw{aCb{*PM=p7%FB~*Sb2F8jwmlr!Yh_ZXp)B~Spyg7k79Oc z`8LT*E7bxQ>$y)0z10KzFm2`f;ishD8OtVcogM;O6Ta2)5zrsgpD&SmGpxeEo%-mt zQolh2+^zqN6~y?}#K67!Ln%`Ky(RSL^n*i$u7%{GLOt-Lo>0>y#VOSru^PolW67GN zI43M(4w)1mSZ9MtaX}Trr1(gwOp1?{%B1+jS|F3+Yiof_if^nICdIc_3zOnItA$B% zNmPWEGbt`Bl}T|$sZ5F=Dv{zR1z=MAtyCt(O`)2k_(yq}6t|U^Nuk-yO@T?F+dL~% zkm8Jf8S9hI#RMtN>SyW*U6A4({VPQKu;cFWQnu?vGifF#MQ`ENcPGKQM9bvICO_&t* zgarl+Ka-+?DuhYVP^nCcMoMK;gjfq?QZ%;~$fPi=7A8dttA$C?(rRH+v=$Yaq-dj5 zCPjo&nG|hp6}Rh11z=M2QYw?8w@^(|^if_WMZEGdDf%ielcHZGQdG6YU?*6hc8Y4Y zQP>Gc7o-TX-G{?9>r9HqwjYj3ok`KuR)~O*{Y;8z+Xo;4>r9GHw%Yxr&ZOvKTURD^ zCPfchz>7lHLcDeoDUxhO!6qpNsowBTF;sopRtwuzAr@sMJ>upqL+3gn&QY3pn-DV|YFIjJ)#&e(P>lsc2*tj+$0(6x|}P9nu8whcJ>5r>b@RBxCR zm&8Z|hN0!1;=k2*i?;U>%+o~|L5iDxLh1|lmGCeTZz7MCSf)w>sZU6_x*$cW>J5`3Q;ak!GifL90lVZBn!lWp*T9_0wMMY>ilj1(5GAZs?DwASX zB~r{$04BvUr7|g&3)LjW3gu-|tWsVk#cJhcQan_N6l3iBH)>kBiYdn1FJuW_kYb`e z*&%f%MIny+QfE>W+y6pfO8HwcDIT!*t}1mV#X|ccoVl`}N%5e4_hV9LQk2^#of5hh zQo9Y2;!*o2jZIQKrh3DqcuI`4FwU9ELr|5xS*{?P+N%4*9 z4U^)U7->tO`CnnN96o-t&IXg>x+;W8@rP2G6gQO0r1(>0w~#{j@>~jR$)vDZEldi# z)xxCkvRarFK3--Nz@+e1DwD!bsZ5G0UKI}?0SdsRsH;>aMLnUKq^PgFOp1oe%cN+e zyiAG^uZl6n_jVm;cywu4#1vQT%dwJ47o_;v-UWvf)|nJH?a4TNu+BThZTq{gNS#UH z@S0XGbtXl1ub9qKXHwMkDoK|*lcJ7S@=>8{AwMG|)dQP)c{evn(OmV0Nf9kZI#g4K zkB-7(Aw_5FY%nRhsxe?vbW>$ADY`3_Nzp@Ow~(T*wLm6CKdXgFkzlnjDH5#~CdB~N z3?{`ur7|g!l**(?u0)E#3c#dDQ!0~UqEJmzq$`z4k*QQB#Uz!NNs(2F6fM14_t3N` zMT$0F=RgwD1t}uE-tH@PCPgo=P?yx16med!ER{NwVyM^2ZBl1ajPUZs)ow12Nio{X z)k^A2it%0@M+sdEd7O8OJg<5!Oi~o6-Y_Zd7bD%%LyB3#g6rbu*~c8~Y%nS2sX~|( z4=9yMF<+@niUlIOg%nGz1u`j?SuIS8N@Y?!q*Nxwno6Wt zs{l-jtx9E5Y!j+UitWnFqP(7_UI`CMok{Ve*Qzl>*FrXT zq@7}~S6k3V1e*I*ZufM7PN^|qQk+(0GAUkHDwE=j z$ZjFUyVe4k6z^FrOp5od7AD0xtA$DNfocZt6dx*;NpV4`Op1>xk>V2tU{d^~R3^pG zLN!V8i&B{s*Obbn_^-;#r1-TGDGqpjk6RM-$ci|89Q107!vpDp6o=c2*0+xU#Op0pWd@#p=F)5r%Wl~gEDwCpy$ZjD; zJ!^qXiuzUylcIsu!lYdry0`B>p$k%Ydq0DVS>zY@K5BVCgR>FV znH0g^wj`-DDVlq~`jFI_6fM2C=~8D>wDC?i@l1+HZ+~2!pz^ekEJQPUU{CK+xMnIy z(M$D)Ns%l@I+iD#uTu;b7RydC)H)kXis5Pum=q&anM{h2N@Y@{itH9rOt2Qnq)4+` zm=qJO7A8fy)xxC6RL$U>Vv1n)csIrN&pMN0ymwPvYGR#9 zk>_3OS*bHArh0F!BXuT4iT6TW#AZB`Vutr@kcMRFkuxb0 zeR!w9tr7F^(O+16*us~&2MUV_J((1PR3S`?!9qm|Oo|kxGAV|L>=sgt7MA(=V1_Qv zKE?>kCv0I-j1`u-+zckgIALkV7AA#DHG@epL8(lNG^H{rCi?JBfuTV=`T2zm)lMcw zvBEPcN|egC&Zj9blcH3qOo|ywWm4Sd!#f4nCGL{;^yz5eNtra06uo_HIGZ3{kfN{8 zU&p1+q!{iK?k#mDMXJvSbEM9s$o3fovIm3if5F{ zq}ZiYCdKYbqf9SmJa00eorzlOke@ zWj_4}2wjk3mCt`hOPxuv$!8qS76>n{Q*80+goVpGlj1oaJ4S|eCdCUrr*IjZbtc72 zKGXL|ok?-nXW}rSYatI}PtgP4@mYsi7dyp!s;^9nFT_a4dPs3mSS&HcSHeQku8b+Z zRfRAqzEeZOr1)N`Oo~e)yM+{2g~fhUk>Z-L9Qjd^;=jV8p=FX3zY0qn4s4PXzpG|2 zDXuG(N%4nLnG`oFk>W4aP9{YaUvm#-QUoZKNl{g(sG3RPP%4w6no^k*)qN}O6z6@6 z!+4Zbk>Y|+$pWDZQhe&u5J!6QipBmVhOWar?s|`{5+VfmfAo zbHSXp>`AjdMFoe$jIS3IE@yA@i=643*1+Ezt|aBAN~Amcuaj`6!8P>`x09N#wWE*0 zIP!~z;zK4VQrDLN^soYQH8scJsO>18J`!J~Lw(#0_j3eEMh-2KzQWHjz40;WeG{H{ zmAB?-%6s1i+@#{Xm*M%v<2}*`-Z@iKrPsF;o^8TQlw7kJhS)KG4OYP=s<$aTtvucW z^vkjMJk~vX`@oav@iwKr7?@{Uf2%axI-d}Lr-?0@l&Chn}f57vP$2-6e z-krU=YT6rxsJB)Op3B9HM?*|kWSU!Z?EhkjM0A3;_nnBEQ7@v9S8k)>T*zp+Czuee zW;{{#RMqFCw-lb)9`APt;eD&PGfweAAbl-78`gjrUmuJ zLUepe*Mjx8;rh6On?4HVxOiYI+&{zhr*aeTw3_rpuH%Od_semsjy5#IOZ0tFmaIio zRdX4xLO5gaAI(@q;Q+FERi&PfspcNRY_v|f!8v%M&0qF@d zD==DLyF&tB2k0{eq=t$nQ*~Mt*WXEhzgVKL1L*^{iw-KZ7UiObcGdp^mARqx;6qyl zv^11~J@xM&m%s#oQWenBPzLtagXc=%RDc#KpsHGSi_!6V3OdU5Zv^t$%4jX>c^pt2 ziTbz8B=B{BE-0X-p$tsYpI$D3*8tMHnO(Oulz}PwsTC4f51_URSh1mu9;RPJ@W~BL z05Y{QT8p|^j)tb{WveA{DnRoT(9%!_j?;}I38YV_?NmTZLm8N+cbp`FCjh#jfE63c z=nQ>9cZt3Rqzd3Px(|>;E2Fijx0<7&EA$?dB`_DD`xTJd z?xaD`qFOxy;ClUywG#L+K-=yF5?TviMce8Y{cjuzXcIgMjAfa(-|7%gp+>jbgb$1O zAcsEq%hm76Lt1F3%Xhe))O0hD zIz1DgGDDHN{yspTDYS^pNI~bl3964SZ3>V49?6rR-{uLz{Nx0l|X z@a*+?MIiP0VCj7wp0_++5lCHKh0lD`oPGz-j~=fGq~;-zVy{;(5Ct#UUlB+>lP|rE z;W0d35lGE$CIhKhc=~$0B9PjRJ>(sXram5?9MdbNO9WE;4@$&bc+2lZ$UtiNI0@MT z_tPfC45W&eOYbpwPJ6r}kh&k-XO?~e&$r4e1F3QMqiKJ_>V?BB^HelV22$%L!5s`& zcm=lzq~19IcPF@dD>w1Z45aEUmg6=W?gD}k$DF6J(76^-l?YKxzPvyhUHQr{ku=v6>& zu8dZJ)K@sjaF1RF=nVz5G*kvss~?rXuL1g10WA%cfmBih39Q-|UB~P0Q!fLl*a;Hd z1<3xD(OQ%Yr24dwz;u9$70}X98AyH8Mgo@tv_Sza4V8h^Tx=xV^#cICp@0=fR0dM6 zV-o!}kiS+&t3WCQF*FxjwI9At0k29^F9WIQL<#HwP`m%cnNRs#{mSe7{l zQq(ODr2h6r4u6`ry1!sK1+L5k*wHU1r9vRp5rxP=sy9VNcfTSv8KnU zl~)E*ZwE;4eelflcts%flT&&hg=eG3D*~y#p_)d|&FL?~bHw8nfz*}_(t94BPdr`` zNIkJYdVhuIPmfmwQaw9JZ}tB8Mi;z9k7|lQs^=bj6Ajhd7M>0suLz{Nl}m3DJVQNR z5lGdPB?GBi zSUoLig5Ck}q5{*n3!E89J@C2=HvR<2YoJ*wGN?}xCP769%|7g5K z4*+uVJOZ0glf4K)* zHbMqc2BwI~>6e60vEwBMnL20%M(R&@mcU4WdMV(Z{5yfs`qxt=a5O*#3P=sL4uTA% zI_;O}2Z3By8La}TXI_xNeE=O(KubeqAoXlL3H%tKD+*|7s0^g~Crh9mLNH#U>lGU+ z1F6hq5*-O-ugYi@NF5B6z|jCrRzOQbWguldErIg^dPo5+4V8gZzq%5*3!q~PSh1lp zkUE6xJ3ONw19_z~S_M*{x+Ty)2*(G!Dowo%q%If|NcWPuDWIjHGLU*6R}H!9;Q&om zz={o(fz+is57j8=hER-^>p0?2`_Q}mx|x-*bkh$~WDe{+C3-3cVS z3Z!-~7F*8$k`}M7o?O$S(t_S8K!H5H3Tx zsS-1g%Er|Ly&GHu?{GV*>1H6c{S2OVKptJs1gKB}xf&Tr6=7^%L>~P?xR(>;ZK_4y z+WQqpU-oW?XP5HIKx%y}={*V08y>F+q>{^|_bYfVdAuT!iop5M396TVVa^9HQEyEV zNNvSohrNy9Y2xvUK+3;Bdb`2X+v631)bp{@I~tw|97#z-%PXST;H0;w1G zNbg#BHh8=ukm^!PdY^~qWsg?`QhwM@IFNb|9{NTj*&`81eeeWEgXZEYJb#;BFflfsldJ3y7V#kPdKnH6dmo)jeH$hr%<;;}wBaeQ=*yIu)Mj$}0n@ z%@3n#<*=?L5Ajq~ECZ=8kHWnZt^*a^B9MCT8Mx2Dbxyg7cV-}!_qZIlAK})An>{;k z)*|~TZtc~_;iH%)s4jpl6qx2r;LJd3txEeo-pd}op8LzQnI4S^X*&Bf&vF8eC{-XqL0@U+B&ZmN&l zB+-q4jJXF|HbMqcdj`lsP60ekWuXpQfsy*W*%CMtpd|`OpDDBgqxBis9eJs20qCFt zQbQSNMR(GZ8cFoKKz>;ntpX{%y#)RRkpDTSNG0u(z~2G##<4|gah8V4Kx$M+32X#VgaTR` zDg&v{u;+2r{Qw%PfE61m1F4hGN^}X33oD~lAa$!q0-peAj{;g6Dg&u{VQ=b z2+-U+fn-;K)S-4_>)8m5WtnpzMcv{+ioO<5^QYmc`wNaq9dswcxVg5=$kXtV=8zw- zb#%^0;t0FmBGmw+D6FGTw7ANc1aq`$fP9DF&$)-O!*%C0HvTv)y$@UhU>`3I~ zsccT3Ud+iek8`r?2q#x3aP7apha--+^ZErg-uRW1Kl?5q<6nN9{N0?Bn-eIhJ~1_e zlJxFacHY%9w{bG*2q#(Zagu$Blbl1D}di1?-jhNggpoXbW0@Hc-se);riP&i?2;aH);-v0?NDQ(B_;B<1aF=IkpdL znd3Ogp3X_mQY0}?1>G)1ETeqJu%9Jg5=RtUub%rP4zTWtb zzwXoU9uH5zbK9Zz*n@Xg-$e&)J$<|N<2X08!*ia zo<39Y{s@(rngum(DBf9Z9Sb$SIo?T)b@hVUe>bK#q+v63tWK%z8tgnoo2kFOCfdn7 z&({58*hOFKEVl7er5==4)wu%SI(Yj~8nzPts(W4U=!<6}X)W4sh;LQb_1C80EW&Q% zZ%4O(*A(pz_paNT9@O-%+op#DC4ATtwbkpi8Top5tAhLMUFf0z-tW8yu`7!|Plec% z>hJy1db$Bm*7o*Q)X~kr2McxTmr?B-qEU$XGszLk=yKfo} zXDGq_e^UZI5Uu&XK9@^);s2%tdYV@A{R;0G)*DoU7}l$>$YEvi-otvCODM$>MG0Lf znU8H4Wc|L_m}G7i@12`I z4~Z2NYJX-A5~tUazDT^hg3^)rd2OrC#!X20+n(!RpdQEj`Ci?DqbJF}Z@dg&1O9NV z<6}&>5H-jBm~SC_IgT7AnZ7>X&+)dBov6t7cNEmuFL>-}ERLG=3EO^tjU1P-k1Tpn4n z`jcs(mv;_62GvmszeAOw-WMSHUOJA`;jrK7Sm<~K zaZT8DQRO&{RoLH)vZ!0Z;D6XnlAVrnY#CwykR0sjw+iwtl0zLju7ZW#CfSIni}r+R zIw|cU2K0cUvl0{065&;tjg{Dl3X3Tt*k z#0ktun7@7rN_NCH@>J#TR4y~FEAEI-OQ6)yGxBh2Iieo6i?G@{Jpem5;=|@p z>ge=6Z4X2QUB;*@}G>>CXYf`7~X)tTf7{)lcy$mWKCEoMhd9EA8;m+nC?1Up(Bzeq0BXYX@&keB4F$YT z1x!FN5uU;Y)CNoxz>>8to~Cv*PTz6s=yz7ELuk7nt{>TzWV*Cu2O{RBr}=kA zOHx8((f-e=!Cyn=o@pt4a8wA-q^{5p^>_w?7nhcDd@9^|R66~Dk7qQb+iN=9V<~s+ zU2-QoX=X<9%x03GXO<-%HaWdCiz!Yb_^V{59|iJMq$LkR$HQmn6di3+E|M_VRCDqO z0?gLW06;$>B=RyKrP>0xJ|fgb@{nM0CvT^myL1j2FlZi^*w5nq=odfm8`kh8uRsCe z`*b?~(r*$~#HL&t3IAg10R2{xr7ALM$%FA89?H8;8u@7fYst&Ez-nXbJXI(!D3NRA zQ}Fxf)b7o9E4B7A_ygE~>~8*GOmuiP_J67TytG957eLPN0lX^dcaykN*sEvEh1tz! z`W+={9-24==FRj@O{AYz5~Dx`YC{WgybFJg-pNb9xFo!!X+xWzg7+DECp|}bMc!xx z8{zNJJ9!r?ugLq!26+FZchc$n!c(sxV)7VVK@6X(Qvgio9m3BXNbWNlu9tKQ^EuR~ zGMwa6lT7hu@-NiqqdI*)nO>d}OqlNvJ2Y)r)F9#={gP9XUp~LnWu)PL^gejiQOAz!VKl&A()PDjs%>^OJ?=0Tqb-%Xh zl=wtaV)I_J0L4*koaFZ<{G+P)pr!RoaS9(pKO^H+rAvKS&=mU7l;M6|@t!2#g=HYy z{rt#V6Yoj#U09mJ61)e!Yube3q~HV1AU36MF%7PTEwO1csyg^11a?iEQ&bgP72IoT z(AMDJFc117#K%orP)Hlx@i@d*v}}Uk0>hiOrd1O>sVcw{=c z^LlMadZHHQG>Df-j(Aqb;{#Az?f62#h0aC+>C{ zVPm+P9}>0;_kwO@7;#*#6U{ODatV{MCm8)${A>xt1Qur!HigTrM%bZTLJnbvvG^%r zhqL&QFT^y?wwlBYwq|1_je=zA&@UKCqi__&c$@P-V1qy1S{?33KW8!AkABK8Nxloq zi?GCdInO);Bwafk?ni|U_jBVtNxloqLRfqs#oL4y?dfL?990m8x9CKBxaOdb7PW{W z+0XI&V~~6B-tL$?3343C!H#P<+O>!$In?1zdHa%VI8IXDek8YZT%)`RB*);BJCGAe zj&7s;uP#Z*oj$)g;LsGM|?M>~9}oJ^9(IHprM zxg?KuJWu81kvvXI3B;z-VkR}3e*b~@4ROVH^z23O%))PRVT|dQBDiOhq4N2hu8p~N z9M39k91Y8u>o{*~xrxX)X4|t6H`DBo`IP|M8E}IDdl;~T0M8O&>^j5{E%DoR$QS%2 z;(%76BqAO`nd64@`NeQQ3gA;~VD6s-IyIvYj+i8z@2P3;kr2HR!2t=sRzYM$LKD2% zszG?KF>Q(v99T__NGNEBJLe=cNXC?r;KrdLg@ol#Y1%{*-fE9qha@Zm>F1F!Zx+t3 zNH`ylhxtfwpVYK{Buq&`2uH#qxZWnA4ruc^3HuW8^dojc*2?s#BEhOBE#6y83*azcOlZ1A0`1L;$rWNCOL&6?xHGh$?br=pIK@d7L!DT=a zmPdd^Bz)?ROUfjCI1nr)VdaZh2qes0g9A7TJ|l3ZO+xMZIB1g)Sr50XN%#nD-bTVq z>=whZk*2&m&AFArtg#M?%UxT#qFoY!wdiBwVm#LnC1*rn`WI z@~83C0STWs!o_D2Vq)>60SVa!_|YB`reNM*CSh82JjGNSLSi(20fvMQYw)ll2|clu zJx)UBHXsrSZ(;4cLc#zyzRH<|4?uuVNm$q#4WIA9|;G*=c6Pz zFx_vH@ZBjahhPYyC0Gt5M1o_RNC;~QB9ZU`dU}$CPkgZ)NT{`0)4n3%#X*{OjfCT6 zxGGf_LhuwU2NJIAq$4qe>#u=GB-C08B9Tz^Ac#c5fiw__grmJcBoeNr;yM`#^=9Lj zpGXM7GJlGMIymmWNWy?l`0*$bN;hcQc@jPY<{J{|6Mt(!!PJdSu^dSF(}f2lNT5%* zo+e=+w&IUSI2MKFK*D3av8YM-2>Xf;sGQmyB?ptxco&uf31bf9CJ70>QAIKd0a)D= zNJt0-kw_SBfJh{~S_mSM5Q8PWk%T(fk@k@A2Ks)4gm1Bg&yw&5R_-SxoX4DAA>nx} zy+27PgYa|%2=l@DgCx9)roKT!ZxHfR5`5wMnS_sLU^y_R85T7LEA_>$qBt-NCkw}=<9z-JHhl3yz3ClpO=SkR%F+4#+Z}jUu z5{_ZOza$|a``A?yE|1Z)+az@Af_MQ-EA=T5`xO%Y9)aaR!m$Z>D4m3-dqhL zg+REPh~+>+19Ulzg!xZkQIimGKduFn&>q4t60U%dlSpu)ic%6<%ma}~_zyORM@e|@ zIEX|-T{Qkh5?YSKvsfg&iH+(42`#YueNV!`QvA#m2`{0`-k}hF%fU%F3F{uhc>)RF zJc;E%!j^4V4kQHB#6gpUn=gY%VPx42B9TBp?AM%xSTv&}39G}gs7Y9cRY^-Ub=*oU z2NG5l;>j2iCZdXEB%}`pkw`dzLE1yY_v=6;629&YB9Tyv!Ty|tE3I&>B*6xvySjo%By7a0{E38)Ngxslx!xcW z<~3EHiQ5|_Bx2uaO@bF@rz;7K<|Do(VJQY-6bZE2vPlR*8PiEvg~M@kjAQBqKP(3l zdIFq4!Z#;CBoe-V0YoC9Y#^2c2{8{~QIoK#7Zx=Mwg4QUN!W_b>Iext@%Au3MRK131eRekx1zIGHyGO z5b^|0c1Y-jqkSd`CqRBT3H_Gf{s#$D0`LiA5+*!~t4t*9NX2phUsE%%nN1*J4Q8;2 zgzvGFEFj@>9*9K3(|xfVNT}z7MNPuPSye?FAqb33IUCy+a%c=EB7vSUm`VbD z+I%hvy)e8hNcj9IO?!fb$*6rd2@fsBL*67TXsl^(lF)MpmIL^anpFqOfrO4A?FJGC zEC-QD2r2`SNC+Q-C}T`X!6mM+AiCZX?19H2?~1p{6^3PR03IJA*)4ejYb!uTd2 z5(&|04<0+wQrlpf=&6X*E2zs&!hq`d@(vOjRmGPTk? z-;eJjBB2J3OBYG-!+fp8>P@8&2W%vvY#-uP5(c#hUgz2|qMLz}bOLyy;sOhWpWX zR1IetSN$NTzOY%-Zb86RJa$niKb++xKax|QejH;CA<6GRKDp>U$&a3pAMQt=FC5Nt z63a<`bhRh-JM4!m;Y#xRg0s+jk{>+_Hr$V%q#w?563a<`blIn$U+b<1Yirn&z~D#1 zu=KjomHxX1>V`Z&rh*9ZYq2nU3HN7c#{3J;GcnL-8TRj z;FJ11f!#yllj-8C#1A4F_&6Y15AF&~=+D@)S~s;%hn(a$3-2y!13iD{JW(j$wB%X$ zxWlcbETVE}(@l$+cY69c+tjbK3;Q9=j@xSxbvK~L8Z<`)j#F(K?#G~G`2+*erV(Y$ zXo3AXY`t%lK6JjZi5{D9yo8>FZ6;B3;1aSH_9Q((;pfm0yN7KjS~)R2`(VSC0F9q9 zp$8%Onj~3wLSbu795dJ&q-D}&w6P6Npp2e6*~bn>*bo*gOi%vM-A&=n1L_@>LhK<-`G{#)}KKoXas0P zfH8lOHH54ab8}F_@3t2qJLwG#rw3b7X0L_)G@;*9uH?u`F#f?C$R&?;$!oCw$yR#m zQxucpK-P$}Q~(iP7?T?p!T1@k19~J>TDN+^`Uw{hXIh;kp)u6?)h>PsEFE;%oq4^2a{Mcsz*k_{!Ob@imV#BFZpYvGI^R2=r#3_OwbS#iV%4Vf#v+PXN!8NRuhKYU5{#?KNYBE0ny*dt#bGbv1=|``{KRco z))9?$dQ4XIgEkl~dE_yen{lo5=&Z*j_F&!;M!#bRXe0GL<((Z6cT-+^wwC7uTW$!9 zb9fvAKv9vEM|jMZhB)}OA^ezwIBKOhVvkRBMS- zTjS6wEHi_irLAdfi^WAmW8c$w%GKC(9A`PkyEM#3!8APFZX7}R^^89ogjE$28xRPPi!9Lp4_^Tam1sECKa3*0~Kzn){(U0H=Yy7bh*9nYg z(S~>T!#0HuRV`a94l!7L;hx8`8681&_? zgN#i>aB5<_mJTu+KMu!jG~?D|xbR@~#&$8xxQuZdZoFNNz~6WR<&QMF)CI4MacI>j zV_avPrW>gPu^tS%RzB9~SRWiWZe}9LGZte;Tt*^VGQoHT>mtoKI1^j7QRt7$jmAHa zGmONRIFm4@V;(0N``Uu2#x#_ZZPX9NWiaE>vAED~{DlyIiV=c?UaoQUh^FNk12MMw z#&;Nv0%HV5rqJlo6sJ>0KqSskjjJPZhs5}95Kc{uQ`qTBj0U4{x52m$E=@NIQD&(T zi!q#Gyo%A7X{_CXb17pNwv_vg0btiGW8HRKwK7(K?Q@K}u*@~8Z$gx8R7D#eFb1dK zq}jNM(OY07ug6Uoqh$cjehj}-gf4~;`u?DC?IoN^;CV=#oEf#y_j2RDo><97KaA%x zMKUdr;|$X1RE9G`<3q6Yq>+uWddYUSl*gL)H5MITe z8WyV2UuutPc*j&uPT7l{0I(@9qsdcXnTx;D+)W_OG-&v``8gwF*I`$ifITYj+Zg16 z6s_ll_QGUBj0+n1L^1BwLW~{xBzjgY4UC<#9;4kC(%iYT^ZGW&x`upv&Xh3^fUs<) zdhjK+$R{gT7C=CfqvZP3Pz8&{}O;%MmEW zcZn=zq$)+ztLsf2K>>D0eMfb@hOe^@s_m@X{kjBn4bX#d5bUhk9i-q$*hM2D7*=mT zCHk=FO;+C^-R=koFthqa=soL-%=wOPb<^vX>j4>nRq@smgQ79_{>>oZxEU?0$af?L z^{m?so~md9*Gf$YwB1pxBT&KQ+~ngRJJL z0MlI4YEBIDIBHdPq|2geS9Vd)>sZZ;b##@Q8eCg-2nT!{6dHnho^H~Zy{RZX=q;zoo2H5UjoCyTfQbf( zjNw+CX>1aqA+rUEP@^IaYuXDl*drhyATh`!M{@)HY=E_5uzJjs~FDRNSqy8G%;=tPbjak2^~dL(~&AQhgJJ$C}KdiAR9u;S=IC>p+vY&z++qnjfdK zpxXE*T0lS#>^j)Lt3gCK7o>}wBi})TpHN4tq$GMOK$NHJiM+xGgrN^@k#(Rf3_E%f z6-J|+?CG^h)j>E2YJ)wr5j{y!K;#oG9fFqndA6~k9)xEx4C{*NM8N2j^^NE)g^|%( zzN24I1GFnuhzK8}(LKbEs&1|dhgHv@ic!YJTHf{~*AZYgLz~B=`#c?D%3QVN0MK(C zTACVbWD@P4s2c1o4Cx+&+H^9^#e%3b2({9@O$tMSS=3k?#wy!OzjMjvSer|T$z-5q z8O@tEt}t`gv8Z#U=z|zmirAj=rRa-jHUe3r>(U`7Hh67sR9+YXrdupD@l(Blq8%fV zTU4a_0fWj)z+$vS4iPoX?P)2gB~&yOb4Q+O)Py^%=zXRaj(>D9Skv{tair1l2QyxJ z+mhmqyt7CMV+?@Q9(l%N7B_i)#fLvzV zjLeB8S$CEo9GRtVVb0B&*fuLYy=|p}JDJV9GkYZ*wXv;xMq%dvTT3f-MvI7Oi~q&b zRZUt(W?n%=dLd$LgnFL>Nh-)zInbRrBEKScWbmtW0y9(V!5!p1% z)CQNkD2>L9vb1WIUXVX2CktLXCe@wmDoo3fSRNNRFf~-L%qGf7FLr0phr+0g+=BG9 z+)NU4bMj=tXjM*rmTOv$XvxI1;+%AsFnS8WuyO%ZRsl)HGm71rc`ig}1>6tx&V{U* zGRyRIYCZvTJiP{H()0{6T85&qC^I8-QciwmhO3~kSY*ebxYBZ|vF-xuAuW%Ryv!mr zObm#yp)tq`87ryV#FCs`cblAioN3_v4jp#6;lXNhx$-6!yVKklOkt>?x?Ft|2gVB* zx|ve|5xb?w$a4iF&JfU-l5~`uC*VvI0T+Xe`rY|=7)mNyL_uOAgzV1AqhUkw=x!eR zIK7}K0|uVu3^YkNUHx2&0B9f2gz#W4Xd-ek)G)vYC7-&1BE>|sG5Y|gun2ESKJrjq zKxSpS3kuz+qlj7q;Ix7wcV;PM#8Fgpej2wE9)zhjGh7ZHU^9a7zsGmBWEK8zf- zd6eD#gxwi&Xw;@2-(2#m{cYA}zxi2*O25U+Zxr4RAJ%MM`Y4}oeB2+90_iN*1qG7F1yatrd~r)hu;0H%|buFT=YbeJP8s~|Bw zKE6X{UZHyiWgFVZ6^}IkB@AZk(pmml;_IJk$t%fEG{R$LlN#G;j3}~&pI28)szuGG|H8y z6&4idxO1jux{7nM^11UwYuCU*eFh{Z_hZAr_!02~qg{P^^+||#4NV+3=SdV2A_hpYYnGzK~Gk)5*BF!E?V1|HiK6?>1uCdNm$C$Ojf zdjL^t6ajOvo#y9&7uX{T(=sAO5+#!MB8e7BhyTMl=vXu{Gm9q|TZX%!B=>*FKfNMh zr^m;4EH1&w6~*V}$v)h{=;`sD(hKqm(~2_V2M?IeGmX_Njwyn{cfi4h@fJ1py~8_7LFdo=5z zHfgb7yK#eD?jD*uuW(Whjyv~UT^(pTu_JFvYieri~h>z?@ zE5ntWR_u1=7r0#olQ4X7IXuQg-5sW<7nEQJ7?GA+Vm9@TVVoY{F*h?m%biVwu1&&- zV|i&s1tlmG!PGTUIrQuvY?qeKjFio;9*}hG7tGjt0itTc zdeWyi2#<5~+a2_lJuQxSSci;;m27|{vnM{Z7xC_7b`63*SO94klc=vTM*zv7jC1-U zLHsl#H3pg~AztE(Wu^5AlYq>q#*tS50Co^BNzc={ zgp{MWR9Fx~?oN6)K!nTLG@UND?#0pUGM$qa`S*SubL=d4SJaV(C$&lZU@$s|RxG`L z8O$=|CQ)+OXztY-NdoSj)RW8k?0nvvBqhA>C6?5?$q>lfm{qJLIk?TF-Pr;Su*d_I zgIL5U?ccxuKBi7eg>)++8ty$Ko~4u+>~XDqs1zZ7^t1Sp1_}Z5ZnQy!r6d7KF?U~- z-qCH>_T%KFb{yw#-`--e%jJOb6nN^+2ox*r){ou?7q zi-Bed7^~}pTU%O0ES9(9R6;G%3Dx9sA#4J5tH2o#76oy;aFuS6rScCx=v(E^I!ejw$ZnlELhIM6b8X~9eQ9G_3B&~M6*{*kA;L=fiaM~by z2`V4teM-i5l*N|n@Bp{@m!rr_jXgQLKvM0?bH2kvz^qtal6*V_YwjF z`~T|VaQCbS8{<=0tpbx2Ajn!N#AY>jg)e3~8xO$plmiOaQ;-_A3VhA=9V@GTXIoK+ zxLdr+b$_m2r%Y=BJpz?gaSc!R?Z-Q0FG{j@>1m}{ zCUl!gF|zDe3uW?PU^ojNk%jEGOJ!Sf_APEvg} z(HMzWAo#Jb)7){d9+CdDfJf7C^!kbRiYD;JP^hKgUR8k5y7GSeMN0`l0S+7{W`3*5 zMA?{X*=r;ld;kY_dqaZ1P+DI(gkEOSMdmv^Ci}C^d~x9<&a47=A36V-HpzH6__ttv zL@Uv7JnJnJ$5<%gll(}zo0~-^X!XAJ$KEx_&0ycs|E9zCAv4*BZ+-VKe-nrsXjje( zS&L$8J2$zt^A3IxqRhvg7bp9|lpyOu)g^Ob=x9=+AP>Fco9Y*=4MUznCqR6l-h8V5 z)aELDqTA3N};0+{=@-s~$ zvMD?VJT2w@Btf{AxYzb>ZnPVC8b3}Q5e&E^u+^AXvbdf05#(EqmKSj4Q5ccOj)|ov z{%dF!tSn|&I*jV7w<>3*tFTDymRwpMFg^tu8v#j9Jkt8%uIXRRvETh$0BLeI8%M*{ zXpmAka7h1+=sy)fU>BKLU%&&+nd6hAq`vhC`VLE^+NYd3jIO3vi*$gn2YWMsSX?mP z1iKar6s)2W%T__vH%Up>WGpgdq`Sg^j$(e#?a^pv?k@!^QH75vZ6^w#0~3?>66taB=aN~vZD z`V6_f%mvvfk#)KP=ClsNoiz$d|N5*%Q{{Xu%<6mLHr@egE9xl}`f-WpYD!kWT_odi zIcuCL-;caJL|$_57{BWvS%wRPQoG;D1S$U{BzMu|#)C>ptt;*(0Ev^RK9EEd4PpR3 z^1-wZLM2P1#tIV=9Gq){ez3WVNX+0&uG(1VGN9wyx=$Y@jn;$Rr1|*qgI!_C7n$J$ zv7KBhQJ)~P36&e8!FoQbwG&v#$I(YuGZ;c5Iq^WxmzuzXdnQi8OB>+v0f9s>0R#~7 zwx=KO_Gg#3ajUOf1W+=RqYl~z7*rqLUgSbh`i5p#J%nus@c&Up53#R%YQ1W;lo!HJ z0VHI0#zI_iI+1p)drgfdcq;={?)ck@3Ps41fXTOx=rGTM|Almo*_4<)(u~d)5hZ3o zVVhcymj_Guk@HrX65kubE^{Fe#XmUCJ6!|OP z*tnjJ2FkL%!&c@tcS7@2z9JT3v3iHnL5vr(E9-BsNI1Jw@MXy|ft>Ys3p88FN`v>^ zT4|wSYz#S_;zQYwHd8eB&}+`%AsG0uPF2u+*ydM6$@Q{Fxkj@g-1M*5b?MHMhArLJGz zAou+pWH9op0HqdH=Q|+r)StJSyj&Q`2N}})8m8X(H(T5zUMF|V1D#*Rqc%{q z61ost`JRs^D!k?Pf#31}KmrEwNiQ@l_A@9&*_cEW!j&VOLp&iD6JHi5r5Ydv>mdN<|sF zwS%@tNVG%t7RnNCV(BIDi%GnSB943YlLG;?5F%WnNarYS*xjf)*L(1*+Du^hsRDn! z^=8YIz&b#rG+D)pR1g~rRO#Y*4BPVAV=~K!LQvv@R^|z^h)GZs`RcMP>yo^#L4knWXUxl?4>GNDiY!OC6KO3jLB%# zakPbe$E+F&6M{q>kIpX?u!JS@Xk;P^R%H{O8jnk-UKJ0e4uJ#Bic`4)gthp%=Ed3xhd z6OYOiRNB+B%rQd-$Q(mx&rQTDq$MB|r|9*iupihs_82+kb)^4xTJe7UaUGdtn$g9L zc)v`^#Nm#)ERp28eCd~g(b;)h`LfZC!5jSk^@$$2&O)L2{7qudPB^gMSp@QfYm zdnKcw=X!uJl;fU(|G*+ukd#n|XYzsGY`3sMDF(=TIBGXfP_=h}RSMV!1t~9ygA)Q9 zWs=?ZPxEMjNEsZAv#fgYDc;D^ERp;eIxkC@G0B{wKZC*JvkLe`gB2WOA-kr?v#ssw zQ>k`_ECS~7veXpiks=6Jd$NR!Z-F=i@+Zy>!NTQ){y4M^HVP8Mhin#$dMe>x;3isAQ35Tku6{T z08#+erV}a3M(ZhtR{#jlszdrvQzcUg3*k_xpcf%U*R2gK0dOI^n0DrIT_?UXG!J_A z+!?GMN_ADbwwg?)t6@gY%z)5YS@#5UE8e{(#zCdxZXS^;o5F%r{up+UVgxFTK`wBr zAC*a!r;AM8fgn{Cj}mCX_6lwW7FH9_B*$EhawZBC_=bv}LN&Cf0;5VfulqEWp@JFU zt7r`1hn^k;T}Nm9s;b@b>^vHhS|mWCmnl0|!kYSI<2j=`0G;!+LS7@`8Dl8lNxb2p%M;#YoqY4D3GyYhrcQPgm(OY0=6qyY7y zss{Xeb%{vVav051U2mOL+6X8H#_GSHL1FNYwP;QirXGmEy(Yc+tJDSxL-e4iHJJfc zGqg|*@58`G2g)4GM6d!m20V`0B2N8L=&_2ZJVgnxrw@~QqqVb5*(W>OCCJ7KMvP`E zzgebL0hsX67FltTO<`Y5+Q`9(@~}}^O|@?@w9p&@5?+-ep@R5REI?aEA1&ahr>7m7 zm2+mtc{G+?I965M$vbhLyf=kX@jRCbrBQxk1359NabgJ=QgE=V85G7NuLNa~8Lxzh za6Z;kz@ulmQ;5lAspXUo`amn4S7Jsf=%XM6@r*K}k)J7$^DvVbiOvn*+J2-^+SCp` ziEZI9yG4locy2ykMSkh)>kP*zC_2(MvjpVW!Gwp;%d7j>(HAxXYBm_L^+_OQGkkUhMIlt@3oAtaKER?A(aQ!x`Q~*zy1_~qi zTcOEKZhK*V67*QI$~5^<(qy%F8%h%WvQ|#_n~g^DEUq6Ob@Q$T+sWf5GQHZTh3Zz~ z=LUXB}238R3focD-WgE0$L9;d3PMM^sKJ3#l;7M$kX84BG(xkVTP0IjfC$5<#l z?^$D25LVMnY-*uoPJshdq!R$Vpn!_#!Aa`OPf#LWSgZ;>pW5Z0b!^a@ta4k3S~

>)!OlafZcr$ZxNhY zC5W+|r^4;f>5CB$7Ej^T4mO{?4XrC&djmnBrRwU8kL7GYIr3Dst0TzD*QPJo@?8)4 zQP5JY-poAje9lMzf zTd?Pw;aoGfQ@8R;mL34w`q4s*sb z0MVZ2MI;{?yuK&o<0CuSc!!csE@h_FR#7D+zEz@SnZvw%7*CD^RG{B4n9Rst@7>lNmI zhu2j~Erx_%%e ztfRs*h`xPktz>&F<_TBRAZl1&Pnw8DFmzK(IP9gG8(czKRglT#bYr+tl z4*3-G23`?n-T?qY-GEY(bWU4vmm|)jp{kq^IvN5NiqcGhLA|VyDMz6(Z`)A#Hku*! zc&q9U&<`Z2Kd5Bi>zZ=1@5w7o{#4uUD-sbS$h3V%z7ELo|z| zdj)LZh`hk{sU`@8y41u7ie^GBxokTw)aaDwOR-|b77_+X;{kxwKIBQ#3r+9+Rajg= zL`~+o4dxY|7kc+2H!V5Af3_E#%9T?MQ4~k7jF>8|N9+-SwwYE2vVx)?$YqAbEHYWb zjsWRzL+GnXI-$-1#9EMD)M)u}8DaN%w&F-PNrx{6uAmkS>9{7swMuk=sx{MFK_?Xj z$wZ-}6B=&8X@Ujo)1m}oxOFT^(;i0w$g~?i(50FtsTY7Vjje6XKpz2_xk3wkXZUrk z+eHJlZf*Zb?ckuTZE1ak4YPfa5TP!Kkzh`pkhNo!85Gkla3Vv9+fHsdZ?5s#5&2Bp z&An3`7iaD1SW<-N1WM0GljAcqWp~N*^Lbx@bt!Kpl+&F_X1mc{`J~V86o2N|B#XF0AJ)f012A z(fMn-`Dgifh3S&(rQkX!rd!bL$QL6_oW7!o^9Vc07&`yG4o%s>9O%tt#E^DDTuvCCN65A1yolTf9I1c; zh#wXu9}icj9D-CB6sy8i$eYKxtwWN7!*)$6bi#r-=A5|@5FXL0FPhvoPpK}Rh290U z4ST5DUAdU?C=V}fe)Evu$D@RR8*t}I^E_}lj9`Ja@ zageR9+)tu|SD)3A#h$4UU(>I!G(axMd!iogs*%kdkSWmkVcgRw@Tp@(UdsrrE9rAG zGx;8<-MTrRZTTR~f@1-UN1ozUh!bEMt-5xk2;=cI&x{Cp`|#$j0|PI?@%1J~sQLPhqvqLJr&o%;pT53BXI zW|f^E0jg3@xTPqt=Mhf>kBSKU)uppuup z3}$8oea1qT2!{EzwF*UXxJ7-_Hiz;aB1X&+=f%5x4;X+=ZRhpj6g+K1 zAM|uR7RLfDi`GAM5(tnmP5}L(y+^JALhHB_2UF$c zXok_7p9?S`##A9?4HjGV4JfE)3J*Lc0gcQFa9O>rvSTds*yf$W06o)Mp>?=z7R!vs z@lr3TE*oUG1lrsvS(VKl_ej(sup;W9r5O$W(8mjARq4Wp!jHv9eF(cy+wwFYF3b8M zqcfx1=<>=t&NvixPzZ~8Ck)}>zJkEh6kBmum^~ID%PKOaAvNiDEF(gMm@&38JklLi zI)3BRkJGvJriXyZ(+IpePp&gFhd#>JYm=uWx2UwU$du27teh!NE>6<-*Po@1oHk+e zimUcgm7Sa*n+`-wPNmNZ+khi6GUO}opv1ZZv?7#e*7$5@WLs&ENYrUOSfiF($DqJy zxxLF>66^GlLW5+hr;w;BQA%usWRspGfD+8ymuOT~O*Q&F0RSID4UxEnK7=uMM6YRB z$I+e>#+9f~AG%;wo9m(V?20tb0iF*~DxElta%Tq(DV@t&Ruz7N-w zK7JkmSMD`tnuM)YQ>s{M3L4_*WSr$pI-LeuxmVgrJS@>Iw6c0W$?=8Y`r1Q&j3*cW<8 z!G@RN#^Hgp68OiW1rDl^8oU%7tMHtXVo$g#NFC#5w`Q@6u#t|ol0mNwP?+5ZXk7jb z1wQzoCghU$nOG#~*oqUa9)- zCjndEJMB^f8{KFG_9e>_;FSrGl#aEZT1Ax6r+I1!JKkE0Rci97J5mgB7;svpx96>A z+OjKw8Oh-$!^;SZJ-bA^7mu4K%`Up`QI;^!0RyNYE-&NA2V)E3hSgVa@orP`XZ*mn+d*KAgDqibN< z+Ywk|j6(KScuoSclo!S3#90rvB+mnF9uXdMiV}KL2oR={6K@{?q1w~d5t^p7$k7z3 z!zRY4GJ_*n7z1WO5mk5+Evg&LeNaoP`i`g{To84Ta0#=ldMkipHp;imL&-|HZ6rjd z%k(^*shAr@lC~1rf#nvRP2gLdR_z%z z3$@2A2xtxVQgKEQ*@35t*#|IzqLYkfqZ%wr`X>ajk2Z*D>1^@5cnMj z!V;f7;RUbCe97ztVH;L=aL^VORwE)mi^6`NEK3B;{_5~krnj+^2NNh@q>>6u!#1rR zbKC(0U?n_tW};gJP;)Etj4+9%=Ok8o5+C&pSr_O!b9FNYZd7sT3P&gPSs+YA`a=`n zLv?UZeO6f+_k@AYIA|D?hl8Q=sY|e<$X8K$rVJ`&dv?1ooXi`ACd^woA}R6ER13BN zaqKDWpflIEU)sx2P@KJ9Y`T6zKtpaZfm^~MtY9ylhXKr1SX5KqfS>|AJj*}Om7Mu2< z{erruBaSkx1DafaTo&?%31o^n^73t29$?*#pLgZzUL51#3Vo`_6Pt8H6A^^g-O!OT z6Ro6#BCwroAy0%H6*dILSRTHPc-f==rwH4ZiH!>MsttBw_8iOf(qw^b?QRDRxD6oY9jTi=iQc#p@7P!_wmBE${OE^c*luHn$=3b^qxY_Q|L3NMS#ahRlchNlU$j4of z${%6?OVETVWMySqiGUpN03_Pi|A1{{)Az z-n|>F&1cL@9x8Kv)54n$e?-v{fm?t9#}tfdDH_fCY#Hl(f2bN)WZAn#a$(#-P9dIv z3W(&{um^D21gTY_R6-};UCw9c^B#h;)9V?E@99)=RpFGVszQ0WydSpY@Ii&+4DL!P z8v)^KRVn!Nxgu@Rna>{Gr)fOE)|-AK-n2PQnOq`(eGz{-o$;UnTGFIB8zG{K%UTa zJ8_Ak3wt)#Ly+^SV%X}?6Ge$uCl%N$LNk`VvQUS^$!8dJmtRq-42v)a06L6SJ+c!p zv)CAeDsVHf95ck1mqp@Z(4P}#w(LUXgW=4*KAnpvibGzd;PQ@2G(-?f2s}aha`ftP zK`{b{M(O1x2n4a*3H08@Y?8irHtyjhrT1{+^?TPiDIvW{W|uwuJx5i-{JmwmST6A8 z#73IKO+ehC{GB8$nb;37;xVG(hsRw38}lTXEMkLa{9*!a`cj6Q!gLmE(}0z#n|x+Z zE;L8`fZ#24)o~kSJ+|WBkUudbZC<7z)Gx4A0by7S&pgPe;h9`Q1B&vHhN8z78k!^7 zVtT%z{&S5Evm+IWNoy)uYGsHZRNkhv5XHz)QlT2o%A3waKt%pqm9L{Xca3?b>olyw zKxxCm3~_FRgYUwQ2pl5_qUDji5N2n-Y7%};kp|$Br@85_o!0r^-1}8DdyxbpFpM#& zw0x=h&9fT-v7u5~F2 zo4}E&DTYYrJsc_XEn$mcaVq%}=s$uEP$cW&GNo)%%#$i`pLgqPkJ5F{eW`GOF5L8` za++%A6vfeI?U>f0kh2Uu^E$UH9Y`KrY# zX3~Apf~IEzTp5nzi^I5k*iO(j!|^oV0WC7|O1W;dadd{fT)(LOvE}ijNjV9lxtr-0 zLdqa5O{ZMD9W^SnY+`dtoYiPK#^_iGak7lIkktc~(w!+QVzuq>z(W8%&lcDA@GJOJ z$ggTnm`<*yucourG*NC<>Aftb*}$BXdZT@d_o9A55_ok$+>Z`z!6J(9;@ zu@RVoxOtoklfpe9C7^7;p=Jfa%UcxC65Bg4*Y~eXhO{nr5_rCIGemHC14MV|^ zbv{A2a`?osOs`poPBe)QPfj=Xzy9^D-HnHv_c!ltygON5P1A3kr$|m8_3>wK-oN

05m~^zp{K!^IL+sPDorxBJl;xO z7J|?<`UzlH^qCKYg6KtTcB$E^BPgPkYXLa?%B<^N?x94H@W7oI>i*zy1!tCTSE>qS z6K@0`wDCqp`{PjG)%{qSrwRBkl>CEmFc-~?{1h6enY6bVU@ALkC@4C~a2$ne%-v}V zf&@7Rv$W^f(Pfx>$o}%$#Hw!10=w4jlzcUU*$ZAQmjvo{<0WU@I{_ld>7ekY&i4~W z;key;V8Zu8sDf@1=^%y`guGr=MIyX}CmSY%)=YObGp9euLXAUl-FtA*TlR!4gYpri z@+nI2u@v`&P9xQWgx0pk6TE#dVU95b!uz=*RVww&3f8<(p%D&Frmh=0{d+i?BmbM4 z9Z?QAIGd`afOok8zX9b8QcM`fT8$>XG4i1x4D_1>BRFW9#n2244#o>uge&?|q#uD* ztG*QSzH<2J9=QZP3O-$G>N!Y<8`MY|i8@&EvUl$Pg$!?f2uL!Vik2w~z)J7{0}AOu<}CA0 zE8ed^uJ23qrg8?H$d4pZY-GUk%m8(fo$~F|*tE<-hW;FNzAH+c!N0VJuCnLJqK6hc z>M!IHip3D2BEjo#Gj31HJe>FXelAw1#*&2fR45r&H~@vDNBl@CS0Ko6 zwgR`$tfMIFLgKcP7Iu@Pa$`axWAR$0EZ&XtGXBsK?u$5vYh&`;pXR<57IS+=m!FRr z@CoK8XMriHes@$UrNYeoOPA|y8-yowj8sQ?jR&R;x>pAYoTG+ejd};FigkA}90>@_ z$x%HrJoI?joPn|Y*yac^!=QXHH#qWKPV|Hj$cqBy9&+Qft-(llE5_W>FseghSkF8o zLI^76K-}yUBCQ)@?nu&0u1D!=O%t<7b-OUtn8-c7h41Y=@2<&iJSNWa1&&l$Z_!%o zQI&pq-8A~>`ff_g1?7@c905l1n7|e<5pq1+7F?aVRnDxIBWoztYl_0Tr!vB!d?pBc zs3|&HW*URP0B#(`2d7BRJdB3Qk4g7fC$&e1VB>)aqK85gz1j<>D3&^%Mrf~M8u`NU zv`EcM0Ylw(O+aPD!V2yNq%EE=FDL;E?x%S)RNbPK)&WiIq}GtajzN7si-90npLEpd z3t@Iplv#WYr497P!YX(!MeD8X-Qa><4F7PGa#moHqL~4^vox#s(Ng~_t@({6Fp+D|7>*h3*0zgjiL?i`rEk7UH`elT| z9^>C@4E!g!PVfC*FwU*IzqpS-z;$l^|5DxmcJ!O?Y~VL~m;U)7K4|=ZY{q|PuK!1K z{m;$y-!a$!ySe`NY2o;(>yPMj7?8&K6LbA%%yoJXH=lR##cR=5qThPU+~|C8_rFI2 zMMXT{Q{xoz^NG6urwaJ|Yvy{0|1&jC5ug77u7ipSKfjCXZ$@8@-rU&mzPtB&6m8&o zi2uKb``^M7mVQ5Lp85k^|6AS&`j0=s^{)V)H(%6$e;$9+&wo|d_3!TgZ-YN_^W4Ps zw}5Y!?kt^S!T|w|`H#|33-W z|Cez6x7GMX{``q>{}*sS!6Dqosc`>S>3+cTLj$)r&Ax2nI^jdO@6Yi4o4Eht9Usnr zSKa@1;k}2r&h6t^xc*&r{Y%lG8M;diJbxPRrFlL#@3s5>ecbQQL_hFv%s4G0N3eYL z^Y4ZC{!iih{}#sok#POXAOvo`QGdQ%EODyhW*Q)-cv3}(ss zcy@-U#Q+iSMbf*viO5$mMho-7=EDc?KjLpnkT5%fi+J9<#c|Hd`E3Lz)+9{^SCa{y zMCtqmN5^RC-Aeh1G-rm=MoHAv==bTZ;_AusG4wOX*lzO%cP zs3zhF$!Gn2bo~-iW?;d?=)dZ#dZv3LxOWd5&AnO!k6fK4b+m`lRZr9p4D)=9V?N>} zJ~^O_s00}VNql539^o*lgGu)oXLW?<;BdLE*+$eCx!Poe(9Zfve{rQy1^%<{F|gB;A?8Cw*rn6JF}>_w zA}ss2dOzF|qp3eMU{5PErT@r_q1ru~D`7FchJQ(M9S4PVM4OA-$+CBb&*fZyUfADY zjHmOn~MPkv481DHQUio z*x&Jn9@2R*CkknAVxOZ;u)GPK__nEf_2bUo^c?)r{E6JWnf<+Yb_U0z{cAizLZP_} zP%`}fulhlnIf+CoKOUK1>}RN<)4lG`-^L$gqS@!I{b)Zo+?(;c)uZg?E&Sp>Z|zL` z`HS{O^BF#Wfb0M7lTT*${Xa0j*v}8mbJpy%a@AapPVftv0`~boHNV);-!p&z81A99 z+vnfL@AUH&{~#)}=dFEfKi{we)6Mt^;rAQ3_K7opYX{rUN9w64vh%mY+0R$_{x6;9 zt-WkNTjqJ1KRsjTZ!Sk4;}?Rd9nad;_VbaMuzkOM-md?*%=3F@Kx=>7Pxtws#XaHk z-^Vq21{H-6seunOhaQ*+rbvg`2(fpYaQ+!WK-{&f73906Uz$-#%|Y$-X5O*gkLl zA@|Jl_CD$PKgSn@d-i$jH+f{=ZvboY(~f87MfN)_(LQheD@VV8i}?8?{gM4|pSPd? z2j95QXZFa3e#E}V4q%_NfByx3p={FT0|DvCn@k zxNe8DpMQz(?eFfb?{Db8!g0fUzlaOrHF|Pnp8wH5(c{?v!+U>Dc>XtjsGs<9;6DtX z|2x9-lYgh59|Z&xKEGq057+rW{#ZZ%%m2mBTz}f<-A_xq-ktwY-*IU+d;?$J$3MIO omj4oeuzQbRv!BcF>*sgZ%>OMs!9D-`|4cvsft{!sIruaB|NC~vYybcN literal 0 HcmV?d00001 diff --git a/driver/tests/demo/demo.bin b/driver/tests/demo/demo.bin new file mode 100755 index 0000000000000000000000000000000000000000..b55b7f61eb80eecfc2b65267a0a074fdb6880554 GIT binary patch literal 5188 zcmeHKU2GKB6+U|#S0`tRFl?GpVk$@@Y1G!AoPhu6F?CGlbXco zOWF0h!Rtz`)QA!zRiZ*Ga2_hzQSeVF_4K>5YX>SK zwQot~m5$EL_}qKX`ObIFxjthPss5{t!p_ryzlH8rf%0dPog#qI{43 zE^IQipJ&#=rr3Vu^VX!HhK5;pD;cVJm_$n}wS5+Kx$MKd%RYvTk+$(lj$RwbsQ2-6 z@O5{I)GmjK=Sxf$Dijttlf@|ti_@eAI>_q;E&~C%muyzPI7))gM`A~s)IDDhk2b9e7j9%2PYNe;M*PYZd9lYJHNt77U!uvFiC3R8R!&~ zndTTb!MDR^IzHE*!1+9dTsKp=7H6gxmbzyDem{;Kxicq`i-{EZ6FD*~Z6qDWLT8L5 z|CmC4i~NmaBw`$rjiLk`E?%V=hhQ-h+gl#M;gc_Ma5N4!i*ZQugqWX<1WzCan_TB2 z`K>yhIu0Lb94bp{VKOQ^z~RKAVU9cGmcRpz1MNc&Ql<&KH5M8NNfqFbVrHc^#zGdR zfp40ro@dA%K>ji~yUrmI?5JUZ^Zo6>b{UIP*XvlEy|1}2nj@0ua3=Us<5;q$8C#ND z))6@Rs^EE2;EUMpFtw}1)XjbpH*warekRs#CHd|&$pOfD$O%aAGz)tUE;S{h;QT46L66%nd z8;ZK_j5u~((^=B*E2#H*)cf69 zy=zV)53(?U^Mgm!dq5A?`!W|Ei3#6<^R&IwURzU%RH+46&M#G4rcn2L?kjGSd7*t= zeX`JAuAqMeQ+eH&<@=xgp~ibcU%%W0oWGj)o&BWtfcJa)AF2Um9)3g(^we^o`A{06 zu=G61V1b1JYU7(y59kS)btZ7JYqO~T_jqqGFJMmcW|AM=im|KDsWI3k^i0)w>Nyqb zeEmIBf8Sc`Gu<1Kam{sapt^pcuAhsw(DNC4)Mnf~{jW1lu=Yr1FrU|dNdB`nIBV-! z&xGAfU9(R_euk01p&hk97W;X|z)bBmj>>m`7r!gpCgot}YN_Fn0XHIrXWb)ewWs$i zY&0*haX)v}^!hmIex+-6X7-7{X?txunxaBm6K1)!Ev|`j5ai|h-^6>Uzvl8@!9w4v zL~dVz*=&*OL+x2tBE47jZADjOMZ$jVL7W-OFzq9o4`knFm|7P8Tewmxtm%f>^=t;o*SL24# z{o+mD;GMP;>UAd)ucP)#cW~bsg@2GNq*dQ9IIHfPTJoBl82_>}o>xPyr1MfP?ZUSS z^n1(-2lkNAhK7U|G$b^kA)x^c2^lmbBxs1{qMDmqtA_fieET}7A>3=AaVMBpL%Ef6 zR4)M+=bkDU$Q6%VzL3|mr25AAJZwKVM|7@(Chr8d%n{7;#4PiE@~I=zIr1FaaDDXP z?0CX|FGvd~Np8y>Dt|hOnUH#1X0IiclfixIx}oQ>JU5L#1pD)PKGo_%@k*1-E;ONM z-SdYl>18|j4&ulAf7%OsHj4WfWB-y*wKMa5HV#gh$iHE38pK{j13WO~mhUsU`DaXS ze38l4SK(ukfftr&1kaB{8j_^e%tn58CkgP+`!P8AR+h@vJLL5>TK>qkq*v&%g>^Sl zeOIDt%hRZ#F~h|E;3dvthQA5(baRpUo8iZkjQLN3w@(!{FHG&DpWBJM;5Oj85P2s9 zOP;f+I^f*%bH~!|nL*g@tj(9x+&~U+SB8CVu*qu*Sk?Msu{yvP1?~{Y!F9*ABh%@}UEnLtRHQzubEy z^YX#Nuk1az_t25OFE!Az%~xB{>TeZ+RRq4l2%IPU10Vm`N)-1Wqryvq3V(vEeEga{ oEPMNH_s_q5`muv|{&CZ$#;=)=tM05K@c)WHG8y-VB;q^#Z`7E)g#Z8m literal 0 HcmV?d00001 diff --git a/driver/tests/demo/demo.cpp b/driver/tests/demo/demo.cpp new file mode 100644 index 000000000..8902e3528 --- /dev/null +++ b/driver/tests/demo/demo.cpp @@ -0,0 +1,75 @@ +#include +#include +#include + +const char* program_file = nullptr; + +static void show_usage() { + std::cout << "Vortex Driver Test." << std::endl; + std::cout << "Usage: -f: program [-h: help]" << std::endl; +} + +static void parse_args(int argc, char **argv) { + int c; + while ((c = getopt(argc, argv, "f:h?")) != -1) { + switch (c) { + case 'f': { + program_file = optarg; + } break; + case 'h': + case '?': { + show_usage(); + exit(0); + } break; + default: + show_usage(); + exit(-1); + } + } + + if (nullptr == program_file) { + show_usage(); + exit(-1); + } +} + +int main(int argc, char *argv[]) { + int err; + + // parse command arguments + parse_args(argc, argv); + + // open device connection + vx_device_h device; + err = vx_dev_open(&device); + if (err != 0) + return -1; + + // upload program + err = vx_upload_kernel_file(device, program_file); + if (err != 0) { + vx_dev_close(device); + return -1; + } + + // start device + err = vx_start(device); + if (err != 0) { + vx_dev_close(device); + return -1; + } + + // wait for completion + err = vx_ready_wait(device, -1); + if (err != 0) { + vx_dev_close(device); + return -1; + } + + // close device + vx_dev_close(device); + + printf("done!\n"); + + return 0; +} \ No newline at end of file diff --git a/driver/tests/demo/demo.elf b/driver/tests/demo/demo.elf new file mode 100755 index 0000000000000000000000000000000000000000..4e27beab86c610cf5d38d9dc7e813858485c55e8 GIT binary patch literal 36456 zcmeHw34B!5z5ls$?<~oLBrpjR5Fs#O5lI3hA=GLOvbR(b1?f{eOeO=Fl1$=ELcpa2 zBZRu!XhCDFjfgukJ zd0@x`Lmn9Nzz64n8}Ok$yZP~8$lD;+7JpB?A|A;Pd5gtbe3}n!eso7@i}qNJ@6LuS z_+Am$hQg&nguIXUyduQLSH#Z(uZV8ZCb~C156-zIwQ^b38mU-XneDs;$!Hoa!^HnH9RtcaBF6VR!x>4trN zA>v#8qP|pgqwXzjqM?iBA+PYz&KrhT@9z&SDihhABSonG2C;qhb0V}bENZ%*6>-BKsM+&?2zAwq z_*lO$w0>uQwik8u21HHZ0om?s??UKUC?ZwQzPcUtdl`us17o+*!tkn}@wFti1JxbJZx{m^@`KeS+^%MZVF{NSS>yqfewu|E*n|3rVt zA3z(tqQ-Zh=$XX!n0~mMe%SSP_P`VU+kNoEl?Ofg4xgymf;gZb#A@_GSl8ii`htFl zh+XhQSkre+lD>#^?}2~Iv~1UGQL`2OSB|>P7z*_+Qoca_JqzGl%NN%?WBTHjHyI1} zPZlC_8|p-Ss2D3&cTIacQZ-y4MlT5Y8$=fI5?blgvMaV|+23szq2Hmdr#EY%qUj=X z>mCu=it~1ySK_>MkJfjoUqledkvq4EKE!h5bAHjs^Gd*1;f^zM^)3;){C?4Q`LkN& zid|aY6>CJ_)#%S_{94~N&x)RHn|AE!_S1&6&VKj3h`tJoK6#&vz9L>P`%9nnsEDt8 z#6$ny?-f_q`1W(0_xJ4d!+(fh?ZN4I` z^<9nryJnYgx0~}s$ZN>C!tPhoM%qRjX)F6Dq%TFhLI?ZeQs|`rF@D)^*%h!I_V$S~ zEuuXk`n2b4JK2W#vhMusmW5ijw^!SK|2|Puhq3H?tv>``hh|+bvI|Sa_B96(=Oe}T zt^=H#0^9f8hw+E;{&~cC=VSdf-tVb6-+EtzekXkHKPY28Qoc*%|jR&UQS z2D(%qFdnvS6Mb9G5s}^lT3;{5#)oA*U{1iZPKRH->RGh;hrsjoJMf(Jmx{>UFG}B; zbj7vM9M{^WB?-WyE3+{CA=c_uTlSdO{OL}A`nnfImg9^&&EI@B|6zc|)sTu7VK zA1TN4dBVM-XTBFP>*cdv3wcXL+um{gJ>{C{nK%by|50h@4IVsGFZFDU-1;4fXT4sL zMFKy>Q~9ABvC*Fe%sE1hJ?iY9qTiwI=$ZVu9>2^lIA3whzOr}RugOo|`@^EUz68&5 zW1bun+k1N(A``BYIa~9_y#B-8UMXr{o;aQ$c&x z8o|;XDIc#QKrgCO z?Sb-(rTo#zx$V+V&>Y+Jy_l2W^Uv<^WI114>(7sW-W$lS^Yw?;VeD;r3G1EvVIR&4 zoRhe|@N2tQKl^sP#3%i~-M6D5d;KKAe%Zcd1r*H5M;pJg`@bpZ>BhR5n?}eO^yZ59Z*C9f6*^ zddot-Vo@{lC9(bGC-6)NkBU@0U7YomW4-i@htK24ZF?{ef&X?spVYX>`gfH?Dh`xj z&U(G)&Rt~|U(HKsKk&i)A@AOPIe%%|TajsVH2oXe4#b3x{`cr7Tn=5EJct8Nq-us1 znR=!cnG(|?lP-Xbn>56Q5eg#CufUmKEV6lf`+F)DixA>3ei~x(3l(C!ag&H&7BqUc z%`1*~cX>m`YyH{F9_!ydvKC|LQI8J&h)dL^d3sL3^K|Mat!FCiI8oDjPDI>J+r+rg zX=Bgi#aIh+3>@f>U)^g&ZZpL0t-jZLCV#H1=7!6`yI4J6KJWLS53p7S|K!U{;w8P> zZsQ*ZcW?C{3}Fp{e%RMD`QOWW?wA*-VSJ6@m124-9$WL+pXu@%_Cr z-`NB3<_OW<6cXJ};`~=_nq_Ta%}>5rJEHZazx>WCg8!<<4%s#2f&XJ3D8{}XG>N-_ z+8559ds6w7g{Ln+q5LCNGpnYHIpru$Co}PNLK_LtDE6k;)yz!JY@Z%&#YK!kAr`VE z$?M>YqR$_3DEb_mVrUoYLKMuva`E~>x;z1Y3o7v#{&l$NhBuk&5T1Z{1^7J0#v`cI zqxrst4>TSv@D1KP(88PlN-5_(mz;+G0$|3=Ec&z-o(2x@1^Z3St#quo?Cks%$pCAK>1)!f3lNelS8Z7e>> zJcAk^&RYTDg5W2i*Z84C3Jar+tA+8%aE57d@GjQ%sFW!So=TY~^67~&!4uiYA0Ph& zZsox#?3O2wKLDg6IE`-n&tb>mHYKQ0=4W|zK&BN&I~#@Zv{XGE2+aJ2G;=l(*!hgC z=j333GS3bFC}bLf4eZM2hkpXd+`?!J6#Paim=~OjBIEZ`W=ZffwBUvOjj(rV@LXEG zdqg9gadz+(Hf~SBI4E5itRwRO3puRL3*N>i{8>gxlL*~_GD?)l3maK-9~flV!_DmP zQj$jIq24Kmk?;ga4*** zbFs6?>bSSaLs7<{!vpE@D3G%*gG&xSkHXKjaM&BC(G}Wg4FNXFkMvV1&PcT8(L%jDrB`q6>-)DjqcfwiFukw7^SLase*Jd~E~~5%w~S z7bwgZet@)v+KBT(Di8tQ_M^x&b@b1S%^rUc&J53?ST8&b4iUbJVp}~U*ndX&VcPXM zPcaz-;W&Hfa!;63bVYbC8+V1Li0)Yw{!h}b^h}!wY-#u+Vpn-ae;e9XhJQ(2S9?Zs zZeq@7D15Dfa~> zcYF9n(!Qv$Z-u{3+Vu+iZa7BT4GMcOd=;@974}$o3oW}zjiV<;fN{jCrISV}oRv%5 zukeprcvy_yYuxPdH=-c?JWc(Y=QtuF+)o2;^OU85trv0{CMeY$F9P&5M}&zVx7Xj$av^DEJZJB7+a*u^dQN zApcnwKaXpGaU}B0+C5_2i>$Lo4|9GR_bVc``ti*`0)K-$CW5nEl#a%2{1>3rS5m>E z@lS&0D=lT`7a5CCB8SO&07~o&D2*tXFuoJo{A&ssQ{!KNrvk-A7lBY@$ddJ}>rF+y zmrZ@EfNIBg!Ir>VvIXNWhc5zejgjT!5U_!M#e=VgMc$W2Hj%#$m%J}aljQ9BCsZL_ ztcme^NxM1x42ryG!Gip$BjxJr`;gDCF3roQHAT3FUIoio0J0gv`zutOKdb08l#WNK z$p68Jw+KHD ztw07^_~1y2jURIw6@3a!rlN@N9xA#=D(b>jtN0SC^oa3KpcjHu#$G{zb_kmSC;EO( zfhAxP6(`|}R$olk>ZuQcFuoYN3r-jM5lo91hQC%HpQDibsq{ILCMrV19*YJ?*ZHhr=18yOw)>R8OF;f zTq-Bx(hf^1X8;(9{-`_!z-0Hm)0xs*J219%vKsD2QTb<7wB(xWK&IeC5x;Ex1;4IqGisC*f~Bm(;Y z%p>5z$FhwCiU52SfT)^@JrWH7_EK35kROnKDuAB?sQLtel^}nWAyIi9fF}vu3}7DsG5uSBwgRZU7r_{fQMnF4gg_5~a|m1upc{ag-Vf+y0F?%sb~kCm0sMh995c>F4`>H;8Qc2D z(CC>6=>vM0F+3CDd_bQd!E`&}Dst4M!151$_(Nc+X#&sdCY(+S?F~K1Ay(5gU1)FW z`C}wJgK(jQQ?h)7f@S$g1H$1dsmmxb{W!~ zTE=eOGi+0pVMuB;8nY2m%f77ZL&LR`6us_dH?ozI7Ny6HoQ3GJDW|)TnrIZZm@=Z4 zeWwgq;5NWSL@k3w84xuBG*B5}A<_T~kp@_ZG{8cn0VbjhFcDG9{#F$u#Zmh+2+zh^!ad7Y#34C}!2Pq8INlie!hhqId5w zLWFBMT9vW)xV>Q^(pU?T##)Fp)x6j58r(IK0DpWSqcK5U{~G{}d=SHWq>PvVD2B2B_NJQ);5)nIzMC9;EB(?&N{ai^T z3foB}B6bpqh@C_tVkeP^*hwTJb`pt*okSvHCy|Jhzu!qDw(F>pNUZ%>l1NJNQ74h4 zdW?WGP|cB&NRrn~A`w2;Bobvlh$Is0Io2c+W!_T~i3$#rL?Rh(K4KC{^1X{B66J~y zkwhZxP)Q`xjxLEr&ZA2rk@H_SiA3wr6GxjwGTCJkiSQAUNF09dB$ArHjy;LQs{YAI zBxP!jB$BlGT_llc^9PwkVtwy-5{Ww9NhJEhokSw;P9hP17fB?FA&JDBOd=`#7?Vg8 zI{G9MTZXvLl|-VV_nJhadNYYc(9R#J6wJF$B2kx>M3S(XM3S(XM3QihBofP=BoZ5$ zJBdV!_kBzvQQ!NLM3Rb*C5a>j-tQz5-Do9|q^mvzNhI2NUd&cIo9FD@?;cnB8*A1JH- z9YBP@GXUlicmY5RK;>TmR3F5p47I%ii>uxOaw~bTk5N2~Q%o=8)jUpyX)>wubMj2Z zg*lMQdSdf%3E)Fv)tLZ}Bk*YeA0e<7z&QX!^#(xGQQ3J^^jRRCq+bCbOZxQJ0a?el z$-~dYcao<7m;Xm#6fVCdfUUV=F9GJIbbKnSY5*{ez$XEG9Du043G$0j;ps8 ze-pq(1Relz3xUT0e22hO03IjsdjS7U;4c6QLR5Ax)UJZE(RBGLAX8CX)e7Jg(x+bp z$U5rC(~W|qB+ak0Cz?FgTUzk z3h+a%s&fIH3ScIkrJXOPufyFs3K1ezblx&>h#8-Ak*Y2Sl3+29ngI!_FM(9+B&ceg z1XZn>pdv?|D?wG~N>J6g5>$1r1XW#=lc1_|C8+9BPJ*f~$1r1l24KgnD;^s%}7nY8GR=-kqSTcPFUo-3h9CcY>(To)rA3_ani@ zm{q(c8dRt+tObLh0@XnE4^zx0HK1vWLoCl5FBXTY@rcV04d4&D`DcS(P=tz+JqT%f zp<8hw7z3)F#UU~lk&y-!OIELA!|(w*@omP4QZacHzQ`-pCXZ6)lz3qdzATy~ZzF9D zXd|r+n;K-3Sy|bD0;n8i+h>Z5Hrr*Q$C++1(J^j$EY?Ht(#bGsEKOpK<7!<_K6Mmn z<>N6sjyKDtKUMjJNhpsP@XyDB^nlawiYZXSwChAvM`zjgPjVOFYm+MN)KONa(kG07 zhO!$@leSF3kGE`T58~p4iPgLsCCp;#V@ zWf|>95zFqVVysRb9NgH7rlNS73T_$Ih-oXhWkjpsmho%__Y5o2u_BVZWr*Qiab7zx z&Kc3JI7dV?&g%!p`D_{I6Y!mZF1X)M)84?0sNrDv<9}6Ewf$dij;&l1k5{)O8*vlW z&26oT>c*B>GLxuoO026kIZ~aK9c^tb@#a`EHM6?0GuhIlt`x3JCAuJAndykFNzAOK zx@0Qe(%FDl+sWX)Xs>(Z(tqE1y9LqF!#K?kYjcQF>dk59yE39NHld6P?=$Mo& z!v{~xu4dXLu-(uLn-DB_=4)PE*AO?FSM%u`C7}ECr!FC+2#36SEU|40^6Ae@F)tJ- zl|G-&jYFv8kR>|zmuOy_)9=%jwtP$0@acxMMygT@4|!FxP@?J$_;jz-Kio24tVs!) zjRl9YHRzHVR00e1qqg8^to-Nk*p|Po9AN1CK1+@#b0WMk}TF4(VIB z)$jB(e(Q>UJd79N>0y$`P3+|iF*zGtJ0xfG-m{xV}|SD z8*X=t0*0HxX9Z(G#g{jzs{v#Mr#x z1a;->5>+Lf-zg&qd}C!DYFMgZ9BT#gaFZLKkSuOlk2Mzrb?zn9*n@Vz4Ca)Iy~EA^ zu=;7R#(&NJF`K1gOf^x#ri0x5XOfSmuiiV4>L+`oSiKrBd)bbDdo%=OKaJNfly|Qc z7IwU#ME2l-7P;*7f^#lqRr>*9P4ozEL#w2mD=up>BNvN9t0Zfc^d)DNWRCb>AN~5s zUfCRa4dkqTa`FtVkT`-}!}>kJYQi`{&M1C!b!eCm@e$S|1ZyG1rydXmRyq3TSIQ7Y zeoi7>Ix9v)zv_Q?x%J^$V)^t&*#~$Ezw33>SaTg^t)Hyt^@rm*?GEFA&N|9jj$6-K z>lu3Z=ccmE8!#8@>bdPT=RWtq7-kI&LtkU{=DS##(M~mh0_wBgp;un^+F;-sZoqK0 zR~U#bX}jCg|2nWW$H-6J1J`EC$M4sacX&OASe1=8gWwn=My<%z^4W@*!`H7mzQl^8 za;M&0k%lP48ZOh^mc|QX@SAGpL88ouMBCedC(?LCuZQMwo(nlL!LF(7IR)d_V6TWZl|Oj%#B^$i9*nR0g9GO)~K2 zos;QEcg8z}ip2r6D7xpuC?Zot5j}7_!hxbtFP#$0#F@-fh;lG2hEb?9vA$!qNTk*! z(=ee6A&(Ds93_sI#Y>L(l8${c&cpW;5kLUj-i!UWAc<_OMA`C^2#;km1ksd0_1(Q zOg5t}l`3OYrrR$QJWMi@=*|Fi2 z<|GobDW|y$?D4p|r7hOs>S?xAj;B_}f$Bq%>1>2!5uzYvnvzY)R1#e94|^!OuK6f) z)rZfc%h_O1K3w^Lb#Ra%eXNEUL+HY`6uQ?8Y}&EpjJcnPE}K7h`LeSXub3Y_n*%u3 zbodW;R(3cpU9)8AtXjuMm=7~;m|#;)7$b8(8U5t^d2>$x6yvl@M4LI@Qy6XVUwo}} z1LmL&m}gmpDP<<+6pkS!xa5p;ocWqgR5J|cjg%bat(c9isUeDtJfsnxi14Q5x~60e zpm<9nmcnoOqph*^a+Z?GbP(2a#$AsYH@Y5`M%R-W!4{2frS~&73&hw zdQ6%vYvt68heINrL|w8PM151T)*Mjl>=8vJO&nLWvl$N&uwxepE~pa2uA^;jv?Yd# z4=r7~crL0-$&5c0sW}7RqG0&NupK2DUA_eKzj8(50_VXMT@Q{mEp3gMC-5>fK437A z#qxP-;^k!6*2!3dle?so)$EP(B(et2UmnvmDI1S0E&vFVZ>6Bej!|y5N;S>LsHO}RG6D|Dj`o8>$w^+D!_lfhe#`%Nz z3LL%_hkGk6l`2lzi z`D3CVn?9>unmEq_^TfsC68waGbcNl6npkB~U5r67aue^V+38u}NGc+zz+ZIF<0- zEAr5U3ydDA)b3bK9FaG--c?Q&N6_Ffx-{7aIMiHyXHh+3hAWy}dTh|*)WoNAfKHL! zXPU?j+8SLRb;NDEk9tHnvbJGPk=@x&kzIoyJO+nKgynrN{kt^0sz%F!yj$i*07za80`E>YV`*JyMsNI9Tw zYQEW=&x)}*TK$gB@xi34T&%9SJ5OA>@j@|rAl2@B6&UD*9JSaD$YGyTw8{c~sv=vF zPh2QAiqS4y8sfq))UMp<&@DZ#aqAS>j`2dPYsdiAT&N>pKT({bRXM=JKcgH0dlb5Y z(xLue8s+v7$=MHfOTBUs*q!P4B5Hw$nciGeuH5VuG*LNZB(r?+}S`%o( z4%#D@I^y;i7%-|_Lnt@s60;v@j#Ag4$Tb38v#M)c4k*p7!BuLT<E#ZASckC-;)m;joSNlOy+s58HyA!DY81$4uZ77!V4!jAMws*d35b zah2MZ=8*AWp|f$oQpe`bH`{fIi|lBy9pn_{u+4UW!(|URr)ZT0T6dR2eB!E&7Z3O# zaq)l;7LG^`#W}*xwp)Yb;Z8^KK)z!wKU5uu(sQi*htl(5DIeV4BiU`y-*N9|i7xvT zKWE1K;S?@^2_OyzEgZj4z|l}(;fBQVw)JiTI)8G2BVgnB$pMbp_`65E>v&@Yk9jtZ z-w3)6`R)DyoUgod4t>yHQqb`(TKA!gVQv)l;pd31Ll^jEvFp&~YvP>(T?{uppvnyK zD&P6X5bjnb9=zwmIY=Mxp!iM3tNk;8-wywg&x3!b4x+=i;$*bnz+Hns&cdDkPo_&vajQQs6aNJD)O4x$gnU1ZtbohZOt1-eza7k>qCApYk;_#0$&@fUGOxbO*s z@Y%p?;V+mcMxcKhfCtJ{eZ}y{GT^IBf0BM4@Ecut5;*=WTsOp}d!KUKoR<980q=J4-vqqD?Em55|0eJw08b~@V3STFjh!#4q)P)Aj{PNev&jS10?jVIV#)YOy~)CpzW9U-e$ zyC+y8ztXk=wb9Didk~e@V1rURwjr93-}_UQS)j~{Vncx3#N_a_rxWiea}`)}1KG?{ z?E7j@@(X$MOLub%S%p})KH8OOk9DO8fotvtY&^)w;#j(U@jOBut#s^J^X8mACwkia zg^N#*M)9>jG;+ZQx#?|d30kE<$P!#r12P!)8gZNxd?oGS+8|)KH)JLC;4XL<+QwRy)3B-e`#V*t1gOW#LO##NeJS@OAE&UKG-5au}_C-st#^KqaY?*wo$ zaz5no37i%mpNq3AFds^ax>zF1fKrC~IDgLrpG7051+N55eVo5}kWa1&6)I_@$8bVd zyICxjgKxZr;7%SM8JreOd>!~22$+XOyA-$ulXM^WUczY|7LDsQ3nuL=i-q{ET82wj zi}sa4d`r&|;?H>FhjmzdcbR-vdrxY_pU6 +#include +#include "intrinsics/vx_intrinsics.h" + +void main() { + unsigned *x = (unsigned*)0x10000000; + unsigned *y = (unsigned*)0x20000000; + unsigned *z = (unsigned*)0x30000000; + + unsigned wid = vx_warpID(); + + unsigned tid = vx_threadID(); + + unsigned i = (wid * MAX_THREADS) + tid; + + //if (i == 0) { + // printf("begin\n"); + //} + + z[i] = x[i] + y[i]; + + //if (i == 0) { + // printf("end\n"); + //} +} \ No newline at end of file diff --git a/driver/tests/demo/run.log b/driver/tests/demo/run.log new file mode 100644 index 000000000..2e3de7ada --- /dev/null +++ b/driver/tests/demo/run.log @@ -0,0 +1,372144 @@ +LD_LIBRARY_PATH=../../sim/obj_dir:/home/blaise/dev/cash/build/lib:/opt/systemc/lib:/home/blaise/dev/cash/build/lib:/opt/systemc/lib: ./demo_sim -f demo.bin +Device ready... +VXDRV: upload 20 bytes to 0x0 +mem-write: 0x0 <- 0xf1401073 +mem-write: 0x4 <- 0xf1401073 +mem-write: 0x8 <- 0x30101073 +mem-write: 0xc <- 0x800000b7 +mem-write: 0x10 <- 0x80e7 +VXDRV: upload 4 bytes to 0x70000000 +mem-write: 0x70000000 <- 0x8067 +VXDRV: upload 1024 bytes to 0x80000000 +mem-write: 0x80000000 <- 0x597 +mem-write: 0x80000004 <- 0xd458593 +mem-write: 0x80000008 <- 0x2000513 +mem-write: 0x8000000c <- 0xb5106b +mem-write: 0x80000010 <- 0xc4000ef +mem-write: 0x80000014 <- 0x100513 +mem-write: 0x80000018 <- 0x5006b +mem-write: 0x8000001c <- 0x1e418513 +mem-write: 0x80000020 <- 0x24c18613 +mem-write: 0x80000024 <- 0x40a60633 +mem-write: 0x80000028 <- 0x593 +mem-write: 0x8000002c <- 0x5ed000ef +mem-write: 0x80000030 <- 0x1517 +mem-write: 0x80000034 <- 0xcf050513 +mem-write: 0x80000038 <- 0x4a5000ef +mem-write: 0x8000003c <- 0x541000ef +mem-write: 0x80000040 <- 0x8000ef +mem-write: 0x80000044 <- 0x4ad0006f +mem-write: 0x80000048 <- 0xfd010113 +mem-write: 0x8000004c <- 0x100513 +mem-write: 0x80000050 <- 0x2112623 +mem-write: 0x80000054 <- 0x72c000ef +mem-write: 0x80000058 <- 0x80015537 +mem-write: 0x8000005c <- 0xa0450513 +mem-write: 0x80000060 <- 0x6d5000ef +mem-write: 0x80000064 <- 0x1d41a883 +mem-write: 0x80000068 <- 0x1d01a803 +mem-write: 0x8000006c <- 0x1cc1a703 +mem-write: 0x80000070 <- 0x80001637 +mem-write: 0x80000074 <- 0x40000793 +mem-write: 0x80000078 <- 0xc10693 +mem-write: 0x8000007c <- 0xc2c60613 +mem-write: 0x80000080 <- 0x400593 +mem-write: 0x80000084 <- 0x400513 +mem-write: 0x80000088 <- 0x1112623 +mem-write: 0x8000008c <- 0x1012823 +mem-write: 0x80000090 <- 0xe12a23 +mem-write: 0x80000094 <- 0xf12c23 +mem-write: 0x80000098 <- 0xf12e23 +mem-write: 0x8000009c <- 0x245000ef +mem-write: 0x800000a0 <- 0x80015537 +mem-write: 0x800000a4 <- 0xa1c50513 +mem-write: 0x800000a8 <- 0x68d000ef +mem-write: 0x800000ac <- 0x2c12083 +mem-write: 0x800000b0 <- 0x513 +mem-write: 0x800000b4 <- 0x3010113 +mem-write: 0x800000b8 <- 0x8067 +mem-write: 0x800000bc <- 0x793 +mem-write: 0x800000c0 <- 0x78863 +mem-write: 0x800000c4 <- 0x80001537 +mem-write: 0x800000c8 <- 0xd2050513 +mem-write: 0x800000cc <- 0x4110006f +mem-write: 0x800000d0 <- 0x8067 +mem-write: 0x800000d4 <- 0x2000513 +mem-write: 0x800000d8 <- 0x5006b +mem-write: 0x800000dc <- 0x16197 +mem-write: 0x800000e0 <- 0x72c18193 +mem-write: 0x800000e4 <- 0x21026f3 +mem-write: 0x800000e8 <- 0x1a69693 +mem-write: 0x800000ec <- 0x2002673 +mem-write: 0x800000f0 <- 0xa61593 +mem-write: 0x800000f4 <- 0x261613 +mem-write: 0x800000f8 <- 0x6ffff137 +mem-write: 0x800000fc <- 0x40b10133 +mem-write: 0x80000100 <- 0x40d10133 +mem-write: 0x80000104 <- 0xc10133 +mem-write: 0x80000108 <- 0x21026f3 +mem-write: 0x8000010c <- 0x68663 +mem-write: 0x80000110 <- 0x513 +mem-write: 0x80000114 <- 0x5006b +mem-write: 0x80000118 <- 0x8067 +mem-write: 0x8000011c <- 0x52783 +mem-write: 0x80000120 <- 0x865813 +mem-write: 0x80000124 <- 0x1065693 +mem-write: 0x80000128 <- 0x1865713 +mem-write: 0x8000012c <- 0xc78023 +mem-write: 0x80000130 <- 0x10780a3 +mem-write: 0x80000134 <- 0xd78123 +mem-write: 0x80000138 <- 0xe781a3 +mem-write: 0x8000013c <- 0x478893 +mem-write: 0x80000140 <- 0xac05263 +mem-write: 0x80000144 <- 0x878813 +mem-write: 0x80000148 <- 0x105b833 +mem-write: 0x8000014c <- 0xb7b6b3 +mem-write: 0x80000150 <- 0xfff60713 +mem-write: 0x80000154 <- 0x184813 +mem-write: 0x80000158 <- 0x16c693 +mem-write: 0x8000015c <- 0x973713 +mem-write: 0x80000160 <- 0xd866b3 +mem-write: 0x80000164 <- 0x174713 +mem-write: 0x80000168 <- 0xd77733 +mem-write: 0x8000016c <- 0x8070463 +mem-write: 0x80000170 <- 0xb8e733 +mem-write: 0x80000174 <- 0x377713 +mem-write: 0x80000178 <- 0x6071e63 +mem-write: 0x8000017c <- 0xffc67813 +mem-write: 0x80000180 <- 0x58793 +mem-write: 0x80000184 <- 0x88713 +mem-write: 0x80000188 <- 0xb80833 +mem-write: 0x8000018c <- 0x7a683 +mem-write: 0x80000190 <- 0x478793 +mem-write: 0x80000194 <- 0x470713 +mem-write: 0x80000198 <- 0xfed72e23 +mem-write: 0x8000019c <- 0xff0798e3 +mem-write: 0x800001a0 <- 0xffc67793 +mem-write: 0x800001a4 <- 0xf886b3 +mem-write: 0x800001a8 <- 0x2f60c63 +mem-write: 0x800001ac <- 0xf58733 +mem-write: 0x800001b0 <- 0x74803 +mem-write: 0x800001b4 <- 0x178713 +mem-write: 0x800001b8 <- 0x1068023 +mem-write: 0x800001bc <- 0x2c75263 +mem-write: 0x800001c0 <- 0xe58733 +mem-write: 0x800001c4 <- 0x74703 +mem-write: 0x800001c8 <- 0x278793 +mem-write: 0x800001cc <- 0xe680a3 +mem-write: 0x800001d0 <- 0xc7d863 +mem-write: 0x800001d4 <- 0xf587b3 +mem-write: 0x800001d8 <- 0x7c783 +mem-write: 0x800001dc <- 0xf68123 +mem-write: 0x800001e0 <- 0xc888b3 +mem-write: 0x800001e4 <- 0x38f793 +mem-write: 0x800001e8 <- 0x11788b3 +mem-write: 0x800001ec <- 0x1152023 +mem-write: 0x800001f0 <- 0x8067 +mem-write: 0x800001f4 <- 0x460713 +mem-write: 0x800001f8 <- 0xe787b3 +mem-write: 0x800001fc <- 0x88713 +mem-write: 0x80000200 <- 0x5c683 +mem-write: 0x80000204 <- 0x170713 +mem-write: 0x80000208 <- 0x158593 +mem-write: 0x8000020c <- 0xfed70fa3 +mem-write: 0x80000210 <- 0xfef718e3 +mem-write: 0x80000214 <- 0xfcdff06f +mem-write: 0x80000218 <- 0x52783 +mem-write: 0x8000021c <- 0xff010113 +mem-write: 0x80000220 <- 0x7c803 +mem-write: 0x80000224 <- 0x17c603 +mem-write: 0x80000228 <- 0x27c683 +mem-write: 0x8000022c <- 0x37c703 +mem-write: 0x80000230 <- 0x1010623 +mem-write: 0x80000234 <- 0xc106a3 +mem-write: 0x80000238 <- 0xd10723 +mem-write: 0x8000023c <- 0xe107a3 +mem-write: 0x80000240 <- 0xc12883 +mem-write: 0x80000244 <- 0x478813 +mem-write: 0x80000248 <- 0xb105263 +mem-write: 0x8000024c <- 0x878613 +mem-write: 0x80000250 <- 0xc5b633 +mem-write: 0x80000254 <- 0xb7b6b3 +mem-write: 0x80000258 <- 0xfff88713 +mem-write: 0x8000025c <- 0x164613 +mem-write: 0x80000260 <- 0x16c693 +mem-write: 0x80000264 <- 0x973713 +mem-write: 0x80000268 <- 0xd666b3 +mem-write: 0x8000026c <- 0x174713 +mem-write: 0x80000270 <- 0xd77733 +mem-write: 0x80000274 <- 0x8070663 +mem-write: 0x80000278 <- 0x105e733 +mem-write: 0x8000027c <- 0x377713 +mem-write: 0x80000280 <- 0x8071063 +mem-write: 0x80000284 <- 0xffc8f613 +mem-write: 0x80000288 <- 0x80713 +mem-write: 0x8000028c <- 0x58793 +mem-write: 0x80000290 <- 0xb60633 +mem-write: 0x80000294 <- 0x72683 +mem-write: 0x80000298 <- 0x478793 +mem-write: 0x8000029c <- 0x470713 +mem-write: 0x800002a0 <- 0xfed7ae23 +mem-write: 0x800002a4 <- 0xfec798e3 +mem-write: 0x800002a8 <- 0xffc8f793 +mem-write: 0x800002ac <- 0xf806b3 +mem-write: 0x800002b0 <- 0x2f88c63 +mem-write: 0x800002b4 <- 0x6c303 +mem-write: 0x800002b8 <- 0xf58633 +mem-write: 0x800002bc <- 0x178713 +mem-write: 0x800002c0 <- 0x660023 +mem-write: 0x800002c4 <- 0x3175263 +mem-write: 0x800002c8 <- 0x16c603 +mem-write: 0x800002cc <- 0xe58733 +mem-write: 0x800002d0 <- 0x278793 +mem-write: 0x800002d4 <- 0xc70023 +mem-write: 0x800002d8 <- 0x117d863 +mem-write: 0x800002dc <- 0x26c703 +mem-write: 0x800002e0 <- 0xf587b3 +mem-write: 0x800002e4 <- 0xe78023 +mem-write: 0x800002e8 <- 0x1180833 +mem-write: 0x800002ec <- 0x387793 +mem-write: 0x800002f0 <- 0x1078833 +mem-write: 0x800002f4 <- 0x1052023 +mem-write: 0x800002f8 <- 0x1010113 +mem-write: 0x800002fc <- 0x8067 +mem-write: 0x80000300 <- 0x488713 +mem-write: 0x80000304 <- 0xe787b3 +mem-write: 0x80000308 <- 0x80713 +mem-write: 0x8000030c <- 0x74683 +mem-write: 0x80000310 <- 0x170713 +mem-write: 0x80000314 <- 0x158593 +mem-write: 0x80000318 <- 0xfed58fa3 +mem-write: 0x8000031c <- 0xfef718e3 +mem-write: 0x80000320 <- 0xfc9ff06f +mem-write: 0x80000324 <- 0x8067 +mem-write: 0x80000328 <- 0x27b7 +mem-write: 0x8000032c <- 0xf5a223 +mem-write: 0x80000330 <- 0x513 +mem-write: 0x80000334 <- 0x8067 +mem-write: 0x80000338 <- 0x100513 +mem-write: 0x8000033c <- 0x8067 +mem-write: 0x80000340 <- 0xfe010113 +mem-write: 0x80000344 <- 0x112e23 +mem-write: 0x80000348 <- 0x710007b7 +mem-write: 0x8000034c <- 0x400713 +mem-write: 0x80000350 <- 0x865893 +mem-write: 0x80000354 <- 0x1065813 +mem-write: 0x80000358 <- 0x1865693 +mem-write: 0x8000035c <- 0x85de93 +mem-write: 0x80000360 <- 0x105de13 +mem-write: 0x80000364 <- 0x185d313 +mem-write: 0x80000368 <- 0x300f13 +mem-write: 0x8000036c <- 0xe7a023 +mem-write: 0x80000370 <- 0xe78823 +mem-write: 0x80000374 <- 0xe7a423 +mem-write: 0x80000378 <- 0xe78c23 +mem-write: 0x8000037c <- 0x1e78223 +mem-write: 0x80000380 <- 0x782a3 +mem-write: 0x80000384 <- 0x79323 +mem-write: 0x80000388 <- 0xa7a623 +mem-write: 0x8000038c <- 0x788a3 +mem-write: 0x80000390 <- 0x78923 +mem-write: 0x80000394 <- 0x789a3 +mem-write: 0x80000398 <- 0x78ca3 +mem-write: 0x8000039c <- 0x78d23 +mem-write: 0x800003a0 <- 0x78da3 +mem-write: 0x800003a4 <- 0xc78e23 +mem-write: 0x800003a8 <- 0xb78a23 +mem-write: 0x800003ac <- 0x1d78aa3 +mem-write: 0x800003b0 <- 0x1c78b23 +mem-write: 0x800003b4 <- 0x678ba3 +mem-write: 0x800003b8 <- 0x1178ea3 +mem-write: 0x800003bc <- 0x1078f23 +mem-write: 0x800003c0 <- 0xd78fa3 +mem-write: 0x800003c4 <- 0x1c81a783 +mem-write: 0x800003c8 <- 0x780e7 +mem-write: 0x800003cc <- 0x720007b7 +mem-write: 0x800003d0 <- 0x7c703 +mem-write: 0x800003d4 <- 0xe10623 +mem-write: 0x800003d8 <- 0x17c703 +mem-write: 0x800003dc <- 0xe106a3 +mem-write: 0x800003e0 <- 0x27c703 +mem-write: 0x800003e4 <- 0xe10723 +mem-write: 0x800003e8 <- 0x37c703 +mem-write: 0x800003ec <- 0xe107a3 +mem-write: 0x800003f0 <- 0xc12603 +mem-write: 0x800003f4 <- 0x2c05863 +mem-write: 0x800003f8 <- 0x478793 +mem-write: 0x800003fc <- 0x8e0005b7 +VXDRV: upload 1024 bytes to 0x80000400 +mem-write: 0x80000400 <- 0xf60633 +mem-write: 0x80000404 <- 0xffc58593 +mem-write: 0x80000408 <- 0x7c683 +mem-write: 0x8000040c <- 0xb78733 +mem-write: 0x80000410 <- 0x810513 +mem-write: 0x80000414 <- 0xe50733 +mem-write: 0x80000418 <- 0xd70023 +mem-write: 0x8000041c <- 0x178793 +mem-write: 0x80000420 <- 0xfec794e3 +mem-write: 0x80000424 <- 0x1c12083 +mem-write: 0x80000428 <- 0x812503 +mem-write: 0x8000042c <- 0x2010113 +mem-write: 0x80000430 <- 0x8067 +mem-write: 0x80000434 <- 0xff010113 +mem-write: 0x80000438 <- 0x812423 +mem-write: 0x8000043c <- 0x60413 +mem-write: 0x80000440 <- 0x112623 +mem-write: 0x80000444 <- 0x710007b7 +mem-write: 0x80000448 <- 0x400713 +mem-write: 0x8000044c <- 0x865813 +mem-write: 0x80000450 <- 0x1845693 +mem-write: 0x80000454 <- 0x85de13 +mem-write: 0x80000458 <- 0x105d313 +mem-write: 0x8000045c <- 0x185d893 +mem-write: 0x80000460 <- 0x1065613 +mem-write: 0x80000464 <- 0xa7a623 +mem-write: 0x80000468 <- 0xe7a023 +mem-write: 0x8000046c <- 0xe78223 +mem-write: 0x80000470 <- 0x782a3 +mem-write: 0x80000474 <- 0xe78823 +mem-write: 0x80000478 <- 0x79323 +mem-write: 0x8000047c <- 0xe7a423 +mem-write: 0x80000480 <- 0x788a3 +mem-write: 0x80000484 <- 0x78923 +mem-write: 0x80000488 <- 0x789a3 +mem-write: 0x8000048c <- 0xe78c23 +mem-write: 0x80000490 <- 0x78ca3 +mem-write: 0x80000494 <- 0x78d23 +mem-write: 0x80000498 <- 0x78da3 +mem-write: 0x8000049c <- 0x878e23 +mem-write: 0x800004a0 <- 0xb78a23 +mem-write: 0x800004a4 <- 0x1c78aa3 +mem-write: 0x800004a8 <- 0x678b23 +mem-write: 0x800004ac <- 0x1178ba3 +mem-write: 0x800004b0 <- 0x1078ea3 +mem-write: 0x800004b4 <- 0xc78f23 +mem-write: 0x800004b8 <- 0xd78fa3 +mem-write: 0x800004bc <- 0x1c81a783 +mem-write: 0x800004c0 <- 0x780e7 +mem-write: 0x800004c4 <- 0xc12083 +mem-write: 0x800004c8 <- 0x40513 +mem-write: 0x800004cc <- 0x812403 +mem-write: 0x800004d0 <- 0x1010113 +mem-write: 0x800004d4 <- 0x8067 +mem-write: 0x800004d8 <- 0xff010113 +mem-write: 0x800004dc <- 0x812423 +mem-write: 0x800004e0 <- 0x710007b7 +mem-write: 0x800004e4 <- 0x112623 +mem-write: 0x800004e8 <- 0x60413 +mem-write: 0x800004ec <- 0x400713 +mem-write: 0x800004f0 <- 0x500313 +mem-write: 0x800004f4 <- 0x1078813 +mem-write: 0x800004f8 <- 0xe7a023 +mem-write: 0x800004fc <- 0x678223 +mem-write: 0x80000500 <- 0x782a3 +mem-write: 0x80000504 <- 0x878823 +mem-write: 0x80000508 <- 0x865893 +mem-write: 0x8000050c <- 0x1845693 +mem-write: 0x80000510 <- 0x1065613 +mem-write: 0x80000514 <- 0x11800a3 +mem-write: 0x80000518 <- 0xc80123 +mem-write: 0x8000051c <- 0xd801a3 +mem-write: 0x80000520 <- 0x79323 +mem-write: 0x80000524 <- 0xe7a423 +mem-write: 0x80000528 <- 0xa7a623 +mem-write: 0x8000052c <- 0xa805263 +mem-write: 0x80000530 <- 0x1478693 +mem-write: 0x80000534 <- 0x1878793 +mem-write: 0x80000538 <- 0xf5b7b3 +mem-write: 0x8000053c <- 0xb83533 +mem-write: 0x80000540 <- 0xfff40613 +mem-write: 0x80000544 <- 0x17c713 +mem-write: 0x80000548 <- 0x963613 +mem-write: 0x8000054c <- 0x154793 +mem-write: 0x80000550 <- 0xf767b3 +mem-write: 0x80000554 <- 0x164713 +mem-write: 0x80000558 <- 0xf777b3 +mem-write: 0x8000055c <- 0x8078863 +mem-write: 0x80000560 <- 0xb6e7b3 +mem-write: 0x80000564 <- 0x37f793 +mem-write: 0x80000568 <- 0x8079263 +mem-write: 0x8000056c <- 0xffc47513 +mem-write: 0x80000570 <- 0xb50533 +mem-write: 0x80000574 <- 0x58793 +mem-write: 0x80000578 <- 0x40b68833 +mem-write: 0x8000057c <- 0x7a603 +mem-write: 0x80000580 <- 0xf80733 +mem-write: 0x80000584 <- 0x478793 +mem-write: 0x80000588 <- 0xc72023 +mem-write: 0x8000058c <- 0xfef518e3 +mem-write: 0x80000590 <- 0xffc47793 +mem-write: 0x80000594 <- 0xf686b3 +mem-write: 0x80000598 <- 0x2f40c63 +mem-write: 0x8000059c <- 0xf58733 +mem-write: 0x800005a0 <- 0x74603 +mem-write: 0x800005a4 <- 0x178713 +mem-write: 0x800005a8 <- 0xc68023 +mem-write: 0x800005ac <- 0x2875263 +mem-write: 0x800005b0 <- 0xe58733 +mem-write: 0x800005b4 <- 0x74703 +mem-write: 0x800005b8 <- 0x278793 +mem-write: 0x800005bc <- 0xe680a3 +mem-write: 0x800005c0 <- 0x87d863 +mem-write: 0x800005c4 <- 0xf587b3 +mem-write: 0x800005c8 <- 0x7c783 +mem-write: 0x800005cc <- 0xf68123 +mem-write: 0x800005d0 <- 0x1c81a783 +mem-write: 0x800005d4 <- 0x780e7 +mem-write: 0x800005d8 <- 0xc12083 +mem-write: 0x800005dc <- 0x40513 +mem-write: 0x800005e0 <- 0x812403 +mem-write: 0x800005e4 <- 0x1010113 +mem-write: 0x800005e8 <- 0x8067 +mem-write: 0x800005ec <- 0x480713 +mem-write: 0x800005f0 <- 0x870733 +mem-write: 0x800005f4 <- 0x410687b3 +mem-write: 0x800005f8 <- 0xf587b3 +mem-write: 0x800005fc <- 0xffc7c783 +mem-write: 0x80000600 <- 0x168693 +mem-write: 0x80000604 <- 0xfef68fa3 +mem-write: 0x80000608 <- 0xfed716e3 +mem-write: 0x8000060c <- 0xfc5ff06f +mem-write: 0x80000610 <- 0x50793 +mem-write: 0x80000614 <- 0x1c41a503 +mem-write: 0x80000618 <- 0x41f7d693 +mem-write: 0x8000061c <- 0xf6c7b3 +mem-write: 0x80000620 <- 0x40d787b3 +mem-write: 0x80000624 <- 0xa787b3 +mem-write: 0x80000628 <- 0x1cf1a223 +mem-write: 0x8000062c <- 0x8067 +mem-write: 0x80000630 <- 0x513 +mem-write: 0x80000634 <- 0x14c0006f +mem-write: 0x80000638 <- 0xfe010113 +mem-write: 0x8000063c <- 0x112e23 +mem-write: 0x80000640 <- 0x710007b7 +mem-write: 0x80000644 <- 0x400713 +mem-write: 0x80000648 <- 0x865893 +mem-write: 0x8000064c <- 0x1065813 +mem-write: 0x80000650 <- 0x1865693 +mem-write: 0x80000654 <- 0x855293 +mem-write: 0x80000658 <- 0x1055f93 +mem-write: 0x8000065c <- 0x1855f13 +mem-write: 0x80000660 <- 0x85de93 +mem-write: 0x80000664 <- 0x105de13 +mem-write: 0x80000668 <- 0x185d313 +mem-write: 0x8000066c <- 0x700393 +mem-write: 0x80000670 <- 0xe7a023 +mem-write: 0x80000674 <- 0xe78823 +mem-write: 0x80000678 <- 0xe7a423 +mem-write: 0x8000067c <- 0xe78c23 +mem-write: 0x80000680 <- 0x778223 +mem-write: 0x80000684 <- 0x782a3 +mem-write: 0x80000688 <- 0x79323 +mem-write: 0x8000068c <- 0xa78623 +mem-write: 0x80000690 <- 0x5786a3 +mem-write: 0x80000694 <- 0x1f78723 +mem-write: 0x80000698 <- 0x1e787a3 +mem-write: 0x8000069c <- 0x788a3 +mem-write: 0x800006a0 <- 0x78923 +mem-write: 0x800006a4 <- 0x789a3 +mem-write: 0x800006a8 <- 0x78ca3 +mem-write: 0x800006ac <- 0x78d23 +mem-write: 0x800006b0 <- 0x78da3 +mem-write: 0x800006b4 <- 0xc78e23 +mem-write: 0x800006b8 <- 0xb78a23 +mem-write: 0x800006bc <- 0x1d78aa3 +mem-write: 0x800006c0 <- 0x1c78b23 +mem-write: 0x800006c4 <- 0x678ba3 +mem-write: 0x800006c8 <- 0x1178ea3 +mem-write: 0x800006cc <- 0x1078f23 +mem-write: 0x800006d0 <- 0xd78fa3 +mem-write: 0x800006d4 <- 0x1c81a783 +mem-write: 0x800006d8 <- 0x780e7 +mem-write: 0x800006dc <- 0x720007b7 +mem-write: 0x800006e0 <- 0x7c703 +mem-write: 0x800006e4 <- 0xe10623 +mem-write: 0x800006e8 <- 0x17c703 +mem-write: 0x800006ec <- 0xe106a3 +mem-write: 0x800006f0 <- 0x27c703 +mem-write: 0x800006f4 <- 0xe10723 +mem-write: 0x800006f8 <- 0x37c703 +mem-write: 0x800006fc <- 0xe107a3 +mem-write: 0x80000700 <- 0xc12603 +mem-write: 0x80000704 <- 0x2c05863 +mem-write: 0x80000708 <- 0x478793 +mem-write: 0x8000070c <- 0x8e0005b7 +mem-write: 0x80000710 <- 0xf60633 +mem-write: 0x80000714 <- 0xffc58593 +mem-write: 0x80000718 <- 0x7c683 +mem-write: 0x8000071c <- 0xb78733 +mem-write: 0x80000720 <- 0x810513 +mem-write: 0x80000724 <- 0xe50733 +mem-write: 0x80000728 <- 0xd70023 +mem-write: 0x8000072c <- 0x178793 +mem-write: 0x80000730 <- 0xfec794e3 +mem-write: 0x80000734 <- 0x1c12083 +mem-write: 0x80000738 <- 0x812503 +mem-write: 0x8000073c <- 0x2010113 +mem-write: 0x80000740 <- 0x8067 +mem-write: 0x80000744 <- 0x513 +mem-write: 0x80000748 <- 0x380006f +mem-write: 0x8000074c <- 0x5c0006f +mem-write: 0x80000750 <- 0x80015537 +mem-write: 0x80000754 <- 0x93850513 +mem-write: 0x80000758 <- 0xa80006f +mem-write: 0x8000075c <- 0x1e41a503 +mem-write: 0x80000760 <- 0x150713 +mem-write: 0x80000764 <- 0x1ee1a223 +mem-write: 0x80000768 <- 0x8067 +mem-write: 0x8000076c <- 0x80015537 +mem-write: 0x80000770 <- 0x95c50513 +mem-write: 0x80000774 <- 0x8c0006f +mem-write: 0x80000778 <- 0xb5106b +mem-write: 0x8000077c <- 0x8067 +mem-write: 0x80000780 <- 0x5006b +mem-write: 0x80000784 <- 0x8067 +mem-write: 0x80000788 <- 0xb5406b +mem-write: 0x8000078c <- 0x8067 +mem-write: 0x80000790 <- 0x5206b +mem-write: 0x80000794 <- 0x8067 +mem-write: 0x80000798 <- 0x306b +mem-write: 0x8000079c <- 0x8067 +mem-write: 0x800007a0 <- 0x2102573 +mem-write: 0x800007a4 <- 0x8067 +mem-write: 0x800007a8 <- 0x2002573 +mem-write: 0x800007ac <- 0x8067 +mem-write: 0x800007b0 <- 0x2602573 +mem-write: 0x800007b4 <- 0x8067 +mem-write: 0x800007b8 <- 0x2502573 +mem-write: 0x800007bc <- 0x8067 +mem-write: 0x800007c0 <- 0x400513 +mem-write: 0x800007c4 <- 0x5006b +mem-write: 0x800007c8 <- 0x21026f3 +mem-write: 0x800007cc <- 0xf69693 +mem-write: 0x800007d0 <- 0x2002673 +mem-write: 0x800007d4 <- 0xa61593 +mem-write: 0x800007d8 <- 0x261613 +mem-write: 0x800007dc <- 0x6ffff137 +mem-write: 0x800007e0 <- 0x40b10133 +mem-write: 0x800007e4 <- 0x40d10133 +mem-write: 0x800007e8 <- 0xc10133 +mem-write: 0x800007ec <- 0x21026f3 +mem-write: 0x800007f0 <- 0x68663 +mem-write: 0x800007f4 <- 0x513 +mem-write: 0x800007f8 <- 0x5006b +mem-write: 0x800007fc <- 0x8067 +VXDRV: upload 1024 bytes to 0x80000800 +mem-write: 0x80000800 <- 0xff410113 +mem-write: 0x80000804 <- 0x112023 +mem-write: 0x80000808 <- 0xb12223 +mem-write: 0x8000080c <- 0x54583 +mem-write: 0x80000810 <- 0x58863 +mem-write: 0x80000814 <- 0x1c000ef +mem-write: 0x80000818 <- 0x150513 +mem-write: 0x8000081c <- 0xff1ff06f +mem-write: 0x80000820 <- 0x12083 +mem-write: 0x80000824 <- 0x412583 +mem-write: 0x80000828 <- 0xc10113 +mem-write: 0x8000082c <- 0x8067 +mem-write: 0x80000830 <- 0x15297 +mem-write: 0x80000834 <- 0x7dc28293 +mem-write: 0x80000838 <- 0xb2a023 +mem-write: 0x8000083c <- 0x8067 +mem-write: 0x80000840 <- 0xff010113 +mem-write: 0x80000844 <- 0x912223 +mem-write: 0x80000848 <- 0x112623 +mem-write: 0x8000084c <- 0x812423 +mem-write: 0x80000850 <- 0x1212023 +mem-write: 0x80000854 <- 0xf00793 +mem-write: 0x80000858 <- 0x50493 +mem-write: 0x8000085c <- 0x4a7fc63 +mem-write: 0x80000860 <- 0x80015937 +mem-write: 0x80000864 <- 0x693 +mem-write: 0x80000868 <- 0x2000413 +mem-write: 0x8000086c <- 0x9c090913 +mem-write: 0x80000870 <- 0xffc40413 +mem-write: 0x80000874 <- 0x84d7b3 +mem-write: 0x80000878 <- 0xf7f793 +mem-write: 0x8000087c <- 0x279713 +mem-write: 0x80000880 <- 0xe90733 +mem-write: 0x80000884 <- 0x79463 +mem-write: 0x80000888 <- 0x68863 +mem-write: 0x8000088c <- 0x72503 +mem-write: 0x80000890 <- 0xf71ff0ef +mem-write: 0x80000894 <- 0x100693 +mem-write: 0x80000898 <- 0xfc041ce3 +mem-write: 0x8000089c <- 0xc12083 +mem-write: 0x800008a0 <- 0x812403 +mem-write: 0x800008a4 <- 0x412483 +mem-write: 0x800008a8 <- 0x12903 +mem-write: 0x800008ac <- 0x1010113 +mem-write: 0x800008b0 <- 0x8067 +mem-write: 0x800008b4 <- 0x800157b7 +mem-write: 0x800008b8 <- 0x251493 +mem-write: 0x800008bc <- 0x9c078793 +mem-write: 0x800008c0 <- 0x812403 +mem-write: 0x800008c4 <- 0x9784b3 +mem-write: 0x800008c8 <- 0x4a503 +mem-write: 0x800008cc <- 0xc12083 +mem-write: 0x800008d0 <- 0x412483 +mem-write: 0x800008d4 <- 0x12903 +mem-write: 0x800008d8 <- 0x1010113 +mem-write: 0x800008dc <- 0xf25ff06f +mem-write: 0x800008e0 <- 0xff010113 +mem-write: 0x800008e4 <- 0x812423 +mem-write: 0x800008e8 <- 0x112623 +mem-write: 0x800008ec <- 0x912223 +mem-write: 0x800008f0 <- 0x1212023 +mem-write: 0x800008f4 <- 0x58413 +mem-write: 0x800008f8 <- 0xf09ff0ef +mem-write: 0x800008fc <- 0xf00793 +mem-write: 0x80000900 <- 0x687f063 +mem-write: 0x80000904 <- 0x80015937 +mem-write: 0x80000908 <- 0x693 +mem-write: 0x8000090c <- 0x2000493 +mem-write: 0x80000910 <- 0x9c090913 +mem-write: 0x80000914 <- 0xffc48493 +mem-write: 0x80000918 <- 0x9457b3 +mem-write: 0x8000091c <- 0xf7f793 +mem-write: 0x80000920 <- 0x279713 +mem-write: 0x80000924 <- 0xe90733 +mem-write: 0x80000928 <- 0x79463 +mem-write: 0x8000092c <- 0x68863 +mem-write: 0x80000930 <- 0x72503 +mem-write: 0x80000934 <- 0xecdff0ef +mem-write: 0x80000938 <- 0x100693 +mem-write: 0x8000093c <- 0xfc049ce3 +mem-write: 0x80000940 <- 0x812403 +mem-write: 0x80000944 <- 0xc12083 +mem-write: 0x80000948 <- 0x412483 +mem-write: 0x8000094c <- 0x12903 +mem-write: 0x80000950 <- 0x80015537 +mem-write: 0x80000954 <- 0xa2850513 +mem-write: 0x80000958 <- 0x1010113 +mem-write: 0x8000095c <- 0xea5ff06f +mem-write: 0x80000960 <- 0x800157b7 +mem-write: 0x80000964 <- 0x9c078793 +mem-write: 0x80000968 <- 0x241413 +mem-write: 0x8000096c <- 0x878433 +mem-write: 0x80000970 <- 0x42503 +mem-write: 0x80000974 <- 0xe8dff0ef +mem-write: 0x80000978 <- 0xfc9ff06f +mem-write: 0x8000097c <- 0x2441a503 +mem-write: 0x80000980 <- 0xff010113 +mem-write: 0x80000984 <- 0x112623 +mem-write: 0x80000988 <- 0xdf9ff0ef +mem-write: 0x8000098c <- 0x2241a503 +mem-write: 0x80000990 <- 0x22c1a783 +mem-write: 0x80000994 <- 0x780e7 +mem-write: 0x80000998 <- 0xe09ff0ef +mem-write: 0x8000099c <- 0x50a63 +mem-write: 0x800009a0 <- 0xc12083 +mem-write: 0x800009a4 <- 0x513 +mem-write: 0x800009a8 <- 0x1010113 +mem-write: 0x800009ac <- 0xdd5ff06f +mem-write: 0x800009b0 <- 0xc12083 +mem-write: 0x800009b4 <- 0x100513 +mem-write: 0x800009b8 <- 0x1010113 +mem-write: 0x800009bc <- 0xdc5ff06f +mem-write: 0x800009c0 <- 0x2341a503 +mem-write: 0x800009c4 <- 0xfb010113 +mem-write: 0x800009c8 <- 0x4112623 +mem-write: 0x800009cc <- 0x3712623 +mem-write: 0x800009d0 <- 0x3a12023 +mem-write: 0x800009d4 <- 0x4812423 +mem-write: 0x800009d8 <- 0x4912223 +mem-write: 0x800009dc <- 0x5212023 +mem-write: 0x800009e0 <- 0x3312e23 +mem-write: 0x800009e4 <- 0x3412c23 +mem-write: 0x800009e8 <- 0x3512a23 +mem-write: 0x800009ec <- 0x3612823 +mem-write: 0x800009f0 <- 0x3812423 +mem-write: 0x800009f4 <- 0x3912223 +mem-write: 0x800009f8 <- 0x1b12e23 +mem-write: 0x800009fc <- 0xd85ff0ef +mem-write: 0x80000a00 <- 0xda9ff0ef +mem-write: 0x80000a04 <- 0xa12623 +mem-write: 0x80000a08 <- 0xd99ff0ef +mem-write: 0x80000a0c <- 0x2301a783 +mem-write: 0x80000a10 <- 0x50b93 +mem-write: 0x80000a14 <- 0x6078863 +mem-write: 0x80000a18 <- 0x2381a703 +mem-write: 0x80000a1c <- 0xd93 +mem-write: 0x80000a20 <- 0xa070463 +mem-write: 0x80000a24 <- 0x2281a783 +mem-write: 0x80000a28 <- 0xc12903 +mem-write: 0x80000a2c <- 0xb13 +mem-write: 0x80000a30 <- 0xb8493 +mem-write: 0x80000a34 <- 0x413 +mem-write: 0x80000a38 <- 0x2078a63 +mem-write: 0x80000a3c <- 0x2201a783 +mem-write: 0x80000a40 <- 0x2401a583 +mem-write: 0x80000a44 <- 0x23c1a503 +mem-write: 0x80000a48 <- 0x48693 +mem-write: 0x80000a4c <- 0xd8713 +mem-write: 0x80000a50 <- 0x90613 +mem-write: 0x80000a54 <- 0x780e7 +mem-write: 0x80000a58 <- 0x2281a783 +mem-write: 0x80000a5c <- 0x140413 +mem-write: 0x80000a60 <- 0x448493 +mem-write: 0x80000a64 <- 0xfcf46ce3 +mem-write: 0x80000a68 <- 0x2381a703 +mem-write: 0x80000a6c <- 0x1b0b13 +mem-write: 0x80000a70 <- 0x490913 +mem-write: 0x80000a74 <- 0xfaeb6ee3 +mem-write: 0x80000a78 <- 0x2301a783 +mem-write: 0x80000a7c <- 0x1d8d93 +mem-write: 0x80000a80 <- 0xfafde0e3 +mem-write: 0x80000a84 <- 0x40b9863 +mem-write: 0x80000a88 <- 0x4812403 +mem-write: 0x80000a8c <- 0x4c12083 +mem-write: 0x80000a90 <- 0x4412483 +mem-write: 0x80000a94 <- 0x4012903 +mem-write: 0x80000a98 <- 0x3c12983 +mem-write: 0x80000a9c <- 0x3812a03 +mem-write: 0x80000aa0 <- 0x3412a83 +mem-write: 0x80000aa4 <- 0x3012b03 +mem-write: 0x80000aa8 <- 0x2c12b83 +mem-write: 0x80000aac <- 0x2812c03 +mem-write: 0x80000ab0 <- 0x2412c83 +mem-write: 0x80000ab4 <- 0x2012d03 +mem-write: 0x80000ab8 <- 0x1c12d83 +mem-write: 0x80000abc <- 0x100513 +mem-write: 0x80000ac0 <- 0x5010113 +mem-write: 0x80000ac4 <- 0xcbdff06f +mem-write: 0x80000ac8 <- 0x1d8d93 +mem-write: 0x80000acc <- 0xfafde8e3 +mem-write: 0x80000ad0 <- 0xfa0b8ce3 +mem-write: 0x80000ad4 <- 0x513 +mem-write: 0x80000ad8 <- 0xca9ff0ef +mem-write: 0x80000adc <- 0xfadff06f +mem-write: 0x80000ae0 <- 0xff010113 +mem-write: 0x80000ae4 <- 0x58793 +mem-write: 0x80000ae8 <- 0x800015b7 +mem-write: 0x80000aec <- 0x812423 +mem-write: 0x80000af0 <- 0x912223 +mem-write: 0x80000af4 <- 0x1212023 +mem-write: 0x80000af8 <- 0x97c58593 +mem-write: 0x80000afc <- 0x112623 +mem-write: 0x80000b00 <- 0x22c1a623 +mem-write: 0x80000b04 <- 0x22d1a223 +mem-write: 0x80000b08 <- 0x24f1a223 +mem-write: 0x80000b0c <- 0xc6dff0ef +mem-write: 0x80000b10 <- 0x2441a503 +mem-write: 0x80000b14 <- 0xc6dff0ef +mem-write: 0x80000b18 <- 0x2241a503 +mem-write: 0x80000b1c <- 0x22c1a783 +mem-write: 0x80000b20 <- 0x780e7 +mem-write: 0x80000b24 <- 0xc7dff0ef +mem-write: 0x80000b28 <- 0x2050063 +mem-write: 0x80000b2c <- 0x812403 +mem-write: 0x80000b30 <- 0xc12083 +mem-write: 0x80000b34 <- 0x412483 +mem-write: 0x80000b38 <- 0x12903 +mem-write: 0x80000b3c <- 0x513 +mem-write: 0x80000b40 <- 0x1010113 +mem-write: 0x80000b44 <- 0xc3dff06f +mem-write: 0x80000b48 <- 0x812403 +mem-write: 0x80000b4c <- 0xc12083 +mem-write: 0x80000b50 <- 0x412483 +mem-write: 0x80000b54 <- 0x12903 +mem-write: 0x80000b58 <- 0x100513 +mem-write: 0x80000b5c <- 0x1010113 +mem-write: 0x80000b60 <- 0xc21ff06f +mem-write: 0x80000b64 <- 0x52703 +mem-write: 0x80000b68 <- 0xff010113 +mem-write: 0x80000b6c <- 0x50793 +mem-write: 0x80000b70 <- 0x112623 +mem-write: 0x80000b74 <- 0x812423 +mem-write: 0x80000b78 <- 0x912223 +mem-write: 0x80000b7c <- 0x400513 +mem-write: 0x80000b80 <- 0x100693 +mem-write: 0x80000b84 <- 0xe57663 +mem-write: 0x80000b88 <- 0x275693 +mem-write: 0x80000b8c <- 0x400713 +mem-write: 0x80000b90 <- 0x87a803 +mem-write: 0x80000b94 <- 0x22d1ac23 +mem-write: 0x80000b98 <- 0x22e1aa23 +mem-write: 0x80000b9c <- 0x2301a823 +mem-write: 0x80000ba0 <- 0x47a503 +mem-write: 0x80000ba4 <- 0x22b1a023 +mem-write: 0x80000ba8 <- 0x24f1a023 +mem-write: 0x80000bac <- 0x22c1ae23 +mem-write: 0x80000bb0 <- 0x100793 +mem-write: 0x80000bb4 <- 0x2a7f263 +mem-write: 0x80000bb8 <- 0x400713 +mem-write: 0x80000bbc <- 0x800015b7 +mem-write: 0x80000bc0 <- 0x4a77e63 +mem-write: 0x80000bc4 <- 0x255793 +mem-write: 0x80000bc8 <- 0x9c058593 +mem-write: 0x80000bcc <- 0x400513 +mem-write: 0x80000bd0 <- 0x22f1a423 +mem-write: 0x80000bd4 <- 0xba5ff0ef +mem-write: 0x80000bd8 <- 0xbd9ff0ef +mem-write: 0x80000bdc <- 0x50413 +mem-write: 0x80000be0 <- 0xbd9ff0ef +mem-write: 0x80000be4 <- 0xdddff0ef +mem-write: 0x80000be8 <- 0xbc9ff0ef +mem-write: 0x80000bec <- 0x50493 +mem-write: 0x80000bf0 <- 0xbc9ff0ef +mem-write: 0x80000bf4 <- 0x80015537 +mem-write: 0x80000bf8 <- 0x408485b3 +mem-write: 0x80000bfc <- 0xa0050513 +VXDRV: upload 1024 bytes to 0x80000c00 +mem-write: 0x80000c00 <- 0x334000ef +mem-write: 0x80000c04 <- 0x812403 +mem-write: 0x80000c08 <- 0xc12083 +mem-write: 0x80000c0c <- 0x412483 +mem-write: 0x80000c10 <- 0x513 +mem-write: 0x80000c14 <- 0x1010113 +mem-write: 0x80000c18 <- 0xb69ff06f +mem-write: 0x80000c1c <- 0x9c058593 +mem-write: 0x80000c20 <- 0x22f1a423 +mem-write: 0x80000c24 <- 0xb55ff0ef +mem-write: 0x80000c28 <- 0xfb1ff06f +mem-write: 0x80000c2c <- 0xff010113 +mem-write: 0x80000c30 <- 0x112623 +mem-write: 0x80000c34 <- 0x812423 +mem-write: 0x80000c38 <- 0x912223 +mem-write: 0x80000c3c <- 0x1212023 +mem-write: 0x80000c40 <- 0x50493 +mem-write: 0x80000c44 <- 0xb5dff0ef +mem-write: 0x80000c48 <- 0x50413 +mem-write: 0x80000c4c <- 0xb5dff0ef +mem-write: 0x80000c50 <- 0x104a783 +mem-write: 0x80000c54 <- 0xf47863 +mem-write: 0x80000c58 <- 0xc4a783 +mem-write: 0x80000c5c <- 0x50913 +mem-write: 0x80000c60 <- 0x2f56263 +mem-write: 0x80000c64 <- 0x513 +mem-write: 0x80000c68 <- 0xb29ff0ef +mem-write: 0x80000c6c <- 0x812403 +mem-write: 0x80000c70 <- 0xc12083 +mem-write: 0x80000c74 <- 0x412483 +mem-write: 0x80000c78 <- 0x12903 +mem-write: 0x80000c7c <- 0x1010113 +mem-write: 0x80000c80 <- 0xb19ff06f +mem-write: 0x80000c84 <- 0x100513 +mem-write: 0x80000c88 <- 0xb09ff0ef +mem-write: 0x80000c8c <- 0xc4a503 +mem-write: 0x80000c90 <- 0x4a703 +mem-write: 0x80000c94 <- 0x44a683 +mem-write: 0x80000c98 <- 0x2a40433 +mem-write: 0x80000c9c <- 0x84a783 +mem-write: 0x80000ca0 <- 0xc12083 +mem-write: 0x80000ca4 <- 0x412483 +mem-write: 0x80000ca8 <- 0x1240433 +mem-write: 0x80000cac <- 0x241413 +mem-write: 0x80000cb0 <- 0x870733 +mem-write: 0x80000cb4 <- 0x8686b3 +mem-write: 0x80000cb8 <- 0x72703 +mem-write: 0x80000cbc <- 0x6a683 +mem-write: 0x80000cc0 <- 0x878433 +mem-write: 0x80000cc4 <- 0x12903 +mem-write: 0x80000cc8 <- 0xd707b3 +mem-write: 0x80000ccc <- 0xf42023 +mem-write: 0x80000cd0 <- 0x812403 +mem-write: 0x80000cd4 <- 0x1010113 +mem-write: 0x80000cd8 <- 0xac1ff06f +mem-write: 0x80000cdc <- 0x50593 +mem-write: 0x80000ce0 <- 0x693 +mem-write: 0x80000ce4 <- 0x613 +mem-write: 0x80000ce8 <- 0x513 +mem-write: 0x80000cec <- 0x7590206f +mem-write: 0x80000cf0 <- 0xff010113 +mem-write: 0x80000cf4 <- 0x593 +mem-write: 0x80000cf8 <- 0x812423 +mem-write: 0x80000cfc <- 0x112623 +mem-write: 0x80000d00 <- 0x50413 +mem-write: 0x80000d04 <- 0x7d9020ef +mem-write: 0x80000d08 <- 0x1c01a503 +mem-write: 0x80000d0c <- 0x3c52783 +mem-write: 0x80000d10 <- 0x78463 +mem-write: 0x80000d14 <- 0x780e7 +mem-write: 0x80000d18 <- 0x40513 +mem-write: 0x80000d1c <- 0x915ff0ef +mem-write: 0x80000d20 <- 0xff010113 +mem-write: 0x80000d24 <- 0x812423 +mem-write: 0x80000d28 <- 0x800167b7 +mem-write: 0x80000d2c <- 0x80016437 +mem-write: 0x80000d30 <- 0x440413 +mem-write: 0x80000d34 <- 0x478793 +mem-write: 0x80000d38 <- 0x408787b3 +mem-write: 0x80000d3c <- 0x912223 +mem-write: 0x80000d40 <- 0x112623 +mem-write: 0x80000d44 <- 0x4027d493 +mem-write: 0x80000d48 <- 0x2048063 +mem-write: 0x80000d4c <- 0xffc78793 +mem-write: 0x80000d50 <- 0x878433 +mem-write: 0x80000d54 <- 0x42783 +mem-write: 0x80000d58 <- 0xfff48493 +mem-write: 0x80000d5c <- 0xffc40413 +mem-write: 0x80000d60 <- 0x780e7 +mem-write: 0x80000d64 <- 0xfe0498e3 +mem-write: 0x80000d68 <- 0xc12083 +mem-write: 0x80000d6c <- 0x812403 +mem-write: 0x80000d70 <- 0x412483 +mem-write: 0x80000d74 <- 0x1010113 +mem-write: 0x80000d78 <- 0x8067 +mem-write: 0x80000d7c <- 0xff010113 +mem-write: 0x80000d80 <- 0x812423 +mem-write: 0x80000d84 <- 0x1212023 +mem-write: 0x80000d88 <- 0x80016437 +mem-write: 0x80000d8c <- 0x80016937 +mem-write: 0x80000d90 <- 0x40793 +mem-write: 0x80000d94 <- 0x90913 +mem-write: 0x80000d98 <- 0x40f90933 +mem-write: 0x80000d9c <- 0x112623 +mem-write: 0x80000da0 <- 0x912223 +mem-write: 0x80000da4 <- 0x40295913 +mem-write: 0x80000da8 <- 0x2090063 +mem-write: 0x80000dac <- 0x40413 +mem-write: 0x80000db0 <- 0x493 +mem-write: 0x80000db4 <- 0x42783 +mem-write: 0x80000db8 <- 0x148493 +mem-write: 0x80000dbc <- 0x440413 +mem-write: 0x80000dc0 <- 0x780e7 +mem-write: 0x80000dc4 <- 0xfe9918e3 +mem-write: 0x80000dc8 <- 0x80016437 +mem-write: 0x80000dcc <- 0x80016937 +mem-write: 0x80000dd0 <- 0x40793 +mem-write: 0x80000dd4 <- 0x490913 +mem-write: 0x80000dd8 <- 0x40f90933 +mem-write: 0x80000ddc <- 0x40295913 +mem-write: 0x80000de0 <- 0x2090063 +mem-write: 0x80000de4 <- 0x40413 +mem-write: 0x80000de8 <- 0x493 +mem-write: 0x80000dec <- 0x42783 +mem-write: 0x80000df0 <- 0x148493 +mem-write: 0x80000df4 <- 0x440413 +mem-write: 0x80000df8 <- 0x780e7 +mem-write: 0x80000dfc <- 0xfe9918e3 +mem-write: 0x80000e00 <- 0xc12083 +mem-write: 0x80000e04 <- 0x812403 +mem-write: 0x80000e08 <- 0x412483 +mem-write: 0x80000e0c <- 0x12903 +mem-write: 0x80000e10 <- 0x1010113 +mem-write: 0x80000e14 <- 0x8067 +mem-write: 0x80000e18 <- 0xf00313 +mem-write: 0x80000e1c <- 0x50713 +mem-write: 0x80000e20 <- 0x2c37e63 +mem-write: 0x80000e24 <- 0xf77793 +mem-write: 0x80000e28 <- 0xa079063 +mem-write: 0x80000e2c <- 0x8059263 +mem-write: 0x80000e30 <- 0xff067693 +mem-write: 0x80000e34 <- 0xf67613 +mem-write: 0x80000e38 <- 0xe686b3 +mem-write: 0x80000e3c <- 0xb72023 +mem-write: 0x80000e40 <- 0xb72223 +mem-write: 0x80000e44 <- 0xb72423 +mem-write: 0x80000e48 <- 0xb72623 +mem-write: 0x80000e4c <- 0x1070713 +mem-write: 0x80000e50 <- 0xfed766e3 +mem-write: 0x80000e54 <- 0x61463 +mem-write: 0x80000e58 <- 0x8067 +mem-write: 0x80000e5c <- 0x40c306b3 +mem-write: 0x80000e60 <- 0x269693 +mem-write: 0x80000e64 <- 0x297 +mem-write: 0x80000e68 <- 0x5686b3 +mem-write: 0x80000e6c <- 0xc68067 +mem-write: 0x80000e70 <- 0xb70723 +mem-write: 0x80000e74 <- 0xb706a3 +mem-write: 0x80000e78 <- 0xb70623 +mem-write: 0x80000e7c <- 0xb705a3 +mem-write: 0x80000e80 <- 0xb70523 +mem-write: 0x80000e84 <- 0xb704a3 +mem-write: 0x80000e88 <- 0xb70423 +mem-write: 0x80000e8c <- 0xb703a3 +mem-write: 0x80000e90 <- 0xb70323 +mem-write: 0x80000e94 <- 0xb702a3 +mem-write: 0x80000e98 <- 0xb70223 +mem-write: 0x80000e9c <- 0xb701a3 +mem-write: 0x80000ea0 <- 0xb70123 +mem-write: 0x80000ea4 <- 0xb700a3 +mem-write: 0x80000ea8 <- 0xb70023 +mem-write: 0x80000eac <- 0x8067 +mem-write: 0x80000eb0 <- 0xff5f593 +mem-write: 0x80000eb4 <- 0x859693 +mem-write: 0x80000eb8 <- 0xd5e5b3 +mem-write: 0x80000ebc <- 0x1059693 +mem-write: 0x80000ec0 <- 0xd5e5b3 +mem-write: 0x80000ec4 <- 0xf6dff06f +mem-write: 0x80000ec8 <- 0x279693 +mem-write: 0x80000ecc <- 0x297 +mem-write: 0x80000ed0 <- 0x5686b3 +mem-write: 0x80000ed4 <- 0x8293 +mem-write: 0x80000ed8 <- 0xfa0680e7 +mem-write: 0x80000edc <- 0x28093 +mem-write: 0x80000ee0 <- 0xff078793 +mem-write: 0x80000ee4 <- 0x40f70733 +mem-write: 0x80000ee8 <- 0xf60633 +mem-write: 0x80000eec <- 0xf6c378e3 +mem-write: 0x80000ef0 <- 0xf3dff06f +mem-write: 0x80000ef4 <- 0xfc010113 +mem-write: 0x80000ef8 <- 0x2c12423 +mem-write: 0x80000efc <- 0x2d12623 +mem-write: 0x80000f00 <- 0x2e12823 +mem-write: 0x80000f04 <- 0x2f12a23 +mem-write: 0x80000f08 <- 0x3012c23 +mem-write: 0x80000f0c <- 0x3112e23 +mem-write: 0x80000f10 <- 0x58613 +mem-write: 0x80000f14 <- 0x852583 +mem-write: 0x80000f18 <- 0x2810693 +mem-write: 0x80000f1c <- 0x112e23 +mem-write: 0x80000f20 <- 0xd12623 +mem-write: 0x80000f24 <- 0x5c000ef +mem-write: 0x80000f28 <- 0x1c12083 +mem-write: 0x80000f2c <- 0x4010113 +mem-write: 0x80000f30 <- 0x8067 +mem-write: 0x80000f34 <- 0x1d81a303 +mem-write: 0x80000f38 <- 0xfc010113 +mem-write: 0x80000f3c <- 0x2c12423 +mem-write: 0x80000f40 <- 0x2d12623 +mem-write: 0x80000f44 <- 0x2b12223 +mem-write: 0x80000f48 <- 0x2e12823 +mem-write: 0x80000f4c <- 0x2f12a23 +mem-write: 0x80000f50 <- 0x3012c23 +mem-write: 0x80000f54 <- 0x3112e23 +mem-write: 0x80000f58 <- 0x832583 +mem-write: 0x80000f5c <- 0x2410693 +mem-write: 0x80000f60 <- 0x50613 +mem-write: 0x80000f64 <- 0x30513 +mem-write: 0x80000f68 <- 0x112e23 +mem-write: 0x80000f6c <- 0xd12623 +mem-write: 0x80000f70 <- 0x10000ef +mem-write: 0x80000f74 <- 0x1c12083 +mem-write: 0x80000f78 <- 0x4010113 +mem-write: 0x80000f7c <- 0x8067 +mem-write: 0x80000f80 <- 0xe1010113 +mem-write: 0x80000f84 <- 0x1e112623 +mem-write: 0x80000f88 <- 0x1f212023 +mem-write: 0x80000f8c <- 0x1d812423 +mem-write: 0x80000f90 <- 0x1da12023 +mem-write: 0x80000f94 <- 0x58c13 +mem-write: 0x80000f98 <- 0x60913 +mem-write: 0x80000f9c <- 0xd12a23 +mem-write: 0x80000fa0 <- 0x1e812423 +mem-write: 0x80000fa4 <- 0x1e912223 +mem-write: 0x80000fa8 <- 0x1d312e23 +mem-write: 0x80000fac <- 0x1d412c23 +mem-write: 0x80000fb0 <- 0x1d512a23 +mem-write: 0x80000fb4 <- 0x1d612823 +mem-write: 0x80000fb8 <- 0x1d712623 +mem-write: 0x80000fbc <- 0x1d912223 +mem-write: 0x80000fc0 <- 0x1bb12e23 +mem-write: 0x80000fc4 <- 0x50d13 +mem-write: 0x80000fc8 <- 0x570060ef +mem-write: 0x80000fcc <- 0x52783 +mem-write: 0x80000fd0 <- 0x78513 +mem-write: 0x80000fd4 <- 0x2f12823 +mem-write: 0x80000fd8 <- 0x514080ef +mem-write: 0x80000fdc <- 0x2a12623 +mem-write: 0x80000fe0 <- 0xe012823 +mem-write: 0x80000fe4 <- 0xe012a23 +mem-write: 0x80000fe8 <- 0xe012c23 +mem-write: 0x80000fec <- 0xe012e23 +mem-write: 0x80000ff0 <- 0xd0663 +mem-write: 0x80000ff4 <- 0x38d2703 +mem-write: 0x80000ff8 <- 0xa0708e3 +mem-write: 0x80000ffc <- 0xcc1683 +VXDRV: upload 1024 bytes to 0x80001000 +mem-write: 0x80001000 <- 0x1069713 +mem-write: 0x80001004 <- 0x1269793 +mem-write: 0x80001008 <- 0x1075713 +mem-write: 0x8000100c <- 0x207ca63 +mem-write: 0x80001010 <- 0x2737 +mem-write: 0x80001014 <- 0x64c2603 +mem-write: 0x80001018 <- 0xe6e733 +mem-write: 0x8000101c <- 0x1071713 +mem-write: 0x80001020 <- 0xffffe6b7 +mem-write: 0x80001024 <- 0x41075713 +mem-write: 0x80001028 <- 0xfff68693 +mem-write: 0x8000102c <- 0xd676b3 +mem-write: 0x80001030 <- 0xec1623 +mem-write: 0x80001034 <- 0x1071713 +mem-write: 0x80001038 <- 0x6dc2223 +mem-write: 0x8000103c <- 0x1075713 +mem-write: 0x80001040 <- 0x877693 +mem-write: 0x80001044 <- 0x2e068863 +mem-write: 0x80001048 <- 0x10c2683 +mem-write: 0x8000104c <- 0x2e068463 +mem-write: 0x80001050 <- 0x1a77713 +mem-write: 0x80001054 <- 0xa00693 +mem-write: 0x80001058 <- 0x30d70063 +mem-write: 0x8000105c <- 0x10c10793 +mem-write: 0x80001060 <- 0x80015737 +mem-write: 0x80001064 <- 0xef12223 +mem-write: 0x80001068 <- 0x78893 +mem-write: 0x8000106c <- 0xa6c70793 +mem-write: 0x80001070 <- 0x80015737 +mem-write: 0x80001074 <- 0xf12c23 +mem-write: 0x80001078 <- 0x90b13 +mem-write: 0x8000107c <- 0xbe870793 +mem-write: 0x80001080 <- 0xf12423 +mem-write: 0x80001084 <- 0xb4783 +mem-write: 0x80001088 <- 0xe012623 +mem-write: 0x8000108c <- 0xe012423 +mem-write: 0x80001090 <- 0x2012023 +mem-write: 0x80001094 <- 0x2012a23 +mem-write: 0x80001098 <- 0x2012c23 +mem-write: 0x8000109c <- 0x2012e23 +mem-write: 0x800010a0 <- 0x4012423 +mem-write: 0x800010a4 <- 0x4012623 +mem-write: 0x800010a8 <- 0x12623 +mem-write: 0x800010ac <- 0x22078663 +mem-write: 0x800010b0 <- 0xb0413 +mem-write: 0x800010b4 <- 0x2500693 +mem-write: 0x800010b8 <- 0x30d78a63 +mem-write: 0x800010bc <- 0x144783 +mem-write: 0x800010c0 <- 0x140413 +mem-write: 0x800010c4 <- 0xfe079ae3 +mem-write: 0x800010c8 <- 0x416404b3 +mem-write: 0x800010cc <- 0x21640663 +mem-write: 0x800010d0 <- 0xec12683 +mem-write: 0x800010d4 <- 0xe812783 +mem-write: 0x800010d8 <- 0x168a023 +mem-write: 0x800010dc <- 0x9686b3 +mem-write: 0x800010e0 <- 0x178793 +mem-write: 0x800010e4 <- 0x98a223 +mem-write: 0x800010e8 <- 0xed12623 +mem-write: 0x800010ec <- 0xef12423 +mem-write: 0x800010f0 <- 0x700693 +mem-write: 0x800010f4 <- 0x888893 +mem-write: 0x800010f8 <- 0x2ef6c263 +mem-write: 0x800010fc <- 0xc12703 +mem-write: 0x80001100 <- 0x44783 +mem-write: 0x80001104 <- 0x970733 +mem-write: 0x80001108 <- 0xe12623 +mem-write: 0x8000110c <- 0x1c078663 +mem-write: 0x80001110 <- 0x144483 +mem-write: 0x80001114 <- 0xc0103a3 +mem-write: 0x80001118 <- 0x140413 +mem-write: 0x8000111c <- 0xfff00d93 +mem-write: 0x80001120 <- 0x993 +mem-write: 0x80001124 <- 0xa13 +mem-write: 0x80001128 <- 0x5a00913 +mem-write: 0x8000112c <- 0x900a93 +mem-write: 0x80001130 <- 0x2a00b93 +mem-write: 0x80001134 <- 0x88c93 +mem-write: 0x80001138 <- 0x140413 +mem-write: 0x8000113c <- 0xfe048793 +mem-write: 0x80001140 <- 0x4f96463 +mem-write: 0x80001144 <- 0x1812703 +mem-write: 0x80001148 <- 0x279793 +mem-write: 0x8000114c <- 0xe787b3 +mem-write: 0x80001150 <- 0x7a783 +mem-write: 0x80001154 <- 0x78067 +mem-write: 0x80001158 <- 0x993 +mem-write: 0x8000115c <- 0xfd048693 +mem-write: 0x80001160 <- 0x44483 +mem-write: 0x80001164 <- 0x299793 +mem-write: 0x80001168 <- 0x13787b3 +mem-write: 0x8000116c <- 0x179793 +mem-write: 0x80001170 <- 0xf689b3 +mem-write: 0x80001174 <- 0xfd048693 +mem-write: 0x80001178 <- 0x140413 +mem-write: 0x8000117c <- 0xfedaf2e3 +mem-write: 0x80001180 <- 0xfe048793 +mem-write: 0x80001184 <- 0xfcf970e3 +mem-write: 0x80001188 <- 0xc8893 +mem-write: 0x8000118c <- 0x14048663 +mem-write: 0x80001190 <- 0x14910623 +mem-write: 0x80001194 <- 0xc0103a3 +mem-write: 0x80001198 <- 0x100a93 +mem-write: 0x8000119c <- 0x100c93 +mem-write: 0x800011a0 <- 0x14c10b13 +mem-write: 0x800011a4 <- 0x12823 +mem-write: 0x800011a8 <- 0xd93 +mem-write: 0x800011ac <- 0x2012423 +mem-write: 0x800011b0 <- 0x2012223 +mem-write: 0x800011b4 <- 0x12e23 +mem-write: 0x800011b8 <- 0x2a7b93 +mem-write: 0x800011bc <- 0xb8463 +mem-write: 0x800011c0 <- 0x2a8a93 +mem-write: 0x800011c4 <- 0x84a7913 +mem-write: 0x800011c8 <- 0xec12783 +mem-write: 0x800011cc <- 0x91663 +mem-write: 0x800011d0 <- 0x41598833 +mem-write: 0x800011d4 <- 0x710046e3 +mem-write: 0x800011d8 <- 0xc714683 +mem-write: 0x800011dc <- 0x2068a63 +mem-write: 0x800011e0 <- 0xe812683 +mem-write: 0x800011e4 <- 0xc710613 +mem-write: 0x800011e8 <- 0xc8a023 +mem-write: 0x800011ec <- 0x178793 +mem-write: 0x800011f0 <- 0x100613 +mem-write: 0x800011f4 <- 0x168693 +mem-write: 0x800011f8 <- 0xc8a223 +mem-write: 0x800011fc <- 0xef12623 +mem-write: 0x80001200 <- 0xed12423 +mem-write: 0x80001204 <- 0x700613 +mem-write: 0x80001208 <- 0x888893 +mem-write: 0x8000120c <- 0x52d64263 +mem-write: 0x80001210 <- 0x20b8c63 +mem-write: 0x80001214 <- 0xe812683 +mem-write: 0x80001218 <- 0xc810613 +mem-write: 0x8000121c <- 0xc8a023 +mem-write: 0x80001220 <- 0x278793 +mem-write: 0x80001224 <- 0x200613 +mem-write: 0x80001228 <- 0x168693 +mem-write: 0x8000122c <- 0xc8a223 +mem-write: 0x80001230 <- 0xef12623 +mem-write: 0x80001234 <- 0xed12423 +mem-write: 0x80001238 <- 0x700613 +mem-write: 0x8000123c <- 0x888893 +mem-write: 0x80001240 <- 0xd65463 +mem-write: 0x80001244 <- 0x78d0006f +mem-write: 0x80001248 <- 0x8000693 +mem-write: 0x8000124c <- 0x3cd90ee3 +mem-write: 0x80001250 <- 0x419d8db3 +mem-write: 0x80001254 <- 0x49b04ae3 +mem-write: 0x80001258 <- 0x100a7693 +mem-write: 0x8000125c <- 0x280698e3 +mem-write: 0x80001260 <- 0xe812703 +mem-write: 0x80001264 <- 0x19787b3 +mem-write: 0x80001268 <- 0x168a023 +mem-write: 0x8000126c <- 0x170713 +mem-write: 0x80001270 <- 0x198a223 +mem-write: 0x80001274 <- 0xef12623 +mem-write: 0x80001278 <- 0xee12423 +mem-write: 0x8000127c <- 0x700693 +mem-write: 0x80001280 <- 0x54e6c863 +mem-write: 0x80001284 <- 0x888893 +mem-write: 0x80001288 <- 0x4a7a13 +mem-write: 0x8000128c <- 0xa0663 +mem-write: 0x80001290 <- 0x415984b3 +mem-write: 0x80001294 <- 0x54904e63 +mem-write: 0x80001298 <- 0x159d463 +mem-write: 0x8000129c <- 0xa8993 +mem-write: 0x800012a0 <- 0xc12703 +mem-write: 0x800012a4 <- 0x1370733 +mem-write: 0x800012a8 <- 0xe12623 +mem-write: 0x800012ac <- 0x4e0798e3 +mem-write: 0x800012b0 <- 0x1012783 +mem-write: 0x800012b4 <- 0xe012423 +mem-write: 0x800012b8 <- 0x78863 +mem-write: 0x800012bc <- 0x1012583 +mem-write: 0x800012c0 <- 0xd0513 +mem-write: 0x800012c4 <- 0x2a0030ef +mem-write: 0x800012c8 <- 0x10c10893 +mem-write: 0x800012cc <- 0x40b13 +mem-write: 0x800012d0 <- 0xb4783 +mem-write: 0x800012d4 <- 0xdc079ee3 +mem-write: 0x800012d8 <- 0xec12783 +mem-write: 0x800012dc <- 0x78463 +mem-write: 0x800012e0 <- 0x3250106f +mem-write: 0x800012e4 <- 0xcc5783 +mem-write: 0x800012e8 <- 0x407f793 +mem-write: 0x800012ec <- 0x78463 +mem-write: 0x800012f0 <- 0x2300206f +mem-write: 0x800012f4 <- 0x1ec12083 +mem-write: 0x800012f8 <- 0x1e812403 +mem-write: 0x800012fc <- 0xc12503 +mem-write: 0x80001300 <- 0x1e412483 +mem-write: 0x80001304 <- 0x1e012903 +mem-write: 0x80001308 <- 0x1dc12983 +mem-write: 0x8000130c <- 0x1d812a03 +mem-write: 0x80001310 <- 0x1d412a83 +mem-write: 0x80001314 <- 0x1d012b03 +mem-write: 0x80001318 <- 0x1cc12b83 +mem-write: 0x8000131c <- 0x1c812c03 +mem-write: 0x80001320 <- 0x1c412c83 +mem-write: 0x80001324 <- 0x1c012d03 +mem-write: 0x80001328 <- 0x1bc12d83 +mem-write: 0x8000132c <- 0x1f010113 +mem-write: 0x80001330 <- 0x8067 +mem-write: 0x80001334 <- 0xc0593 +mem-write: 0x80001338 <- 0xd0513 +mem-write: 0x8000133c <- 0x7ac020ef +mem-write: 0x80001340 <- 0x50463 +mem-write: 0x80001344 <- 0x1dc0206f +mem-write: 0x80001348 <- 0xcc5703 +mem-write: 0x8000134c <- 0xa00693 +mem-write: 0x80001350 <- 0x1a77713 +mem-write: 0x80001354 <- 0xd0d714e3 +mem-write: 0x80001358 <- 0xec1703 +mem-write: 0x8000135c <- 0xd00740e3 +mem-write: 0x80001360 <- 0x1412683 +mem-write: 0x80001364 <- 0x90613 +mem-write: 0x80001368 <- 0xc0593 +mem-write: 0x8000136c <- 0xd0513 +mem-write: 0x80001370 <- 0x6b8020ef +mem-write: 0x80001374 <- 0xa12623 +mem-write: 0x80001378 <- 0xf7dff06f +mem-write: 0x8000137c <- 0xd0513 +mem-write: 0x80001380 <- 0x1b8060ef +mem-write: 0x80001384 <- 0x452783 +mem-write: 0x80001388 <- 0x78513 +mem-write: 0x8000138c <- 0x4f12623 +mem-write: 0x80001390 <- 0x15c080ef +mem-write: 0x80001394 <- 0x50793 +mem-write: 0x80001398 <- 0xd0513 +mem-write: 0x8000139c <- 0x78493 +mem-write: 0x800013a0 <- 0x4f12423 +mem-write: 0x800013a4 <- 0x194060ef +mem-write: 0x800013a8 <- 0x852783 +mem-write: 0x800013ac <- 0x2f12e23 +mem-write: 0x800013b0 <- 0x48463 +mem-write: 0x800013b4 <- 0x12c0106f +mem-write: 0x800013b8 <- 0x44483 +mem-write: 0x800013bc <- 0xd7dff06f +mem-write: 0x800013c0 <- 0x44483 +mem-write: 0x800013c4 <- 0x20a6a13 +mem-write: 0x800013c8 <- 0xd71ff06f +mem-write: 0x800013cc <- 0x416404b3 +mem-write: 0x800013d0 <- 0xd16410e3 +mem-write: 0x800013d4 <- 0x44783 +mem-write: 0x800013d8 <- 0xd35ff06f +mem-write: 0x800013dc <- 0xe410613 +mem-write: 0x800013e0 <- 0xc0593 +mem-write: 0x800013e4 <- 0xd0513 +mem-write: 0x800013e8 <- 0x53d0a0ef +mem-write: 0x800013ec <- 0xee051ce3 +mem-write: 0x800013f0 <- 0x10c10893 +mem-write: 0x800013f4 <- 0xd09ff06f +mem-write: 0x800013f8 <- 0x8a7793 +mem-write: 0x800013fc <- 0xc8893 +VXDRV: upload 1024 bytes to 0x80001400 +mem-write: 0x80001400 <- 0x78463 +mem-write: 0x80001404 <- 0x12c0106f +mem-write: 0x80001408 <- 0x1412783 +mem-write: 0x8000140c <- 0xb010513 +mem-write: 0x80001410 <- 0x1912823 +mem-write: 0x80001414 <- 0x778793 +mem-write: 0x80001418 <- 0xff87f793 +mem-write: 0x8000141c <- 0x7a583 +mem-write: 0x80001420 <- 0x47a603 +mem-write: 0x80001424 <- 0x878793 +mem-write: 0x80001428 <- 0xf12a23 +mem-write: 0x8000142c <- 0x781120ef +mem-write: 0x80001430 <- 0xb012783 +mem-write: 0x80001434 <- 0x1012883 +mem-write: 0x80001438 <- 0xef12823 +mem-write: 0x8000143c <- 0xb412783 +mem-write: 0x80001440 <- 0xef12a23 +mem-write: 0x80001444 <- 0xb812783 +mem-write: 0x80001448 <- 0xef12c23 +mem-write: 0x8000144c <- 0xbc12783 +mem-write: 0x80001450 <- 0xef12e23 +mem-write: 0x80001454 <- 0xf010513 +mem-write: 0x80001458 <- 0x1112823 +mem-write: 0x8000145c <- 0x70060ef +mem-write: 0x80001460 <- 0xca12623 +mem-write: 0x80001464 <- 0x200793 +mem-write: 0x80001468 <- 0x1012883 +mem-write: 0x8000146c <- 0xf51463 +mem-write: 0x80001470 <- 0x4fc0106f +mem-write: 0x80001474 <- 0x100793 +mem-write: 0x80001478 <- 0xf51463 +mem-write: 0x8000147c <- 0x6440106f +mem-write: 0x80001480 <- 0x6100793 +mem-write: 0x80001484 <- 0xf49463 +mem-write: 0x80001488 <- 0x1c40206f +mem-write: 0x8000148c <- 0x4100793 +mem-write: 0x80001490 <- 0xf49463 +mem-write: 0x80001494 <- 0x1910106f +mem-write: 0x80001498 <- 0xfdf4fb93 +mem-write: 0x8000149c <- 0xfff00793 +mem-write: 0x800014a0 <- 0x5712223 +mem-write: 0x800014a4 <- 0xfd9463 +mem-write: 0x800014a8 <- 0x2800206f +mem-write: 0x800014ac <- 0x4700793 +mem-write: 0x800014b0 <- 0xfb9463 +mem-write: 0x800014b4 <- 0x1e00206f +mem-write: 0x800014b8 <- 0xfc12303 +mem-write: 0x800014bc <- 0x3412423 +mem-write: 0x800014c0 <- 0xf012e03 +mem-write: 0x800014c4 <- 0xf412e83 +mem-write: 0x800014c8 <- 0xf812f03 +mem-write: 0x800014cc <- 0x100a6793 +mem-write: 0x800014d0 <- 0x35463 +mem-write: 0x800014d4 <- 0x3e00206f +mem-write: 0x800014d8 <- 0x4012c23 +mem-write: 0x800014dc <- 0x78a13 +mem-write: 0x800014e0 <- 0x12823 +mem-write: 0x800014e4 <- 0x4600793 +mem-write: 0x800014e8 <- 0xfb9463 +mem-write: 0x800014ec <- 0x6990106f +mem-write: 0x800014f0 <- 0x4500793 +mem-write: 0x800014f4 <- 0x5112823 +mem-write: 0x800014f8 <- 0xfb8463 +mem-write: 0x800014fc <- 0x6090106f +mem-write: 0x80001500 <- 0x1d8913 +mem-write: 0x80001504 <- 0xb010a93 +mem-write: 0x80001508 <- 0x90693 +mem-write: 0x8000150c <- 0xdc10813 +mem-write: 0x80001510 <- 0xd010793 +mem-write: 0x80001514 <- 0xcc10713 +mem-write: 0x80001518 <- 0x200613 +mem-write: 0x8000151c <- 0xa8593 +mem-write: 0x80001520 <- 0xd0513 +mem-write: 0x80001524 <- 0xbc12823 +mem-write: 0x80001528 <- 0x5c12023 +mem-write: 0x8000152c <- 0xbd12a23 +mem-write: 0x80001530 <- 0x3d12223 +mem-write: 0x80001534 <- 0xbe12c23 +mem-write: 0x80001538 <- 0x3e12023 +mem-write: 0x8000153c <- 0xa612e23 +mem-write: 0x80001540 <- 0x612e23 +mem-write: 0x80001544 <- 0x4f1040ef +mem-write: 0x80001548 <- 0x1c12303 +mem-write: 0x8000154c <- 0x2012f03 +mem-write: 0x80001550 <- 0x2412e83 +mem-write: 0x80001554 <- 0x4012e03 +mem-write: 0x80001558 <- 0x5012883 +mem-write: 0x8000155c <- 0x50b13 +mem-write: 0x80001560 <- 0x1250933 +mem-write: 0x80001564 <- 0xa010c93 +mem-write: 0x80001568 <- 0xc8593 +mem-write: 0x8000156c <- 0xa8513 +mem-write: 0x80001570 <- 0x1112e23 +mem-write: 0x80001574 <- 0xbc12823 +mem-write: 0x80001578 <- 0xbd12a23 +mem-write: 0x8000157c <- 0xbe12c23 +mem-write: 0x80001580 <- 0xa612e23 +mem-write: 0x80001584 <- 0xa012023 +mem-write: 0x80001588 <- 0xa012223 +mem-write: 0x8000158c <- 0xa012423 +mem-write: 0x80001590 <- 0xa012623 +mem-write: 0x80001594 <- 0x33c100ef +mem-write: 0x80001598 <- 0x1c12883 +mem-write: 0x8000159c <- 0x90713 +mem-write: 0x800015a0 <- 0x2050263 +mem-write: 0x800015a4 <- 0xdc12703 +mem-write: 0x800015a8 <- 0x1277e63 +mem-write: 0x800015ac <- 0x3000693 +mem-write: 0x800015b0 <- 0x170793 +mem-write: 0x800015b4 <- 0xcf12e23 +mem-write: 0x800015b8 <- 0xd70023 +mem-write: 0x800015bc <- 0xdc12703 +mem-write: 0x800015c0 <- 0xff2768e3 +mem-write: 0x800015c4 <- 0x416707b3 +mem-write: 0x800015c8 <- 0x2f12023 +mem-write: 0x800015cc <- 0xcc12703 +mem-write: 0x800015d0 <- 0x4700793 +mem-write: 0x800015d4 <- 0xe12e23 +mem-write: 0x800015d8 <- 0x4412703 +mem-write: 0x800015dc <- 0xf71463 +mem-write: 0x800015e0 <- 0x43d0106f +mem-write: 0x800015e4 <- 0x4412703 +mem-write: 0x800015e8 <- 0x4600793 +mem-write: 0x800015ec <- 0xf71463 +mem-write: 0x800015f0 <- 0x67d0106f +mem-write: 0x800015f4 <- 0x1c12783 +mem-write: 0x800015f8 <- 0x4412703 +mem-write: 0x800015fc <- 0x4100593 +mem-write: 0x80001600 <- 0xfff78793 +mem-write: 0x80001604 <- 0xcf12623 +mem-write: 0x80001608 <- 0xff4f693 +mem-write: 0x8000160c <- 0x613 +mem-write: 0x80001610 <- 0xb71863 +mem-write: 0x80001614 <- 0xf68693 +mem-write: 0x80001618 <- 0xff6f693 +mem-write: 0x8000161c <- 0x100613 +mem-write: 0x80001620 <- 0xcd10a23 +mem-write: 0x80001624 <- 0x2b00693 +mem-write: 0x80001628 <- 0x7da63 +mem-write: 0x8000162c <- 0x1c12703 +mem-write: 0x80001630 <- 0x100793 +mem-write: 0x80001634 <- 0x2d00693 +mem-write: 0x80001638 <- 0x40e787b3 +mem-write: 0x8000163c <- 0xcd10aa3 +mem-write: 0x80001640 <- 0x900693 +mem-write: 0x80001644 <- 0xf6c463 +mem-write: 0x80001648 <- 0x28c0206f +mem-write: 0x8000164c <- 0xe310813 +mem-write: 0x80001650 <- 0x80513 +mem-write: 0x80001654 <- 0xa00613 +mem-write: 0x80001658 <- 0x6300e13 +mem-write: 0x8000165c <- 0x2c7e733 +mem-write: 0x80001660 <- 0x50593 +mem-write: 0x80001664 <- 0x78693 +mem-write: 0x80001668 <- 0xfff50513 +mem-write: 0x8000166c <- 0x3070713 +mem-write: 0x80001670 <- 0xfee58fa3 +mem-write: 0x80001674 <- 0x2c7c7b3 +mem-write: 0x80001678 <- 0xfede42e3 +mem-write: 0x8000167c <- 0x3078793 +mem-write: 0x80001680 <- 0xff7f613 +mem-write: 0x80001684 <- 0xfec50fa3 +mem-write: 0x80001688 <- 0xffe58793 +mem-write: 0x8000168c <- 0x107e463 +mem-write: 0x80001690 <- 0x3740206f +mem-write: 0x80001694 <- 0xd610693 +mem-write: 0x80001698 <- 0x80006f +mem-write: 0x8000169c <- 0x7c603 +mem-write: 0x800016a0 <- 0xc68023 +mem-write: 0x800016a4 <- 0x178793 +mem-write: 0x800016a8 <- 0x168693 +mem-write: 0x800016ac <- 0xff0798e3 +mem-write: 0x800016b0 <- 0xe510793 +mem-write: 0x800016b4 <- 0x40b787b3 +mem-write: 0x800016b8 <- 0xd610713 +mem-write: 0x800016bc <- 0xf707b3 +mem-write: 0x800016c0 <- 0xd410693 +mem-write: 0x800016c4 <- 0x40d787b3 +mem-write: 0x800016c8 <- 0x2f12c23 +mem-write: 0x800016cc <- 0x2012703 +mem-write: 0x800016d0 <- 0x3812683 +mem-write: 0x800016d4 <- 0x100793 +mem-write: 0x800016d8 <- 0xd70cb3 +mem-write: 0x800016dc <- 0xe7c463 +mem-write: 0x800016e0 <- 0x2940206f +mem-write: 0x800016e4 <- 0x2c12783 +mem-write: 0x800016e8 <- 0xfc8cb3 +mem-write: 0x800016ec <- 0x2812783 +mem-write: 0x800016f0 <- 0xfffcca93 +mem-write: 0x800016f4 <- 0x41fada93 +mem-write: 0x800016f8 <- 0xbff7fa13 +mem-write: 0x800016fc <- 0x100a6a13 +mem-write: 0x80001700 <- 0x15cfab3 +mem-write: 0x80001704 <- 0x2012423 +mem-write: 0x80001708 <- 0x2012223 +mem-write: 0x8000170c <- 0x12e23 +mem-write: 0x80001710 <- 0x5812783 +mem-write: 0x80001714 <- 0x79463 +mem-write: 0x80001718 <- 0x3790106f +mem-write: 0x8000171c <- 0x2d00793 +mem-write: 0x80001720 <- 0xcf103a3 +mem-write: 0x80001724 <- 0xd93 +mem-write: 0x80001728 <- 0x1a8a93 +mem-write: 0x8000172c <- 0xa8dff06f +mem-write: 0x80001730 <- 0xe410613 +mem-write: 0x80001734 <- 0xc0593 +mem-write: 0x80001738 <- 0xd0513 +mem-write: 0x8000173c <- 0x1e90a0ef +mem-write: 0x80001740 <- 0x60518e3 +mem-write: 0x80001744 <- 0xec12783 +mem-write: 0x80001748 <- 0x10c10893 +mem-write: 0x8000174c <- 0xac5ff06f +mem-write: 0x80001750 <- 0x3012683 +mem-write: 0x80001754 <- 0x2c12703 +mem-write: 0x80001758 <- 0x700613 +mem-write: 0x8000175c <- 0xd8a023 +mem-write: 0x80001760 <- 0xe812683 +mem-write: 0x80001764 <- 0xf707b3 +mem-write: 0x80001768 <- 0xe8a223 +mem-write: 0x8000176c <- 0x168693 +mem-write: 0x80001770 <- 0xef12623 +mem-write: 0x80001774 <- 0xed12423 +mem-write: 0x80001778 <- 0x888893 +mem-write: 0x8000177c <- 0x2d65463 +mem-write: 0x80001780 <- 0xe410613 +mem-write: 0x80001784 <- 0xc0593 +mem-write: 0x80001788 <- 0xd0513 +mem-write: 0x8000178c <- 0x1990a0ef +mem-write: 0x80001790 <- 0x20510e3 +mem-write: 0x80001794 <- 0xcc12583 +mem-write: 0x80001798 <- 0xec12783 +mem-write: 0x8000179c <- 0xe812683 +mem-write: 0x800017a0 <- 0x10c10893 +mem-write: 0x800017a4 <- 0x5d463 +mem-write: 0x800017a8 <- 0x5850106f +mem-write: 0x800017ac <- 0x2012703 +mem-write: 0x800017b0 <- 0x168693 +mem-write: 0x800017b4 <- 0x168a023 +mem-write: 0x800017b8 <- 0xf707b3 +mem-write: 0x800017bc <- 0xe8a223 +mem-write: 0x800017c0 <- 0xef12623 +mem-write: 0x800017c4 <- 0xed12423 +mem-write: 0x800017c8 <- 0x700713 +mem-write: 0x800017cc <- 0xaad75ce3 +mem-write: 0x800017d0 <- 0xe410613 +mem-write: 0x800017d4 <- 0xc0593 +mem-write: 0x800017d8 <- 0xd0513 +mem-write: 0x800017dc <- 0x1490a0ef +mem-write: 0x800017e0 <- 0x7c051863 +mem-write: 0x800017e4 <- 0xec12783 +mem-write: 0x800017e8 <- 0x10c10893 +mem-write: 0x800017ec <- 0xa9dff06f +mem-write: 0x800017f0 <- 0x1000693 +mem-write: 0x800017f4 <- 0xe812703 +mem-write: 0x800017f8 <- 0x96c463 +mem-write: 0x800017fc <- 0x5190106f +VXDRV: upload 1024 bytes to 0x80001800 +mem-write: 0x80001800 <- 0x800156b7 +mem-write: 0x80001804 <- 0xbd868e93 +mem-write: 0x80001808 <- 0x1000913 +mem-write: 0x8000180c <- 0x700a13 +mem-write: 0x80001810 <- 0xe8b13 +mem-write: 0x80001814 <- 0xc0006f +mem-write: 0x80001818 <- 0xff048493 +mem-write: 0x8000181c <- 0x4995663 +mem-write: 0x80001820 <- 0x1078793 +mem-write: 0x80001824 <- 0x170713 +mem-write: 0x80001828 <- 0x168a023 +mem-write: 0x8000182c <- 0x128a223 +mem-write: 0x80001830 <- 0xef12623 +mem-write: 0x80001834 <- 0xee12423 +mem-write: 0x80001838 <- 0x888893 +mem-write: 0x8000183c <- 0xfcea5ee3 +mem-write: 0x80001840 <- 0xe410613 +mem-write: 0x80001844 <- 0xc0593 +mem-write: 0x80001848 <- 0xd0513 +mem-write: 0x8000184c <- 0xd90a0ef +mem-write: 0x80001850 <- 0x76051063 +mem-write: 0x80001854 <- 0xff048493 +mem-write: 0x80001858 <- 0xec12783 +mem-write: 0x8000185c <- 0xe812703 +mem-write: 0x80001860 <- 0x10c10893 +mem-write: 0x80001864 <- 0xfa994ee3 +mem-write: 0x80001868 <- 0xb0e93 +mem-write: 0x8000186c <- 0x9787b3 +mem-write: 0x80001870 <- 0x170713 +mem-write: 0x80001874 <- 0x1d8a023 +mem-write: 0x80001878 <- 0x98a223 +mem-write: 0x8000187c <- 0xef12623 +mem-write: 0x80001880 <- 0xee12423 +mem-write: 0x80001884 <- 0x700693 +mem-write: 0x80001888 <- 0xa0e6d8e3 +mem-write: 0x8000188c <- 0xe410613 +mem-write: 0x80001890 <- 0xc0593 +mem-write: 0x80001894 <- 0xd0513 +mem-write: 0x80001898 <- 0x8d0a0ef +mem-write: 0x8000189c <- 0x70051a63 +mem-write: 0x800018a0 <- 0xec12783 +mem-write: 0x800018a4 <- 0x9f5ff06f +mem-write: 0x800018a8 <- 0xd0513 +mem-write: 0x800018ac <- 0x349020ef +mem-write: 0x800018b0 <- 0xf4cff06f +mem-write: 0x800018b4 <- 0x1412703 +mem-write: 0x800018b8 <- 0xc8893 +mem-write: 0x800018bc <- 0xc0103a3 +mem-write: 0x800018c0 <- 0x72783 +mem-write: 0x800018c4 <- 0x470713 +mem-write: 0x800018c8 <- 0xe12a23 +mem-write: 0x800018cc <- 0x14f10623 +mem-write: 0x800018d0 <- 0x100a93 +mem-write: 0x800018d4 <- 0x100c93 +mem-write: 0x800018d8 <- 0x14c10b13 +mem-write: 0x800018dc <- 0x8c9ff06f +mem-write: 0x800018e0 <- 0x1412783 +mem-write: 0x800018e4 <- 0xc0103a3 +mem-write: 0x800018e8 <- 0xc8893 +mem-write: 0x800018ec <- 0x7ab03 +mem-write: 0x800018f0 <- 0x478913 +mem-write: 0x800018f4 <- 0x5a0b0ee3 +mem-write: 0x800018f8 <- 0xfff00793 +mem-write: 0x800018fc <- 0xfd9463 +mem-write: 0x80001900 <- 0x1000106f +mem-write: 0x80001904 <- 0xd8613 +mem-write: 0x80001908 <- 0x593 +mem-write: 0x8000190c <- 0xb0513 +mem-write: 0x80001910 <- 0x1912a23 +mem-write: 0x80001914 <- 0x5bc060ef +mem-write: 0x80001918 <- 0xa12823 +mem-write: 0x8000191c <- 0x1412883 +mem-write: 0x80001920 <- 0x51463 +mem-write: 0x80001924 <- 0x31d0106f +mem-write: 0x80001928 <- 0x1012783 +mem-write: 0x8000192c <- 0x1212a23 +mem-write: 0x80001930 <- 0x12823 +mem-write: 0x80001934 <- 0x41678cb3 +mem-write: 0x80001938 <- 0xc714783 +mem-write: 0x8000193c <- 0xfffcca93 +mem-write: 0x80001940 <- 0x41fada93 +mem-write: 0x80001944 <- 0x2012423 +mem-write: 0x80001948 <- 0x2012223 +mem-write: 0x8000194c <- 0x12e23 +mem-write: 0x80001950 <- 0x15cfab3 +mem-write: 0x80001954 <- 0xd93 +mem-write: 0x80001958 <- 0x860780e3 +mem-write: 0x8000195c <- 0x1a8a93 +mem-write: 0x80001960 <- 0x859ff06f +mem-write: 0x80001964 <- 0x44483 +mem-write: 0x80001968 <- 0x4a6a13 +mem-write: 0x8000196c <- 0xfccff06f +mem-write: 0x80001970 <- 0x1412683 +mem-write: 0x80001974 <- 0x20a7793 +mem-write: 0x80001978 <- 0xc8893 +mem-write: 0x8000197c <- 0x6a703 +mem-write: 0x80001980 <- 0x468693 +mem-write: 0x80001984 <- 0xd12a23 +mem-write: 0x80001988 <- 0x36079ee3 +mem-write: 0x8000198c <- 0x10a7793 +mem-write: 0x80001990 <- 0x78463 +mem-write: 0x80001994 <- 0x5c0106f +mem-write: 0x80001998 <- 0x40a7793 +mem-write: 0x8000199c <- 0x78463 +mem-write: 0x800019a0 <- 0x3fc0106f +mem-write: 0x800019a4 <- 0x200a7a13 +mem-write: 0x800019a8 <- 0xa1463 +mem-write: 0x800019ac <- 0x440106f +mem-write: 0x800019b0 <- 0xc12783 +mem-write: 0x800019b4 <- 0x40b13 +mem-write: 0x800019b8 <- 0xf70023 +mem-write: 0x800019bc <- 0x915ff06f +mem-write: 0x800019c0 <- 0x44483 +mem-write: 0x800019c4 <- 0x6c00793 +mem-write: 0x800019c8 <- 0x4cf484e3 +mem-write: 0x800019cc <- 0x10a6a13 +mem-write: 0x800019d0 <- 0xf68ff06f +mem-write: 0x800019d4 <- 0x1412703 +mem-write: 0x800019d8 <- 0xffff87b7 +mem-write: 0x800019dc <- 0x8307c793 +mem-write: 0x800019e0 <- 0xcf11423 +mem-write: 0x800019e4 <- 0x470793 +mem-write: 0x800019e8 <- 0xf12a23 +mem-write: 0x800019ec <- 0x72903 +mem-write: 0x800019f0 <- 0x800157b7 +mem-write: 0x800019f4 <- 0xa3c78793 +mem-write: 0x800019f8 <- 0xc8893 +mem-write: 0x800019fc <- 0x2f12a23 +mem-write: 0x80001a00 <- 0xc93 +mem-write: 0x80001a04 <- 0x2a6b93 +mem-write: 0x80001a08 <- 0x200793 +mem-write: 0x80001a0c <- 0x7800493 +mem-write: 0x80001a10 <- 0xc0103a3 +mem-write: 0x80001a14 <- 0xfff00713 +mem-write: 0x80001a18 <- 0x20ed8663 +mem-write: 0x80001a1c <- 0x1996733 +mem-write: 0x80001a20 <- 0xf7fbfa13 +mem-write: 0x80001a24 <- 0x1e071e63 +mem-write: 0x80001a28 <- 0x260d9463 +mem-write: 0x80001a2c <- 0x1c079063 +mem-write: 0x80001a30 <- 0x1bfc93 +mem-write: 0x80001a34 <- 0x1b010b13 +mem-write: 0x80001a38 <- 0x280c9ce3 +mem-write: 0x80001a3c <- 0xc8a93 +mem-write: 0x80001a40 <- 0x1bcd463 +mem-write: 0x80001a44 <- 0xd8a93 +mem-write: 0x80001a48 <- 0xc714783 +mem-write: 0x80001a4c <- 0x12823 +mem-write: 0x80001a50 <- 0x2012423 +mem-write: 0x80001a54 <- 0x2012223 +mem-write: 0x80001a58 <- 0x12e23 +mem-write: 0x80001a5c <- 0xf00790e3 +mem-write: 0x80001a60 <- 0xf58ff06f +mem-write: 0x80001a64 <- 0x44483 +mem-write: 0x80001a68 <- 0x6800793 +mem-write: 0x80001a6c <- 0x42f48ae3 +mem-write: 0x80001a70 <- 0x40a6a13 +mem-write: 0x80001a74 <- 0xec4ff06f +mem-write: 0x80001a78 <- 0x2b00793 +mem-write: 0x80001a7c <- 0x44483 +mem-write: 0x80001a80 <- 0xcf103a3 +mem-write: 0x80001a84 <- 0xeb4ff06f +mem-write: 0x80001a88 <- 0x44483 +mem-write: 0x80001a8c <- 0x80a6a13 +mem-write: 0x80001a90 <- 0xea8ff06f +mem-write: 0x80001a94 <- 0x44483 +mem-write: 0x80001a98 <- 0x140713 +mem-write: 0x80001a9c <- 0x1749463 +mem-write: 0x80001aa0 <- 0x7250106f +mem-write: 0x80001aa4 <- 0xfd048693 +mem-write: 0x80001aa8 <- 0x70413 +mem-write: 0x80001aac <- 0xd93 +mem-write: 0x80001ab0 <- 0xe8dae663 +mem-write: 0x80001ab4 <- 0x44483 +mem-write: 0x80001ab8 <- 0x2d9793 +mem-write: 0x80001abc <- 0x1b787b3 +mem-write: 0x80001ac0 <- 0x179793 +mem-write: 0x80001ac4 <- 0xd78db3 +mem-write: 0x80001ac8 <- 0xfd048693 +mem-write: 0x80001acc <- 0x140413 +mem-write: 0x80001ad0 <- 0xfedaf2e3 +mem-write: 0x80001ad4 <- 0xe68ff06f +mem-write: 0x80001ad8 <- 0x1412783 +mem-write: 0x80001adc <- 0x44483 +mem-write: 0x80001ae0 <- 0x7a983 +mem-write: 0x80001ae4 <- 0x478793 +mem-write: 0x80001ae8 <- 0xf12a23 +mem-write: 0x80001aec <- 0xe409d663 +mem-write: 0x80001af0 <- 0x413009b3 +mem-write: 0x80001af4 <- 0x4a6a13 +mem-write: 0x80001af8 <- 0xe40ff06f +mem-write: 0x80001afc <- 0x44483 +mem-write: 0x80001b00 <- 0x1a6a13 +mem-write: 0x80001b04 <- 0xe34ff06f +mem-write: 0x80001b08 <- 0xc714783 +mem-write: 0x80001b0c <- 0x44483 +mem-write: 0x80001b10 <- 0xe2079463 +mem-write: 0x80001b14 <- 0x2000793 +mem-write: 0x80001b18 <- 0xcf103a3 +mem-write: 0x80001b1c <- 0xe1cff06f +mem-write: 0x80001b20 <- 0xc8893 +mem-write: 0x80001b24 <- 0x10a6a13 +mem-write: 0x80001b28 <- 0x20a7793 +mem-write: 0x80001b2c <- 0xc078ee3 +mem-write: 0x80001b30 <- 0x1412783 +mem-write: 0x80001b34 <- 0x778b13 +mem-write: 0x80001b38 <- 0xff8b7b13 +mem-write: 0x80001b3c <- 0xb2903 +mem-write: 0x80001b40 <- 0x4b2c83 +mem-write: 0x80001b44 <- 0x8b0793 +mem-write: 0x80001b48 <- 0xf12a23 +mem-write: 0x80001b4c <- 0xbffa7b93 +mem-write: 0x80001b50 <- 0x793 +mem-write: 0x80001b54 <- 0xebdff06f +mem-write: 0x80001b58 <- 0xc8893 +mem-write: 0x80001b5c <- 0x10a6b93 +mem-write: 0x80001b60 <- 0x20bf793 +mem-write: 0x80001b64 <- 0xc0788e3 +mem-write: 0x80001b68 <- 0x1412783 +mem-write: 0x80001b6c <- 0x778b13 +mem-write: 0x80001b70 <- 0xff8b7b13 +mem-write: 0x80001b74 <- 0x8b0793 +mem-write: 0x80001b78 <- 0xf12a23 +mem-write: 0x80001b7c <- 0xb2903 +mem-write: 0x80001b80 <- 0x4b2c83 +mem-write: 0x80001b84 <- 0x100793 +mem-write: 0x80001b88 <- 0xe89ff06f +mem-write: 0x80001b8c <- 0x44483 +mem-write: 0x80001b90 <- 0x8a6a13 +mem-write: 0x80001b94 <- 0xda4ff06f +mem-write: 0x80001b98 <- 0xc8893 +mem-write: 0x80001b9c <- 0x10a6a13 +mem-write: 0x80001ba0 <- 0x20a7793 +mem-write: 0x80001ba4 <- 0xc0780e3 +mem-write: 0x80001ba8 <- 0x1412783 +mem-write: 0x80001bac <- 0x778b13 +mem-write: 0x80001bb0 <- 0xff8b7b13 +mem-write: 0x80001bb4 <- 0x4b2783 +mem-write: 0x80001bb8 <- 0xb2903 +mem-write: 0x80001bbc <- 0x8b0713 +mem-write: 0x80001bc0 <- 0xe12a23 +mem-write: 0x80001bc4 <- 0x78c93 +mem-write: 0x80001bc8 <- 0xc07c6e3 +mem-write: 0x80001bcc <- 0xfff00793 +mem-write: 0x80001bd0 <- 0xa0b93 +mem-write: 0x80001bd4 <- 0x2fd8463 +mem-write: 0x80001bd8 <- 0x19967b3 +mem-write: 0x80001bdc <- 0xf7fa7b93 +mem-write: 0x80001be0 <- 0x79e63 +mem-write: 0x80001be4 <- 0x20d9263 +mem-write: 0x80001be8 <- 0xb8a13 +mem-write: 0x80001bec <- 0xd93 +mem-write: 0x80001bf0 <- 0xc93 +mem-write: 0x80001bf4 <- 0x1b010b13 +mem-write: 0x80001bf8 <- 0xe45ff06f +mem-write: 0x80001bfc <- 0x3a0c92e3 +VXDRV: upload 1023 bytes to 0x80001c00 +mem-write: 0x80001c00 <- 0x900793 +mem-write: 0x80001c04 <- 0x3927eee3 +mem-write: 0x80001c08 <- 0x3090913 +mem-write: 0x80001c0c <- 0x1b2107a3 +mem-write: 0x80001c10 <- 0xb8a13 +mem-write: 0x80001c14 <- 0x100c93 +mem-write: 0x80001c18 <- 0x1af10b13 +mem-write: 0x80001c1c <- 0xe21ff06f +mem-write: 0x80001c20 <- 0xa0b93 +mem-write: 0x80001c24 <- 0x100713 +mem-write: 0x80001c28 <- 0xfce78ae3 +mem-write: 0x80001c2c <- 0x200713 +mem-write: 0x80001c30 <- 0x6e78c63 +mem-write: 0x80001c34 <- 0x1b010b13 +mem-write: 0x80001c38 <- 0x1dc9713 +mem-write: 0x80001c3c <- 0x797793 +mem-write: 0x80001c40 <- 0x395913 +mem-write: 0x80001c44 <- 0x3078793 +mem-write: 0x80001c48 <- 0x1276933 +mem-write: 0x80001c4c <- 0x3cdc93 +mem-write: 0x80001c50 <- 0xfefb0fa3 +mem-write: 0x80001c54 <- 0x1996733 +mem-write: 0x80001c58 <- 0xb0613 +mem-write: 0x80001c5c <- 0xfffb0b13 +mem-write: 0x80001c60 <- 0xfc071ce3 +mem-write: 0x80001c64 <- 0x1bf693 +mem-write: 0x80001c68 <- 0x6068a63 +mem-write: 0x80001c6c <- 0x3000693 +mem-write: 0x80001c70 <- 0x6d78663 +mem-write: 0x80001c74 <- 0xffe60613 +mem-write: 0x80001c78 <- 0x1b010793 +mem-write: 0x80001c7c <- 0xfedb0fa3 +mem-write: 0x80001c80 <- 0x40c78cb3 +mem-write: 0x80001c84 <- 0xb8a13 +mem-write: 0x80001c88 <- 0x60b13 +mem-write: 0x80001c8c <- 0xdb1ff06f +mem-write: 0x80001c90 <- 0x100713 +mem-write: 0x80001c94 <- 0xe79463 +mem-write: 0x80001c98 <- 0x14d0106f +mem-write: 0x80001c9c <- 0x200713 +mem-write: 0x80001ca0 <- 0xa0b93 +mem-write: 0x80001ca4 <- 0xf8e798e3 +mem-write: 0x80001ca8 <- 0x3412683 +mem-write: 0x80001cac <- 0x1b010b13 +mem-write: 0x80001cb0 <- 0xf97793 +mem-write: 0x80001cb4 <- 0xf687b3 +mem-write: 0x80001cb8 <- 0x7c703 +mem-write: 0x80001cbc <- 0x495913 +mem-write: 0x80001cc0 <- 0x1cc9793 +mem-write: 0x80001cc4 <- 0x127e933 +mem-write: 0x80001cc8 <- 0x4cdc93 +mem-write: 0x80001ccc <- 0xfeeb0fa3 +mem-write: 0x80001cd0 <- 0x19967b3 +mem-write: 0x80001cd4 <- 0xfffb0b13 +mem-write: 0x80001cd8 <- 0xfc079ce3 +mem-write: 0x80001cdc <- 0x1b010793 +mem-write: 0x80001ce0 <- 0x41678cb3 +mem-write: 0x80001ce4 <- 0xb8a13 +mem-write: 0x80001ce8 <- 0xd55ff06f +mem-write: 0x80001cec <- 0x6500693 +mem-write: 0x80001cf0 <- 0x2c96dc63 +mem-write: 0x80001cf4 <- 0xf012683 +mem-write: 0x80001cf8 <- 0xa010593 +mem-write: 0x80001cfc <- 0xb010513 +mem-write: 0x80001d00 <- 0xad12823 +mem-write: 0x80001d04 <- 0xf412683 +mem-write: 0x80001d08 <- 0x5112223 +mem-write: 0x80001d0c <- 0x4f12023 +mem-write: 0x80001d10 <- 0xad12a23 +mem-write: 0x80001d14 <- 0xf812683 +mem-write: 0x80001d18 <- 0xa012023 +mem-write: 0x80001d1c <- 0xa012223 +mem-write: 0x80001d20 <- 0xad12c23 +mem-write: 0x80001d24 <- 0xfc12683 +mem-write: 0x80001d28 <- 0xa012423 +mem-write: 0x80001d2c <- 0xa012623 +mem-write: 0x80001d30 <- 0xad12e23 +mem-write: 0x80001d34 <- 0x39d0f0ef +mem-write: 0x80001d38 <- 0x4012783 +mem-write: 0x80001d3c <- 0x4412883 +mem-write: 0x80001d40 <- 0x4a051863 +mem-write: 0x80001d44 <- 0xe812703 +mem-write: 0x80001d48 <- 0x800156b7 +mem-write: 0x80001d4c <- 0x98068693 +mem-write: 0x80001d50 <- 0xd8a023 +mem-write: 0x80001d54 <- 0x178793 +mem-write: 0x80001d58 <- 0x100693 +mem-write: 0x80001d5c <- 0x170713 +mem-write: 0x80001d60 <- 0xd8a223 +mem-write: 0x80001d64 <- 0xef12623 +mem-write: 0x80001d68 <- 0xee12423 +mem-write: 0x80001d6c <- 0x700693 +mem-write: 0x80001d70 <- 0x888893 +mem-write: 0x80001d74 <- 0x3ae6c6e3 +mem-write: 0x80001d78 <- 0xcc12703 +mem-write: 0x80001d7c <- 0x2012683 +mem-write: 0x80001d80 <- 0x72d75c63 +mem-write: 0x80001d84 <- 0x3012703 +mem-write: 0x80001d88 <- 0x2c12683 +mem-write: 0x80001d8c <- 0x888893 +mem-write: 0x80001d90 <- 0xfee8ac23 +mem-write: 0x80001d94 <- 0xe812703 +mem-write: 0x80001d98 <- 0xd787b3 +mem-write: 0x80001d9c <- 0xfed8ae23 +mem-write: 0x80001da0 <- 0x170713 +mem-write: 0x80001da4 <- 0xef12623 +mem-write: 0x80001da8 <- 0xee12423 +mem-write: 0x80001dac <- 0x700693 +mem-write: 0x80001db0 <- 0xce6c0e3 +mem-write: 0x80001db4 <- 0x2012703 +mem-write: 0x80001db8 <- 0xfff70493 +mem-write: 0x80001dbc <- 0xcc905663 +mem-write: 0x80001dc0 <- 0x1000693 +mem-write: 0x80001dc4 <- 0xe812703 +mem-write: 0x80001dc8 <- 0x3696dce3 +mem-write: 0x80001dcc <- 0x1000913 +mem-write: 0x80001dd0 <- 0x700c93 +mem-write: 0x80001dd4 <- 0xc0006f +mem-write: 0x80001dd8 <- 0xff048493 +mem-write: 0x80001ddc <- 0x369952e3 +mem-write: 0x80001de0 <- 0x812683 +mem-write: 0x80001de4 <- 0x1078793 +mem-write: 0x80001de8 <- 0x170713 +mem-write: 0x80001dec <- 0xd8a023 +mem-write: 0x80001df0 <- 0x128a223 +mem-write: 0x80001df4 <- 0xef12623 +mem-write: 0x80001df8 <- 0xee12423 +mem-write: 0x80001dfc <- 0x888893 +mem-write: 0x80001e00 <- 0xfcecdce3 +mem-write: 0x80001e04 <- 0xe410613 +mem-write: 0x80001e08 <- 0xc0593 +mem-write: 0x80001e0c <- 0xd0513 +mem-write: 0x80001e10 <- 0x3140a0ef +mem-write: 0x80001e14 <- 0x18051e63 +mem-write: 0x80001e18 <- 0xec12783 +mem-write: 0x80001e1c <- 0xe812703 +mem-write: 0x80001e20 <- 0x10c10893 +mem-write: 0x80001e24 <- 0xfb5ff06f +mem-write: 0x80001e28 <- 0x41598933 +mem-write: 0x80001e2c <- 0xc3205263 +mem-write: 0x80001e30 <- 0x1000613 +mem-write: 0x80001e34 <- 0xe812683 +mem-write: 0x80001e38 <- 0x7265463 +mem-write: 0x80001e3c <- 0x1000e13 +mem-write: 0x80001e40 <- 0x700b93 +mem-write: 0x80001e44 <- 0xc0006f +mem-write: 0x80001e48 <- 0xff090913 +mem-write: 0x80001e4c <- 0x52e5a63 +mem-write: 0x80001e50 <- 0x812703 +mem-write: 0x80001e54 <- 0x1078793 +mem-write: 0x80001e58 <- 0x168693 +mem-write: 0x80001e5c <- 0xe8a023 +mem-write: 0x80001e60 <- 0x1c8a223 +mem-write: 0x80001e64 <- 0xef12623 +mem-write: 0x80001e68 <- 0xed12423 +mem-write: 0x80001e6c <- 0x888893 +mem-write: 0x80001e70 <- 0xfcdbdce3 +mem-write: 0x80001e74 <- 0xe410613 +mem-write: 0x80001e78 <- 0xc0593 +mem-write: 0x80001e7c <- 0xd0513 +mem-write: 0x80001e80 <- 0x2a40a0ef +mem-write: 0x80001e84 <- 0x12051663 +mem-write: 0x80001e88 <- 0x1000e13 +mem-write: 0x80001e8c <- 0xff090913 +mem-write: 0x80001e90 <- 0xec12783 +mem-write: 0x80001e94 <- 0xe812683 +mem-write: 0x80001e98 <- 0x10c10893 +mem-write: 0x80001e9c <- 0xfb2e4ae3 +mem-write: 0x80001ea0 <- 0x812703 +mem-write: 0x80001ea4 <- 0x12787b3 +mem-write: 0x80001ea8 <- 0x168693 +mem-write: 0x80001eac <- 0xe8a023 +mem-write: 0x80001eb0 <- 0x128a223 +mem-write: 0x80001eb4 <- 0xef12623 +mem-write: 0x80001eb8 <- 0xed12423 +mem-write: 0x80001ebc <- 0x700613 +mem-write: 0x80001ec0 <- 0x888893 +mem-write: 0x80001ec4 <- 0xb8d65663 +mem-write: 0x80001ec8 <- 0xe410613 +mem-write: 0x80001ecc <- 0xc0593 +mem-write: 0x80001ed0 <- 0xd0513 +mem-write: 0x80001ed4 <- 0x2500a0ef +mem-write: 0x80001ed8 <- 0xc051c63 +mem-write: 0x80001edc <- 0xec12783 +mem-write: 0x80001ee0 <- 0x10c10893 +mem-write: 0x80001ee4 <- 0xb6cff06f +mem-write: 0x80001ee8 <- 0x1000613 +mem-write: 0x80001eec <- 0xe812683 +mem-write: 0x80001ef0 <- 0x7b65263 +mem-write: 0x80001ef4 <- 0x1000b93 +mem-write: 0x80001ef8 <- 0x700913 +mem-write: 0x80001efc <- 0xc0006f +mem-write: 0x80001f00 <- 0xff0d8d93 +mem-write: 0x80001f04 <- 0x5bbd863 +mem-write: 0x80001f08 <- 0x812703 +mem-write: 0x80001f0c <- 0x1078793 +mem-write: 0x80001f10 <- 0x168693 +mem-write: 0x80001f14 <- 0xe8a023 +mem-write: 0x80001f18 <- 0x178a223 +mem-write: 0x80001f1c <- 0xef12623 +mem-write: 0x80001f20 <- 0xed12423 +mem-write: 0x80001f24 <- 0x888893 +mem-write: 0x80001f28 <- 0xfcd95ce3 +mem-write: 0x80001f2c <- 0xe410613 +mem-write: 0x80001f30 <- 0xc0593 +mem-write: 0x80001f34 <- 0xd0513 +mem-write: 0x80001f38 <- 0x1ec0a0ef +mem-write: 0x80001f3c <- 0x6051a63 +mem-write: 0x80001f40 <- 0xff0d8d93 +mem-write: 0x80001f44 <- 0xec12783 +mem-write: 0x80001f48 <- 0xe812683 +mem-write: 0x80001f4c <- 0x10c10893 +mem-write: 0x80001f50 <- 0xfbbbcce3 +mem-write: 0x80001f54 <- 0x812703 +mem-write: 0x80001f58 <- 0x1b787b3 +mem-write: 0x80001f5c <- 0x168693 +mem-write: 0x80001f60 <- 0xe8a023 +mem-write: 0x80001f64 <- 0x1b8a223 +mem-write: 0x80001f68 <- 0xef12623 +mem-write: 0x80001f6c <- 0xed12423 +mem-write: 0x80001f70 <- 0x700613 +mem-write: 0x80001f74 <- 0x888893 +mem-write: 0x80001f78 <- 0xaed65063 +mem-write: 0x80001f7c <- 0xe410613 +mem-write: 0x80001f80 <- 0xc0593 +mem-write: 0x80001f84 <- 0xd0513 +mem-write: 0x80001f88 <- 0x19c0a0ef +mem-write: 0x80001f8c <- 0x2051263 +mem-write: 0x80001f90 <- 0xec12783 +mem-write: 0x80001f94 <- 0x10c10893 +mem-write: 0x80001f98 <- 0xac0ff06f +mem-write: 0x80001f9c <- 0xe410613 +mem-write: 0x80001fa0 <- 0xc0593 +mem-write: 0x80001fa4 <- 0xd0513 +mem-write: 0x80001fa8 <- 0x17c0a0ef +mem-write: 0x80001fac <- 0xb0050263 +mem-write: 0x80001fb0 <- 0x1012b83 +mem-write: 0x80001fb4 <- 0xb20b8863 +mem-write: 0x80001fb8 <- 0xb8593 +mem-write: 0x80001fbc <- 0xd0513 +mem-write: 0x80001fc0 <- 0x5a4020ef +mem-write: 0x80001fc4 <- 0xb20ff06f +mem-write: 0x80001fc8 <- 0xe812683 +mem-write: 0x80001fcc <- 0x178c93 +mem-write: 0x80001fd0 <- 0x2012783 +mem-write: 0x80001fd4 <- 0x100613 +mem-write: 0x80001fd8 <- 0x168a023 +mem-write: 0x80001fdc <- 0x168493 +mem-write: 0x80001fe0 <- 0x888913 +mem-write: 0x80001fe4 <- 0x38f65663 +mem-write: 0x80001fe8 <- 0x100793 +mem-write: 0x80001fec <- 0xf8a223 +mem-write: 0x80001ff0 <- 0xf912623 +mem-write: 0x80001ff4 <- 0xe912423 +mem-write: 0x80001ff8 <- 0x700793 +mem-write: 0x80001ffc <- 0x3a97ce63 +VXDRV: upload 1024 bytes to 0x80001fff +mem-write: 0x80001fff <- 0xc1278374 +mem-write: 0x80002003 <- 0x1270302 +mem-write: 0x80002007 <- 0x14849303 +mem-write: 0x8000200b <- 0xfc8cb300 +mem-write: 0x8000200f <- 0xf9222300 +mem-write: 0x80002013 <- 0xe9202300 +mem-write: 0x80002017 <- 0x91262300 +mem-write: 0x8000201b <- 0x9124230f +mem-write: 0x8000201f <- 0x7007930e +mem-write: 0x80002023 <- 0x89091300 +mem-write: 0x80002027 <- 0x97ca6300 +mem-write: 0x8000202b <- 0x1278374 +mem-write: 0x8000202f <- 0x1486130f +mem-write: 0x80002033 <- 0x1059300 +mem-write: 0x80002037 <- 0xf128230a +mem-write: 0x8000203b <- 0x4127830a +mem-write: 0x8000203f <- 0x105130f +mem-write: 0x80002043 <- 0xc12e230b +mem-write: 0x80002047 <- 0xf12a2300 +mem-write: 0x8000204b <- 0x8127830a +mem-write: 0x8000204f <- 0x120230f +mem-write: 0x80002053 <- 0x122230a +mem-write: 0x80002057 <- 0xf12c230a +mem-write: 0x8000205b <- 0xc127830a +mem-write: 0x8000205f <- 0x124230f +mem-write: 0x80002063 <- 0x126230a +mem-write: 0x80002067 <- 0xf12e230a +mem-write: 0x8000206b <- 0x50f0ef0a +mem-write: 0x8000206f <- 0xc1260306 +mem-write: 0x80002073 <- 0x1278301 +mem-write: 0x80002077 <- 0x89089302 +mem-write: 0x8000207b <- 0x6069300 +mem-write: 0x8000207f <- 0xf78d9300 +mem-write: 0x80002083 <- 0x50a63ff +mem-write: 0x80002087 <- 0x1b071330 +mem-write: 0x8000208b <- 0xbc8cb300 +mem-write: 0x8000208f <- 0xe9202301 +mem-write: 0x80002093 <- 0xb9222300 +mem-write: 0x80002097 <- 0x91262301 +mem-write: 0x8000209b <- 0xc124230f +mem-write: 0x8000209f <- 0x7007930e +mem-write: 0x800020a3 <- 0xc7cc6300 +mem-write: 0x800020a7 <- 0x9079350 +mem-write: 0x800020ab <- 0x24869301 +mem-write: 0x800020af <- 0x8891300 +mem-write: 0x800020b3 <- 0x7889300 +mem-write: 0x800020b7 <- 0x81260300 +mem-write: 0x800020bb <- 0x41071303 +mem-write: 0x800020bf <- 0xe920230d +mem-write: 0x800020c3 <- 0x9607b300 +mem-write: 0x800020c7 <- 0xc9222301 +mem-write: 0x800020cb <- 0xf1262300 +mem-write: 0x800020cf <- 0xd124230e +mem-write: 0x800020d3 <- 0x7007130e +mem-write: 0x800020d7 <- 0xd7586300 +mem-write: 0x800020db <- 0x4ff06f9a +mem-write: 0x800020df <- 0x15737ef +mem-write: 0x800020e3 <- 0x61380 +mem-write: 0x800020e7 <- 0x81268301 +mem-write: 0x800020eb <- 0x870e930e +mem-write: 0x800020ef <- 0x65c63bd +mem-write: 0x800020f3 <- 0x81202309 +mem-write: 0x800020f7 <- 0x91222304 +mem-write: 0x800020fb <- 0xd041304 +mem-write: 0x800020ff <- 0xc049300 +mem-write: 0x80002103 <- 0xe1300 +mem-write: 0x80002107 <- 0x70029301 +mem-write: 0x8000210b <- 0x80c1300 +mem-write: 0x8000210f <- 0xe8d1300 +mem-write: 0x80002113 <- 0xc0006f00 +mem-write: 0x80002117 <- 0xc0c1300 +mem-write: 0x8000211b <- 0x8e5a63ff +mem-write: 0x8000211f <- 0x7879305 +mem-write: 0x80002123 <- 0x16869301 +mem-write: 0x80002127 <- 0xa8a02300 +mem-write: 0x8000212b <- 0xc8a22301 +mem-write: 0x8000212f <- 0xf1262301 +mem-write: 0x80002133 <- 0xd124230e +mem-write: 0x80002137 <- 0x8888930e +mem-write: 0x8000213b <- 0xd2dee300 +mem-write: 0x8000213f <- 0x410613fc +mem-write: 0x80002143 <- 0x485930e +mem-write: 0x80002147 <- 0x4051300 +mem-write: 0x8000214b <- 0x9090ef00 +mem-write: 0x8000214f <- 0x512637d +mem-write: 0x80002153 <- 0xe137a +mem-write: 0x80002157 <- 0xc0c1301 +mem-write: 0x8000215b <- 0xc12783ff +mem-write: 0x8000215f <- 0x8126830e +mem-write: 0x80002163 <- 0xc108930e +mem-write: 0x80002167 <- 0x70029310 +mem-write: 0x8000216b <- 0x8e4ae300 +mem-write: 0x8000216f <- 0xc0813fb +mem-write: 0x80002173 <- 0xd0e9300 +mem-write: 0x80002177 <- 0x48c1300 +mem-write: 0x8000217b <- 0x40d1300 +mem-write: 0x8000217f <- 0x41248300 +mem-write: 0x80002183 <- 0x1240304 +mem-write: 0x80002187 <- 0x787b304 +mem-write: 0x8000218b <- 0x16869301 +mem-write: 0x8000218f <- 0xd8a02300 +mem-write: 0x80002193 <- 0x8a22301 +mem-write: 0x80002197 <- 0xf1262301 +mem-write: 0x8000219b <- 0xd124230e +mem-write: 0x8000219f <- 0x7006130e +mem-write: 0x800021a3 <- 0x88889300 +mem-write: 0x800021a7 <- 0xd6446300 +mem-write: 0x800021ab <- 0xcff06f00 +mem-write: 0x800021af <- 0x41061382 +mem-write: 0x800021b3 <- 0xc05930e +mem-write: 0x800021b7 <- 0xd051300 +mem-write: 0x800021bb <- 0x9090ef00 +mem-write: 0x800021bf <- 0x518e376 +mem-write: 0x800021c3 <- 0xc12783de +mem-write: 0x800021c7 <- 0xc108930e +mem-write: 0x800021cb <- 0xcff06f10 +mem-write: 0x800021cf <- 0x41061380 +mem-write: 0x800021d3 <- 0xc05930e +mem-write: 0x800021d7 <- 0xd051300 +mem-write: 0x800021db <- 0x9090ef00 +mem-write: 0x800021df <- 0x518e374 +mem-write: 0x800021e3 <- 0xc12783dc +mem-write: 0x800021e7 <- 0xc108930e +mem-write: 0x800021eb <- 0xcff06f10 +mem-write: 0x800021ef <- 0xc1258385 +mem-write: 0x800021f3 <- 0xb05c630c +mem-write: 0x800021f7 <- 0xc1270366 +mem-write: 0x800021fb <- 0x1268301 +mem-write: 0x800021ff <- 0x7049302 +mem-write: 0x80002203 <- 0xe6c26300 +mem-write: 0x80002207 <- 0x90566338 +mem-write: 0x8000220b <- 0x81268302 +mem-write: 0x8000220f <- 0x9787b30e +mem-write: 0x80002213 <- 0x68a02300 +mem-write: 0x80002217 <- 0x16869301 +mem-write: 0x8000221b <- 0x98a22300 +mem-write: 0x8000221f <- 0xf1262300 +mem-write: 0x80002223 <- 0xd124230e +mem-write: 0x80002227 <- 0x7006130e +mem-write: 0x8000222b <- 0x88889300 +mem-write: 0x8000222f <- 0xd642e300 +mem-write: 0x80002233 <- 0xf4c69332 +mem-write: 0x80002237 <- 0xc12703ff +mem-write: 0x8000223b <- 0xf6d69301 +mem-write: 0x8000223f <- 0xd4f4b341 +mem-write: 0x80002243 <- 0x9704b300 +mem-write: 0x80002247 <- 0x90446340 +mem-write: 0x8000224b <- 0xc1270348 +mem-write: 0x8000224f <- 0xa769301 +mem-write: 0x80002253 <- 0xeb0db340 +mem-write: 0x80002257 <- 0x698e300 +mem-write: 0x8000225b <- 0xc124830c +mem-write: 0x8000225f <- 0x127030c +mem-write: 0x80002263 <- 0xe4c66302 +mem-write: 0x80002267 <- 0x1a769300 +mem-write: 0x8000226b <- 0x688e300 +mem-write: 0x8000226f <- 0x1268330 +mem-write: 0x80002273 <- 0xc1270303 +mem-write: 0x80002277 <- 0x70061302 +mem-write: 0x8000227b <- 0xd8a02300 +mem-write: 0x8000227f <- 0x81268300 +mem-write: 0x80002283 <- 0xe787b30e +mem-write: 0x80002287 <- 0xe8a22300 +mem-write: 0x8000228b <- 0x16869300 +mem-write: 0x8000228f <- 0xf1262300 +mem-write: 0x80002293 <- 0xd124230e +mem-write: 0x80002297 <- 0x8888930e +mem-write: 0x8000229b <- 0xd6546300 +mem-write: 0x8000229f <- 0x80106f00 +mem-write: 0x800022a3 <- 0x1268317 +mem-write: 0x800022a7 <- 0xdb073302 +mem-write: 0x800022ab <- 0x9684b300 +mem-write: 0x800022af <- 0xb7073340 +mem-write: 0x800022b3 <- 0x4891341 +mem-write: 0x800022b7 <- 0x97546300 +mem-write: 0x800022bb <- 0x7091300 +mem-write: 0x800022bf <- 0x20586300 +mem-write: 0x800022c3 <- 0x81270303 +mem-write: 0x800022c7 <- 0x2787b30e +mem-write: 0x800022cb <- 0xb8a02301 +mem-write: 0x800022cf <- 0x17071301 +mem-write: 0x800022d3 <- 0x28a22300 +mem-write: 0x800022d7 <- 0xf1262301 +mem-write: 0x800022db <- 0xe124230e +mem-write: 0x800022df <- 0x7006930e +mem-write: 0x800022e3 <- 0x88889300 +mem-write: 0x800022e7 <- 0xe6d46300 +mem-write: 0x800022eb <- 0x80106f00 +mem-write: 0x800022ef <- 0xf947131c +mem-write: 0x800022f3 <- 0xf75713ff +mem-write: 0x800022f7 <- 0xe9773341 +mem-write: 0x800022fb <- 0xe484b300 +mem-write: 0x800022ff <- 0x90446340 +mem-write: 0x80002303 <- 0x5fe06f00 +mem-write: 0x80002307 <- 0x693f8 +mem-write: 0x8000230b <- 0x81270301 +mem-write: 0x8000230f <- 0x96d8630e +mem-write: 0x80002313 <- 0x91362 +mem-write: 0x80002317 <- 0x700c9301 +mem-write: 0x8000231b <- 0xc0006f00 +mem-write: 0x8000231f <- 0x4849300 +mem-write: 0x80002323 <- 0x995e63ff +mem-write: 0x80002327 <- 0x81268360 +mem-write: 0x8000232b <- 0x7879300 +mem-write: 0x8000232f <- 0x17071301 +mem-write: 0x80002333 <- 0xd8a02300 +mem-write: 0x80002337 <- 0x28a22300 +mem-write: 0x8000233b <- 0xf1262301 +mem-write: 0x8000233f <- 0xe124230e +mem-write: 0x80002343 <- 0x8888930e +mem-write: 0x80002347 <- 0xecdce300 +mem-write: 0x8000234b <- 0x410613fc +mem-write: 0x8000234f <- 0xc05930e +mem-write: 0x80002353 <- 0xd051300 +mem-write: 0x80002357 <- 0xd090ef00 +mem-write: 0x8000235b <- 0x51ae35c +mem-write: 0x8000235f <- 0xc12783c4 +mem-write: 0x80002363 <- 0x8127030e +mem-write: 0x80002367 <- 0xc108930e +mem-write: 0x8000236b <- 0x5ff06f10 +mem-write: 0x8000236f <- 0x1a7793fb +mem-write: 0x80002373 <- 0x79ae300 +mem-write: 0x80002377 <- 0xc8a223c6 +mem-write: 0x8000237b <- 0x91262300 +mem-write: 0x8000237f <- 0x9124230f +mem-write: 0x80002383 <- 0x7007930e +mem-write: 0x80002387 <- 0x97ca6300 +mem-write: 0x8000238b <- 0x26869322 +mem-write: 0x8000238f <- 0x8889300 +mem-write: 0x80002393 <- 0x5ff06f01 +mem-write: 0x80002397 <- 0xb050e3d2 +mem-write: 0x8000239b <- 0x713d3 +mem-write: 0x8000239f <- 0xb7446301 +mem-write: 0x800023a3 <- 0x80106f01 +mem-write: 0x800023a7 <- 0x700b1361 +mem-write: 0x800023ab <- 0x6049300 +mem-write: 0x800023af <- 0x6f00 +mem-write: 0x800023b3 <- 0xd8d9301 +mem-write: 0x800023b7 <- 0xb75e63ff +mem-write: 0x800023bb <- 0x1484931d +mem-write: 0x800023bf <- 0x81278300 +mem-write: 0x800023c3 <- 0xc8c9300 +mem-write: 0x800023c7 <- 0xe9222301 +mem-write: 0x800023cb <- 0xf9202300 +mem-write: 0x800023cf <- 0x91262300 +mem-write: 0x800023d3 <- 0x9124230f +mem-write: 0x800023d7 <- 0x8909130e +mem-write: 0x800023db <- 0x9b5ce300 +mem-write: 0x800023df <- 0x410613fc +mem-write: 0x800023e3 <- 0xc05930e +mem-write: 0x800023e7 <- 0xd051300 +mem-write: 0x800023eb <- 0x9090ef00 +mem-write: 0x800023ef <- 0x510e353 +mem-write: 0x800023f3 <- 0xc12c83bc +mem-write: 0x800023f7 <- 0x8124830e +mem-write: 0x800023fb <- 0xc109130e +VXDRV: upload 1024 bytes to 0x800023ff +mem-write: 0x800023ff <- 0x71310 +mem-write: 0x80002403 <- 0x1ff06f01 +mem-write: 0x80002407 <- 0x412683fb +mem-write: 0x8000240b <- 0xa779301 +mem-write: 0x8000240f <- 0x46871301 +mem-write: 0x80002413 <- 0x7926300 +mem-write: 0x80002417 <- 0xa779316 +mem-write: 0x8000241b <- 0x7846304 +mem-write: 0x8000241f <- 0x41278368 +mem-write: 0x80002423 <- 0xc9301 +mem-write: 0x80002427 <- 0xe12a2300 +mem-write: 0x8000242b <- 0x7d90300 +mem-write: 0x8000242f <- 0xcff06f00 +mem-write: 0x80002433 <- 0x412683f1 +mem-write: 0x80002437 <- 0xbf79301 +mem-write: 0x8000243b <- 0x46871301 +mem-write: 0x8000243f <- 0x79e6300 +mem-write: 0x80002443 <- 0xbf7930c +mem-write: 0x80002447 <- 0x78e6304 +mem-write: 0x8000244b <- 0x41278360 +mem-write: 0x8000244f <- 0xc9301 +mem-write: 0x80002453 <- 0xe12a2300 +mem-write: 0x80002457 <- 0x7d90300 +mem-write: 0x8000245b <- 0x10079300 +mem-write: 0x8000245f <- 0xff06f00 +mem-write: 0x80002463 <- 0x412683db +mem-write: 0x80002467 <- 0xa779301 +mem-write: 0x8000246b <- 0x46871301 +mem-write: 0x8000246f <- 0x79a6300 +mem-write: 0x80002473 <- 0xa77930e +mem-write: 0x80002477 <- 0x7866304 +mem-write: 0x8000247b <- 0x41278360 +mem-write: 0x8000247f <- 0xe12a2301 +mem-write: 0x80002483 <- 0x7990300 +mem-write: 0x80002487 <- 0xf95c9300 +mem-write: 0x8000248b <- 0xc879341 +mem-write: 0x8000248f <- 0x7de6300 +mem-write: 0x80002493 <- 0x2037b3f2 +mem-write: 0x80002497 <- 0x900cb301 +mem-write: 0x8000249b <- 0xfc8cb341 +mem-write: 0x8000249f <- 0xd0079340 +mem-write: 0x800024a3 <- 0xf103a302 +mem-write: 0x800024a7 <- 0x2009330c +mem-write: 0x800024ab <- 0xa0b9341 +mem-write: 0x800024af <- 0x10079300 +mem-write: 0x800024b3 <- 0xff06f00 +mem-write: 0x800024b7 <- 0x1a7713d6 +mem-write: 0x800024bb <- 0x7146300 +mem-write: 0x800024bf <- 0x9fe06f00 +mem-write: 0x800024c3 <- 0x1ff06fdc +mem-write: 0x800024c7 <- 0xc88938c +mem-write: 0x800024cb <- 0x4ff06f00 +mem-write: 0x800024cf <- 0x793ed +mem-write: 0x800024d3 <- 0xf107a303 +mem-write: 0x800024d7 <- 0xf10b131a +mem-write: 0x800024db <- 0xff06f1a +mem-write: 0x800024df <- 0xc12783d6 +mem-write: 0x800024e3 <- 0x4448303 +mem-write: 0x800024e7 <- 0x7946300 +mem-write: 0x800024eb <- 0xdfe06f00 +mem-write: 0x800024ef <- 0x7c783c4 +mem-write: 0x800024f3 <- 0x7946300 +mem-write: 0x800024f7 <- 0x1fe06f00 +mem-write: 0x800024fb <- 0xa6a13c4 +mem-write: 0x800024ff <- 0x9fe06f40 +mem-write: 0x80002503 <- 0xc12683c3 +mem-write: 0x80002507 <- 0x40b1300 +mem-write: 0x8000250b <- 0xf6d79300 +mem-write: 0x8000250f <- 0xd7202341 +mem-write: 0x80002513 <- 0xf7222300 +mem-write: 0x80002517 <- 0x9fe06f00 +mem-write: 0x8000251b <- 0x6a903db +mem-write: 0x8000251f <- 0xc9300 +mem-write: 0x80002523 <- 0xe12a2300 +mem-write: 0x80002527 <- 0x10079300 +mem-write: 0x8000252b <- 0x4ff06f00 +mem-write: 0x8000252f <- 0x412703ce +mem-write: 0x80002533 <- 0x7278301 +mem-write: 0x80002537 <- 0x47071300 +mem-write: 0x8000253b <- 0xe12a2300 +mem-write: 0x8000253f <- 0x7a58300 +mem-write: 0x80002543 <- 0x47a60300 +mem-write: 0x80002547 <- 0x87a68300 +mem-write: 0x8000254b <- 0xc7a78300 +mem-write: 0x8000254f <- 0xb1282300 +mem-write: 0x80002553 <- 0xc12a230e +mem-write: 0x80002557 <- 0xd12c230e +mem-write: 0x8000255b <- 0xf12e230e +mem-write: 0x8000255f <- 0x5fe06f0e +mem-write: 0x80002563 <- 0x6a903ef +mem-write: 0x80002567 <- 0xe12a2300 +mem-write: 0x8000256b <- 0xf95c9300 +mem-write: 0x8000256f <- 0xc879341 +mem-write: 0x80002573 <- 0x4ff06f00 +mem-write: 0x80002577 <- 0x6a903e5 +mem-write: 0x8000257b <- 0xc9300 +mem-write: 0x8000257f <- 0xe12a2300 +mem-write: 0x80002583 <- 0x8ff06f00 +mem-write: 0x80002587 <- 0x68493dc +mem-write: 0x8000258b <- 0x9040e300 +mem-write: 0x8000258f <- 0x5ff06fc8 +mem-write: 0x80002593 <- 0x148693ca +mem-write: 0x80002597 <- 0x89071300 +mem-write: 0x8000259b <- 0x81278300 +mem-write: 0x8000259f <- 0xbc8cb300 +mem-write: 0x800025a3 <- 0xb9222301 +mem-write: 0x800025a7 <- 0xf9202301 +mem-write: 0x800025ab <- 0x91262300 +mem-write: 0x800025af <- 0xd124230f +mem-write: 0x800025b3 <- 0x7007930e +mem-write: 0x800025b7 <- 0xd7de6300 +mem-write: 0x800025bb <- 0x41061374 +mem-write: 0x800025bf <- 0xc05930e +mem-write: 0x800025c3 <- 0xd051300 +mem-write: 0x800025c7 <- 0xd090ef00 +mem-write: 0x800025cb <- 0x512e335 +mem-write: 0x800025cf <- 0x8126839e +mem-write: 0x800025d3 <- 0xc12c830e +mem-write: 0x800025d7 <- 0x4108930e +mem-write: 0x800025db <- 0x16869311 +mem-write: 0x800025df <- 0xc1091300 +mem-write: 0x800025e3 <- 0x5ff06f10 +mem-write: 0x800025e7 <- 0xc8893ad +mem-write: 0x800025eb <- 0xa0b9300 +mem-write: 0x800025ef <- 0xff06f00 +mem-write: 0x800025f3 <- 0x157b7d7 +mem-write: 0x800025f7 <- 0xc7879380 +mem-write: 0x800025fb <- 0xc8893a3 +mem-write: 0x800025ff <- 0xf12a2300 +mem-write: 0x80002603 <- 0xa779302 +mem-write: 0x80002607 <- 0x7886302 +mem-write: 0x8000260b <- 0x41278312 +mem-write: 0x8000260f <- 0x778b1301 +mem-write: 0x80002613 <- 0x8b7b1300 +mem-write: 0x80002617 <- 0xb2903ff +mem-write: 0x8000261b <- 0x4b2c8300 +mem-write: 0x8000261f <- 0x8b079300 +mem-write: 0x80002623 <- 0xf12a2300 +mem-write: 0x80002627 <- 0x1a779300 +mem-write: 0x8000262b <- 0x78e6300 +mem-write: 0x8000262f <- 0x9967b300 +mem-write: 0x80002633 <- 0x78a6301 +mem-write: 0x80002637 <- 0x79300 +mem-write: 0x8000263b <- 0xf1042303 +mem-write: 0x8000263f <- 0x9104a30c +mem-write: 0x80002643 <- 0x2a6a130c +mem-write: 0x80002647 <- 0xfa7b9300 +mem-write: 0x8000264b <- 0x200793bf +mem-write: 0x8000264f <- 0xff06f00 +mem-write: 0x80002653 <- 0x157b7bc +mem-write: 0x80002657 <- 0x7879380 +mem-write: 0x8000265b <- 0xc8893a5 +mem-write: 0x8000265f <- 0xf12a2300 +mem-write: 0x80002663 <- 0x1ff06f02 +mem-write: 0x80002667 <- 0xc8893fa +mem-write: 0x8000266b <- 0xcff06f00 +mem-write: 0x8000266f <- 0x410613cb +mem-write: 0x80002673 <- 0xc05930e +mem-write: 0x80002677 <- 0xd051300 +mem-write: 0x8000267b <- 0x9090ef00 +mem-write: 0x8000267f <- 0x518e32a +mem-write: 0x80002683 <- 0xc1278392 +mem-write: 0x80002687 <- 0xc108930e +mem-write: 0x8000268b <- 0x8ff06f10 +mem-write: 0x8000268f <- 0x144483f2 +mem-write: 0x80002693 <- 0xa6a1300 +mem-write: 0x80002697 <- 0x14041302 +mem-write: 0x8000269b <- 0xdfe06f00 +mem-write: 0x8000269f <- 0x144483a9 +mem-write: 0x800026a3 <- 0xa6a1300 +mem-write: 0x800026a7 <- 0x14041320 +mem-write: 0x800026ab <- 0xdfe06f00 +mem-write: 0x800026af <- 0x600793a8 +mem-write: 0x800026b3 <- 0xd8c9300 +mem-write: 0x800026b7 <- 0xb7ee6300 +mem-write: 0x800026bb <- 0x157376b +mem-write: 0x800026bf <- 0xc8a9380 +mem-write: 0x800026c3 <- 0x212a2300 +mem-write: 0x800026c7 <- 0x470b1301 +mem-write: 0x800026cb <- 0x9fe06fa6 +mem-write: 0x800026cf <- 0x613ad +mem-write: 0x800026d3 <- 0x81268301 +mem-write: 0x800026d7 <- 0x9654630e +mem-write: 0x800026db <- 0xc9340 +mem-write: 0x800026df <- 0x700d9301 +mem-write: 0x800026e3 <- 0xc0006f00 +mem-write: 0x800026e7 <- 0x4849300 +mem-write: 0x800026eb <- 0x9cda63ff +mem-write: 0x800026ef <- 0x8127033e +mem-write: 0x800026f3 <- 0x7879300 +mem-write: 0x800026f7 <- 0x16869301 +mem-write: 0x800026fb <- 0xe8a02300 +mem-write: 0x800026ff <- 0x98a22300 +mem-write: 0x80002703 <- 0xf1262301 +mem-write: 0x80002707 <- 0xd124230e +mem-write: 0x8000270b <- 0x8888930e +mem-write: 0x8000270f <- 0xdddce300 +mem-write: 0x80002713 <- 0x410613fc +mem-write: 0x80002717 <- 0xc05930e +mem-write: 0x8000271b <- 0xd051300 +mem-write: 0x8000271f <- 0x5090ef00 +mem-write: 0x80002723 <- 0x516e320 +mem-write: 0x80002727 <- 0xc1278388 +mem-write: 0x8000272b <- 0x8126830e +mem-write: 0x8000272f <- 0xc108930e +mem-write: 0x80002733 <- 0x5ff06f10 +mem-write: 0x80002737 <- 0x412683fb +mem-write: 0x8000273b <- 0xa779301 +mem-write: 0x8000273f <- 0x46871301 +mem-write: 0x80002743 <- 0x7806300 +mem-write: 0x80002747 <- 0x6a9031c +mem-write: 0x8000274b <- 0xc9300 +mem-write: 0x8000274f <- 0xe12a2300 +mem-write: 0x80002753 <- 0x5ff06f00 +mem-write: 0x80002757 <- 0x410613ed +mem-write: 0x8000275b <- 0xc05930e +mem-write: 0x8000275f <- 0xd051300 +mem-write: 0x80002763 <- 0x1090ef00 +mem-write: 0x80002767 <- 0x514e31c +mem-write: 0x8000276b <- 0xc12c8384 +mem-write: 0x8000276f <- 0x8124830e +mem-write: 0x80002773 <- 0xc109130e +mem-write: 0x80002777 <- 0x9ff06f10 +mem-write: 0x8000277b <- 0x41061388 +mem-write: 0x8000277f <- 0xc05930e +mem-write: 0x80002783 <- 0xd051300 +mem-write: 0x80002787 <- 0xd090ef00 +mem-write: 0x8000278b <- 0x512e319 +mem-write: 0x8000278f <- 0xc12c8382 +mem-write: 0x80002793 <- 0x8124830e +mem-write: 0x80002797 <- 0xc109130e +mem-write: 0x8000279b <- 0x1ff06f10 +mem-write: 0x8000279f <- 0x10b1389 +mem-write: 0x800027a3 <- 0x7931b +mem-write: 0x800027a7 <- 0x81282300 +mem-write: 0x800027ab <- 0x912e2300 +mem-write: 0x800027af <- 0xb041300 +mem-write: 0x800027b3 <- 0x31222300 +mem-write: 0x800027b7 <- 0xc0b1303 +mem-write: 0x800027bb <- 0x9049300 +mem-write: 0x800027bf <- 0xc899300 +mem-write: 0x800027c3 <- 0xbfa1300 +mem-write: 0x800027c7 <- 0xc12c8340 +mem-write: 0x800027cb <- 0xf00a9303 +mem-write: 0x800027cf <- 0x88c130f +mem-write: 0x800027d3 <- 0x7891300 +mem-write: 0x800027d7 <- 0x40006f00 +mem-write: 0x800027db <- 0xa0061302 +mem-write: 0x800027df <- 0x69300 +mem-write: 0x800027e3 <- 0x4851300 +mem-write: 0x800027e7 <- 0x9859300 +mem-write: 0x800027eb <- 0xd0d0ef00 +mem-write: 0x800027ef <- 0x98ae33f +mem-write: 0x800027f3 <- 0x504932a +mem-write: 0x800027f7 <- 0x5899300 +mem-write: 0x800027fb <- 0xa0061300 +VXDRV: upload 1024 bytes to 0x800027ff +mem-write: 0x800027ff <- 0x69300 +mem-write: 0x80002803 <- 0x4851300 +mem-write: 0x80002807 <- 0x9859300 +mem-write: 0x8000280b <- 0xe0ef00 +mem-write: 0x8000280f <- 0x5051301 +mem-write: 0x80002813 <- 0xa40fa303 +mem-write: 0x80002817 <- 0x190913fe +mem-write: 0x8000281b <- 0xf4041300 +mem-write: 0x8000281f <- 0xa0ee3ff +mem-write: 0x80002823 <- 0xcc683fa +mem-write: 0x80002827 <- 0xd91ae300 +mem-write: 0x8000282b <- 0x5908e3fa +mem-write: 0x8000282f <- 0x99263fb +mem-write: 0x80002833 <- 0x9007934a +mem-write: 0x80002837 <- 0x97ee6300 +mem-write: 0x8000283b <- 0xc089348 +mem-write: 0x8000283f <- 0x1079300 +mem-write: 0x80002843 <- 0xb0c131b +mem-write: 0x80002847 <- 0x40b1300 +mem-write: 0x8000284b <- 0x912e2300 +mem-write: 0x8000284f <- 0xc1248303 +mem-write: 0x80002853 <- 0x41298301 +mem-write: 0x80002857 <- 0x1240302 +mem-write: 0x8000285b <- 0x21202301 +mem-write: 0x8000285f <- 0x678cb303 +mem-write: 0x80002863 <- 0xb8a1341 +mem-write: 0x80002867 <- 0x4ff06f00 +mem-write: 0x8000286b <- 0x8126839d +mem-write: 0x8000286f <- 0x156370e +mem-write: 0x80002873 <- 0x6061380 +mem-write: 0x80002877 <- 0xc8a02398 +mem-write: 0x8000287b <- 0x17879300 +mem-write: 0x8000287f <- 0x10061300 +mem-write: 0x80002883 <- 0x16869300 +mem-write: 0x80002887 <- 0xc8a22300 +mem-write: 0x8000288b <- 0xf1262300 +mem-write: 0x8000288f <- 0xd124230e +mem-write: 0x80002893 <- 0x7006130e +mem-write: 0x80002897 <- 0x88889300 +mem-write: 0x8000289b <- 0xd6446300 +mem-write: 0x8000289f <- 0x5846348 +mem-write: 0x800028a3 <- 0xdfe06f00 +mem-write: 0x800028a7 <- 0x12703ea +mem-write: 0x800028ab <- 0x1a769302 +mem-write: 0x800028af <- 0xe6e6b300 +mem-write: 0x800028b3 <- 0x6946300 +mem-write: 0x800028b7 <- 0x1fe06f00 +mem-write: 0x800028bb <- 0x126839d +mem-write: 0x800028bf <- 0xc1270303 +mem-write: 0x800028c3 <- 0x70061302 +mem-write: 0x800028c7 <- 0xd8a02300 +mem-write: 0x800028cb <- 0x81268300 +mem-write: 0x800028cf <- 0xf707b30e +mem-write: 0x800028d3 <- 0xe8a22300 +mem-write: 0x800028d7 <- 0x16869300 +mem-write: 0x800028db <- 0xf1262300 +mem-write: 0x800028df <- 0xd124230e +mem-write: 0x800028e3 <- 0xd654630e +mem-write: 0x800028e7 <- 0x9fe06f00 +mem-write: 0x800028eb <- 0x888893e9 +mem-write: 0x800028ef <- 0xdfe06f00 +mem-write: 0x800028f3 <- 0x12b83eb +mem-write: 0x800028f7 <- 0x40d1301 +mem-write: 0x800028fb <- 0x48c1300 +mem-write: 0x800028ff <- 0x4ff06f00 +mem-write: 0x80002903 <- 0xa7793eb +mem-write: 0x80002907 <- 0x7806304 +mem-write: 0x8000290b <- 0x41278314 +mem-write: 0x8000290f <- 0xc9301 +mem-write: 0x80002913 <- 0xe12a2300 +mem-write: 0x80002917 <- 0x7d90300 +mem-write: 0x8000291b <- 0xdff06f00 +mem-write: 0x8000291f <- 0x410613d0 +mem-write: 0x80002923 <- 0xc05930e +mem-write: 0x80002927 <- 0xd051300 +mem-write: 0x8000292b <- 0x8090ef00 +mem-write: 0x8000292f <- 0x510637f +mem-write: 0x80002933 <- 0xc12783e8 +mem-write: 0x80002937 <- 0xc108930e +mem-write: 0x8000293b <- 0xcff06f10 +mem-write: 0x8000293f <- 0x812683c3 +mem-write: 0x80002943 <- 0x9787b300 +mem-write: 0x80002947 <- 0x98a22300 +mem-write: 0x8000294b <- 0xd8a02300 +mem-write: 0x8000294f <- 0x17071300 +mem-write: 0x80002953 <- 0xf1262300 +mem-write: 0x80002957 <- 0xe124230e +mem-write: 0x8000295b <- 0x7006930e +mem-write: 0x8000295f <- 0xe6c46300 +mem-write: 0x80002963 <- 0x1fe06f00 +mem-write: 0x80002967 <- 0x9fe06f92 +mem-write: 0x8000296b <- 0x12783e6 +mem-write: 0x8000296f <- 0x105930f +mem-write: 0x80002973 <- 0x105130a +mem-write: 0x80002977 <- 0xf128230b +mem-write: 0x8000297b <- 0x4127830a +mem-write: 0x8000297f <- 0x120230f +mem-write: 0x80002983 <- 0x122230a +mem-write: 0x80002987 <- 0xf12a230a +mem-write: 0x8000298b <- 0x8127830a +mem-write: 0x8000298f <- 0x124230f +mem-write: 0x80002993 <- 0x126230a +mem-write: 0x80002997 <- 0xf12c230a +mem-write: 0x8000299b <- 0xc127830a +mem-write: 0x8000299f <- 0xf12e230f +mem-write: 0x800029a3 <- 0xc0f0ef0a +mem-write: 0x800029a7 <- 0x1288313 +mem-write: 0x800029ab <- 0x540e301 +mem-write: 0x800029af <- 0x71478326 +mem-write: 0x800029b3 <- 0x7007130c +mem-write: 0x800029b7 <- 0x97586304 +mem-write: 0x800029bb <- 0x1573738 +mem-write: 0x800029bf <- 0x70b1380 +mem-write: 0x800029c3 <- 0x12823a3 +mem-write: 0x800029c7 <- 0x1242300 +mem-write: 0x800029cb <- 0x1222302 +mem-write: 0x800029cf <- 0x12e2302 +mem-write: 0x800029d3 <- 0xfa7a1300 +mem-write: 0x800029d7 <- 0x300a93f7 +mem-write: 0x800029db <- 0x300c9300 +mem-write: 0x800029df <- 0xd9300 +mem-write: 0x800029e3 <- 0x7846300 +mem-write: 0x800029e7 <- 0x5fe06f00 +mem-write: 0x800029eb <- 0xcfe06ff7 +mem-write: 0x800029ef <- 0xc12783fc +mem-write: 0x800029f3 <- 0x40b1300 +mem-write: 0x800029f7 <- 0xf7202300 +mem-write: 0x800029fb <- 0x5fe06f00 +mem-write: 0x800029ff <- 0xb05138d +mem-write: 0x80002a03 <- 0x91202300 +mem-write: 0x80002a07 <- 0x5060ef05 +mem-write: 0x80002a0b <- 0x7147832e +mem-write: 0x80002a0f <- 0xf54a930c +mem-write: 0x80002a13 <- 0xfada93ff +mem-write: 0x80002a17 <- 0x212a2341 +mem-write: 0x80002a1b <- 0x1282301 +mem-write: 0x80002a1f <- 0x1242300 +mem-write: 0x80002a23 <- 0x1222302 +mem-write: 0x80002a27 <- 0x12e2302 +mem-write: 0x80002a2b <- 0x1288300 +mem-write: 0x80002a2f <- 0x50c9304 +mem-write: 0x80002a33 <- 0x557ab300 +mem-write: 0x80002a37 <- 0xd9301 +mem-write: 0x80002a3b <- 0x7846300 +mem-write: 0x80002a3f <- 0xdfe06f00 +mem-write: 0x80002a43 <- 0x4fe06ff1 +mem-write: 0x80002a47 <- 0xa7793f7 +mem-write: 0x80002a4b <- 0x7826320 +mem-write: 0x80002a4f <- 0x4127833a +mem-write: 0x80002a53 <- 0xc9301 +mem-write: 0x80002a57 <- 0xe12a2300 +mem-write: 0x80002a5b <- 0x7c90300 +mem-write: 0x80002a5f <- 0x9ff06f00 +mem-write: 0x80002a63 <- 0xbf793bc +mem-write: 0x80002a67 <- 0x7886320 +mem-write: 0x80002a6b <- 0x41278336 +mem-write: 0x80002a6f <- 0xc9301 +mem-write: 0x80002a73 <- 0xe12a2300 +mem-write: 0x80002a77 <- 0x7c90300 +mem-write: 0x80002a7b <- 0x10079300 +mem-write: 0x80002a7f <- 0x1fe06f00 +mem-write: 0x80002a83 <- 0xa7793f9 +mem-write: 0x80002a87 <- 0x78c6320 +mem-write: 0x80002a8b <- 0x41278332 +mem-write: 0x80002a8f <- 0xe12a2301 +mem-write: 0x80002a93 <- 0x7890300 +mem-write: 0x80002a97 <- 0xf95c9300 +mem-write: 0x80002a9b <- 0xc879341 +mem-write: 0x80002a9f <- 0x8ff06f00 +mem-write: 0x80002aa3 <- 0xa779392 +mem-write: 0x80002aa7 <- 0x7826320 +mem-write: 0x80002aab <- 0x41278330 +mem-write: 0x80002aaf <- 0xc9301 +mem-write: 0x80002ab3 <- 0xe12a2300 +mem-write: 0x80002ab7 <- 0x7c90300 +mem-write: 0x80002abb <- 0xff06f00 +mem-write: 0x80002abf <- 0xc1278389 +mem-write: 0x80002ac3 <- 0x7ca630f +mem-write: 0x80002ac7 <- 0x71478334 +mem-write: 0x80002acb <- 0x7007130c +mem-write: 0x80002acf <- 0x975ce304 +mem-write: 0x80002ad3 <- 0x157371c +mem-write: 0x80002ad7 <- 0x870b1380 +mem-write: 0x80002adb <- 0x9ff06fa3 +mem-write: 0x80002adf <- 0x812703ee +mem-write: 0x80002ae3 <- 0x9787b300 +mem-write: 0x80002ae7 <- 0x16869300 +mem-write: 0x80002aeb <- 0xe8a02300 +mem-write: 0x80002aef <- 0x98a22300 +mem-write: 0x80002af3 <- 0xf1262300 +mem-write: 0x80002af7 <- 0xd124230e +mem-write: 0x80002afb <- 0x7006130e +mem-write: 0x80002aff <- 0x88889300 +mem-write: 0x80002b03 <- 0xd6546300 +mem-write: 0x80002b07 <- 0x410613f4 +mem-write: 0x80002b0b <- 0xc05930e +mem-write: 0x80002b0f <- 0xd051300 +mem-write: 0x80002b13 <- 0x90ef00 +mem-write: 0x80002b17 <- 0x51c6361 +mem-write: 0x80002b1b <- 0xc12783c8 +mem-write: 0x80002b1f <- 0xc108930e +mem-write: 0x80002b23 <- 0x8ff06f10 +mem-write: 0x80002b27 <- 0x12703f2 +mem-write: 0x80002b2b <- 0x412c8302 +mem-write: 0x80002b2f <- 0x412e2302 +mem-write: 0x80002b33 <- 0x81202301 +mem-write: 0x80002b37 <- 0x31222304 +mem-write: 0x80002b3b <- 0x51222305 +mem-write: 0x80002b3f <- 0x81298303 +mem-write: 0x80002b43 <- 0x61242302 +mem-write: 0x80002b47 <- 0xeb0bb303 +mem-write: 0x80002b4b <- 0xc1240300 +mem-write: 0x80002b4f <- 0x812a0303 +mem-write: 0x80002b53 <- 0xc12a8304 +mem-write: 0x80002b57 <- 0x70049304 +mem-write: 0x80002b5b <- 0x91300 +mem-write: 0x80002b5f <- 0xc0b1301 +mem-write: 0x80002b63 <- 0xc886300 +mem-write: 0x80002b67 <- 0x9986308 +mem-write: 0x80002b6b <- 0xf4041308 +mem-write: 0x80002b6f <- 0xfc8c93ff +mem-write: 0x80002b73 <- 0x812703ff +mem-write: 0x80002b77 <- 0x4787b30e +mem-write: 0x80002b7b <- 0x58a02301 +mem-write: 0x80002b7f <- 0x17071301 +mem-write: 0x80002b83 <- 0x48a22300 +mem-write: 0x80002b87 <- 0xf1262301 +mem-write: 0x80002b8b <- 0xe124230e +mem-write: 0x80002b8f <- 0x8888930e +mem-write: 0x80002b93 <- 0xe4ce6300 +mem-write: 0x80002b97 <- 0x446830e +mem-write: 0x80002b9b <- 0xbb863300 +mem-write: 0x80002b9f <- 0x68c1341 +mem-write: 0x80002ba3 <- 0xd6546300 +mem-write: 0x80002ba7 <- 0x60c1300 +mem-write: 0x80002bab <- 0x80566300 +mem-write: 0x80002baf <- 0x81268303 +mem-write: 0x80002bb3 <- 0x8787b30e +mem-write: 0x80002bb7 <- 0xb8a02301 +mem-write: 0x80002bbb <- 0x16869301 +mem-write: 0x80002bbf <- 0x88a22300 +mem-write: 0x80002bc3 <- 0xf1262301 +mem-write: 0x80002bc7 <- 0xd124230e +mem-write: 0x80002bcb <- 0xd4c2630e +mem-write: 0x80002bcf <- 0x446830e +mem-write: 0x80002bd3 <- 0x88889300 +mem-write: 0x80002bd7 <- 0xfc461300 +mem-write: 0x80002bdb <- 0xf65613ff +mem-write: 0x80002bdf <- 0xcc773341 +mem-write: 0x80002be3 <- 0xe68c3300 +mem-write: 0x80002be7 <- 0x804c6340 +mem-write: 0x80002beb <- 0xdd8db301 +mem-write: 0x80002bef <- 0xc9ce300 +mem-write: 0x80002bf3 <- 0x98a63f6 +mem-write: 0x80002bf7 <- 0xf989935e +mem-write: 0x80002bfb <- 0x9ff06fff +VXDRV: upload 1024 bytes to 0x80002bff +mem-write: 0x80002bff <- 0x812683f7 +mem-write: 0x80002c03 <- 0x8948630e +mem-write: 0x80002c07 <- 0x80006f01 +mem-write: 0x80002c0b <- 0xc0c1305 +mem-write: 0x80002c0f <- 0x895863ff +mem-write: 0x80002c13 <- 0x81270305 +mem-write: 0x80002c17 <- 0x7879300 +mem-write: 0x80002c1b <- 0x16869301 +mem-write: 0x80002c1f <- 0xe8a02300 +mem-write: 0x80002c23 <- 0x28a22300 +mem-write: 0x80002c27 <- 0xf1262301 +mem-write: 0x80002c2b <- 0xd124230e +mem-write: 0x80002c2f <- 0x8888930e +mem-write: 0x80002c33 <- 0xd4dce300 +mem-write: 0x80002c37 <- 0x410613fc +mem-write: 0x80002c3b <- 0xb05930e +mem-write: 0x80002c3f <- 0xd051300 +mem-write: 0x80002c43 <- 0x90ef00 +mem-write: 0x80002c47 <- 0x514634e +mem-write: 0x80002c4b <- 0xc0c1366 +mem-write: 0x80002c4f <- 0xc12783ff +mem-write: 0x80002c53 <- 0x8126830e +mem-write: 0x80002c57 <- 0xc108930e +mem-write: 0x80002c5b <- 0x894ce310 +mem-write: 0x80002c5f <- 0x812703fb +mem-write: 0x80002c63 <- 0x8787b300 +mem-write: 0x80002c67 <- 0x16869301 +mem-write: 0x80002c6b <- 0xe8a02300 +mem-write: 0x80002c6f <- 0x88a22300 +mem-write: 0x80002c73 <- 0xf1262301 +mem-write: 0x80002c77 <- 0xd124230e +mem-write: 0x80002c7b <- 0xd4c0630e +mem-write: 0x80002c7f <- 0x4468366 +mem-write: 0x80002c83 <- 0x88889300 +mem-write: 0x80002c87 <- 0xdd8db300 +mem-write: 0x80002c8b <- 0x5ff06f00 +mem-write: 0x80002c8f <- 0x410613f6 +mem-write: 0x80002c93 <- 0xb05930e +mem-write: 0x80002c97 <- 0xd051300 +mem-write: 0x80002c9b <- 0x8090ef00 +mem-write: 0x80002c9f <- 0x5186348 +mem-write: 0x80002ca3 <- 0xc1278360 +mem-write: 0x80002ca7 <- 0xc108930e +mem-write: 0x80002cab <- 0xdff06f10 +mem-write: 0x80002caf <- 0x410613ee +mem-write: 0x80002cb3 <- 0xb05930e +mem-write: 0x80002cb7 <- 0xd051300 +mem-write: 0x80002cbb <- 0x8090ef00 +mem-write: 0x80002cbf <- 0x5186346 +mem-write: 0x80002cc3 <- 0x446835e +mem-write: 0x80002cc7 <- 0xc1278300 +mem-write: 0x80002ccb <- 0xc108930e +mem-write: 0x80002ccf <- 0x9ff06f10 +mem-write: 0x80002cd3 <- 0x812783f0 +mem-write: 0x80002cd7 <- 0xc1258304 +mem-write: 0x80002cdb <- 0x91304 +mem-write: 0x80002cdf <- 0xf4043300 +mem-write: 0x80002ce3 <- 0x7861340 +mem-write: 0x80002ce7 <- 0x4051300 +mem-write: 0x80002ceb <- 0xd060ef00 +mem-write: 0x80002cef <- 0x1cc58308 +mem-write: 0x80002cf3 <- 0xa0061300 +mem-write: 0x80002cf7 <- 0x69300 +mem-write: 0x80002cfb <- 0xb0383300 +mem-write: 0x80002cff <- 0x4851300 +mem-write: 0x80002d03 <- 0x9859300 +mem-write: 0x80002d07 <- 0xc8cb300 +mem-write: 0x80002d0b <- 0xc0d0ef01 +mem-write: 0x80002d0f <- 0x5ff06f6d +mem-write: 0x80002d13 <- 0x168693ae +mem-write: 0x80002d17 <- 0x87089300 +mem-write: 0x80002d1b <- 0x7091300 +mem-write: 0x80002d1f <- 0x8ff06f00 +mem-write: 0x80002d23 <- 0x410613b9 +mem-write: 0x80002d27 <- 0xc05930e +mem-write: 0x80002d2b <- 0xd051300 +mem-write: 0x80002d2f <- 0x4090ef00 +mem-write: 0x80002d33 <- 0x51e633f +mem-write: 0x80002d37 <- 0xc12583a6 +mem-write: 0x80002d3b <- 0xc127830c +mem-write: 0x80002d3f <- 0xc108930e +mem-write: 0x80002d43 <- 0xdff06f10 +mem-write: 0x80002d47 <- 0x15737b5 +mem-write: 0x80002d4b <- 0xc70b1380 +mem-write: 0x80002d4f <- 0x5ff06fa2 +mem-write: 0x80002d53 <- 0x410613c7 +mem-write: 0x80002d57 <- 0xc05930e +mem-write: 0x80002d5b <- 0xd051300 +mem-write: 0x80002d5f <- 0x4090ef00 +mem-write: 0x80002d63 <- 0x516633c +mem-write: 0x80002d67 <- 0xc12783a4 +mem-write: 0x80002d6b <- 0xc108930e +mem-write: 0x80002d6f <- 0x4ff06f10 +mem-write: 0x80002d73 <- 0x600c93cc +mem-write: 0x80002d77 <- 0x5ff06f00 +mem-write: 0x80002d7b <- 0x1268394 +mem-write: 0x80002d7f <- 0xdb073302 +mem-write: 0x80002d83 <- 0x9684b300 +mem-write: 0x80002d87 <- 0xb7083340 +mem-write: 0x80002d8b <- 0x4891341 +mem-write: 0x80002d8f <- 0x98506300 +mem-write: 0x80002d93 <- 0x80913d6 +mem-write: 0x80002d97 <- 0x8ff06f00 +mem-write: 0x80002d9b <- 0xc12783d5 +mem-write: 0x80002d9f <- 0x40b1300 +mem-write: 0x80002da3 <- 0xf7102300 +mem-write: 0x80002da7 <- 0x8fe06f00 +mem-write: 0x80002dab <- 0x412783d2 +mem-write: 0x80002daf <- 0xc9301 +mem-write: 0x80002db3 <- 0xe12a2300 +mem-write: 0x80002db7 <- 0x7a90300 +mem-write: 0x80002dbb <- 0x1fe06f00 +mem-write: 0x80002dbf <- 0x412783d9 +mem-write: 0x80002dc3 <- 0xe12a2301 +mem-write: 0x80002dc7 <- 0x7a90300 +mem-write: 0x80002dcb <- 0xf95c9300 +mem-write: 0x80002dcf <- 0xc879341 +mem-write: 0x80002dd3 <- 0x5fe06f00 +mem-write: 0x80002dd7 <- 0x412783df +mem-write: 0x80002ddb <- 0xc9301 +mem-write: 0x80002ddf <- 0xe12a2300 +mem-write: 0x80002de3 <- 0x7a90300 +mem-write: 0x80002de7 <- 0x10079300 +mem-write: 0x80002deb <- 0x5fe06f00 +mem-write: 0x80002def <- 0x412783c2 +mem-write: 0x80002df3 <- 0xc9301 +mem-write: 0x80002df7 <- 0xe12a2300 +mem-write: 0x80002dfb <- 0x7a90300 +mem-write: 0x80002dff <- 0x9ff06f00 +mem-write: 0x80002e03 <- 0x41061382 +mem-write: 0x80002e07 <- 0xc05930e +mem-write: 0x80002e0b <- 0xd051300 +mem-write: 0x80002e0f <- 0x4090ef00 +mem-write: 0x80002e13 <- 0xfe06f31 +mem-write: 0x80002e17 <- 0xd00793cd +mem-write: 0x80002e1b <- 0xf103a302 +mem-write: 0x80002e1f <- 0xdff06f0c +mem-write: 0x80002e23 <- 0x793ca +mem-write: 0x80002e27 <- 0xf1042303 +mem-write: 0x80002e2b <- 0x8007930c +mem-write: 0x80002e2f <- 0x2a671305 +mem-write: 0x80002e33 <- 0xf104a300 +mem-write: 0x80002e37 <- 0xe124230c +mem-write: 0x80002e3b <- 0x30079302 +mem-write: 0x80002e3f <- 0x1282306 +mem-write: 0x80002e43 <- 0xc10b1300 +mem-write: 0x80002e47 <- 0xb7c4e314 +mem-write: 0x80002e4b <- 0xc1230303 +mem-write: 0x80002e4f <- 0xf4fb930f +mem-write: 0x80002e53 <- 0x712223fd +mem-write: 0x80002e57 <- 0x12c2305 +mem-write: 0x80002e5b <- 0x12e0304 +mem-write: 0x80002e5f <- 0x412e830f +mem-write: 0x80002e63 <- 0x812f030f +mem-write: 0x80002e67 <- 0x2a6a130f +mem-write: 0x80002e6b <- 0x34e6310 +mem-write: 0x80002e6f <- 0x10079344 +mem-write: 0x80002e73 <- 0xf48ee306 +mem-write: 0x80002e77 <- 0x1007930a +mem-write: 0x80002e7b <- 0xf4846304 +mem-write: 0x80002e7f <- 0x4fe06f00 +mem-write: 0x80002e83 <- 0x10a93e6 +mem-write: 0x80002e87 <- 0xa85130b +mem-write: 0x80002e8b <- 0x112a2300 +mem-write: 0x80002e8f <- 0xc1282305 +mem-write: 0x80002e93 <- 0xd12a230b +mem-write: 0x80002e97 <- 0xe12c230b +mem-write: 0x80002e9b <- 0x612e230b +mem-write: 0x80002e9f <- 0x110ef0a +mem-write: 0x80002ea3 <- 0xc1061370 +mem-write: 0x80002ea7 <- 0x60ef0c +mem-write: 0x80002eab <- 0x5861325 +mem-write: 0x80002eaf <- 0x5059300 +mem-write: 0x80002eb3 <- 0xa851300 +mem-write: 0x80002eb7 <- 0x4110ef00 +mem-write: 0x80002ebb <- 0x127834f +mem-write: 0x80002ebf <- 0x10c930b +mem-write: 0x80002ec3 <- 0x109130a +mem-write: 0x80002ec7 <- 0xf1282309 +mem-write: 0x80002ecb <- 0x41278308 +mem-write: 0x80002ecf <- 0x106130b +mem-write: 0x80002ed3 <- 0x9059308 +mem-write: 0x80002ed7 <- 0xf12a2300 +mem-write: 0x80002edb <- 0x81278308 +mem-write: 0x80002edf <- 0xc85130b +mem-write: 0x80002ee3 <- 0xc1202300 +mem-write: 0x80002ee7 <- 0xf12c2304 +mem-write: 0x80002eeb <- 0xc1278308 +mem-write: 0x80002eef <- 0x120230b +mem-write: 0x80002ef3 <- 0x1222308 +mem-write: 0x80002ef7 <- 0xf12e2308 +mem-write: 0x80002efb <- 0xfc07b708 +mem-write: 0x80002eff <- 0xf126233f +mem-write: 0x80002f03 <- 0x1242308 +mem-write: 0x80002f07 <- 0xd0e0ef08 +mem-write: 0x80002f0b <- 0x1280351 +mem-write: 0x80002f0f <- 0x412e030a +mem-write: 0x80002f13 <- 0x812e830a +mem-write: 0x80002f17 <- 0xc12f030a +mem-write: 0x80002f1b <- 0xc85930a +mem-write: 0x80002f1f <- 0xa851300 +mem-write: 0x80002f23 <- 0x1282300 +mem-write: 0x80002f27 <- 0x128230b +mem-write: 0x80002f2b <- 0xc12a2305 +mem-write: 0x80002f2f <- 0xc122230b +mem-write: 0x80002f33 <- 0xd12c2303 +mem-write: 0x80002f37 <- 0xd120230b +mem-write: 0x80002f3b <- 0xe12e2303 +mem-write: 0x80002f3f <- 0xe12e230b +mem-write: 0x80002f43 <- 0x1202301 +mem-write: 0x80002f47 <- 0x122230a +mem-write: 0x80002f4b <- 0x124230a +mem-write: 0x80002f4f <- 0x126230a +mem-write: 0x80002f53 <- 0xd0e0ef0a +mem-write: 0x80002f57 <- 0xc12f0317 +mem-write: 0x80002f5b <- 0x12e8301 +mem-write: 0x80002f5f <- 0x412e0302 +mem-write: 0x80002f63 <- 0x1280302 +mem-write: 0x80002f67 <- 0x41288305 +mem-write: 0x80002f6b <- 0x5166305 +mem-write: 0x80002f6f <- 0x10079300 +mem-write: 0x80002f73 <- 0xf1262300 +mem-write: 0x80002f77 <- 0x157b70c +mem-write: 0x80002f7b <- 0x7879380 +mem-write: 0x80002f7f <- 0xf12223a5 +mem-write: 0x80002f83 <- 0xfd869302 +mem-write: 0x80002f87 <- 0x412e23ff +mem-write: 0x80002f8b <- 0x91222305 +mem-write: 0x80002f8f <- 0xb1262306 +mem-write: 0x80002f93 <- 0xa12a2307 +mem-write: 0x80002f97 <- 0x812c2307 +mem-write: 0x80002f9b <- 0x81202307 +mem-write: 0x80002f9f <- 0x31242306 +mem-write: 0x80002fa3 <- 0x11282307 +mem-write: 0x80002fa7 <- 0xb0c1307 +mem-write: 0x80002fab <- 0x68b9300 +mem-write: 0x80002faf <- 0x612e2300 +mem-write: 0x80002fb3 <- 0x80d1307 +mem-write: 0x80002fb7 <- 0xe0d9300 +mem-write: 0x80002fbb <- 0xe849300 +mem-write: 0x80002fbf <- 0xf0a1300 +mem-write: 0x80002fc3 <- 0x80006f00 +mem-write: 0x80002fc7 <- 0xc859304 +mem-write: 0x80002fcb <- 0xa851300 +mem-write: 0x80002fcf <- 0xc1202300 +mem-write: 0x80002fd3 <- 0xf12e2302 +mem-write: 0x80002fd7 <- 0xf12c2301 +mem-write: 0x80002fdb <- 0xc12e230b +mem-write: 0x80002fdf <- 0x6128230a +mem-write: 0x80002fe3 <- 0x312a230b +mem-write: 0x80002fe7 <- 0x120230b +mem-write: 0x80002feb <- 0x122230a +mem-write: 0x80002fef <- 0x124230a +mem-write: 0x80002ff3 <- 0x126230a +mem-write: 0x80002ff7 <- 0x90e0ef0a +mem-write: 0x80002ffb <- 0xc12f830d +VXDRV: upload 1024 bytes to 0x80002fff +mem-write: 0x80002fff <- 0x1260301 +mem-write: 0x80003003 <- 0xfb8b9302 +mem-write: 0x80003007 <- 0x50263ff +mem-write: 0x8000300b <- 0x307b70e +mem-write: 0x8000300f <- 0x9061340 +mem-write: 0x80003013 <- 0xc859300 +mem-write: 0x80003017 <- 0xa851300 +mem-write: 0x8000301b <- 0xf12e2300 +mem-write: 0x8000301f <- 0xa1202308 +mem-write: 0x80003023 <- 0xb122230b +mem-write: 0x80003027 <- 0x9124230b +mem-write: 0x8000302b <- 0x4126230a +mem-write: 0x8000302f <- 0x128230b +mem-write: 0x80003033 <- 0x12a2308 +mem-write: 0x80003037 <- 0x12c2308 +mem-write: 0x8000303b <- 0x90e0ef08 +mem-write: 0x8000303f <- 0xa85133e +mem-write: 0x80003043 <- 0x4110ef00 +mem-write: 0x80003047 <- 0x5059310 +mem-write: 0x8000304b <- 0x5041300 +mem-write: 0x8000304f <- 0xa851300 +mem-write: 0x80003053 <- 0x1298300 +mem-write: 0x80003057 <- 0x4124830b +mem-write: 0x8000305b <- 0x812b030b +mem-write: 0x8000305f <- 0xc12a030b +mem-write: 0x80003063 <- 0x8110ef0b +mem-write: 0x80003067 <- 0x127031f +mem-write: 0x8000306b <- 0x126030b +mem-write: 0x8000306f <- 0x9059304 +mem-write: 0x80003073 <- 0xe1202300 +mem-write: 0x80003077 <- 0x41270308 +mem-write: 0x8000307b <- 0xc85130b +mem-write: 0x8000307f <- 0x31282300 +mem-write: 0x80003083 <- 0xe1222309 +mem-write: 0x80003087 <- 0x81270308 +mem-write: 0x8000308b <- 0x912a230b +mem-write: 0x8000308f <- 0x612c2308 +mem-write: 0x80003093 <- 0xe1242309 +mem-write: 0x80003097 <- 0xc1270308 +mem-write: 0x8000309b <- 0x412e230b +mem-write: 0x8000309f <- 0xe1262309 +mem-write: 0x800030a3 <- 0x50f0ef08 +mem-write: 0x800030a7 <- 0x41278338 +mem-write: 0x800030ab <- 0x12b0302 +mem-write: 0x800030af <- 0x4129830a +mem-write: 0x800030b3 <- 0x8787330a +mem-write: 0x800030b7 <- 0x7470300 +mem-write: 0x800030bb <- 0x812f8300 +mem-write: 0x800030bf <- 0xc126030a +mem-write: 0x800030c3 <- 0x812a230a +mem-write: 0x800030c7 <- 0xec002305 +mem-write: 0x800030cb <- 0x71282300 +mem-write: 0x800030cf <- 0xf0079305 +mem-write: 0x800030d3 <- 0x1c0c13ff +mem-write: 0x800030d7 <- 0xb0d1300 +mem-write: 0x800030db <- 0x98d9300 +mem-write: 0x800030df <- 0xf849300 +mem-write: 0x800030e3 <- 0x60a1300 +mem-write: 0x800030e7 <- 0xfb90e300 +mem-write: 0x800030eb <- 0x12883ee +mem-write: 0x800030ef <- 0xb039307 +mem-write: 0x800030f3 <- 0x9829300 +mem-write: 0x800030f7 <- 0xfe093700 +mem-write: 0x800030fb <- 0xc85933f +mem-write: 0x800030ff <- 0xa851300 +mem-write: 0x80003103 <- 0x11202300 +mem-write: 0x80003107 <- 0x812e2303 +mem-write: 0x8000310b <- 0xc12a0300 +mem-write: 0x8000310f <- 0x41248305 +mem-write: 0x80003113 <- 0x1240306 +mem-write: 0x80003117 <- 0x71282306 +mem-write: 0x8000311b <- 0x7122230a +mem-write: 0x8000311f <- 0x512a2306 +mem-write: 0x80003123 <- 0x5120230a +mem-write: 0x80003127 <- 0xf12c2306 +mem-write: 0x8000312b <- 0xf12e230b +mem-write: 0x8000312f <- 0xc12e2305 +mem-write: 0x80003133 <- 0xc120230a +mem-write: 0x80003137 <- 0x1202304 +mem-write: 0x8000313b <- 0x122230a +mem-write: 0x8000313f <- 0x124230a +mem-write: 0x80003143 <- 0x2126230a +mem-write: 0x80003147 <- 0x50e0ef0b +mem-write: 0x8000314b <- 0xc0b9305 +mem-write: 0x8000314f <- 0xc12d8300 +mem-write: 0x80003153 <- 0x412d0306 +mem-write: 0x80003157 <- 0x812c0307 +mem-write: 0x8000315b <- 0xc12b0307 +mem-write: 0x8000315f <- 0x81298307 +mem-write: 0x80003163 <- 0x1288306 +mem-write: 0x80003167 <- 0xa0426302 +mem-write: 0x8000316b <- 0x41238348 +mem-write: 0x8000316f <- 0x1228306 +mem-write: 0x80003173 <- 0xc12f8306 +mem-write: 0x80003177 <- 0x1260305 +mem-write: 0x8000317b <- 0xc859304 +mem-write: 0x8000317f <- 0xa851300 +mem-write: 0x80003183 <- 0x71282300 +mem-write: 0x80003187 <- 0x512a230a +mem-write: 0x8000318b <- 0xf12c230a +mem-write: 0x8000318f <- 0xc12e230b +mem-write: 0x80003193 <- 0x120230a +mem-write: 0x80003197 <- 0x122230a +mem-write: 0x8000319b <- 0x124230a +mem-write: 0x8000319f <- 0x2126230a +mem-write: 0x800031a3 <- 0xc0e0ef0b +mem-write: 0x800031a7 <- 0x1288372 +mem-write: 0x800031ab <- 0x5186302 +mem-write: 0x800031af <- 0xc1278300 +mem-write: 0x800031b3 <- 0x17fc9301 +mem-write: 0x800031b7 <- 0xc9a6300 +mem-write: 0x800031bb <- 0x1278342 +mem-write: 0x800031bf <- 0x61305 +mem-write: 0x800031c3 <- 0x17869303 +mem-write: 0x800031c7 <- 0xdb86b300 +mem-write: 0x800031cb <- 0x7c86300 +mem-write: 0x800031cf <- 0x1b8b9300 +mem-write: 0x800031d3 <- 0xcb8fa300 +mem-write: 0x800031d7 <- 0x769ce3fe +mem-write: 0x800031db <- 0x6b87b3ff +mem-write: 0x800031df <- 0xf1202341 +mem-write: 0x800031e3 <- 0x8fe06f02 +mem-write: 0x800031e7 <- 0x12703be +mem-write: 0x800031eb <- 0xb0c1302 +mem-write: 0x800031ef <- 0x812b0300 +mem-write: 0x800031f3 <- 0x812e2302 +mem-write: 0x800031f7 <- 0xc12a0302 +mem-write: 0x800031fb <- 0xeb06b301 +mem-write: 0x800031ff <- 0x1240300 +mem-write: 0x80003203 <- 0x41298304 +mem-write: 0x80003207 <- 0x412a8304 +mem-write: 0x8000320b <- 0xb6e46302 +mem-write: 0x8000320f <- 0xcff06f01 +mem-write: 0x80003213 <- 0x68d9384 +mem-write: 0x80003217 <- 0x4ff06f00 +mem-write: 0x8000321b <- 0xc1270384 +mem-write: 0x8000321f <- 0xd0079301 +mem-write: 0x80003223 <- 0xf74463ff +mem-write: 0x80003227 <- 0xedda6300 +mem-write: 0x8000322b <- 0xe4849300 +mem-write: 0x8000322f <- 0xf4f793ff +mem-write: 0x80003233 <- 0xf12223fd +mem-write: 0x80003237 <- 0xcfe06f04 +mem-write: 0x8000323b <- 0x12783bb +mem-write: 0x8000323f <- 0xc1270302 +mem-write: 0x80003243 <- 0xf7406301 +mem-write: 0x80003247 <- 0x8127832a +mem-write: 0x8000324b <- 0x70c9302 +mem-write: 0x8000324f <- 0x17f79300 +mem-write: 0x80003253 <- 0x7866300 +mem-write: 0x80003257 <- 0xc1278300 +mem-write: 0x8000325b <- 0xf70cb302 +mem-write: 0x8000325f <- 0x81278300 +mem-write: 0x80003263 <- 0x7f79302 +mem-write: 0x80003267 <- 0x7866340 +mem-write: 0x8000326b <- 0xc1278300 +mem-write: 0x8000326f <- 0xf0426301 +mem-write: 0x80003273 <- 0xfcca935c +mem-write: 0x80003277 <- 0xfada93ff +mem-write: 0x8000327b <- 0x5cfab341 +mem-write: 0x8000327f <- 0x70049301 +mem-write: 0x80003283 <- 0x1242306 +mem-write: 0x80003287 <- 0x1222302 +mem-write: 0x8000328b <- 0x4fe06f02 +mem-write: 0x8000328f <- 0x714783c8 +mem-write: 0x80003293 <- 0xd930c +mem-write: 0x80003297 <- 0x7846300 +mem-write: 0x8000329b <- 0xfe06f00 +mem-write: 0x8000329f <- 0x9fd06fec +mem-write: 0x800032a3 <- 0x900793f1 +mem-write: 0x800032a7 <- 0x97e66300 +mem-write: 0x800032ab <- 0xff06fd4 +mem-write: 0x800032af <- 0x12b83d9 +mem-write: 0x800032b3 <- 0xb0c1301 +mem-write: 0x800032b7 <- 0xdfe06f00 +mem-write: 0x800032bb <- 0x412423cf +mem-write: 0x800032bf <- 0x1282303 +mem-write: 0x800032c3 <- 0x90a1300 +mem-write: 0x800032c7 <- 0x7b700 +mem-write: 0x800032cb <- 0x67c33380 +mem-write: 0x800032cf <- 0xd0079300 +mem-write: 0x800032d3 <- 0xf12c2302 +mem-write: 0x800032d7 <- 0x9ff06f04 +mem-write: 0x800032db <- 0x410613b9 +mem-write: 0x800032df <- 0xb05930e +mem-write: 0x800032e3 <- 0xd051300 +mem-write: 0x800032e7 <- 0xd080ef00 +mem-write: 0x800032eb <- 0x512e363 +mem-write: 0x800032ef <- 0x44683fc +mem-write: 0x800032f3 <- 0xc1278300 +mem-write: 0x800032f7 <- 0xc108930e +mem-write: 0x800032fb <- 0xdd8db310 +mem-write: 0x800032ff <- 0x1ff06f00 +mem-write: 0x80003303 <- 0x10a938f +mem-write: 0x80003307 <- 0x107930b +mem-write: 0x8000330b <- 0xc108130d +mem-write: 0x8000330f <- 0xc107130d +mem-write: 0x80003313 <- 0xd86930c +mem-write: 0x80003317 <- 0x20061300 +mem-write: 0x8000331b <- 0xa859300 +mem-write: 0x8000331f <- 0xd051300 +mem-write: 0x80003323 <- 0xc1282300 +mem-write: 0x80003327 <- 0xc120230b +mem-write: 0x8000332b <- 0xd12a2305 +mem-write: 0x8000332f <- 0xd122230b +mem-write: 0x80003333 <- 0xe12c2303 +mem-write: 0x80003337 <- 0xe120230b +mem-write: 0x8000333b <- 0x612e2303 +mem-write: 0x8000333f <- 0x612e230a +mem-write: 0x80003343 <- 0x1020ef00 +mem-write: 0x80003347 <- 0x7007936f +mem-write: 0x8000334b <- 0xc1230304 +mem-write: 0x8000334f <- 0x12f0301 +mem-write: 0x80003353 <- 0x412e8302 +mem-write: 0x80003357 <- 0x12e0302 +mem-write: 0x8000335b <- 0x1288304 +mem-write: 0x8000335f <- 0x50b1305 +mem-write: 0x80003363 <- 0xfb906300 +mem-write: 0x80003367 <- 0x81278308 +mem-write: 0x8000336b <- 0x17f79302 +mem-write: 0x8000336f <- 0x7966300 +mem-write: 0x80003373 <- 0x7007932e +mem-write: 0x80003377 <- 0xc1270304 +mem-write: 0x8000337b <- 0xf122230d +mem-write: 0x8000337f <- 0x4fe06f04 +mem-write: 0x80003383 <- 0x10a93a4 +mem-write: 0x80003387 <- 0xc108130b +mem-write: 0x8000338b <- 0x107930d +mem-write: 0x8000338f <- 0xc107130d +mem-write: 0x80003393 <- 0xd86930c +mem-write: 0x80003397 <- 0x30061300 +mem-write: 0x8000339b <- 0xa859300 +mem-write: 0x8000339f <- 0xd051300 +mem-write: 0x800033a3 <- 0x11282300 +mem-write: 0x800033a7 <- 0xc1282305 +mem-write: 0x800033ab <- 0xc120230b +mem-write: 0x800033af <- 0xd12a2305 +mem-write: 0x800033b3 <- 0xd122230b +mem-write: 0x800033b7 <- 0xe12c2303 +mem-write: 0x800033bb <- 0xe120230b +mem-write: 0x800033bf <- 0x612e2303 +mem-write: 0x800033c3 <- 0x612e230a +mem-write: 0x800033c7 <- 0xd020ef00 +mem-write: 0x800033cb <- 0xc1230366 +mem-write: 0x800033cf <- 0x12f0301 +mem-write: 0x800033d3 <- 0x412e8302 +mem-write: 0x800033d7 <- 0x12e0302 +mem-write: 0x800033db <- 0x1288304 +mem-write: 0x800033df <- 0x50b1305 +mem-write: 0x800033e3 <- 0x60079300 +mem-write: 0x800033e7 <- 0xbb093304 +mem-write: 0x800033eb <- 0xfb9e6301 +mem-write: 0x800033ef <- 0xb468326 +mem-write: 0x800033f3 <- 0x79300 +mem-write: 0x800033f7 <- 0xf6866303 +mem-write: 0x800033fb <- 0x10c9350 +VXDRV: upload 1024 bytes to 0x800033ff +mem-write: 0x800033ff <- 0xc127830a +mem-write: 0x80003403 <- 0xf909330c +mem-write: 0x80003407 <- 0xfe06f00 +mem-write: 0x8000340b <- 0xd0079396 +mem-write: 0x8000340f <- 0xf103a302 +mem-write: 0x80003413 <- 0xff06f0c +mem-write: 0x80003417 <- 0x410613da +mem-write: 0x8000341b <- 0xc05930e +mem-write: 0x8000341f <- 0xd051300 +mem-write: 0x80003423 <- 0x1080ef00 +mem-write: 0x80003427 <- 0x5046350 +mem-write: 0x8000342b <- 0x5fe06f00 +mem-write: 0x8000342f <- 0xc12483b8 +mem-write: 0x80003433 <- 0xc127830c +mem-write: 0x80003437 <- 0xc108930e +mem-write: 0x8000343b <- 0x9fe06f10 +mem-write: 0x8000343f <- 0x714783e6 +mem-write: 0x80003443 <- 0x212a230c +mem-write: 0x80003447 <- 0x1242301 +mem-write: 0x8000344b <- 0x1222302 +mem-write: 0x8000344f <- 0x12e2302 +mem-write: 0x80003453 <- 0xd8a9300 +mem-write: 0x80003457 <- 0xd8c9300 +mem-write: 0x8000345b <- 0xd9300 +mem-write: 0x8000345f <- 0x7846300 +mem-write: 0x80003463 <- 0x8fe06f00 +mem-write: 0x80003467 <- 0x1fd06fcf +mem-write: 0x8000346b <- 0x812783d5 +mem-write: 0x8000346f <- 0xc1270302 +mem-write: 0x80003473 <- 0x17f79301 +mem-write: 0x80003477 <- 0xb7e7b300 +mem-write: 0x8000347b <- 0xe0566301 +mem-write: 0x8000347f <- 0x7906350 +mem-write: 0x80003483 <- 0xc12c8344 +mem-write: 0x80003487 <- 0x60049301 +mem-write: 0x8000348b <- 0x81278306 +mem-write: 0x8000348f <- 0x7f79302 +mem-write: 0x80003493 <- 0x7926340 +mem-write: 0x80003497 <- 0xfcca933a +mem-write: 0x8000349b <- 0xfada93ff +mem-write: 0x8000349f <- 0x5cfab341 +mem-write: 0x800034a3 <- 0x1ff06f01 +mem-write: 0x800034a7 <- 0x15737de +mem-write: 0x800034ab <- 0x470b1380 +mem-write: 0x800034af <- 0x4ff06fa3 +mem-write: 0x800034b3 <- 0x410613d1 +mem-write: 0x800034b7 <- 0xc05930e +mem-write: 0x800034bb <- 0xd051300 +mem-write: 0x800034bf <- 0x5080ef00 +mem-write: 0x800034c3 <- 0x5046346 +mem-write: 0x800034c7 <- 0x9fe06f00 +mem-write: 0x800034cb <- 0xc12483ae +mem-write: 0x800034cf <- 0x127030c +mem-write: 0x800034d3 <- 0xc1278302 +mem-write: 0x800034d7 <- 0xc108930e +mem-write: 0x800034db <- 0x9704b310 +mem-write: 0x800034df <- 0x1fe06f40 +mem-write: 0x800034e3 <- 0x12783e1 +mem-write: 0x800034e7 <- 0xc1270302 +mem-write: 0x800034eb <- 0x70049302 +mem-write: 0x800034ef <- 0xe78cb306 +mem-write: 0x800034f3 <- 0xc1278300 +mem-write: 0x800034f7 <- 0xf04ae301 +mem-write: 0x800034fb <- 0xfc8cb3f8 +mem-write: 0x800034ff <- 0x1c8c9340 +mem-write: 0x80003503 <- 0xfcca9300 +mem-write: 0x80003507 <- 0xfada93ff +mem-write: 0x8000350b <- 0x5cfab341 +mem-write: 0x8000350f <- 0x5ff06f01 +mem-write: 0x80003513 <- 0x156b7d7 +mem-write: 0x80003517 <- 0x868e9380 +mem-write: 0x8000351b <- 0xfe06fbd +mem-write: 0x8000351f <- 0xf00793b5 +mem-write: 0x80003523 <- 0xf12623ff +mem-write: 0x80003527 <- 0xdfd06f00 +mem-write: 0x8000352b <- 0x613dc +mem-write: 0x8000352f <- 0xb004b3ff +mem-write: 0x80003533 <- 0xc5d26340 +mem-write: 0x80003537 <- 0x91306 +mem-write: 0x8000353b <- 0x700c9301 +mem-write: 0x8000353f <- 0xc0006f00 +mem-write: 0x80003543 <- 0x4849300 +mem-write: 0x80003547 <- 0x995863ff +mem-write: 0x8000354b <- 0x81270304 +mem-write: 0x8000354f <- 0x7879300 +mem-write: 0x80003553 <- 0x16869301 +mem-write: 0x80003557 <- 0xe8a02300 +mem-write: 0x8000355b <- 0x28a22300 +mem-write: 0x8000355f <- 0xf1262301 +mem-write: 0x80003563 <- 0xd124230e +mem-write: 0x80003567 <- 0x8888930e +mem-write: 0x8000356b <- 0xdcdce300 +mem-write: 0x8000356f <- 0x410613fc +mem-write: 0x80003573 <- 0xc05930e +mem-write: 0x80003577 <- 0xd051300 +mem-write: 0x8000357b <- 0x9080ef00 +mem-write: 0x8000357f <- 0x504633a +mem-write: 0x80003583 <- 0xdfe06f00 +mem-write: 0x80003587 <- 0xc12783a2 +mem-write: 0x8000358b <- 0x8126830e +mem-write: 0x8000358f <- 0xc108930e +mem-write: 0x80003593 <- 0x1ff06f10 +mem-write: 0x80003597 <- 0x812703fb +mem-write: 0x8000359b <- 0x9787b300 +mem-write: 0x8000359f <- 0x16869300 +mem-write: 0x800035a3 <- 0xe8a02300 +mem-write: 0x800035a7 <- 0x98a22300 +mem-write: 0x800035ab <- 0xf1262300 +mem-write: 0x800035af <- 0xd124230e +mem-write: 0x800035b3 <- 0x7006130e +mem-write: 0x800035b7 <- 0xd65a6300 +mem-write: 0x800035bb <- 0x410613b2 +mem-write: 0x800035bf <- 0xc05930e +mem-write: 0x800035c3 <- 0xd051300 +mem-write: 0x800035c7 <- 0xd080ef00 +mem-write: 0x800035cb <- 0x5046335 +mem-write: 0x800035cf <- 0x1fe06f00 +mem-write: 0x800035d3 <- 0xc127839e +mem-write: 0x800035d7 <- 0x8126830e +mem-write: 0x800035db <- 0xc108930e +mem-write: 0x800035df <- 0xcfe06f10 +mem-write: 0x800035e3 <- 0xa0b939c +mem-write: 0x800035e7 <- 0xfe06f00 +mem-write: 0x800035eb <- 0x412783e2 +mem-write: 0x800035ef <- 0xb869305 +mem-write: 0x800035f3 <- 0xf12e2300 +mem-write: 0x800035f7 <- 0x4127830c +mem-write: 0x800035fb <- 0xfbc60302 +mem-write: 0x800035ff <- 0xf7c583ff +mem-write: 0x80003603 <- 0xb6106300 +mem-write: 0x80003607 <- 0x51302 +mem-write: 0x8000360b <- 0xa68fa303 +mem-write: 0x8000360f <- 0xc12683fe +mem-write: 0x80003613 <- 0xf687930d +mem-write: 0x80003617 <- 0xf12e23ff +mem-write: 0x8000361b <- 0xf6c6030c +mem-write: 0x8000361f <- 0xc586e3ff +mem-write: 0x80003623 <- 0x160593fe +mem-write: 0x80003627 <- 0x90051300 +mem-write: 0x8000362b <- 0xf5f59303 +mem-write: 0x8000362f <- 0xa606630f +mem-write: 0x80003633 <- 0xb68fa300 +mem-write: 0x80003637 <- 0x5ff06ffe +mem-write: 0x8000363b <- 0x412783ba +mem-write: 0x8000363f <- 0xa7c58302 +mem-write: 0x80003643 <- 0xb68fa300 +mem-write: 0x80003647 <- 0x5ff06ffe +mem-write: 0x8000364b <- 0x793b9 +mem-write: 0x8000364f <- 0xf1042303 +mem-write: 0x80003653 <- 0x8007930c +mem-write: 0x80003657 <- 0x8ff06f07 +mem-write: 0x8000365b <- 0x700793fd +mem-write: 0x8000365f <- 0xbb093304 +mem-write: 0x80003663 <- 0xf1222301 +mem-write: 0x80003667 <- 0x10c9304 +mem-write: 0x8000366b <- 0xdfd06f0a +mem-write: 0x8000366f <- 0x1d8593ef +mem-write: 0x80003673 <- 0xd051300 +mem-write: 0x80003677 <- 0x11282300 +mem-write: 0x8000367b <- 0xc040ef01 +mem-write: 0x8000367f <- 0x128830b +mem-write: 0x80003683 <- 0x50b1301 +mem-write: 0x80003687 <- 0x5006300 +mem-write: 0x8000368b <- 0xa1282336 +mem-write: 0x8000368f <- 0xcff06f00 +mem-write: 0x80003693 <- 0xd9463fb +mem-write: 0x80003697 <- 0x100d9300 +mem-write: 0x8000369b <- 0xc1230300 +mem-write: 0x8000369f <- 0x12e030f +mem-write: 0x800036a3 <- 0x412e830f +mem-write: 0x800036a7 <- 0x812f030f +mem-write: 0x800036ab <- 0xa69130f +mem-write: 0x800036af <- 0x346e310 +mem-write: 0x800036b3 <- 0x10a93c0 +mem-write: 0x800036b7 <- 0xc108130b +mem-write: 0x800036bb <- 0x107930d +mem-write: 0x800036bf <- 0xc107130d +mem-write: 0x800036c3 <- 0xd86930c +mem-write: 0x800036c7 <- 0x20061300 +mem-write: 0x800036cb <- 0xa859300 +mem-write: 0x800036cf <- 0xd051300 +mem-write: 0x800036d3 <- 0x11222300 +mem-write: 0x800036d7 <- 0xc1282305 +mem-write: 0x800036db <- 0xc120230b +mem-write: 0x800036df <- 0xd12a2305 +mem-write: 0x800036e3 <- 0xd122230b +mem-write: 0x800036e7 <- 0xe12c2303 +mem-write: 0x800036eb <- 0xe120230b +mem-write: 0x800036ef <- 0x612e2303 +mem-write: 0x800036f3 <- 0x612e230a +mem-write: 0x800036f7 <- 0xd020ef00 +mem-write: 0x800036fb <- 0xc1230333 +mem-write: 0x800036ff <- 0x41242301 +mem-write: 0x80003703 <- 0x12f0303 +mem-write: 0x80003707 <- 0x412e8302 +mem-write: 0x8000370b <- 0x12e0302 +mem-write: 0x8000370f <- 0x41288304 +mem-write: 0x80003713 <- 0x50b1304 +mem-write: 0x80003717 <- 0x90a1300 +mem-write: 0x8000371b <- 0x12c2300 +mem-write: 0x8000371f <- 0x1282304 +mem-write: 0x80003723 <- 0x5ff06f00 +mem-write: 0x80003727 <- 0x600d93c4 +mem-write: 0x8000372b <- 0xdfd06f00 +mem-write: 0x8000372f <- 0x10a93d8 +mem-write: 0x80003733 <- 0xa85130b +mem-write: 0x80003737 <- 0x112a2300 +mem-write: 0x8000373b <- 0xc1282305 +mem-write: 0x8000373f <- 0xd12a230b +mem-write: 0x80003743 <- 0xe12c230b +mem-write: 0x80003747 <- 0x612e230b +mem-write: 0x8000374b <- 0x5100ef0a +mem-write: 0x8000374f <- 0xc1061365 +mem-write: 0x80003753 <- 0x5050ef0c +mem-write: 0x80003757 <- 0x586131a +mem-write: 0x8000375b <- 0x5059300 +mem-write: 0x8000375f <- 0xa851300 +mem-write: 0x80003763 <- 0x9100ef00 +mem-write: 0x80003767 <- 0x1278344 +mem-write: 0x8000376b <- 0x10c930b +mem-write: 0x8000376f <- 0x109130a +mem-write: 0x80003773 <- 0xf1282309 +mem-write: 0x80003777 <- 0x41278308 +mem-write: 0x8000377b <- 0x106130b +mem-write: 0x8000377f <- 0x9059308 +mem-write: 0x80003783 <- 0xf12a2300 +mem-write: 0x80003787 <- 0x81278308 +mem-write: 0x8000378b <- 0xc85130b +mem-write: 0x8000378f <- 0xc1202300 +mem-write: 0x80003793 <- 0xf12c2304 +mem-write: 0x80003797 <- 0xc1278308 +mem-write: 0x8000379b <- 0x120230b +mem-write: 0x8000379f <- 0x1222308 +mem-write: 0x800037a3 <- 0xf12e2308 +mem-write: 0x800037a7 <- 0xfc07b708 +mem-write: 0x800037ab <- 0xf126233f +mem-write: 0x800037af <- 0x1242308 +mem-write: 0x800037b3 <- 0xe0ef08 +mem-write: 0x800037b7 <- 0x1280347 +mem-write: 0x800037bb <- 0x412e030a +mem-write: 0x800037bf <- 0x812e830a +mem-write: 0x800037c3 <- 0xc12f030a +mem-write: 0x800037c7 <- 0xc85930a +mem-write: 0x800037cb <- 0xa851300 +mem-write: 0x800037cf <- 0x1282300 +mem-write: 0x800037d3 <- 0x128230b +mem-write: 0x800037d7 <- 0xc12a2305 +mem-write: 0x800037db <- 0xc122230b +mem-write: 0x800037df <- 0xd12c2303 +mem-write: 0x800037e3 <- 0xd120230b +mem-write: 0x800037e7 <- 0xe12e2303 +mem-write: 0x800037eb <- 0xe12e230b +mem-write: 0x800037ef <- 0x1202301 +mem-write: 0x800037f3 <- 0x122230a +mem-write: 0x800037f7 <- 0x124230a +mem-write: 0x800037fb <- 0x126230a +VXDRV: upload 1024 bytes to 0x800037ff +mem-write: 0x800037ff <- 0xe0ef0a +mem-write: 0x80003803 <- 0xc12f030d +mem-write: 0x80003807 <- 0x12e8301 +mem-write: 0x8000380b <- 0x412e0302 +mem-write: 0x8000380f <- 0x1280302 +mem-write: 0x80003813 <- 0x41288305 +mem-write: 0x80003817 <- 0x5166305 +mem-write: 0x8000381b <- 0x10079300 +mem-write: 0x8000381f <- 0xf1262300 +mem-write: 0x80003823 <- 0x157b70c +mem-write: 0x80003827 <- 0xc7879380 +mem-write: 0x8000382b <- 0xf12223a3 +mem-write: 0x8000382f <- 0x4ff06f02 +mem-write: 0x80003833 <- 0x700493f5 +mem-write: 0x80003837 <- 0xc1260306 +mem-write: 0x8000383b <- 0xf0069303 +mem-write: 0x8000383f <- 0x647830f +mem-write: 0x80003843 <- 0xd78a6300 +mem-write: 0x80003847 <- 0xc127031a +mem-write: 0x8000384b <- 0x51301 +mem-write: 0x8000384f <- 0x59300 +mem-write: 0x80003853 <- 0xe7de6300 +mem-write: 0x80003857 <- 0xf7073300 +mem-write: 0x8000385b <- 0x16478340 +mem-write: 0x8000385f <- 0x7846300 +mem-write: 0x80003863 <- 0x15859304 +mem-write: 0x80003867 <- 0x16061300 +mem-write: 0x8000386b <- 0xd794e300 +mem-write: 0x8000386f <- 0xc12e23fe +mem-write: 0x80003873 <- 0xe12e2302 +mem-write: 0x80003877 <- 0xb1222300 +mem-write: 0x8000387b <- 0xa1242302 +mem-write: 0x8000387f <- 0x81270302 +mem-write: 0x80003883 <- 0x41278302 +mem-write: 0x80003887 <- 0xe787b302 +mem-write: 0x8000388b <- 0x81270300 +mem-write: 0x8000388f <- 0xe787b304 +mem-write: 0x80003893 <- 0x978cb302 +mem-write: 0x80003897 <- 0xfcca9301 +mem-write: 0x8000389b <- 0xfada93ff +mem-write: 0x8000389f <- 0x5cfab341 +mem-write: 0x800038a3 <- 0xdfd06f01 +mem-write: 0x800038a7 <- 0x64783e6 +mem-write: 0x800038ab <- 0x15051300 +mem-write: 0x800038af <- 0xdff06f00 +mem-write: 0x800038b3 <- 0x12823fb +mem-write: 0x800038b7 <- 0x78a1300 +mem-write: 0x800038bb <- 0xdff06f00 +mem-write: 0x800038bf <- 0xc12783a0 +mem-write: 0x800038c3 <- 0x60049302 +mem-write: 0x800038c7 <- 0xf70cb306 +mem-write: 0x800038cb <- 0xbc8cb300 +mem-write: 0x800038cf <- 0xdff06f01 +mem-write: 0x800038d3 <- 0x610693bb +mem-write: 0x800038d7 <- 0x618630d +mem-write: 0x800038db <- 0x69300 +mem-write: 0x800038df <- 0xd10b2303 +mem-write: 0x800038e3 <- 0x7106930c +mem-write: 0x800038e7 <- 0x107130d +mem-write: 0x800038eb <- 0x787931b +mem-write: 0x800038ef <- 0xe6863303 +mem-write: 0x800038f3 <- 0xf6802340 +mem-write: 0x800038f7 <- 0xd6079300 +mem-write: 0x800038fb <- 0xf12c230d +mem-write: 0x800038ff <- 0xdfd06f02 +mem-write: 0x80003903 <- 0x10c93dc +mem-write: 0x80003907 <- 0xc85930a +mem-write: 0x8000390b <- 0xa851300 +mem-write: 0x8000390f <- 0x11282300 +mem-write: 0x80003913 <- 0xc1282305 +mem-write: 0x80003917 <- 0xc120230b +mem-write: 0x8000391b <- 0xd12a2305 +mem-write: 0x8000391f <- 0xd122230b +mem-write: 0x80003923 <- 0xe12c2303 +mem-write: 0x80003927 <- 0xe120230b +mem-write: 0x8000392b <- 0x612e2303 +mem-write: 0x8000392f <- 0x612e230a +mem-write: 0x80003933 <- 0x1202300 +mem-write: 0x80003937 <- 0x122230a +mem-write: 0x8000393b <- 0x124230a +mem-write: 0x8000393f <- 0x126230a +mem-write: 0x80003943 <- 0xd0d0ef0a +mem-write: 0x80003947 <- 0xc1230378 +mem-write: 0x8000394b <- 0x12f0301 +mem-write: 0x8000394f <- 0x412e8302 +mem-write: 0x80003953 <- 0x12e0302 +mem-write: 0x80003957 <- 0x1288304 +mem-write: 0x8000395b <- 0x502e305 +mem-write: 0x8000395f <- 0x100793aa +mem-write: 0x80003963 <- 0xb787b300 +mem-write: 0x80003967 <- 0xf1262341 +mem-write: 0x8000396b <- 0xf909330c +mem-write: 0x8000396f <- 0x9fd06f00 +mem-write: 0x80003973 <- 0x812783bf +mem-write: 0x80003977 <- 0x17f79302 +mem-write: 0x8000397b <- 0x7946300 +mem-write: 0x8000397f <- 0xdfd06f00 +mem-write: 0x80003983 <- 0x1fd06fd6 +mem-write: 0x80003987 <- 0x79a63d6 +mem-write: 0x8000398b <- 0x100a9300 +mem-write: 0x8000398f <- 0x60049300 +mem-write: 0x80003993 <- 0x100c9306 +mem-write: 0x80003997 <- 0xdff06f00 +mem-write: 0x8000399b <- 0xc127838e +mem-write: 0x8000399f <- 0x60049302 +mem-write: 0x800039a3 <- 0x178c9306 +mem-write: 0x800039a7 <- 0xbc8cb300 +mem-write: 0x800039ab <- 0xfcca9301 +mem-write: 0x800039af <- 0xfada93ff +mem-write: 0x800039b3 <- 0x5cfab341 +mem-write: 0x800039b7 <- 0xdff06f01 +mem-write: 0x800039bb <- 0x887138c +mem-write: 0x800039bf <- 0xdfe06f00 +mem-write: 0x800039c3 <- 0x412783bd +mem-write: 0x800039c7 <- 0x7ad8301 +mem-write: 0x800039cb <- 0x47879300 +mem-write: 0x800039cf <- 0xdd46300 +mem-write: 0x800039d3 <- 0xf00d9300 +mem-write: 0x800039d7 <- 0x144483ff +mem-write: 0x800039db <- 0xf12a2300 +mem-write: 0x800039df <- 0x7041300 +mem-write: 0x800039e3 <- 0x4fd06f00 +mem-write: 0x800039e7 <- 0xcc5783f5 +mem-write: 0x800039eb <- 0x7e79300 +mem-write: 0x800039ef <- 0xfc162304 +mem-write: 0x800039f3 <- 0x1fd06f00 +mem-write: 0x800039f7 <- 0x124238f +mem-write: 0x800039fb <- 0x1222302 +mem-write: 0x800039ff <- 0x1ff06f02 +mem-write: 0x80003a03 <- 0x200793e8 +mem-write: 0x80003a07 <- 0xf12c2300 +mem-write: 0x80003a0b <- 0x1fd06f02 +mem-write: 0x80003a0f <- 0x50793cc +mem-write: 0x80003a13 <- 0x81a50300 +mem-write: 0x80003a17 <- 0x606931d +mem-write: 0x80003a1b <- 0x5861300 +mem-write: 0x80003a1f <- 0x7859300 +mem-write: 0x80003a23 <- 0xcfd06f00 +mem-write: 0x80003a27 <- 0xc5d783d5 +mem-write: 0x80003a2b <- 0x45ae0300 +mem-write: 0x80003a2f <- 0xe5d30306 +mem-write: 0x80003a33 <- 0xc5a88300 +mem-write: 0x80003a37 <- 0x45a80301 +mem-write: 0x80003a3b <- 0x1011302 +mem-write: 0x80003a3f <- 0xd7f793b8 +mem-write: 0x80003a43 <- 0x713ff +mem-write: 0x80003a47 <- 0x812c2340 +mem-write: 0x80003a4b <- 0xf11a2346 +mem-write: 0x80003a4f <- 0x5841300 +mem-write: 0x80003a53 <- 0x1079300 +mem-write: 0x80003a57 <- 0x81059307 +mem-write: 0x80003a5b <- 0x912a2300 +mem-write: 0x80003a5f <- 0x21282346 +mem-write: 0x80003a63 <- 0x112e2347 +mem-write: 0x80003a67 <- 0x5091346 +mem-write: 0x80003a6b <- 0xc1262300 +mem-write: 0x80003a6f <- 0x611b2307 +mem-write: 0x80003a73 <- 0x11222300 +mem-write: 0x80003a77 <- 0x1262303 +mem-write: 0x80003a7b <- 0xf1242303 +mem-write: 0x80003a7f <- 0xf12c2300 +mem-write: 0x80003a83 <- 0xe1282300 +mem-write: 0x80003a87 <- 0xe12e2300 +mem-write: 0x80003a8b <- 0x1202300 +mem-write: 0x80003a8f <- 0xfd0ef02 +mem-write: 0x80003a93 <- 0x50493cf +mem-write: 0x80003a97 <- 0x55c6300 +mem-write: 0x80003a9b <- 0x41578302 +mem-write: 0x80003a9f <- 0x7f79301 +mem-write: 0x80003aa3 <- 0x7886304 +mem-write: 0x80003aa7 <- 0xc4578300 +mem-write: 0x80003aab <- 0x7e79300 +mem-write: 0x80003aaf <- 0xf4162304 +mem-write: 0x80003ab3 <- 0xc1208300 +mem-write: 0x80003ab7 <- 0x81240347 +mem-write: 0x80003abb <- 0x1290347 +mem-write: 0x80003abf <- 0x4851347 +mem-write: 0x80003ac3 <- 0x41248300 +mem-write: 0x80003ac7 <- 0x1011347 +mem-write: 0x80003acb <- 0x806748 +mem-write: 0x80003acf <- 0x81059300 +mem-write: 0x80003ad3 <- 0x9051300 +mem-write: 0x80003ad7 <- 0xef00 +mem-write: 0x80003adb <- 0x500e358 +mem-write: 0x80003adf <- 0xf00493fc +mem-write: 0x80003ae3 <- 0x9ff06fff +mem-write: 0x80003ae7 <- 0x81a783fb +mem-write: 0x80003aeb <- 0x101131d +mem-write: 0x80003aef <- 0x812423ff +mem-write: 0x80003af3 <- 0x91222300 +mem-write: 0x80003af7 <- 0x11262300 +mem-write: 0x80003afb <- 0x5049300 +mem-write: 0x80003aff <- 0x5841300 +mem-write: 0x80003b03 <- 0x7866300 +mem-write: 0x80003b07 <- 0x87a70300 +mem-write: 0x80003b0b <- 0x7006303 +mem-write: 0x80003b0f <- 0xc417030e +mem-write: 0x80003b13 <- 0x7179300 +mem-write: 0x80003b17 <- 0x87769301 +mem-write: 0x80003b1b <- 0x7d79300 +mem-write: 0x80003b1f <- 0x6806301 +mem-write: 0x80003b23 <- 0x4268304 +mem-write: 0x80003b27 <- 0x6806301 +mem-write: 0x80003b2b <- 0x17f61306 +mem-write: 0x80003b2f <- 0x6046300 +mem-write: 0x80003b33 <- 0x44260308 +mem-write: 0x80003b37 <- 0x4242301 +mem-write: 0x80003b3b <- 0x51300 +mem-write: 0x80003b3f <- 0xc0063300 +mem-write: 0x80003b43 <- 0xc42c2340 +mem-write: 0x80003b47 <- 0x6866300 +mem-write: 0x80003b4b <- 0xc1208308 +mem-write: 0x80003b4f <- 0x81240300 +mem-write: 0x80003b53 <- 0x41248300 +mem-write: 0x80003b57 <- 0x1011300 +mem-write: 0x80003b5b <- 0x806701 +mem-write: 0x80003b5f <- 0x7f69300 +mem-write: 0x80003b63 <- 0x6846301 +mem-write: 0x80003b67 <- 0x47f7930c +mem-write: 0x80003b6b <- 0x7966300 +mem-write: 0x80003b6f <- 0x4268308 +mem-write: 0x80003b73 <- 0x87671301 +mem-write: 0x80003b77 <- 0x7179300 +mem-write: 0x80003b7b <- 0xe4162301 +mem-write: 0x80003b7f <- 0x7d79300 +mem-write: 0x80003b83 <- 0x694e301 +mem-write: 0x80003b87 <- 0x7f613fa +mem-write: 0x80003b8b <- 0x59328 +mem-write: 0x80003b8f <- 0xb60ee320 +mem-write: 0x80003b93 <- 0x40593f8 +mem-write: 0x80003b97 <- 0x4851300 +mem-write: 0x80003b9b <- 0xd030ef00 +mem-write: 0x80003b9f <- 0xc4170327 +mem-write: 0x80003ba3 <- 0x4268300 +mem-write: 0x80003ba7 <- 0x7179301 +mem-write: 0x80003bab <- 0x7d79301 +mem-write: 0x80003baf <- 0x17f61301 +mem-write: 0x80003bb3 <- 0x610e300 +mem-write: 0x80003bb7 <- 0x27f613f8 +mem-write: 0x80003bbb <- 0x59300 +mem-write: 0x80003bbf <- 0x6146300 +mem-write: 0x80003bc3 <- 0x44258300 +mem-write: 0x80003bc7 <- 0xb4242301 +mem-write: 0x80003bcb <- 0x51300 +mem-write: 0x80003bcf <- 0x69ee300 +mem-write: 0x80003bd3 <- 0x7f793f6 +mem-write: 0x80003bd7 <- 0x78ae308 +mem-write: 0x80003bdb <- 0x76713f6 +mem-write: 0x80003bdf <- 0xe4162304 +mem-write: 0x80003be3 <- 0xf0051300 +mem-write: 0x80003be7 <- 0x5ff06fff +mem-write: 0x80003beb <- 0x78513f6 +mem-write: 0x80003bef <- 0x5000ef00 +mem-write: 0x80003bf3 <- 0xdff06f00 +mem-write: 0x80003bf7 <- 0x42583f1 +mem-write: 0x80003bfb <- 0x58e6303 +VXDRV: upload 1023 bytes to 0x80003bff +mem-write: 0x80003bff <- 0x4079300 +mem-write: 0x80003c03 <- 0xf5886304 +mem-write: 0x80003c07 <- 0x4851300 +mem-write: 0x80003c0b <- 0x9000ef00 +mem-write: 0x80003c0f <- 0xc4170315 +mem-write: 0x80003c13 <- 0x4282300 +mem-write: 0x80003c17 <- 0x4268302 +mem-write: 0x80003c1b <- 0xb7771301 +mem-write: 0x80003c1f <- 0x42223fd +mem-write: 0x80003c23 <- 0xd4202300 +mem-write: 0x80003c27 <- 0xdff06f00 +mem-write: 0x80003c2b <- 0x900793f4 +mem-write: 0x80003c2f <- 0xf4a02300 +mem-write: 0x80003c33 <- 0x7671300 +mem-write: 0x80003c37 <- 0xe4162304 +mem-write: 0x80003c3b <- 0xf0051300 +mem-write: 0x80003c3f <- 0xdff06fff +mem-write: 0x80003c43 <- 0x1a703f0 +mem-write: 0x80003c47 <- 0x8727831c +mem-write: 0x80003c4b <- 0x78c6314 +mem-write: 0x80003c4f <- 0x47a70304 +mem-write: 0x80003c53 <- 0xf0081300 +mem-write: 0x80003c57 <- 0xe84e6301 +mem-write: 0x80003c5b <- 0x27181306 +mem-write: 0x80003c5f <- 0x5066300 +mem-write: 0x80003c63 <- 0x7833302 +mem-write: 0x80003c67 <- 0xc3242301 +mem-write: 0x80003c6b <- 0x87a88308 +mem-write: 0x80003c6f <- 0x10061318 +mem-write: 0x80003c73 <- 0xe6163300 +mem-write: 0x80003c77 <- 0xc8e8b300 +mem-write: 0x80003c7b <- 0x17a42300 +mem-write: 0x80003c7f <- 0xd3242319 +mem-write: 0x80003c83 <- 0x20069310 +mem-write: 0x80003c87 <- 0xd5046300 +mem-write: 0x80003c8b <- 0x17071302 +mem-write: 0x80003c8f <- 0xe7a22300 +mem-write: 0x80003c93 <- 0x787b300 +mem-write: 0x80003c97 <- 0xb7a42301 +mem-write: 0x80003c9b <- 0x51300 +mem-write: 0x80003c9f <- 0x806700 +mem-write: 0x80003ca3 <- 0xc7079300 +mem-write: 0x80003ca7 <- 0xf7242314 +mem-write: 0x80003cab <- 0x5ff06f14 +mem-write: 0x80003caf <- 0xc7a683fa +mem-write: 0x80003cb3 <- 0x17071318 +mem-write: 0x80003cb7 <- 0xe7a22300 +mem-write: 0x80003cbb <- 0xc6e63300 +mem-write: 0x80003cbf <- 0xc7a62300 +mem-write: 0x80003cc3 <- 0x787b318 +mem-write: 0x80003cc7 <- 0xb7a42301 +mem-write: 0x80003ccb <- 0x51300 +mem-write: 0x80003ccf <- 0x806700 +mem-write: 0x80003cd3 <- 0xf0051300 +mem-write: 0x80003cd7 <- 0x8067ff +mem-write: 0x80003cdb <- 0x1011300 +mem-write: 0x80003cdf <- 0x412c23fd +mem-write: 0x80003ce3 <- 0x1aa0301 +mem-write: 0x80003ce7 <- 0x2120231c +mem-write: 0x80003ceb <- 0x11262303 +mem-write: 0x80003cef <- 0x8a290302 +mem-write: 0x80003cf3 <- 0x81242314 +mem-write: 0x80003cf7 <- 0x91222302 +mem-write: 0x80003cfb <- 0x312e2302 +mem-write: 0x80003cff <- 0x512a2301 +mem-write: 0x80003d03 <- 0x61282301 +mem-write: 0x80003d07 <- 0x71262301 +mem-write: 0x80003d0b <- 0x81242301 +mem-write: 0x80003d0f <- 0x9006301 +mem-write: 0x80003d13 <- 0x50b1304 +mem-write: 0x80003d17 <- 0x58b9300 +mem-write: 0x80003d1b <- 0x100a9300 +mem-write: 0x80003d1f <- 0xf0099300 +mem-write: 0x80003d23 <- 0x492483ff +mem-write: 0x80003d27 <- 0xf4841300 +mem-write: 0x80003d2b <- 0x44263ff +mem-write: 0x80003d2f <- 0x24949302 +mem-write: 0x80003d33 <- 0x9904b300 +mem-write: 0x80003d37 <- 0xb846300 +mem-write: 0x80003d3b <- 0x44a78304 +mem-write: 0x80003d3f <- 0x77806310 +mem-write: 0x80003d43 <- 0xf4041305 +mem-write: 0x80003d47 <- 0xc48493ff +mem-write: 0x80003d4b <- 0x3416e3ff +mem-write: 0x80003d4f <- 0xc12083ff +mem-write: 0x80003d53 <- 0x81240302 +mem-write: 0x80003d57 <- 0x41248302 +mem-write: 0x80003d5b <- 0x1290302 +mem-write: 0x80003d5f <- 0xc1298302 +mem-write: 0x80003d63 <- 0x812a0301 +mem-write: 0x80003d67 <- 0x412a8301 +mem-write: 0x80003d6b <- 0x12b0301 +mem-write: 0x80003d6f <- 0xc12b8301 +mem-write: 0x80003d73 <- 0x812c0300 +mem-write: 0x80003d77 <- 0x1011300 +mem-write: 0x80003d7b <- 0x806703 +mem-write: 0x80003d7f <- 0x49278300 +mem-write: 0x80003d83 <- 0x44a68300 +mem-write: 0x80003d87 <- 0xf7879300 +mem-write: 0x80003d8b <- 0x878e63ff +mem-write: 0x80003d8f <- 0x4a22304 +mem-write: 0x80003d93 <- 0x688e300 +mem-write: 0x80003d97 <- 0x892783fa +mem-write: 0x80003d9b <- 0x8a973318 +mem-write: 0x80003d9f <- 0x492c0300 +mem-write: 0x80003da3 <- 0xf777b300 +mem-write: 0x80003da7 <- 0x7926300 +mem-write: 0x80003dab <- 0x680e702 +mem-write: 0x80003daf <- 0x49270300 +mem-write: 0x80003db3 <- 0x8a278300 +mem-write: 0x80003db7 <- 0x87146314 +mem-write: 0x80003dbb <- 0xf904e301 +mem-write: 0x80003dbf <- 0x788e3f8 +mem-write: 0x80003dc3 <- 0x78913f8 +mem-write: 0x80003dc7 <- 0xdff06f00 +mem-write: 0x80003dcb <- 0xc92783f5 +mem-write: 0x80003dcf <- 0x44a58318 +mem-write: 0x80003dd3 <- 0xf7773308 +mem-write: 0x80003dd7 <- 0x71c6300 +mem-write: 0x80003ddb <- 0xb051300 +mem-write: 0x80003ddf <- 0x680e700 +mem-write: 0x80003de3 <- 0xdff06f00 +mem-write: 0x80003de7 <- 0x892223fc +mem-write: 0x80003deb <- 0x9ff06f00 +mem-write: 0x80003def <- 0x58513fa +mem-write: 0x80003df3 <- 0x680e700 +mem-write: 0x80003df7 <- 0x9ff06f00 +mem-write: 0x80003dfb <- 0xc59783fb +mem-write: 0x80003dff <- 0x1011300 +mem-write: 0x80003e03 <- 0x812c23fe +mem-write: 0x80003e07 <- 0x31262300 +mem-write: 0x80003e0b <- 0x112e2301 +mem-write: 0x80003e0f <- 0x912a2300 +mem-write: 0x80003e13 <- 0x21282300 +mem-write: 0x80003e17 <- 0x87f69301 +mem-write: 0x80003e1b <- 0x5841300 +mem-write: 0x80003e1f <- 0x5099300 +mem-write: 0x80003e23 <- 0x69a6300 +mem-write: 0x80003e27 <- 0x173710 +mem-write: 0x80003e2b <- 0x7071300 +mem-write: 0x80003e2f <- 0x45a68380 +mem-write: 0x80003e33 <- 0xe7e7b300 +mem-write: 0x80003e37 <- 0xf5962300 +mem-write: 0x80003e3b <- 0xd0546300 +mem-write: 0x80003e3f <- 0x84270318 +mem-write: 0x80003e43 <- 0x70a6302 +mem-write: 0x80003e47 <- 0x9a4830c +mem-write: 0x80003e4b <- 0x7969300 +mem-write: 0x80003e4f <- 0x9a02301 +mem-write: 0x80003e53 <- 0x37961300 +mem-write: 0x80003e57 <- 0xc4258301 +mem-write: 0x80003e5b <- 0x6d69301 +mem-write: 0x80003e5f <- 0x6486301 +mem-write: 0x80003e63 <- 0x10069316 +mem-write: 0x80003e67 <- 0x61300 +mem-write: 0x80003e6b <- 0x9851300 +mem-write: 0x80003e6f <- 0x700e700 +mem-write: 0x80003e73 <- 0xf0079300 +mem-write: 0x80003e77 <- 0xf50c63ff +mem-write: 0x80003e7b <- 0xc4568318 +mem-write: 0x80003e7f <- 0x84270300 +mem-write: 0x80003e83 <- 0xc4258302 +mem-write: 0x80003e87 <- 0x46f69301 +mem-write: 0x80003e8b <- 0x68e6300 +mem-write: 0x80003e8f <- 0x44268300 +mem-write: 0x80003e93 <- 0x4278300 +mem-write: 0x80003e97 <- 0xd5053303 +mem-write: 0x80003e9b <- 0x7866340 +mem-write: 0x80003e9f <- 0xc4278300 +mem-write: 0x80003ea3 <- 0xf5053303 +mem-write: 0x80003ea7 <- 0x5061340 +mem-write: 0x80003eab <- 0x69300 +mem-write: 0x80003eaf <- 0x9851300 +mem-write: 0x80003eb3 <- 0x700e700 +mem-write: 0x80003eb7 <- 0xf0079300 +mem-write: 0x80003ebb <- 0xf51e63ff +mem-write: 0x80003ebf <- 0x9a70310 +mem-write: 0x80003ec3 <- 0xc4178300 +mem-write: 0x80003ec7 <- 0x7086300 +mem-write: 0x80003ecb <- 0xd0069316 +mem-write: 0x80003ecf <- 0xd7066301 +mem-write: 0x80003ed3 <- 0x60069300 +mem-write: 0x80003ed7 <- 0xd7146301 +mem-write: 0x80003edb <- 0x426830c +mem-write: 0x80003edf <- 0xfff73701 +mem-write: 0x80003ee3 <- 0xf70713ff +mem-write: 0x80003ee7 <- 0xe7f7b37f +mem-write: 0x80003eeb <- 0xf4162300 +mem-write: 0x80003eef <- 0x4222300 +mem-write: 0x80003ef3 <- 0xd4202300 +mem-write: 0x80003ef7 <- 0x4258300 +mem-write: 0x80003efb <- 0x99a02303 +mem-write: 0x80003eff <- 0x58c6300 +mem-write: 0x80003f03 <- 0x4079300 +mem-write: 0x80003f07 <- 0xf5866304 +mem-write: 0x80003f0b <- 0x9851300 +mem-write: 0x80003f0f <- 0x4000ef00 +mem-write: 0x80003f13 <- 0x4282365 +mem-write: 0x80003f17 <- 0x51302 +mem-write: 0x80003f1b <- 0xc1208300 +mem-write: 0x80003f1f <- 0x81240301 +mem-write: 0x80003f23 <- 0x41248301 +mem-write: 0x80003f27 <- 0x1290301 +mem-write: 0x80003f2b <- 0xc1298301 +mem-write: 0x80003f2f <- 0x1011300 +mem-write: 0x80003f33 <- 0x806702 +mem-write: 0x80003f37 <- 0x5a90300 +mem-write: 0x80003f3b <- 0x90ee301 +mem-write: 0x80003f3f <- 0x5a483fc +mem-write: 0x80003f43 <- 0x7971300 +mem-write: 0x80003f47 <- 0x7571301 +mem-write: 0x80003f4b <- 0x37771301 +mem-write: 0x80003f4f <- 0x25a02300 +mem-write: 0x80003f53 <- 0x2484b301 +mem-write: 0x80003f57 <- 0x79341 +mem-write: 0x80003f5b <- 0x7146300 +mem-write: 0x80003f5f <- 0x45a78300 +mem-write: 0x80003f63 <- 0xf4242301 +mem-write: 0x80003f67 <- 0x90486300 +mem-write: 0x80003f6b <- 0xdff06f00 +mem-write: 0x80003f6f <- 0xa90933fa +mem-write: 0x80003f73 <- 0x9052e300 +mem-write: 0x80003f77 <- 0x442783fa +mem-write: 0x80003f7b <- 0xc4258302 +mem-write: 0x80003f7f <- 0x4869301 +mem-write: 0x80003f83 <- 0x9061300 +mem-write: 0x80003f87 <- 0x9851300 +mem-write: 0x80003f8b <- 0x780e700 +mem-write: 0x80003f8f <- 0xa484b300 +mem-write: 0x80003f93 <- 0xa04ee340 +mem-write: 0x80003f97 <- 0xc45783fc +mem-write: 0x80003f9b <- 0xf0051300 +mem-write: 0x80003f9f <- 0x7e793ff +mem-write: 0x80003fa3 <- 0xc1208304 +mem-write: 0x80003fa7 <- 0xf4162301 +mem-write: 0x80003fab <- 0x81240300 +mem-write: 0x80003faf <- 0x41248301 +mem-write: 0x80003fb3 <- 0x1290301 +mem-write: 0x80003fb7 <- 0xc1298301 +mem-write: 0x80003fbb <- 0x1011300 +mem-write: 0x80003fbf <- 0x806702 +mem-write: 0x80003fc3 <- 0xc5a70300 +mem-write: 0x80003fc7 <- 0xe04ce303 +mem-write: 0x80003fcb <- 0xdff06fe6 +mem-write: 0x80003fcf <- 0x42503f4 +mem-write: 0x80003fd3 <- 0x5ff06f05 +mem-write: 0x80003fd7 <- 0xc45783eb +mem-write: 0x80003fdb <- 0xfff73700 +mem-write: 0x80003fdf <- 0xf70713ff +mem-write: 0x80003fe3 <- 0xe7f7b37f +mem-write: 0x80003fe7 <- 0x4268300 +mem-write: 0x80003feb <- 0x7979301 +mem-write: 0x80003fef <- 0x7d79301 +mem-write: 0x80003ff3 <- 0xf4162341 +mem-write: 0x80003ff7 <- 0x4222300 +mem-write: 0x80003ffb <- 0x5202300 +VXDRV: upload 1024 bytes to 0x80003ffe +mem-write: 0x80003ffe <- 0x971300d4 +mem-write: 0x80004002 <- 0x5ae30137 +mem-write: 0x80004006 <- 0x2823ee07 +mem-write: 0x8000400a <- 0xf06f04a4 +mem-write: 0x8000400e <- 0xa783eedf +mem-write: 0x80004012 <- 0x84e30009 +mem-write: 0x80004016 <- 0x713e607 +mem-write: 0x8000401a <- 0x886301d0 +mem-write: 0x8000401e <- 0x71302e7 +mem-write: 0x80004022 <- 0x84630160 +mem-write: 0x80004026 <- 0x578302e7 +mem-write: 0x8000402a <- 0xe79300c4 +mem-write: 0x8000402e <- 0x16230407 +mem-write: 0x80004032 <- 0xf06f00f4 +mem-write: 0x80004036 <- 0xf737ee9f +mem-write: 0x8000403a <- 0x713ffff +mem-write: 0x8000403e <- 0x26837ff7 +mem-write: 0x80004042 <- 0xf7b30104 +mem-write: 0x80004046 <- 0xf06f00e7 +mem-write: 0x8000404a <- 0xa023fadf +mem-write: 0x8000404e <- 0x5130099 +mem-write: 0x80004052 <- 0xf06f0000 +mem-write: 0x80004056 <- 0x113ec9f +mem-write: 0x8000405a <- 0x2c23fe01 +mem-write: 0x8000405e <- 0x2e230081 +mem-write: 0x80004062 <- 0x4130011 +mem-write: 0x80004066 <- 0x6630005 +mem-write: 0x8000406a <- 0x27830005 +mem-write: 0x8000406e <- 0x80630385 +mem-write: 0x80004072 <- 0x97830207 +mem-write: 0x80004076 <- 0x966300c5 +mem-write: 0x8000407a <- 0x20830207 +mem-write: 0x8000407e <- 0x240301c1 +mem-write: 0x80004082 <- 0x5130181 +mem-write: 0x80004086 <- 0x1130000 +mem-write: 0x8000408a <- 0x80670201 +mem-write: 0x8000408e <- 0x26230000 +mem-write: 0x80004092 <- 0xef00b1 +mem-write: 0x80004096 <- 0x25833600 +mem-write: 0x8000409a <- 0x978300c1 +mem-write: 0x8000409e <- 0x8ee300c5 +mem-write: 0x800040a2 <- 0x513fc07 +mem-write: 0x800040a6 <- 0x24030004 +mem-write: 0x800040aa <- 0x20830181 +mem-write: 0x800040ae <- 0x11301c1 +mem-write: 0x800040b2 <- 0xf06f0201 +mem-write: 0x800040b6 <- 0x593d49f +mem-write: 0x800040ba <- 0x6630005 +mem-write: 0x800040be <- 0xa5030005 +mem-write: 0x800040c2 <- 0xf06f1d81 +mem-write: 0x800040c6 <- 0xa503f95f +mem-write: 0x800040ca <- 0x45b71c01 +mem-write: 0x800040ce <- 0x85938000 +mem-write: 0x800040d2 <- 0x6f0585 +mem-write: 0x800040d6 <- 0x5130350 +mem-write: 0x800040da <- 0x80670000 +mem-write: 0x800040de <- 0xd5b70000 +mem-write: 0x800040e2 <- 0x85938000 +mem-write: 0x800040e6 <- 0x6f6345 +mem-write: 0x800040ea <- 0x1130210 +mem-write: 0x800040ee <- 0x47b7fe01 +mem-write: 0x800040f2 <- 0x2e238000 +mem-write: 0x800040f6 <- 0x2c230011 +mem-write: 0x800040fa <- 0x2a230081 +mem-write: 0x800040fe <- 0x28230091 +mem-write: 0x80004102 <- 0x26230121 +mem-write: 0x80004106 <- 0x24230131 +mem-write: 0x8000410a <- 0x22230141 +mem-write: 0x8000410e <- 0x20230151 +mem-write: 0x80004112 <- 0x24030161 +mem-write: 0x80004116 <- 0x87930045 +mem-write: 0x8000411a <- 0x2e230e07 +mem-write: 0x8000411e <- 0x71302f5 +mem-write: 0x80004122 <- 0x7932ec5 +mem-write: 0x80004126 <- 0x24230030 +mem-write: 0x8000412a <- 0x22232ee5 +mem-write: 0x8000412e <- 0x20232ef5 +mem-write: 0x80004132 <- 0x7932e05 +mem-write: 0x80004136 <- 0x9130040 +mem-write: 0x8000413a <- 0x26230005 +mem-write: 0x8000413e <- 0x61300f4 +mem-write: 0x80004142 <- 0x5930080 +mem-write: 0x80004146 <- 0x22230000 +mem-write: 0x8000414a <- 0x20230604 +mem-write: 0x8000414e <- 0x22230004 +mem-write: 0x80004152 <- 0x24230004 +mem-write: 0x80004156 <- 0x28230004 +mem-write: 0x8000415a <- 0x2a230004 +mem-write: 0x8000415e <- 0x2c230004 +mem-write: 0x80004162 <- 0x5130004 +mem-write: 0x80004166 <- 0xc0ef05c4 +mem-write: 0x8000416a <- 0x9b37cb1f +mem-write: 0x8000416e <- 0x24838000 +mem-write: 0x80004172 <- 0x9ab70089 +mem-write: 0x80004176 <- 0x9a378000 +mem-write: 0x8000417a <- 0x99b78000 +mem-write: 0x8000417e <- 0xb138000 +mem-write: 0x80004182 <- 0x8a932e0b +mem-write: 0x80004186 <- 0xa13344a +mem-write: 0x8000418a <- 0x89933cca +mem-write: 0x8000418e <- 0x7b74349 +mem-write: 0x80004192 <- 0x20230001 +mem-write: 0x80004196 <- 0x22230364 +mem-write: 0x8000419a <- 0x24230354 +mem-write: 0x8000419e <- 0x26230344 +mem-write: 0x800041a2 <- 0x2e230334 +mem-write: 0x800041a6 <- 0x87930084 +mem-write: 0x800041aa <- 0xa6230097 +mem-write: 0x800041ae <- 0x61300f4 +mem-write: 0x800041b2 <- 0x5930080 +mem-write: 0x800041b6 <- 0xa2230000 +mem-write: 0x800041ba <- 0xa0230604 +mem-write: 0x800041be <- 0xa2230004 +mem-write: 0x800041c2 <- 0xa4230004 +mem-write: 0x800041c6 <- 0xa8230004 +mem-write: 0x800041ca <- 0xaa230004 +mem-write: 0x800041ce <- 0xac230004 +mem-write: 0x800041d2 <- 0x85130004 +mem-write: 0x800041d6 <- 0xc0ef05c4 +mem-write: 0x800041da <- 0x2403c41f +mem-write: 0x800041de <- 0x7b700c9 +mem-write: 0x800041e2 <- 0xa0230002 +mem-write: 0x800041e6 <- 0xa2230364 +mem-write: 0x800041ea <- 0xa4230354 +mem-write: 0x800041ee <- 0xa6230344 +mem-write: 0x800041f2 <- 0xae230334 +mem-write: 0x800041f6 <- 0x87930094 +mem-write: 0x800041fa <- 0x26230127 +mem-write: 0x800041fe <- 0x222300f4 +mem-write: 0x80004202 <- 0x20230604 +mem-write: 0x80004206 <- 0x22230004 +mem-write: 0x8000420a <- 0x24230004 +mem-write: 0x8000420e <- 0x28230004 +mem-write: 0x80004212 <- 0x2a230004 +mem-write: 0x80004216 <- 0x2c230004 +mem-write: 0x8000421a <- 0x5130004 +mem-write: 0x8000421e <- 0x61305c4 +mem-write: 0x80004222 <- 0x5930080 +mem-write: 0x80004226 <- 0xc0ef0000 +mem-write: 0x8000422a <- 0x2083bf1f +mem-write: 0x8000422e <- 0x202301c1 +mem-write: 0x80004232 <- 0x22230364 +mem-write: 0x80004236 <- 0x24230354 +mem-write: 0x8000423a <- 0x26230344 +mem-write: 0x8000423e <- 0x2e230334 +mem-write: 0x80004242 <- 0x24030084 +mem-write: 0x80004246 <- 0x7930181 +mem-write: 0x8000424a <- 0x2c230010 +mem-write: 0x8000424e <- 0x248302f9 +mem-write: 0x80004252 <- 0x29030141 +mem-write: 0x80004256 <- 0x29830101 +mem-write: 0x8000425a <- 0x2a0300c1 +mem-write: 0x8000425e <- 0x2a830081 +mem-write: 0x80004262 <- 0x2b030041 +mem-write: 0x80004266 <- 0x1130001 +mem-write: 0x8000426a <- 0x80670201 +mem-write: 0x8000426e <- 0x5130000 +mem-write: 0x80004272 <- 0x80670000 +mem-write: 0x80004276 <- 0x1130000 +mem-write: 0x8000427a <- 0x2223ff01 +mem-write: 0x8000427e <- 0x6130091 +mem-write: 0x80004282 <- 0x84930680 +mem-write: 0x80004286 <- 0x84b3fff5 +mem-write: 0x8000428a <- 0x202302c4 +mem-write: 0x8000428e <- 0x89130121 +mem-write: 0x80004292 <- 0x24230005 +mem-write: 0x80004296 <- 0x26230081 +mem-write: 0x8000429a <- 0x85930011 +mem-write: 0x8000429e <- 0x30ef0744 +mem-write: 0x800042a2 <- 0x4134980 +mem-write: 0x800042a6 <- 0x630005 +mem-write: 0x800042aa <- 0x5130205 +mem-write: 0x800042ae <- 0x202300c5 +mem-write: 0x800042b2 <- 0x22230004 +mem-write: 0x800042b6 <- 0x24230124 +mem-write: 0x800042ba <- 0x861300a4 +mem-write: 0x800042be <- 0x5930684 +mem-write: 0x800042c2 <- 0xc0ef0000 +mem-write: 0x800042c6 <- 0x2083b55f +mem-write: 0x800042ca <- 0x51300c1 +mem-write: 0x800042ce <- 0x24030004 +mem-write: 0x800042d2 <- 0x24830081 +mem-write: 0x800042d6 <- 0x29030041 +mem-write: 0x800042da <- 0x1130001 +mem-write: 0x800042de <- 0x80670101 +mem-write: 0x800042e2 <- 0x1130000 +mem-write: 0x800042e6 <- 0x2823fe01 +mem-write: 0x800042ea <- 0xa9030121 +mem-write: 0x800042ee <- 0x26231c01 +mem-write: 0x800042f2 <- 0x2e230131 +mem-write: 0x800042f6 <- 0x27830011 +mem-write: 0x800042fa <- 0x2c230389 +mem-write: 0x800042fe <- 0x2a230081 +mem-write: 0x80004302 <- 0x9930091 +mem-write: 0x80004306 <- 0x86630005 +mem-write: 0x8000430a <- 0x9130a07 +mem-write: 0x8000430e <- 0x4932e09 +mem-write: 0x80004312 <- 0x2783fff0 +mem-write: 0x80004316 <- 0x24030049 +mem-write: 0x8000431a <- 0x87930089 +mem-write: 0x8000431e <- 0xd863fff7 +mem-write: 0x80004322 <- 0x6f0007 +mem-write: 0x80004326 <- 0x4130800 +mem-write: 0x8000432a <- 0x8c630684 +mem-write: 0x8000432e <- 0x17030697 +mem-write: 0x80004332 <- 0x879300c4 +mem-write: 0x80004336 <- 0x18e3fff7 +mem-write: 0x8000433a <- 0x7b7fe07 +mem-write: 0x8000433e <- 0x8793ffff +mem-write: 0x80004342 <- 0x22230017 +mem-write: 0x80004346 <- 0x20230604 +mem-write: 0x8000434a <- 0x22230004 +mem-write: 0x8000434e <- 0x24230004 +mem-write: 0x80004352 <- 0x26230004 +mem-write: 0x80004356 <- 0x282300f4 +mem-write: 0x8000435a <- 0x2a230004 +mem-write: 0x8000435e <- 0x2c230004 +mem-write: 0x80004362 <- 0x6130004 +mem-write: 0x80004366 <- 0x5930080 +mem-write: 0x8000436a <- 0x5130000 +mem-write: 0x8000436e <- 0xc0ef05c4 +mem-write: 0x80004372 <- 0x2823aa9f +mem-write: 0x80004376 <- 0x2a230204 +mem-write: 0x8000437a <- 0x22230204 +mem-write: 0x8000437e <- 0x24230404 +mem-write: 0x80004382 <- 0x20830404 +mem-write: 0x80004386 <- 0x51301c1 +mem-write: 0x8000438a <- 0x24030004 +mem-write: 0x8000438e <- 0x24830181 +mem-write: 0x80004392 <- 0x29030141 +mem-write: 0x80004396 <- 0x29830101 +mem-write: 0x8000439a <- 0x11300c1 +mem-write: 0x8000439e <- 0x80670201 +mem-write: 0x800043a2 <- 0x24030000 +mem-write: 0x800043a6 <- 0xc630009 +mem-write: 0x800043aa <- 0x9130004 +mem-write: 0x800043ae <- 0xf06f0004 +mem-write: 0x800043b2 <- 0x513f65f +mem-write: 0x800043b6 <- 0xf0ef0009 +mem-write: 0x800043ba <- 0xf06fd35f +mem-write: 0x800043be <- 0x593f51f +mem-write: 0x800043c2 <- 0x85130040 +mem-write: 0x800043c6 <- 0xf0ef0009 +mem-write: 0x800043ca <- 0x2023eb1f +mem-write: 0x800043ce <- 0x41300a9 +mem-write: 0x800043d2 <- 0x1ce30005 +mem-write: 0x800043d6 <- 0x793fc05 +mem-write: 0x800043da <- 0xa02300c0 +mem-write: 0x800043de <- 0xf06f00f9 +mem-write: 0x800043e2 <- 0xa503fa5f +mem-write: 0x800043e6 <- 0xd5b71c01 +mem-write: 0x800043ea <- 0x85938000 +mem-write: 0x800043ee <- 0x6f6345 +mem-write: 0x800043f2 <- 0x27835180 +mem-write: 0x800043f6 <- 0x84630385 +mem-write: 0x800043fa <- 0x80670007 +VXDRV: upload 1024 bytes to 0x800043fe +mem-write: 0x800043fe <- 0xf06f0000 +mem-write: 0x80004402 <- 0x8067cedf +mem-write: 0x80004406 <- 0x80670000 +mem-write: 0x8000440a <- 0x80670000 +mem-write: 0x8000440e <- 0x80670000 +mem-write: 0x80004412 <- 0xa5030000 +mem-write: 0x80004416 <- 0x45b71d81 +mem-write: 0x8000441a <- 0x85938000 +mem-write: 0x8000441e <- 0x6f0d85 +mem-write: 0x80004422 <- 0xa5034440 +mem-write: 0x80004426 <- 0x45b71d81 +mem-write: 0x8000442a <- 0x85938000 +mem-write: 0x8000442e <- 0x6f2705 +mem-write: 0x80004432 <- 0x1134340 +mem-write: 0x80004436 <- 0x2623fe01 +mem-write: 0x8000443a <- 0x2c230131 +mem-write: 0x8000443e <- 0x2a230081 +mem-write: 0x80004442 <- 0x28230091 +mem-write: 0x80004446 <- 0x24230121 +mem-write: 0x8000444a <- 0x2e230141 +mem-write: 0x8000444e <- 0x8a130011 +mem-write: 0x80004452 <- 0x9130005 +mem-write: 0x80004456 <- 0x89930005 +mem-write: 0x8000445a <- 0x30efc301 +mem-write: 0x8000445e <- 0xa7033490 +mem-write: 0x80004462 <- 0x17b70089 +mem-write: 0x80004466 <- 0x84130000 +mem-write: 0x8000446a <- 0x2483fef7 +mem-write: 0x8000446e <- 0x4330047 +mem-write: 0x80004472 <- 0xf4934144 +mem-write: 0x80004476 <- 0x433ffc4 +mem-write: 0x8000447a <- 0x54130094 +mem-write: 0x8000447e <- 0x41300c4 +mem-write: 0x80004482 <- 0x1413fff4 +mem-write: 0x80004486 <- 0x4e6300c4 +mem-write: 0x8000448a <- 0x59300f4 +mem-write: 0x8000448e <- 0x5130000 +mem-write: 0x80004492 <- 0x40ef0009 +mem-write: 0x80004496 <- 0xa78350d0 +mem-write: 0x8000449a <- 0x87b30089 +mem-write: 0x8000449e <- 0x8630097 +mem-write: 0x800044a2 <- 0x51302f5 +mem-write: 0x800044a6 <- 0x30ef0009 +mem-write: 0x800044aa <- 0x20833010 +mem-write: 0x800044ae <- 0x240301c1 +mem-write: 0x800044b2 <- 0x24830181 +mem-write: 0x800044b6 <- 0x29030141 +mem-write: 0x800044ba <- 0x29830101 +mem-write: 0x800044be <- 0x2a0300c1 +mem-write: 0x800044c2 <- 0x5130081 +mem-write: 0x800044c6 <- 0x1130000 +mem-write: 0x800044ca <- 0x80670201 +mem-write: 0x800044ce <- 0x5b30000 +mem-write: 0x800044d2 <- 0x5134080 +mem-write: 0x800044d6 <- 0x40ef0009 +mem-write: 0x800044da <- 0x7934c90 +mem-write: 0x800044de <- 0x863fff0 +mem-write: 0x800044e2 <- 0x879304f5 +mem-write: 0x800044e6 <- 0xa7031f81 +mem-write: 0x800044ea <- 0xa6830007 +mem-write: 0x800044ee <- 0x84b30089 +mem-write: 0x800044f2 <- 0xe4934084 +mem-write: 0x800044f6 <- 0x4330014 +mem-write: 0x800044fa <- 0x5134087 +mem-write: 0x800044fe <- 0xa2230009 +mem-write: 0x80004502 <- 0xa0230096 +mem-write: 0x80004506 <- 0x30ef0087 +mem-write: 0x8000450a <- 0x20832a10 +mem-write: 0x8000450e <- 0x240301c1 +mem-write: 0x80004512 <- 0x24830181 +mem-write: 0x80004516 <- 0x29030141 +mem-write: 0x8000451a <- 0x29830101 +mem-write: 0x8000451e <- 0x2a0300c1 +mem-write: 0x80004522 <- 0x5130081 +mem-write: 0x80004526 <- 0x1130010 +mem-write: 0x8000452a <- 0x80670201 +mem-write: 0x8000452e <- 0x5930000 +mem-write: 0x80004532 <- 0x5130000 +mem-write: 0x80004536 <- 0x40ef0009 +mem-write: 0x8000453a <- 0xa7034690 +mem-write: 0x8000453e <- 0x6930089 +mem-write: 0x80004542 <- 0x7b300f0 +mem-write: 0x80004546 <- 0xdee340e5 +mem-write: 0x8000454a <- 0xa683f4f6 +mem-write: 0x8000454e <- 0xe7931dc1 +mem-write: 0x80004552 <- 0x22230017 +mem-write: 0x80004556 <- 0x53300f7 +mem-write: 0x8000455a <- 0xac2340d5 +mem-write: 0x8000455e <- 0xf06f1ea1 +mem-write: 0x80004562 <- 0x8463f45f +mem-write: 0x80004566 <- 0x1131205 +mem-write: 0x8000456a <- 0x2423ff01 +mem-write: 0x8000456e <- 0x22230081 +mem-write: 0x80004572 <- 0x84130091 +mem-write: 0x80004576 <- 0x4930005 +mem-write: 0x8000457a <- 0x26230005 +mem-write: 0x8000457e <- 0x30ef0011 +mem-write: 0x80004582 <- 0x28032250 +mem-write: 0x80004586 <- 0x713ffc4 +mem-write: 0x8000458a <- 0x7793ff84 +mem-write: 0x8000458e <- 0x633ffe8 +mem-write: 0x80004592 <- 0x859300f7 +mem-write: 0x80004596 <- 0x2683c301 +mem-write: 0x8000459a <- 0xa5030046 +mem-write: 0x8000459e <- 0xf6930085 +mem-write: 0x800045a2 <- 0x663ffc6 +mem-write: 0x800045a6 <- 0x22231ac5 +mem-write: 0x800045aa <- 0x781300d6 +mem-write: 0x800045ae <- 0x5330018 +mem-write: 0x800045b2 <- 0x1e6300d6 +mem-write: 0x800045b6 <- 0x23030808 +mem-write: 0x800045ba <- 0x2803ff84 +mem-write: 0x800045be <- 0x7330045 +mem-write: 0x800045c2 <- 0x28834067 +mem-write: 0x800045c6 <- 0x85130087 +mem-write: 0x800045ca <- 0x87b3c381 +mem-write: 0x800045ce <- 0x78130067 +mem-write: 0x800045d2 <- 0x8e630018 +mem-write: 0x800045d6 <- 0x230312a8 +mem-write: 0x800045da <- 0xa62300c7 +mem-write: 0x800045de <- 0x24230068 +mem-write: 0x800045e2 <- 0xe630113 +mem-write: 0x800045e6 <- 0xe6931c08 +mem-write: 0x800045ea <- 0x22230017 +mem-write: 0x800045ee <- 0x202300d7 +mem-write: 0x800045f2 <- 0x69300f6 +mem-write: 0x800045f6 <- 0xe6631ff0 +mem-write: 0x800045fa <- 0xf6930af6 +mem-write: 0x800045fe <- 0x8693ff87 +mem-write: 0x80004602 <- 0xa5030086 +mem-write: 0x80004606 <- 0x86b30045 +mem-write: 0x8000460a <- 0xa60300d5 +mem-write: 0x8000460e <- 0xd8130006 +mem-write: 0x80004612 <- 0x7930057 +mem-write: 0x80004616 <- 0x97b30010 +mem-write: 0x8000461a <- 0xe7b30107 +mem-write: 0x8000461e <- 0x851300a7 +mem-write: 0x80004622 <- 0x2623ff86 +mem-write: 0x80004626 <- 0x242300a7 +mem-write: 0x8000462a <- 0xa22300c7 +mem-write: 0x8000462e <- 0xa02300f5 +mem-write: 0x80004632 <- 0x262300e6 +mem-write: 0x80004636 <- 0x240300e6 +mem-write: 0x8000463a <- 0x20830081 +mem-write: 0x8000463e <- 0x851300c1 +mem-write: 0x80004642 <- 0x24830004 +mem-write: 0x80004646 <- 0x1130041 +mem-write: 0x8000464a <- 0x306f0101 +mem-write: 0x8000464e <- 0x250315d0 +mem-write: 0x80004652 <- 0x75130045 +mem-write: 0x80004656 <- 0x1c630015 +mem-write: 0x8000465a <- 0x87b30205 +mem-write: 0x8000465e <- 0x851300d7 +mem-write: 0x80004662 <- 0x2683c381 +mem-write: 0x80004666 <- 0xe8930086 +mem-write: 0x8000466a <- 0x8330017 +mem-write: 0x8000466e <- 0x846300f7 +mem-write: 0x80004672 <- 0x260316a6 +mem-write: 0x80004676 <- 0xa62300c6 +mem-write: 0x8000467a <- 0x242300c6 +mem-write: 0x8000467e <- 0x222300d6 +mem-write: 0x80004682 <- 0x20230117 +mem-write: 0x80004686 <- 0xf06f00f8 +mem-write: 0x8000468a <- 0x8067f6df +mem-write: 0x8000468e <- 0xe6930000 +mem-write: 0x80004692 <- 0x2e230017 +mem-write: 0x80004696 <- 0x2023fed4 +mem-write: 0x8000469a <- 0x69300f6 +mem-write: 0x8000469e <- 0xfee31ff0 +mem-write: 0x800046a2 <- 0xd693f4f6 +mem-write: 0x800046a6 <- 0x6130097 +mem-write: 0x800046aa <- 0x68630040 +mem-write: 0x800046ae <- 0xd6930ed6 +mem-write: 0x800046b2 <- 0x88130067 +mem-write: 0x800046b6 <- 0x86130396 +mem-write: 0x800046ba <- 0x18130386 +mem-write: 0x800046be <- 0x88330038 +mem-write: 0x800046c2 <- 0x26830105 +mem-write: 0x800046c6 <- 0x8130008 +mem-write: 0x800046ca <- 0x463ff88 +mem-write: 0x800046ce <- 0xa60312d8 +mem-write: 0x800046d2 <- 0x76130046 +mem-write: 0x800046d6 <- 0xf663ffc6 +mem-write: 0x800046da <- 0xa68300c7 +mem-write: 0x800046de <- 0x18e30086 +mem-write: 0x800046e2 <- 0xa803fed8 +mem-write: 0x800046e6 <- 0x262300c6 +mem-write: 0x800046ea <- 0x24230107 +mem-write: 0x800046ee <- 0x240300d7 +mem-write: 0x800046f2 <- 0x20830081 +mem-write: 0x800046f6 <- 0x242300c1 +mem-write: 0x800046fa <- 0x851300e8 +mem-write: 0x800046fe <- 0x24830004 +mem-write: 0x80004702 <- 0xa6230041 +mem-write: 0x80004706 <- 0x11300e6 +mem-write: 0x8000470a <- 0x306f0101 +mem-write: 0x8000470e <- 0x126309d0 +mem-write: 0x80004712 <- 0x25831408 +mem-write: 0x80004716 <- 0x260300c6 +mem-write: 0x8000471a <- 0x87b30086 +mem-write: 0x8000471e <- 0x240300f6 +mem-write: 0x80004722 <- 0x26230081 +mem-write: 0x80004726 <- 0xa42300b6 +mem-write: 0x8000472a <- 0xe69300c5 +mem-write: 0x8000472e <- 0x20830017 +mem-write: 0x80004732 <- 0x222300c1 +mem-write: 0x80004736 <- 0x851300d7 +mem-write: 0x8000473a <- 0x7330004 +mem-write: 0x8000473e <- 0x248300f7 +mem-write: 0x80004742 <- 0x20230041 +mem-write: 0x80004746 <- 0x11300f7 +mem-write: 0x8000474a <- 0x306f0101 +mem-write: 0x8000474e <- 0x781305d0 +mem-write: 0x80004752 <- 0x87b30018 +mem-write: 0x80004756 <- 0x106300d7 +mem-write: 0x8000475a <- 0x25030208 +mem-write: 0x8000475e <- 0x733ff84 +mem-write: 0x80004762 <- 0x268340a7 +mem-write: 0x80004766 <- 0x260300c7 +mem-write: 0x8000476a <- 0x87b30087 +mem-write: 0x8000476e <- 0x262300a7 +mem-write: 0x80004772 <- 0xa42300d6 +mem-write: 0x80004776 <- 0xe61300c6 +mem-write: 0x8000477a <- 0xa6830017 +mem-write: 0x8000477e <- 0x22231e01 +mem-write: 0x80004782 <- 0xa42300c7 +mem-write: 0x80004786 <- 0xe8e300e5 +mem-write: 0x8000478a <- 0xa583ead7 +mem-write: 0x8000478e <- 0x85131f01 +mem-write: 0x80004792 <- 0xf0ef0004 +mem-write: 0x80004796 <- 0xf06fca1f +mem-write: 0x8000479a <- 0x613ea1f +mem-write: 0x8000479e <- 0x74630140 +mem-write: 0x800047a2 <- 0x61302d6 +mem-write: 0x800047a6 <- 0x64630540 +mem-write: 0x800047aa <- 0xd69306d6 +mem-write: 0x800047ae <- 0x881300c7 +mem-write: 0x800047b2 <- 0x861306f6 +mem-write: 0x800047b6 <- 0x181306e6 +mem-write: 0x800047ba <- 0xf06f0038 +mem-write: 0x800047be <- 0x87b3f05f +mem-write: 0x800047c2 <- 0xf06f00d7 +mem-write: 0x800047c6 <- 0x8813ea1f +mem-write: 0x800047ca <- 0x861305c6 +mem-write: 0x800047ce <- 0x181305b6 +mem-write: 0x800047d2 <- 0xf06f0038 +mem-write: 0x800047d6 <- 0xaa23eedf +mem-write: 0x800047da <- 0xa82300e5 +mem-write: 0x800047de <- 0x262300e5 +mem-write: 0x800047e2 <- 0x242300a7 +mem-write: 0x800047e6 <- 0x222300a7 +mem-write: 0x800047ea <- 0x20230117 +mem-write: 0x800047ee <- 0xf06f00f8 +mem-write: 0x800047f2 <- 0xa503e49f +mem-write: 0x800047f6 <- 0x56130045 +mem-write: 0x800047fa <- 0x7934026 +VXDRV: upload 1024 bytes to 0x800047fe +mem-write: 0x800047fe <- 0x96330010 +mem-write: 0x80004802 <- 0x663300c7 +mem-write: 0x80004806 <- 0xa22300a6 +mem-write: 0x8000480a <- 0xf06f00c5 +mem-write: 0x8000480e <- 0x613eddf +mem-write: 0x80004812 <- 0x6c631540 +mem-write: 0x80004816 <- 0xd69300d6 +mem-write: 0x8000481a <- 0x881300f7 +mem-write: 0x8000481e <- 0x86130786 +mem-write: 0x80004822 <- 0x18130776 +mem-write: 0x80004826 <- 0xf06f0038 +mem-write: 0x8000482a <- 0x613e99f +mem-write: 0x8000482e <- 0x6c635540 +mem-write: 0x80004832 <- 0xd69300d6 +mem-write: 0x80004836 <- 0x88130127 +mem-write: 0x8000483a <- 0x861307d6 +mem-write: 0x8000483e <- 0x181307c6 +mem-write: 0x80004842 <- 0xf06f0038 +mem-write: 0x80004846 <- 0x813e7df +mem-write: 0x8000484a <- 0x6133f80 +mem-write: 0x8000484e <- 0xf06f07e0 +mem-write: 0x80004852 <- 0xe693e71f +mem-write: 0x80004856 <- 0x22230017 +mem-write: 0x8000485a <- 0x202300d7 +mem-write: 0x8000485e <- 0xf06f00f6 +mem-write: 0x80004862 <- 0x113dd9f +mem-write: 0x80004866 <- 0x2823fe01 +mem-write: 0x8000486a <- 0x26230121 +mem-write: 0x8000486e <- 0x24230131 +mem-write: 0x80004872 <- 0x22230141 +mem-write: 0x80004876 <- 0x20230151 +mem-write: 0x8000487a <- 0x2e230161 +mem-write: 0x8000487e <- 0x2c230011 +mem-write: 0x80004882 <- 0x2a230081 +mem-write: 0x80004886 <- 0x8b130091 +mem-write: 0x8000488a <- 0xa930005 +mem-write: 0x8000488e <- 0xa132e05 +mem-write: 0x80004892 <- 0x9930000 +mem-write: 0x80004896 <- 0x9130010 +mem-write: 0x8000489a <- 0xa483fff0 +mem-write: 0x8000489e <- 0xa403004a +mem-write: 0x800048a2 <- 0x8493008a +mem-write: 0x800048a6 <- 0xc663fff4 +mem-write: 0x800048aa <- 0x57830204 +mem-write: 0x800048ae <- 0x849300c4 +mem-write: 0x800048b2 <- 0xfc63fff4 +mem-write: 0x800048b6 <- 0x178300f9 +mem-write: 0x800048ba <- 0x51300e4 +mem-write: 0x800048be <- 0x86630004 +mem-write: 0x800048c2 <- 0xe70127 +mem-write: 0x800048c6 <- 0x6a33000b +mem-write: 0x800048ca <- 0x41300aa +mem-write: 0x800048ce <- 0x9ee30684 +mem-write: 0x800048d2 <- 0xaa83fd24 +mem-write: 0x800048d6 <- 0x92e3000a +mem-write: 0x800048da <- 0x2083fc0a +mem-write: 0x800048de <- 0x240301c1 +mem-write: 0x800048e2 <- 0x24830181 +mem-write: 0x800048e6 <- 0x29030141 +mem-write: 0x800048ea <- 0x29830101 +mem-write: 0x800048ee <- 0x2a8300c1 +mem-write: 0x800048f2 <- 0x2b030041 +mem-write: 0x800048f6 <- 0x5130001 +mem-write: 0x800048fa <- 0x2a03000a +mem-write: 0x800048fe <- 0x1130081 +mem-write: 0x80004902 <- 0x80670201 +mem-write: 0x80004906 <- 0x1130000 +mem-write: 0x8000490a <- 0x2023fd01 +mem-write: 0x8000490e <- 0x2e230321 +mem-write: 0x80004912 <- 0x2c230131 +mem-write: 0x80004916 <- 0x2a230141 +mem-write: 0x8000491a <- 0x28230151 +mem-write: 0x8000491e <- 0x26230161 +mem-write: 0x80004922 <- 0x26230171 +mem-write: 0x80004926 <- 0x24230211 +mem-write: 0x8000492a <- 0x22230281 +mem-write: 0x8000492e <- 0xa930291 +mem-write: 0x80004932 <- 0x8b930005 +mem-write: 0x80004936 <- 0xb130005 +mem-write: 0x8000493a <- 0xa132e05 +mem-write: 0x8000493e <- 0x9930000 +mem-write: 0x80004942 <- 0x9130010 +mem-write: 0x80004946 <- 0x2483fff0 +mem-write: 0x8000494a <- 0x2403004b +mem-write: 0x8000494e <- 0x8493008b +mem-write: 0x80004952 <- 0xc863fff4 +mem-write: 0x80004956 <- 0x57830204 +mem-write: 0x8000495a <- 0x849300c4 +mem-write: 0x8000495e <- 0xfe63fff4 +mem-write: 0x80004962 <- 0x178300f9 +mem-write: 0x80004966 <- 0x59300e4 +mem-write: 0x8000496a <- 0x85130004 +mem-write: 0x8000496e <- 0x8663000a +mem-write: 0x80004972 <- 0x80e70127 +mem-write: 0x80004976 <- 0x6a33000b +mem-write: 0x8000497a <- 0x41300aa +mem-write: 0x8000497e <- 0x9ce30684 +mem-write: 0x80004982 <- 0x2b03fd24 +mem-write: 0x80004986 <- 0x10e3000b +mem-write: 0x8000498a <- 0x2083fc0b +mem-write: 0x8000498e <- 0x240302c1 +mem-write: 0x80004992 <- 0x24830281 +mem-write: 0x80004996 <- 0x29030241 +mem-write: 0x8000499a <- 0x29830201 +mem-write: 0x8000499e <- 0x2a8301c1 +mem-write: 0x800049a2 <- 0x2b030141 +mem-write: 0x800049a6 <- 0x2b830101 +mem-write: 0x800049aa <- 0x51300c1 +mem-write: 0x800049ae <- 0x2a03000a +mem-write: 0x800049b2 <- 0x1130181 +mem-write: 0x800049b6 <- 0x80670301 +mem-write: 0x800049ba <- 0x6930000 +mem-write: 0x800049be <- 0x7930045 +mem-write: 0x800049c2 <- 0x5130000 +mem-write: 0x800049c6 <- 0x883701a5 +mem-write: 0x800049ca <- 0x6fffff +mem-write: 0x800049ce <- 0x979301c0 +mem-write: 0x800049d2 <- 0x90230017 +mem-write: 0x800049d6 <- 0x979300e6 +mem-write: 0x800049da <- 0x86930107 +mem-write: 0x800049de <- 0xd7930026 +mem-write: 0x800049e2 <- 0xe630107 +mem-write: 0x800049e6 <- 0xd70302d5 +mem-write: 0x800049ea <- 0x76130006 +mem-write: 0x800049ee <- 0x4630017 +mem-write: 0x800049f2 <- 0xe7930006 +mem-write: 0x800049f6 <- 0x57130017 +mem-write: 0x800049fa <- 0xf6130017 +mem-write: 0x800049fe <- 0x65b30027 +mem-write: 0x80004a02 <- 0x6e30107 +mem-write: 0x80004a06 <- 0x9793fc06 +mem-write: 0x80004a0a <- 0x90230017 +mem-write: 0x80004a0e <- 0x979300b6 +mem-write: 0x80004a12 <- 0x86930107 +mem-write: 0x80004a16 <- 0xd7930026 +mem-write: 0x80004a1a <- 0x16e30107 +mem-write: 0x80004a1e <- 0x8067fcd5 +mem-write: 0x80004a22 <- 0x6930000 +mem-write: 0x80004a26 <- 0x7130185 +mem-write: 0x80004a2a <- 0x5130000 +mem-write: 0x80004a2e <- 0x6f0025 +mem-write: 0x80004a32 <- 0x171301c0 +mem-write: 0x80004a36 <- 0x90230017 +mem-write: 0x80004a3a <- 0x171300f6 +mem-write: 0x80004a3e <- 0x86930107 +mem-write: 0x80004a42 <- 0x5713ffe6 +mem-write: 0x80004a46 <- 0x4630107 +mem-write: 0x80004a4a <- 0xd78304d5 +mem-write: 0x80004a4e <- 0x96130006 +mem-write: 0x80004a52 <- 0x56130107 +mem-write: 0x80004a56 <- 0x97934106 +mem-write: 0x80004a5a <- 0x54630017 +mem-write: 0x80004a5e <- 0x67130006 +mem-write: 0x80004a62 <- 0x97930017 +mem-write: 0x80004a66 <- 0xd7930107 +mem-write: 0x80004a6a <- 0x76130107 +mem-write: 0x80004a6e <- 0xe5930027 +mem-write: 0x80004a72 <- 0xe30017 +mem-write: 0x80004a76 <- 0x1713fc06 +mem-write: 0x80004a7a <- 0x90230017 +mem-write: 0x80004a7e <- 0x171300b6 +mem-write: 0x80004a82 <- 0x86930107 +mem-write: 0x80004a86 <- 0x5713ffe6 +mem-write: 0x80004a8a <- 0x10e30107 +mem-write: 0x80004a8e <- 0x8067fcd5 +mem-write: 0x80004a92 <- 0x1130000 +mem-write: 0x80004a96 <- 0xe37fe01 +mem-write: 0x80004a9a <- 0x1d230001 +mem-write: 0x80004a9e <- 0x1e230001 +mem-write: 0x80004aa2 <- 0x85930001 +mem-write: 0x80004aa6 <- 0x7930185 +mem-write: 0x80004aaa <- 0x81301c1 +mem-write: 0x80004aae <- 0xe130081 +mem-write: 0x80004ab2 <- 0xd703fffe +mem-write: 0x80004ab6 <- 0x87930005 +mem-write: 0x80004aba <- 0x8593ffe7 +mem-write: 0x80004abe <- 0x1863ffe5 +mem-write: 0x80004ac2 <- 0x9f230207 +mem-write: 0x80004ac6 <- 0x96e3fe07 +mem-write: 0x80004aca <- 0x613ff07 +mem-write: 0x80004ace <- 0x6930046 +mem-write: 0x80004ad2 <- 0xd70301e1 +mem-write: 0x80004ad6 <- 0x87930007 +mem-write: 0x80004ada <- 0x6130027 +mem-write: 0x80004ade <- 0x1f230026 +mem-write: 0x80004ae2 <- 0x98e3fee6 +mem-write: 0x80004ae6 <- 0x113fed7 +mem-write: 0x80004aea <- 0x80670201 +mem-write: 0x80004aee <- 0x7330000 +mem-write: 0x80004af2 <- 0xd88302a7 +mem-write: 0x80004af6 <- 0xd3030027 +mem-write: 0x80004afa <- 0x76b30007 +mem-write: 0x80004afe <- 0x86b301c7 +mem-write: 0x80004b02 <- 0x57130116 +mem-write: 0x80004b06 <- 0xd8930107 +mem-write: 0x80004b0a <- 0x7330106 +mem-write: 0x80004b0e <- 0x7330067 +mem-write: 0x80004b12 <- 0x58930117 +mem-write: 0x80004b16 <- 0x91230107 +mem-write: 0x80004b1a <- 0x902300d7 +mem-write: 0x80004b1e <- 0x9f2300e7 +mem-write: 0x80004b22 <- 0x98e3ff17 +mem-write: 0x80004b26 <- 0xf06ff907 +mem-write: 0x80004b2a <- 0x713fa5f +mem-write: 0x80004b2e <- 0x57830125 +mem-write: 0x80004b32 <- 0x5130005 +mem-write: 0x80004b36 <- 0x98630025 +mem-write: 0x80004b3a <- 0x1ae30007 +mem-write: 0x80004b3e <- 0x513fee5 +mem-write: 0x80004b42 <- 0x80670000 +mem-write: 0x80004b46 <- 0x5130000 +mem-write: 0x80004b4a <- 0x80670010 +mem-write: 0x80004b4e <- 0x1130000 +mem-write: 0x80004b52 <- 0x2223ff01 +mem-write: 0x80004b56 <- 0x54830091 +mem-write: 0x80004b5a <- 0x24230125 +mem-write: 0x80004b5e <- 0x26230081 +mem-write: 0x80004b62 <- 0xc7930011 +mem-write: 0x80004b66 <- 0x9713fff4 +mem-write: 0x80004b6a <- 0x4130117 +mem-write: 0x80004b6e <- 0x16630005 +mem-write: 0x80004b72 <- 0xf0ef0007 +mem-write: 0x80004b76 <- 0x1863fb9f +mem-write: 0x80004b7a <- 0x87b70005 +mem-write: 0x80004b7e <- 0xc4b3ffff +mem-write: 0x80004b82 <- 0x192300f4 +mem-write: 0x80004b86 <- 0x20830094 +mem-write: 0x80004b8a <- 0x240300c1 +mem-write: 0x80004b8e <- 0x24830081 +mem-write: 0x80004b92 <- 0x1130041 +mem-write: 0x80004b96 <- 0x80670101 +mem-write: 0x80004b9a <- 0x1130000 +mem-write: 0x80004b9e <- 0x2423ff01 +mem-write: 0x80004ba2 <- 0x54030081 +mem-write: 0x80004ba6 <- 0x26230125 +mem-write: 0x80004baa <- 0x47930011 +mem-write: 0x80004bae <- 0x9713fff4 +mem-write: 0x80004bb2 <- 0x1a630117 +mem-write: 0x80004bb6 <- 0xf0ef0007 +mem-write: 0x80004bba <- 0x793f75f +mem-write: 0x80004bbe <- 0x5130005 +mem-write: 0x80004bc2 <- 0x94630000 +mem-write: 0x80004bc6 <- 0x55130007 +mem-write: 0x80004bca <- 0x208300f4 +mem-write: 0x80004bce <- 0x240300c1 +mem-write: 0x80004bd2 <- 0x1130081 +mem-write: 0x80004bd6 <- 0x80670101 +mem-write: 0x80004bda <- 0x57830000 +mem-write: 0x80004bde <- 0x1130125 +mem-write: 0x80004be2 <- 0x2423fd01 +mem-write: 0x80004be6 <- 0xd7930281 +mem-write: 0x80004bea <- 0x222300f7 +mem-write: 0x80004bee <- 0x26230291 +mem-write: 0x80004bf2 <- 0x20230211 +mem-write: 0x80004bf6 <- 0x2e230321 +mem-write: 0x80004bfa <- 0x7b30131 +VXDRV: upload 1024 bytes to 0x80004bfe +mem-write: 0x80004bfe <- 0x902340f0 +mem-write: 0x80004c02 <- 0x578300f5 +mem-write: 0x80004c06 <- 0x87370125 +mem-write: 0x80004c0a <- 0x7130000 +mem-write: 0x80004c0e <- 0x77b3fff7 +mem-write: 0x80004c12 <- 0x912300f7 +mem-write: 0x80004c16 <- 0x49300f5 +mem-write: 0x80004c1a <- 0x4130005 +mem-write: 0x80004c1e <- 0x82630105 +mem-write: 0x80004c22 <- 0x879304e7 +mem-write: 0x80004c26 <- 0x92230065 +mem-write: 0x80004c2a <- 0x5130005 +mem-write: 0x80004c2e <- 0x5703ffe5 +mem-write: 0x80004c32 <- 0x4130004 +mem-write: 0x80004c36 <- 0x8793ffe4 +mem-write: 0x80004c3a <- 0x9f230027 +mem-write: 0x80004c3e <- 0x18e3fee7 +mem-write: 0x80004c42 <- 0x9c23fe85 +mem-write: 0x80004c46 <- 0x20830005 +mem-write: 0x80004c4a <- 0x240302c1 +mem-write: 0x80004c4e <- 0x24830281 +mem-write: 0x80004c52 <- 0x29030241 +mem-write: 0x80004c56 <- 0x29830201 +mem-write: 0x80004c5a <- 0x11301c1 +mem-write: 0x80004c5e <- 0x80670301 +mem-write: 0x80004c62 <- 0x57030000 +mem-write: 0x80004c66 <- 0x89130125 +mem-write: 0x80004c6a <- 0xf7330045 +mem-write: 0x80004c6e <- 0x1c6300e7 +mem-write: 0x80004c72 <- 0x262302f7 +mem-write: 0x80004c76 <- 0xf0ef00b1 +mem-write: 0x80004c7a <- 0x2583eb5f +mem-write: 0x80004c7e <- 0x46300c1 +mem-write: 0x80004c82 <- 0x87930205 +mem-write: 0x80004c86 <- 0x92230065 +mem-write: 0x80004c8a <- 0x85130005 +mem-write: 0x80004c8e <- 0x5703ffc4 +mem-write: 0x80004c92 <- 0x4130004 +mem-write: 0x80004c96 <- 0x8793ffe4 +mem-write: 0x80004c9a <- 0x9f230027 +mem-write: 0x80004c9e <- 0x18e3fee7 +mem-write: 0x80004ca2 <- 0xf06ffe85 +mem-write: 0x80004ca6 <- 0x8993fa5f +mem-write: 0x80004caa <- 0x91301a5 +mem-write: 0x80004cae <- 0x1f230029 +mem-write: 0x80004cb2 <- 0x9ce3fe09 +mem-write: 0x80004cb6 <- 0x2083ff29 +mem-write: 0x80004cba <- 0x240302c1 +mem-write: 0x80004cbe <- 0x24830281 +mem-write: 0x80004cc2 <- 0x29030241 +mem-write: 0x80004cc6 <- 0x29830201 +mem-write: 0x80004cca <- 0x11301c1 +mem-write: 0x80004cce <- 0x80670301 +mem-write: 0x80004cd2 <- 0x57830000 +mem-write: 0x80004cd6 <- 0x1130125 +mem-write: 0x80004cda <- 0x2423fb01 +mem-write: 0x80004cde <- 0xc7930481 +mem-write: 0x80004ce2 <- 0x2223fff7 +mem-write: 0x80004ce6 <- 0x26230491 +mem-write: 0x80004cea <- 0x97130411 +mem-write: 0x80004cee <- 0x4930117 +mem-write: 0x80004cf2 <- 0x84130005 +mem-write: 0x80004cf6 <- 0x16630005 +mem-write: 0x80004cfa <- 0xf0ef0007 +mem-write: 0x80004cfe <- 0x1263e31f +mem-write: 0x80004d02 <- 0x57830805 +mem-write: 0x80004d06 <- 0xc7930124 +mem-write: 0x80004d0a <- 0x9713fff7 +mem-write: 0x80004d0e <- 0x4630117 +mem-write: 0x80004d12 <- 0x5930607 +mem-write: 0x80004d16 <- 0x85130081 +mem-write: 0x80004d1a <- 0xf0ef0004 +mem-write: 0x80004d1e <- 0x593ec1f +mem-write: 0x80004d22 <- 0x5130241 +mem-write: 0x80004d26 <- 0xf0ef0004 +mem-write: 0x80004d2a <- 0x5583eb5f +mem-write: 0x80004d2e <- 0x55030081 +mem-write: 0x80004d32 <- 0xc630241 +mem-write: 0x80004d36 <- 0x79304b5 +mem-write: 0x80004d3a <- 0x71300a1 +mem-write: 0x80004d3e <- 0x6130261 +mem-write: 0x80004d42 <- 0xd6830201 +mem-write: 0x80004d46 <- 0x87930007 +mem-write: 0x80004d4a <- 0x9a630027 +mem-write: 0x80004d4e <- 0x56830806 +mem-write: 0x80004d52 <- 0x7130007 +mem-write: 0x80004d56 <- 0x94630027 +mem-write: 0x80004d5a <- 0x94e30806 +mem-write: 0x80004d5e <- 0x513fec7 +mem-write: 0x80004d62 <- 0x20830000 +mem-write: 0x80004d66 <- 0x240304c1 +mem-write: 0x80004d6a <- 0x24830481 +mem-write: 0x80004d6e <- 0x1130441 +mem-write: 0x80004d72 <- 0x80670501 +mem-write: 0x80004d76 <- 0x5130000 +mem-write: 0x80004d7a <- 0xf0ef0004 +mem-write: 0x80004d7e <- 0xae3db1f +mem-write: 0x80004d82 <- 0x513f805 +mem-write: 0x80004d86 <- 0xf06fffe0 +mem-write: 0x80004d8a <- 0x3513fddf +mem-write: 0x80004d8e <- 0x56030015 +mem-write: 0x80004d92 <- 0x568300a1 +mem-write: 0x80004d96 <- 0x5330261 +mem-write: 0x80004d9a <- 0x71340a0 +mem-write: 0x80004d9e <- 0x79300a1 +mem-write: 0x80004da2 <- 0x75130261 +mem-write: 0x80004da6 <- 0x5130025 +mem-write: 0x80004daa <- 0x593fff5 +mem-write: 0x80004dae <- 0x879303c1 +mem-write: 0x80004db2 <- 0x7130027 +mem-write: 0x80004db6 <- 0x1e630027 +mem-write: 0x80004dba <- 0x82e300d6 +mem-write: 0x80004dbe <- 0x5603fab7 +mem-write: 0x80004dc2 <- 0xd6830007 +mem-write: 0x80004dc6 <- 0x7130007 +mem-write: 0x80004dca <- 0x87930027 +mem-write: 0x80004dce <- 0x6e30027 +mem-write: 0x80004dd2 <- 0xe8e3fed6 +mem-write: 0x80004dd6 <- 0x533f8c6 +mem-write: 0x80004dda <- 0xf06f40a0 +mem-write: 0x80004dde <- 0x513f89f +mem-write: 0x80004de2 <- 0x80e30010 +mem-write: 0x80004de6 <- 0x513f805 +mem-write: 0x80004dea <- 0xf06ffff0 +mem-write: 0x80004dee <- 0x113f79f +mem-write: 0x80004df2 <- 0x2623ff01 +mem-write: 0x80004df6 <- 0xf0ef0011 +mem-write: 0x80004dfa <- 0x2083d35f +mem-write: 0x80004dfe <- 0x351300c1 +mem-write: 0x80004e02 <- 0x1130015 +mem-write: 0x80004e06 <- 0x80670101 +mem-write: 0x80004e0a <- 0x1130000 +mem-write: 0x80004e0e <- 0x2c23fe01 +mem-write: 0x80004e12 <- 0x2a230081 +mem-write: 0x80004e16 <- 0x2e230091 +mem-write: 0x80004e1a <- 0x28230011 +mem-write: 0x80004e1e <- 0x26230121 +mem-write: 0x80004e22 <- 0x84930131 +mem-write: 0x80004e26 <- 0x4130005 +mem-write: 0x80004e2a <- 0xc4630005 +mem-write: 0x80004e2e <- 0x7930a05 +mem-write: 0x80004e32 <- 0x861300f0 +mem-write: 0x80004e36 <- 0x5130005 +mem-write: 0x80004e3a <- 0x6930045 +mem-write: 0x80004e3e <- 0x5930184 +mem-write: 0x80004e42 <- 0xd46300f0 +mem-write: 0x80004e46 <- 0x7930297 +mem-write: 0x80004e4a <- 0xd7030005 +mem-write: 0x80004e4e <- 0x87930027 +mem-write: 0x80004e52 <- 0x9f230027 +mem-write: 0x80004e56 <- 0x9ae3fee7 +mem-write: 0x80004e5a <- 0x1c23fed7 +mem-write: 0x80004e5e <- 0x6130004 +mem-write: 0x80004e62 <- 0xc2e3ff06 +mem-write: 0x80004e66 <- 0xf493fec5 +mem-write: 0x80004e6a <- 0x79300f4 +mem-write: 0x80004e6e <- 0xd8630070 +mem-write: 0x80004e72 <- 0x7130297 +mem-write: 0x80004e76 <- 0x5930184 +mem-write: 0x80004e7a <- 0x7930024 +mem-write: 0x80004e7e <- 0x56830000 +mem-write: 0x80004e82 <- 0x7130007 +mem-write: 0x80004e86 <- 0x9613ffe7 +mem-write: 0x80004e8a <- 0xe7b30086 +mem-write: 0x80004e8e <- 0x112300c7 +mem-write: 0x80004e92 <- 0xd79300f7 +mem-write: 0x80004e96 <- 0x14e30086 +mem-write: 0x80004e9a <- 0x8493feb7 +mem-write: 0x80004e9e <- 0x8a63ff84 +mem-write: 0x80004ea2 <- 0x84930004 +mem-write: 0x80004ea6 <- 0x513fff4 +mem-write: 0x80004eaa <- 0xf0ef0004 +mem-write: 0x80004eae <- 0x9ae3b79f +mem-write: 0x80004eb2 <- 0x513fe04 +mem-write: 0x80004eb6 <- 0x20830000 +mem-write: 0x80004eba <- 0x240301c1 +mem-write: 0x80004ebe <- 0x24830181 +mem-write: 0x80004ec2 <- 0x29030141 +mem-write: 0x80004ec6 <- 0x29830101 +mem-write: 0x80004eca <- 0x11300c1 +mem-write: 0x80004ece <- 0x80670201 +mem-write: 0x80004ed2 <- 0x7930000 +mem-write: 0x80004ed6 <- 0x933ff10 +mem-write: 0x80004eda <- 0xdc6340b0 +mem-write: 0x80004ede <- 0x59312f5 +mem-write: 0x80004ee2 <- 0x9930185 +mem-write: 0x80004ee6 <- 0x6930000 +mem-write: 0x80004eea <- 0x6130045 +mem-write: 0x80004eee <- 0x570300f0 +mem-write: 0x80004ef2 <- 0x87930184 +mem-write: 0x80004ef6 <- 0xe9b30005 +mem-write: 0x80004efa <- 0xd70300e9 +mem-write: 0x80004efe <- 0x8793ffe7 +mem-write: 0x80004f02 <- 0x9123ffe7 +mem-write: 0x80004f06 <- 0x9ae300e7 +mem-write: 0x80004f0a <- 0x1223fed7 +mem-write: 0x80004f0e <- 0x9130004 +mem-write: 0x80004f12 <- 0x4ee3ff09 +mem-write: 0x80004f16 <- 0x793fd26 +mem-write: 0x80004f1a <- 0x713ff00 +mem-write: 0x80004f1e <- 0x87b3ff10 +mem-write: 0x80004f22 <- 0x9134097 +mem-write: 0x80004f26 <- 0xc4630000 +mem-write: 0x80004f2a <- 0x9330ae4 +mem-write: 0x80004f2e <- 0x79300f9 +mem-write: 0x80004f32 <- 0xd6630070 +mem-write: 0x80004f36 <- 0x99930527 +mem-write: 0x80004f3a <- 0xd9930109 +mem-write: 0x80004f3e <- 0x47834109 +mem-write: 0x80004f42 <- 0x5930184 +mem-write: 0x80004f46 <- 0xe9b301a4 +mem-write: 0x80004f4a <- 0x999300f9 +mem-write: 0x80004f4e <- 0xd9930109 +mem-write: 0x80004f52 <- 0x7930109 +mem-write: 0x80004f56 <- 0xd6030000 +mem-write: 0x80004f5a <- 0x86930006 +mem-write: 0x80004f5e <- 0x57130026 +mem-write: 0x80004f62 <- 0xe7330086 +mem-write: 0x80004f66 <- 0x179300e7 +mem-write: 0x80004f6a <- 0x97930086 +mem-write: 0x80004f6e <- 0x9f230107 +mem-write: 0x80004f72 <- 0xd793fee6 +mem-write: 0x80004f76 <- 0x90e30107 +mem-write: 0x80004f7a <- 0x913feb6 +mem-write: 0x80004f7e <- 0xc63ff89 +mem-write: 0x80004f82 <- 0x57830609 +mem-write: 0x80004f86 <- 0x9130184 +mem-write: 0x80004f8a <- 0x513fff9 +mem-write: 0x80004f8e <- 0xf7930004 +mem-write: 0x80004f92 <- 0xe9b30017 +mem-write: 0x80004f96 <- 0xf0ef0137 +mem-write: 0x80004f9a <- 0x14e3a25f +mem-write: 0x80004f9e <- 0x9793fe09 +mem-write: 0x80004fa2 <- 0xd7930109 +mem-write: 0x80004fa6 <- 0x90634107 +mem-write: 0x80004faa <- 0x95130407 +mem-write: 0x80004fae <- 0x55130109 +mem-write: 0x80004fb2 <- 0x20830105 +mem-write: 0x80004fb6 <- 0x240301c1 +mem-write: 0x80004fba <- 0x24830181 +mem-write: 0x80004fbe <- 0x29030141 +mem-write: 0x80004fc2 <- 0x29830101 +mem-write: 0x80004fc6 <- 0x11300c1 +mem-write: 0x80004fca <- 0x80670201 +mem-write: 0x80004fce <- 0xf9130000 +mem-write: 0x80004fd2 <- 0x933ff07 +mem-write: 0x80004fd6 <- 0x9334120 +mem-write: 0x80004fda <- 0x79300f9 +mem-write: 0x80004fde <- 0xd0e30070 +mem-write: 0x80004fe2 <- 0xf06ffb27 +mem-write: 0x80004fe6 <- 0x993f55f +mem-write: 0x80004fea <- 0x95130010 +mem-write: 0x80004fee <- 0x55130109 +mem-write: 0x80004ff2 <- 0xf06f0105 +mem-write: 0x80004ff6 <- 0x8513fc1f +mem-write: 0x80004ffa <- 0x98630009 +VXDRV: upload 1024 bytes to 0x80004ffe +mem-write: 0x80004ffe <- 0x15130009 +mem-write: 0x80005002 <- 0x55130105 +mem-write: 0x80005006 <- 0xf06f0105 +mem-write: 0x8000500a <- 0x513eb1f +mem-write: 0x8000500e <- 0xf06f0010 +mem-write: 0x80005012 <- 0x793ff1f +mem-write: 0x80005016 <- 0x993ff90 +mem-write: 0x8000501a <- 0xd4e30000 +mem-write: 0x8000501e <- 0x693f6f5 +mem-write: 0x80005022 <- 0xf06f0044 +mem-write: 0x80005026 <- 0x5783f1df +mem-write: 0x8000502a <- 0x1130045 +mem-write: 0x8000502e <- 0x2223ff01 +mem-write: 0x80005032 <- 0x26230091 +mem-write: 0x80005036 <- 0x24230011 +mem-write: 0x8000503a <- 0x20230081 +mem-write: 0x8000503e <- 0x4930121 +mem-write: 0x80005042 <- 0x9c630005 +mem-write: 0x80005046 <- 0x57030c07 +mem-write: 0x8000504a <- 0x4130065 +mem-write: 0x8000504e <- 0x17930000 +mem-write: 0x80005052 <- 0xd7930107 +mem-write: 0x80005056 <- 0xc4634107 +mem-write: 0x8000505a <- 0x6930a07 +mem-write: 0x8000505e <- 0x61301a5 +mem-write: 0x80005062 <- 0x18630a00 +mem-write: 0x80005066 <- 0x87930207 +mem-write: 0x8000506a <- 0x6f0064 +mem-write: 0x8000506e <- 0xd7030080 +mem-write: 0x80005072 <- 0x87930007 +mem-write: 0x80005076 <- 0x9e230027 +mem-write: 0x8000507a <- 0x9ae3fee7 +mem-write: 0x8000507e <- 0x9c23fef6 +mem-write: 0x80005082 <- 0x4130004 +mem-write: 0x80005086 <- 0xc630104 +mem-write: 0x8000508a <- 0xd70306c4 +mem-write: 0x8000508e <- 0xce30064 +mem-write: 0x80005092 <- 0x7793fc07 +mem-write: 0x80005096 <- 0x9063f007 +mem-write: 0x8000509a <- 0x85130407 +mem-write: 0x8000509e <- 0x85930184 +mem-write: 0x800050a2 <- 0x7930024 +mem-write: 0x800050a6 <- 0x7130000 +mem-write: 0x800050aa <- 0x56830005 +mem-write: 0x800050ae <- 0x7130007 +mem-write: 0x800050b2 <- 0x9613ffe7 +mem-write: 0x800050b6 <- 0xe7b30086 +mem-write: 0x800050ba <- 0x112300c7 +mem-write: 0x800050be <- 0xd79300f7 +mem-write: 0x800050c2 <- 0x94e30086 +mem-write: 0x800050c6 <- 0xd703fee5 +mem-write: 0x800050ca <- 0x4130064 +mem-write: 0x800050ce <- 0x77930084 +mem-write: 0x800050d2 <- 0x88e3f007 +mem-write: 0x800050d6 <- 0x913fc07 +mem-write: 0x800050da <- 0x6f0a00 +mem-write: 0x800050de <- 0x4130140 +mem-write: 0x800050e2 <- 0xf0ef0014 +mem-write: 0x800050e6 <- 0x4c63941f +mem-write: 0x800050ea <- 0xd7030089 +mem-write: 0x800050ee <- 0x17130064 +mem-write: 0x800050f2 <- 0x57130107 +mem-write: 0x800050f6 <- 0x85134107 +mem-write: 0x800050fa <- 0x52e30004 +mem-write: 0x800050fe <- 0x2083fe07 +mem-write: 0x80005102 <- 0x51300c1 +mem-write: 0x80005106 <- 0x24030004 +mem-write: 0x8000510a <- 0x24830081 +mem-write: 0x8000510e <- 0x29030041 +mem-write: 0x80005112 <- 0x1130001 +mem-write: 0x80005116 <- 0x80670101 +mem-write: 0x8000511a <- 0xf7130000 +mem-write: 0x8000511e <- 0x413f007 +mem-write: 0x80005122 <- 0x10630000 +mem-write: 0x80005126 <- 0x9130407 +mem-write: 0x8000512a <- 0x6ff6f0 +mem-write: 0x8000512e <- 0x4130140 +mem-write: 0x80005132 <- 0xf0effff4 +mem-write: 0x80005136 <- 0x4e3889f +mem-write: 0x8000513a <- 0xd783fd24 +mem-write: 0x8000513e <- 0x85130044 +mem-write: 0x80005142 <- 0x96e30004 +mem-write: 0x80005146 <- 0x2083fe07 +mem-write: 0x8000514a <- 0x51300c1 +mem-write: 0x8000514e <- 0x24030004 +mem-write: 0x80005152 <- 0x24830081 +mem-write: 0x80005156 <- 0x29030041 +mem-write: 0x8000515a <- 0x1130001 +mem-write: 0x8000515e <- 0x80670101 +mem-write: 0x80005162 <- 0x6930000 +mem-write: 0x80005166 <- 0x5930045 +mem-write: 0x8000516a <- 0x71301a5 +mem-write: 0x8000516e <- 0x6f0000 +mem-write: 0x80005172 <- 0xd7830080 +mem-write: 0x80005176 <- 0xd6130006 +mem-write: 0x8000517a <- 0x67330087 +mem-write: 0x8000517e <- 0x979300c7 +mem-write: 0x80005182 <- 0x90230087 +mem-write: 0x80005186 <- 0x971300e6 +mem-write: 0x8000518a <- 0x86930107 +mem-write: 0x8000518e <- 0x57130026 +mem-write: 0x80005192 <- 0x90e30107 +mem-write: 0x80005196 <- 0xd783feb6 +mem-write: 0x8000519a <- 0x4130044 +mem-write: 0x8000519e <- 0xf06fff80 +mem-write: 0x800051a2 <- 0x113f89f +mem-write: 0x800051a6 <- 0x2c23fe01 +mem-write: 0x800051aa <- 0x2a230081 +mem-write: 0x800051ae <- 0x28230091 +mem-write: 0x800051b2 <- 0x26230121 +mem-write: 0x800051b6 <- 0x24230131 +mem-write: 0x800051ba <- 0x22230141 +mem-write: 0x800051be <- 0x89130151 +mem-write: 0x800051c2 <- 0x84930006 +mem-write: 0x800051c6 <- 0x2e230007 +mem-write: 0x800051ca <- 0x4130011 +mem-write: 0x800051ce <- 0x89930005 +mem-write: 0x800051d2 <- 0xa130005 +mem-write: 0x800051d6 <- 0xa930006 +mem-write: 0x800051da <- 0xf0ef0007 +mem-write: 0x800051de <- 0x793e4df +mem-write: 0x800051e2 <- 0x9330900 +mem-write: 0x800051e6 <- 0xdc6340a9 +mem-write: 0x800051ea <- 0x87b716a7 +mem-write: 0x800051ee <- 0x87930000 +mem-write: 0x800051f2 <- 0xda63ffe7 +mem-write: 0x800051f6 <- 0x84631f27 +mem-write: 0x800051fa <- 0xa5031c0a +mem-write: 0x800051fe <- 0xa7830044 +mem-write: 0x80005202 <- 0xa630004 +mem-write: 0x80005206 <- 0x871306f5 +mem-write: 0x8000520a <- 0x879301a4 +mem-write: 0x8000520e <- 0x7130344 +mem-write: 0x80005212 <- 0x1f230027 +mem-write: 0x80005216 <- 0x1ce3fe07 +mem-write: 0x8000521a <- 0x793fef7 +mem-write: 0x8000521e <- 0xc630380 +mem-write: 0x80005222 <- 0xd06332f5 +mem-write: 0x80005226 <- 0x79316a7 +mem-write: 0x8000522a <- 0xc630400 +mem-write: 0x8000522e <- 0x7932ef5 +mem-write: 0x80005232 <- 0x18630710 +mem-write: 0x80005236 <- 0x87b734f5 +mem-write: 0x8000523a <- 0x87934000 +mem-write: 0x8000523e <- 0x713fff7 +mem-write: 0x80005242 <- 0xaa2300a0 +mem-write: 0x80005246 <- 0x87b700f4 +mem-write: 0x8000524a <- 0xa423ffff +mem-write: 0x8000524e <- 0x9c2300e4 +mem-write: 0x80005252 <- 0xa62300f4 +mem-write: 0x80005256 <- 0x79300e4 +mem-write: 0x8000525a <- 0x873700a0 +mem-write: 0x8000525e <- 0x87930000 +mem-write: 0x80005262 <- 0x97930087 +mem-write: 0x80005266 <- 0x87b30017 +mem-write: 0x8000526a <- 0x952300f4 +mem-write: 0x8000526e <- 0xa02300e7 +mem-write: 0x80005272 <- 0x586300a4 +mem-write: 0x80005276 <- 0xa5831b20 +mem-write: 0x8000527a <- 0xd7830084 +mem-write: 0x8000527e <- 0x8130144 +mem-write: 0x80005282 <- 0x961308f0 +mem-write: 0x80005286 <- 0x6330015 +mem-write: 0x8000528a <- 0x570300c4 +mem-write: 0x8000528e <- 0x76b30006 +mem-write: 0x80005292 <- 0x4a6300f7 +mem-write: 0x80005296 <- 0x81302a8 +mem-write: 0x8000529a <- 0x466300b0 +mem-write: 0x8000529e <- 0x79302b8 +mem-write: 0x800052a2 <- 0x5930006 +mem-write: 0x800052a6 <- 0xd7030184 +mem-write: 0x800052aa <- 0x4630027 +mem-write: 0x800052ae <- 0xe6930007 +mem-write: 0x800052b2 <- 0x91230016 +mem-write: 0x800052b6 <- 0x87930007 +mem-write: 0x800052ba <- 0x96e30027 +mem-write: 0x800052be <- 0x5703fef5 +mem-write: 0x800052c2 <- 0xd7830006 +mem-write: 0x800052c6 <- 0xc7930144 +mem-write: 0x800052ca <- 0xf7b3fff7 +mem-write: 0x800052ce <- 0x102300e7 +mem-write: 0x800052d2 <- 0xd78300f6 +mem-write: 0x800052d6 <- 0xf7330164 +mem-write: 0x800052da <- 0x6300d7 +mem-write: 0x800052de <- 0x84630407 +mem-write: 0x800052e2 <- 0x86131ad7 +mem-write: 0x800052e6 <- 0x6930324 +mem-write: 0x800052ea <- 0x84930184 +mem-write: 0x800052ee <- 0x71301c4 +mem-write: 0x800052f2 <- 0x57830000 +mem-write: 0x800052f6 <- 0xd5830006 +mem-write: 0x800052fa <- 0x86930006 +mem-write: 0x800052fe <- 0x613ffe6 +mem-write: 0x80005302 <- 0x87b3ffe6 +mem-write: 0x80005306 <- 0x87b300b7 +mem-write: 0x8000530a <- 0xd71300e7 +mem-write: 0x8000530e <- 0x91230107 +mem-write: 0x80005312 <- 0x771300f6 +mem-write: 0x80005316 <- 0x1ee30017 +mem-write: 0x8000531a <- 0x5863fc96 +mem-write: 0x8000531e <- 0x57831920 +mem-write: 0x80005322 <- 0x9e630044 +mem-write: 0x80005326 <- 0x87b71207 +mem-write: 0x8000532a <- 0x1c230000 +mem-write: 0x8000532e <- 0x87930004 +mem-write: 0x80005332 <- 0xc863ffe7 +mem-write: 0x80005336 <- 0x11230927 +mem-write: 0x8000533a <- 0x20830124 +mem-write: 0x8000533e <- 0x240301c1 +mem-write: 0x80005342 <- 0x24830181 +mem-write: 0x80005346 <- 0x29030141 +mem-write: 0x8000534a <- 0x29830101 +mem-write: 0x8000534e <- 0x2a0300c1 +mem-write: 0x80005352 <- 0x2a830081 +mem-write: 0x80005356 <- 0x1130041 +mem-write: 0x8000535a <- 0x80670201 +mem-write: 0x8000535e <- 0x54630000 +mem-write: 0x80005362 <- 0x7930e09 +mem-write: 0x80005366 <- 0x5c63f700 +mem-write: 0x8000536a <- 0x79308f9 +mem-write: 0x8000536e <- 0x4130024 +mem-write: 0x80005372 <- 0x879301a4 +mem-write: 0x80005376 <- 0x9f230027 +mem-write: 0x8000537a <- 0x9ce3fe07 +mem-write: 0x8000537e <- 0xf06ffe87 +mem-write: 0x80005382 <- 0x793fbdf +mem-write: 0x80005386 <- 0x8630180 +mem-write: 0x8000538a <- 0x79316f5 +mem-write: 0x8000538e <- 0x1a630350 +mem-write: 0x80005392 <- 0x17371ef5 +mem-write: 0x80005396 <- 0x7b70000 +mem-write: 0x8000539a <- 0x6930400 +mem-write: 0x8000539e <- 0x87930060 +mem-write: 0x800053a2 <- 0x7137ff7 +mem-write: 0x800053a6 <- 0xaa238007 +mem-write: 0x800053aa <- 0xa42300f4 +mem-write: 0x800053ae <- 0x9c2300d4 +mem-write: 0x800053b2 <- 0xa62300e4 +mem-write: 0x800053b6 <- 0x79300d4 +mem-write: 0x800053ba <- 0xf06f0060 +mem-write: 0x800053be <- 0x1c23ea5f +mem-write: 0x800053c2 <- 0x87b70004 +mem-write: 0x800053c6 <- 0xc793ffff +mem-write: 0x800053ca <- 0x1123fff7 +mem-write: 0x800053ce <- 0x79300f4 +mem-write: 0x800053d2 <- 0x4130044 +mem-write: 0x800053d6 <- 0x90230184 +mem-write: 0x800053da <- 0x87930007 +mem-write: 0x800053de <- 0x1ce30027 +mem-write: 0x800053e2 <- 0xf06ffef4 +mem-write: 0x800053e6 <- 0x793f59f +mem-write: 0x800053ea <- 0x4130024 +mem-write: 0x800053ee <- 0x879301a4 +mem-write: 0x800053f2 <- 0x9f230027 +mem-write: 0x800053f6 <- 0x9ce3fe07 +mem-write: 0x800053fa <- 0xf06ffe87 +VXDRV: upload 1024 bytes to 0x800053fe +mem-write: 0x800053fe <- 0x593f41f +mem-write: 0x80005402 <- 0x5130009 +mem-write: 0x80005406 <- 0xf0ef0004 +mem-write: 0x8000540a <- 0x463a05f +mem-write: 0x8000540e <- 0x9930005 +mem-write: 0x80005412 <- 0x8c630010 +mem-write: 0x80005416 <- 0xa5030c0a +mem-write: 0x8000541a <- 0xa7830044 +mem-write: 0x8000541e <- 0x14e30004 +mem-write: 0x80005422 <- 0x793def5 +mem-write: 0x80005426 <- 0x4630900 +mem-write: 0x8000542a <- 0x57830af5 +mem-write: 0x8000542e <- 0x5130184 +mem-write: 0x80005432 <- 0xf7930004 +mem-write: 0x80005436 <- 0xe9b30017 +mem-write: 0x8000543a <- 0xf0ef00f9 +mem-write: 0x8000543e <- 0xa503d80f +mem-write: 0x80005442 <- 0xf06f0044 +mem-write: 0x80005446 <- 0x80e3e35f +mem-write: 0x8000544a <- 0xa503ee0a +mem-write: 0x8000544e <- 0xa7830044 +mem-write: 0x80005452 <- 0x1ae30004 +mem-write: 0x80005456 <- 0x40e3daf5 +mem-write: 0x8000545a <- 0xf06fe320 +mem-write: 0x8000545e <- 0x513fc9f +mem-write: 0x80005462 <- 0xf0ef0004 +mem-write: 0x80005466 <- 0x87b7d58f +mem-write: 0x8000546a <- 0x9130000 +mem-write: 0x8000546e <- 0x1c230019 +mem-write: 0x80005472 <- 0x87930004 +mem-write: 0x80005476 <- 0xc6e3ffe7 +mem-write: 0x8000547a <- 0x5ee3f527 +mem-write: 0x8000547e <- 0x1123ea09 +mem-write: 0x80005482 <- 0xf06f0004 +mem-write: 0x80005486 <- 0x9463eb9f +mem-write: 0x8000548a <- 0xa7830c09 +mem-write: 0x8000548e <- 0xd70300c4 +mem-write: 0x80005492 <- 0x97930184 +mem-write: 0x80005496 <- 0x7b30017 +mem-write: 0x8000549a <- 0xd78300f4 +mem-write: 0x8000549e <- 0xf7b30007 +mem-write: 0x800054a2 <- 0x90e300e7 +mem-write: 0x800054a6 <- 0x4ce3e407 +mem-write: 0x800054aa <- 0x793e720 +mem-write: 0x800054ae <- 0x6630900 +mem-write: 0x800054b2 <- 0x51300f5 +mem-write: 0x800054b6 <- 0xf0ef0004 +mem-write: 0x800054ba <- 0x5783d6cf +mem-write: 0x800054be <- 0x90e30044 +mem-write: 0x800054c2 <- 0x1c23fa07 +mem-write: 0x800054c6 <- 0x4ce30004 +mem-write: 0x800054ca <- 0xf06ffa09 +mem-write: 0x800054ce <- 0xa603e6df +mem-write: 0x800054d2 <- 0xd7830084 +mem-write: 0x800054d6 <- 0x16130144 +mem-write: 0x800054da <- 0x6330016 +mem-write: 0x800054de <- 0x570300c4 +mem-write: 0x800054e2 <- 0xf6b30006 +mem-write: 0x800054e6 <- 0xf06f00e7 +mem-write: 0x800054ea <- 0x1c23de1f +mem-write: 0x800054ee <- 0x11230004 +mem-write: 0x800054f2 <- 0xf06f0004 +mem-write: 0x800054f6 <- 0x7b7e49f +mem-write: 0x800054fa <- 0x87930080 +mem-write: 0x800054fe <- 0x7130ff7 +mem-write: 0x80005502 <- 0xaa230040 +mem-write: 0x80005506 <- 0x79300f4 +mem-write: 0x8000550a <- 0xa4231000 +mem-write: 0x8000550e <- 0x9c2300e4 +mem-write: 0x80005512 <- 0xa62300f4 +mem-write: 0x80005516 <- 0x79300e4 +mem-write: 0x8000551a <- 0x7130040 +mem-write: 0x8000551e <- 0xf06f1000 +mem-write: 0x80005522 <- 0x793d41f +mem-write: 0x80005526 <- 0xa4230070 +mem-write: 0x8000552a <- 0x7b700f4 +mem-write: 0x8000552e <- 0x87938001 +mem-write: 0x80005532 <- 0xaa23fff7 +mem-write: 0x80005536 <- 0x79300f4 +mem-write: 0x8000553a <- 0x9c230010 +mem-write: 0x8000553e <- 0x79300f4 +mem-write: 0x80005542 <- 0xa6230060 +mem-write: 0x80005546 <- 0x71300f4 +mem-write: 0x8000554a <- 0xf06f0010 +mem-write: 0x8000554e <- 0xae3d15f +mem-write: 0x80005552 <- 0xf06fd80a +mem-write: 0x80005556 <- 0x7b7dc9f +mem-write: 0x8000555a <- 0x87930080 +mem-write: 0x8000555e <- 0x7130ff7 +mem-write: 0x80005562 <- 0xaa230060 +mem-write: 0x80005566 <- 0x79300f4 +mem-write: 0x8000556a <- 0xa4231000 +mem-write: 0x8000556e <- 0x9c2300e4 +mem-write: 0x80005572 <- 0xa62300f4 +mem-write: 0x80005576 <- 0x79300e4 +mem-write: 0x8000557a <- 0x7130060 +mem-write: 0x8000557e <- 0xf06f1000 +mem-write: 0x80005582 <- 0x793ce1f +mem-write: 0x80005586 <- 0xa42300c0 +mem-write: 0x8000558a <- 0x7b700f4 +mem-write: 0x8000558e <- 0x87938001 +mem-write: 0x80005592 <- 0xaa23fff7 +mem-write: 0x80005596 <- 0x79300f4 +mem-write: 0x8000559a <- 0x9c230010 +mem-write: 0x8000559e <- 0x79300f4 +mem-write: 0x800055a2 <- 0xa62300b0 +mem-write: 0x800055a6 <- 0x71300f4 +mem-write: 0x800055aa <- 0xf06f0010 +mem-write: 0x800055ae <- 0x113cb5f +mem-write: 0x800055b2 <- 0x2223fd01 +mem-write: 0x800055b6 <- 0x2e230291 +mem-write: 0x800055ba <- 0x84930131 +mem-write: 0x800055be <- 0x59830005 +mem-write: 0x800055c2 <- 0x26230025 +mem-write: 0x800055c6 <- 0x24230211 +mem-write: 0x800055ca <- 0x20230281 +mem-write: 0x800055ce <- 0x2c230321 +mem-write: 0x800055d2 <- 0x9130141 +mem-write: 0x800055d6 <- 0x2a230006 +mem-write: 0x800055da <- 0x28230151 +mem-write: 0x800055de <- 0x26230161 +mem-write: 0x800055e2 <- 0x24230171 +mem-write: 0x800055e6 <- 0x22230181 +mem-write: 0x800055ea <- 0x20230191 +mem-write: 0x800055ee <- 0xa1301a1 +mem-write: 0x800055f2 <- 0xf0ef0005 +mem-write: 0x800055f6 <- 0xd403a35f +mem-write: 0x800055fa <- 0x7930024 +mem-write: 0x800055fe <- 0x85130005 +mem-write: 0x80005602 <- 0x89b30004 +mem-write: 0x80005606 <- 0xa9340f9 +mem-write: 0x8000560a <- 0xf0ef0349 +mem-write: 0x8000560e <- 0x433a1df +mem-write: 0x80005612 <- 0x71340a4 +mem-write: 0x80005616 <- 0x879304e9 +mem-write: 0x8000561a <- 0x8793000a +mem-write: 0x8000561e <- 0x9f230027 +mem-write: 0x80005622 <- 0x9ce3fe07 +mem-write: 0x80005626 <- 0x4a63fee7 +mem-write: 0x8000562a <- 0xb930934 +mem-write: 0x8000562e <- 0x8b13004a +mem-write: 0x80005632 <- 0x89930044 +mem-write: 0x80005636 <- 0xc93fff9 +mem-write: 0x8000563a <- 0x8c1301aa +mem-write: 0x8000563e <- 0x7130024 +mem-write: 0x80005642 <- 0x8793000b +mem-write: 0x80005646 <- 0xd603000b +mem-write: 0x8000564a <- 0x56830007 +mem-write: 0x8000564e <- 0x87930007 +mem-write: 0x80005652 <- 0x7130027 +mem-write: 0x80005656 <- 0x1a630027 +mem-write: 0x8000565a <- 0x96e30ad6 +mem-write: 0x8000565e <- 0x613ff97 +mem-write: 0x80005662 <- 0x8713018a +mem-write: 0x80005666 <- 0x6930184 +mem-write: 0x8000566a <- 0x57830000 +mem-write: 0x8000566e <- 0x55830007 +mem-write: 0x80005672 <- 0x7130006 +mem-write: 0x80005676 <- 0x87b3ffe7 +mem-write: 0x8000567a <- 0x87b340d7 +mem-write: 0x8000567e <- 0xd69340b7 +mem-write: 0x80005682 <- 0x11230107 +mem-write: 0x80005686 <- 0xf69300f7 +mem-write: 0x8000568a <- 0x6130016 +mem-write: 0x8000568e <- 0x1ee3ffe6 +mem-write: 0x80005692 <- 0xd13fcec +mem-write: 0x80005696 <- 0x85130010 +mem-write: 0x8000569a <- 0xf0ef000a +mem-write: 0x8000569e <- 0x5783b88f +mem-write: 0x800056a2 <- 0x41304c9 +mem-write: 0x800056a6 <- 0x8513fff4 +mem-write: 0x800056aa <- 0x6d330004 +mem-write: 0x800056ae <- 0x162300fd +mem-write: 0x800056b2 <- 0xf0ef05a9 +mem-write: 0x800056b6 <- 0x14e3b70f +mem-write: 0x800056ba <- 0x693f934 +mem-write: 0x800056be <- 0x24030004 +mem-write: 0x800056c2 <- 0x20830281 +mem-write: 0x800056c6 <- 0x298302c1 +mem-write: 0x800056ca <- 0x2a0301c1 +mem-write: 0x800056ce <- 0x2a830181 +mem-write: 0x800056d2 <- 0x2b030141 +mem-write: 0x800056d6 <- 0x2b830101 +mem-write: 0x800056da <- 0x2c0300c1 +mem-write: 0x800056de <- 0x2c830081 +mem-write: 0x800056e2 <- 0x2d030041 +mem-write: 0x800056e6 <- 0x7930001 +mem-write: 0x800056ea <- 0x85130009 +mem-write: 0x800056ee <- 0x29030004 +mem-write: 0x800056f2 <- 0x24830201 +mem-write: 0x800056f6 <- 0x7130241 +mem-write: 0x800056fa <- 0x6130000 +mem-write: 0x800056fe <- 0x5930000 +mem-write: 0x80005702 <- 0x1130000 +mem-write: 0x80005706 <- 0xf06f0301 +mem-write: 0x8000570a <- 0xd13a9df +mem-write: 0x8000570e <- 0xe4e30000 +mem-write: 0x80005712 <- 0xf06ff8c6 +mem-write: 0x80005716 <- 0x5703f4df +mem-write: 0x8000571a <- 0x57830005 +mem-write: 0x8000571e <- 0x6630025 +mem-write: 0x80005722 <- 0x87370007 +mem-write: 0x80005726 <- 0xe7b30000 +mem-write: 0x8000572a <- 0x992300e7 +mem-write: 0x8000572e <- 0x570300f5 +mem-write: 0x80005732 <- 0x87b70025 +mem-write: 0x80005736 <- 0x87930000 +mem-write: 0x8000573a <- 0x463fff7 +mem-write: 0x8000573e <- 0x79302f7 +mem-write: 0x80005742 <- 0x85930065 +mem-write: 0x80005746 <- 0x5130105 +mem-write: 0x8000574a <- 0xd7030185 +mem-write: 0x8000574e <- 0x87930007 +mem-write: 0x80005752 <- 0x85930027 +mem-write: 0x80005756 <- 0x9123ffe5 +mem-write: 0x8000575a <- 0x98e300e5 +mem-write: 0x8000575e <- 0x8067fea7 +mem-write: 0x80005762 <- 0x7930000 +mem-write: 0x80005766 <- 0x5130065 +mem-write: 0x8000576a <- 0xd70301a5 +mem-write: 0x8000576e <- 0x87930007 +mem-write: 0x80005772 <- 0x1a630027 +mem-write: 0x80005776 <- 0x9ae30207 +mem-write: 0x8000577a <- 0x8713fea7 +mem-write: 0x8000577e <- 0x87930125 +mem-write: 0x80005782 <- 0x87930005 +mem-write: 0x80005786 <- 0x9f230027 +mem-write: 0x8000578a <- 0x1ce3fe07 +mem-write: 0x8000578e <- 0xd783fef7 +mem-write: 0x80005792 <- 0x87370125 +mem-write: 0x80005796 <- 0x7130000 +mem-write: 0x8000579a <- 0xe7b3fff7 +mem-write: 0x8000579e <- 0x992300e7 +mem-write: 0x800057a2 <- 0x806700f5 +mem-write: 0x800057a6 <- 0x87130000 +mem-write: 0x800057aa <- 0x87930105 +mem-write: 0x800057ae <- 0x87930005 +mem-write: 0x800057b2 <- 0x9f230027 +mem-write: 0x800057b6 <- 0x1ce3fe07 +mem-write: 0x800057ba <- 0xc7b7fef7 +mem-write: 0x800057be <- 0xa8237fff +mem-write: 0x800057c2 <- 0x806700f5 +mem-write: 0x800057c6 <- 0x1130000 +mem-write: 0x800057ca <- 0x2823f701 +mem-write: 0x800057ce <- 0x5b030761 +mem-write: 0x800057d2 <- 0x87b70125 +mem-write: 0x800057d6 <- 0x87930000 +mem-write: 0x800057da <- 0x2c23fff7 +mem-write: 0x800057de <- 0xfa330741 +mem-write: 0x800057e2 <- 0x1a130167 +mem-write: 0x800057e6 <- 0x2423010a +mem-write: 0x800057ea <- 0x22230881 +mem-write: 0x800057ee <- 0x20230891 +mem-write: 0x800057f2 <- 0x2e230921 +mem-write: 0x800057f6 <- 0x26230731 +mem-write: 0x800057fa <- 0x2a230811 +VXDRV: upload 1024 bytes to 0x800057fe +mem-write: 0x800057fe <- 0x26230751 +mem-write: 0x80005802 <- 0x24230771 +mem-write: 0x80005806 <- 0x22230781 +mem-write: 0x8000580a <- 0x5a130791 +mem-write: 0x8000580e <- 0x493010a +mem-write: 0x80005812 <- 0x89130005 +mem-write: 0x80005816 <- 0x4130005 +mem-write: 0x8000581a <- 0x89930006 +mem-write: 0x8000581e <- 0x12630006 +mem-write: 0x80005822 <- 0xf0ef10fa +mem-write: 0x80005826 <- 0x1a63b08f +mem-write: 0x8000582a <- 0x5a832805 +mem-write: 0x8000582e <- 0x77b30129 +mem-write: 0x80005832 <- 0x8263015a +mem-write: 0x80005836 <- 0x85132b47 +mem-write: 0x8000583a <- 0xf0ef0004 +mem-write: 0x8000583e <- 0xe63db4f +mem-write: 0x80005842 <- 0x55b72e05 +mem-write: 0x80005846 <- 0x85938001 +mem-write: 0x8000584a <- 0x513c205 +mem-write: 0x8000584e <- 0xf0ef0009 +mem-write: 0x80005852 <- 0x663c84f +mem-write: 0x80005856 <- 0x5a833605 +mem-write: 0x8000585a <- 0x87b70129 +mem-write: 0x8000585e <- 0x87930000 +mem-write: 0x80005862 <- 0xfab3fff7 +mem-write: 0x80005866 <- 0x9a930157 +mem-write: 0x8000586a <- 0xda93010a +mem-write: 0x8000586e <- 0x9463010a +mem-write: 0x80005872 <- 0x5132cfa +mem-write: 0x80005876 <- 0xf0ef0009 +mem-write: 0x8000587a <- 0x1063d78f +mem-write: 0x8000587e <- 0xd7833205 +mem-write: 0x80005882 <- 0xf7b30124 +mem-write: 0x80005886 <- 0x986300fa +mem-write: 0x8000588a <- 0x85130b57 +mem-write: 0x8000588e <- 0xf0ef0004 +mem-write: 0x80005892 <- 0x1863d60f +mem-write: 0x80005896 <- 0x5130005 +mem-write: 0x8000589a <- 0xf0ef0009 +mem-write: 0x8000589e <- 0xc63d54f +mem-write: 0x800058a2 <- 0x85130805 +mem-write: 0x800058a6 <- 0xf0ef0004 +mem-write: 0x800058aa <- 0x493af4f +mem-write: 0x800058ae <- 0x5130005 +mem-write: 0x800058b2 <- 0xf0ef0009 +mem-write: 0x800058b6 <- 0x84b3ae8f +mem-write: 0x800058ba <- 0x34b340a4 +mem-write: 0x800058be <- 0x94930090 +mem-write: 0x800058c2 <- 0x192300f4 +mem-write: 0x800058c6 <- 0x7130094 +mem-write: 0x800058ca <- 0x7930124 +mem-write: 0x800058ce <- 0x87930004 +mem-write: 0x800058d2 <- 0x9f230027 +mem-write: 0x800058d6 <- 0x1ce3fe07 +mem-write: 0x800058da <- 0x5783fef7 +mem-write: 0x800058de <- 0x87370124 +mem-write: 0x800058e2 <- 0x7130000 +mem-write: 0x800058e6 <- 0xe7b3fff7 +mem-write: 0x800058ea <- 0x192300e7 +mem-write: 0x800058ee <- 0x208300f4 +mem-write: 0x800058f2 <- 0x240308c1 +mem-write: 0x800058f6 <- 0x24830881 +mem-write: 0x800058fa <- 0x29030841 +mem-write: 0x800058fe <- 0x29830801 +mem-write: 0x80005902 <- 0x2a0307c1 +mem-write: 0x80005906 <- 0x2a830781 +mem-write: 0x8000590a <- 0x2b030741 +mem-write: 0x8000590e <- 0x2b830701 +mem-write: 0x80005912 <- 0x2c0306c1 +mem-write: 0x80005916 <- 0x2c830681 +mem-write: 0x8000591a <- 0x1130641 +mem-write: 0x8000591e <- 0x80670901 +mem-write: 0x80005922 <- 0xda830000 +mem-write: 0x80005926 <- 0xf7330125 +mem-write: 0x8000592a <- 0x17130157 +mem-write: 0x8000592e <- 0x57130107 +mem-write: 0x80005932 <- 0xa630107 +mem-write: 0x80005936 <- 0x851304f7 +mem-write: 0x8000593a <- 0x5930004 +mem-write: 0x8000593e <- 0xf0ef00c1 +mem-write: 0x80005942 <- 0x513a9cf +mem-write: 0x80005946 <- 0x5930009 +mem-write: 0x8000594a <- 0xf0ef0281 +mem-write: 0x8000594e <- 0x5483a90f +mem-write: 0x80005952 <- 0x590300e1 +mem-write: 0x80005956 <- 0x9c6302a1 +mem-write: 0x8000595a <- 0x7930404 +mem-write: 0x8000595e <- 0x6930101 +mem-write: 0x80005962 <- 0x88630241 +mem-write: 0x80005966 <- 0xd70320d7 +mem-write: 0x8000596a <- 0x87930007 +mem-write: 0x8000596e <- 0xae30027 +mem-write: 0x80005972 <- 0x513fe07 +mem-write: 0x80005976 <- 0xf0ef00c1 +mem-write: 0x8000597a <- 0x5703eb0f +mem-write: 0x8000597e <- 0x4b302a1 +mem-write: 0x80005982 <- 0x6f40a0 +mem-write: 0x80005986 <- 0x85130300 +mem-write: 0x8000598a <- 0xf0ef0005 +mem-write: 0x8000598e <- 0x6e39a0f +mem-write: 0x80005992 <- 0x713ec05 +mem-write: 0x80005996 <- 0x57830149 +mem-write: 0x8000599a <- 0x9130009 +mem-write: 0x8000599e <- 0x4130029 +mem-write: 0x800059a2 <- 0x1f230024 +mem-write: 0x800059a6 <- 0x18e3fef4 +mem-write: 0x800059aa <- 0xf06ffee9 +mem-write: 0x800059ae <- 0x713f45f +mem-write: 0x800059b2 <- 0xa930009 +mem-write: 0x800059b6 <- 0x7930009 +mem-write: 0x800059ba <- 0x69302c1 +mem-write: 0x800059be <- 0x12630401 +mem-write: 0x800059c2 <- 0x82630207 +mem-write: 0x800059c6 <- 0xd7031cf6 +mem-write: 0x800059ca <- 0x87930007 +mem-write: 0x800059ce <- 0xae30027 +mem-write: 0x800059d2 <- 0x513fe07 +mem-write: 0x800059d6 <- 0xf0ef0281 +mem-write: 0x800059da <- 0x5703e50f +mem-write: 0x800059de <- 0xab302a1 +mem-write: 0x800059e2 <- 0x578340a9 +mem-write: 0x800059e6 <- 0x8c130281 +mem-write: 0x800059ea <- 0x9b230389 +mem-write: 0x800059ee <- 0x9a2302e9 +mem-write: 0x800059f2 <- 0x871302f9 +mem-write: 0x800059f6 <- 0x79304e9 +mem-write: 0x800059fa <- 0x9023000c +mem-write: 0x800059fe <- 0x87930007 +mem-write: 0x80005a02 <- 0x1ce30027 +mem-write: 0x80005a06 <- 0x8a13fef7 +mem-write: 0x80005a0a <- 0xb9304c9 +mem-write: 0x80005a0e <- 0x9130000 +mem-write: 0x80005a12 <- 0xc930241 +mem-write: 0x80005a16 <- 0xb130101 +mem-write: 0x80005a1a <- 0x55030461 +mem-write: 0x80005a1e <- 0x9130009 +mem-write: 0x80005a22 <- 0x1863ffe9 +mem-write: 0x80005a26 <- 0xd7030c05 +mem-write: 0x80005a2a <- 0x79304c9 +mem-write: 0x80005a2e <- 0xebb3000a +mem-write: 0x80005a32 <- 0xd70300eb +mem-write: 0x80005a36 <- 0x8793ffe7 +mem-write: 0x80005a3a <- 0x9123ffe7 +mem-write: 0x80005a3e <- 0x9ae300e7 +mem-write: 0x80005a42 <- 0x9c23ff87 +mem-write: 0x80005a46 <- 0x1ae30209 +mem-write: 0x80005a4a <- 0x8713fd99 +mem-write: 0x80005a4e <- 0x7930349 +mem-write: 0x80005a52 <- 0x5930281 +mem-write: 0x80005a56 <- 0x56030421 +mem-write: 0x80005a5a <- 0x87930007 +mem-write: 0x80005a5e <- 0x7130027 +mem-write: 0x80005a62 <- 0x9f230027 +mem-write: 0x80005a66 <- 0x98e3fec7 +mem-write: 0x80005a6a <- 0xc6b7fef5 +mem-write: 0x80005a6e <- 0x84b3ffff +mem-write: 0x80005a72 <- 0x86930154 +mem-write: 0x80005a76 <- 0x85930026 +mem-write: 0x80005a7a <- 0x513000b +mem-write: 0x80005a7e <- 0x87930281 +mem-write: 0x80005a82 <- 0x7130009 +mem-write: 0x80005a86 <- 0x86b30400 +mem-write: 0x80005a8a <- 0x61300d4 +mem-write: 0x80005a8e <- 0xf0ef0000 +mem-write: 0x80005a92 <- 0x5703f14f +mem-write: 0x80005a96 <- 0x57830281 +mem-write: 0x80005a9a <- 0x59300c1 +mem-write: 0x80005a9e <- 0x5130004 +mem-write: 0x80005aa2 <- 0x87b30281 +mem-write: 0x80005aa6 <- 0x37b340e7 +mem-write: 0x80005aaa <- 0x7b300f0 +mem-write: 0x80005aae <- 0x142340f0 +mem-write: 0x80005ab2 <- 0xf0ef02f1 +mem-write: 0x80005ab6 <- 0xf06fc65f +mem-write: 0x80005aba <- 0x8713e39f +mem-write: 0x80005abe <- 0xd7830144 +mem-write: 0x80005ac2 <- 0x84930004 +mem-write: 0x80005ac6 <- 0x4130024 +mem-write: 0x80005aca <- 0x1f230024 +mem-write: 0x80005ace <- 0x98e3fef4 +mem-write: 0x80005ad2 <- 0xf06ffee4 +mem-write: 0x80005ad6 <- 0x513e1df +mem-write: 0x80005ada <- 0xf0ef0009 +mem-write: 0x80005ade <- 0x1ae3850f +mem-write: 0x80005ae2 <- 0x8513ea05 +mem-write: 0x80005ae6 <- 0xf0ef0004 +mem-write: 0x80005aea <- 0x8e3b08f +mem-write: 0x80005aee <- 0xf06fd605 +mem-write: 0x80005af2 <- 0x613d55f +mem-write: 0x80005af6 <- 0x5930441 +mem-write: 0x80005afa <- 0xe0ef0281 +mem-write: 0x80005afe <- 0x593f99f +mem-write: 0x80005b02 <- 0x613000a +mem-write: 0x80005b06 <- 0x7130000 +mem-write: 0x80005b0a <- 0xd80305c1 +mem-write: 0x80005b0e <- 0x57830005 +mem-write: 0x80005b12 <- 0x85930007 +mem-write: 0x80005b16 <- 0x713ffe5 +mem-write: 0x80005b1a <- 0x87b3ffe7 +mem-write: 0x80005b1e <- 0x87b30107 +mem-write: 0x80005b22 <- 0xd61300c7 +mem-write: 0x80005b26 <- 0x91230107 +mem-write: 0x80005b2a <- 0x761300f5 +mem-write: 0x80005b2e <- 0x1ee30016 +mem-write: 0x80005b32 <- 0xf06ffd67 +mem-write: 0x80005b36 <- 0xdb03ef5f +mem-write: 0x80005b3a <- 0x87b70124 +mem-write: 0x80005b3e <- 0x87930000 +mem-write: 0x80005b42 <- 0xfb33fff7 +mem-write: 0x80005b46 <- 0x1b130167 +mem-write: 0x80005b4a <- 0x5b13010b +mem-write: 0x80005b4e <- 0x14e3010b +mem-write: 0x80005b52 <- 0x8513defb +mem-write: 0x80005b56 <- 0xf0ef0004 +mem-write: 0x80005b5a <- 0x14e3a98f +mem-write: 0x80005b5e <- 0x5783d405 +mem-write: 0x80005b62 <- 0xc7930129 +mem-write: 0x80005b66 <- 0x9713fff7 +mem-write: 0x80005b6a <- 0x16e30117 +mem-write: 0x80005b6e <- 0xf06fdc07 +mem-write: 0x80005b72 <- 0x793d29f +mem-write: 0x80005b76 <- 0x4130144 +mem-write: 0x80005b7a <- 0x1f230024 +mem-write: 0x80005b7e <- 0x9ce3fe04 +mem-write: 0x80005b82 <- 0xf06ffe87 +mem-write: 0x80005b86 <- 0x793d6df +mem-write: 0x80005b8a <- 0x4130144 +mem-write: 0x80005b8e <- 0x1f230024 +mem-write: 0x80005b92 <- 0x1ce3fe04 +mem-write: 0x80005b96 <- 0xf06ffef4 +mem-write: 0x80005b9a <- 0x55b7d59f +mem-write: 0x80005b9e <- 0x85938001 +mem-write: 0x80005ba2 <- 0x8513c205 +mem-write: 0x80005ba6 <- 0xf0ef0004 +mem-write: 0x80005baa <- 0xa6392cf +mem-write: 0x80005bae <- 0xd7830005 +mem-write: 0x80005bb2 <- 0xf7b30124 +mem-write: 0x80005bb6 <- 0x8ee300fa +mem-write: 0x80005bba <- 0xf06ff957 +mem-write: 0x80005bbe <- 0x713fa5f +mem-write: 0x80005bc2 <- 0x7930104 +mem-write: 0x80005bc6 <- 0x87930004 +mem-write: 0x80005bca <- 0x9f230027 +mem-write: 0x80005bce <- 0x9ce3fe07 +mem-write: 0x80005bd2 <- 0xc7b7fee7 +mem-write: 0x80005bd6 <- 0x28237fff +mem-write: 0x80005bda <- 0xf06f00f4 +mem-write: 0x80005bde <- 0x5783d15f +mem-write: 0x80005be2 <- 0x1130125 +mem-write: 0x80005be6 <- 0x2423f501 +mem-write: 0x80005bea <- 0xc7930a81 +mem-write: 0x80005bee <- 0x2223fff7 +mem-write: 0x80005bf2 <- 0x20230a91 +mem-write: 0x80005bf6 <- 0x2e230b21 +mem-write: 0x80005bfa <- 0x26230931 +VXDRV: upload 1023 bytes to 0x80005bfe +mem-write: 0x80005bfe <- 0x2c230a11 +mem-write: 0x80005c02 <- 0x2a230941 +mem-write: 0x80005c06 <- 0x28230951 +mem-write: 0x80005c0a <- 0x26230961 +mem-write: 0x80005c0e <- 0x24230971 +mem-write: 0x80005c12 <- 0x22230981 +mem-write: 0x80005c16 <- 0x20230991 +mem-write: 0x80005c1a <- 0x2e2309a1 +mem-write: 0x80005c1e <- 0x971307b1 +mem-write: 0x80005c22 <- 0x9130117 +mem-write: 0x80005c26 <- 0x89930005 +mem-write: 0x80005c2a <- 0x4130005 +mem-write: 0x80005c2e <- 0x84930006 +mem-write: 0x80005c32 <- 0x16630006 +mem-write: 0x80005c36 <- 0xe0ef0007 +mem-write: 0x80005c3a <- 0x1863ef5f +mem-write: 0x80005c3e <- 0xd7833805 +mem-write: 0x80005c42 <- 0xc7930129 +mem-write: 0x80005c46 <- 0x9713fff7 +mem-write: 0x80005c4a <- 0xe630117 +mem-write: 0x80005c4e <- 0x5a370807 +mem-write: 0x80005c52 <- 0x5938001 +mem-write: 0x80005c56 <- 0x513c20a +mem-write: 0x80005c5a <- 0xf0ef0009 +mem-write: 0x80005c5e <- 0x463878f +mem-write: 0x80005c62 <- 0x5a031005 +mem-write: 0x80005c66 <- 0xd7030129 +mem-write: 0x80005c6a <- 0x87b70129 +mem-write: 0x80005c6e <- 0x87930000 +mem-write: 0x80005c72 <- 0xfa33fff7 +mem-write: 0x80005c76 <- 0xfab30147 +mem-write: 0x80005c7a <- 0x1a6300e7 +mem-write: 0x80005c7e <- 0x51308fa +mem-write: 0x80005c82 <- 0xf0ef0009 +mem-write: 0x80005c86 <- 0x86396cf +mem-write: 0x80005c8a <- 0x98631005 +mem-write: 0x80005c8e <- 0x8513014a +mem-write: 0x80005c92 <- 0xf0ef0009 +mem-write: 0x80005c96 <- 0x106395cf +mem-write: 0x80005c9a <- 0x7930e05 +mem-write: 0x80005c9e <- 0x4130144 +mem-write: 0x80005ca2 <- 0x1f230024 +mem-write: 0x80005ca6 <- 0x1ce3fe04 +mem-write: 0x80005caa <- 0x2083fef4 +mem-write: 0x80005cae <- 0x24030ac1 +mem-write: 0x80005cb2 <- 0x24830a81 +mem-write: 0x80005cb6 <- 0x29030a41 +mem-write: 0x80005cba <- 0x29830a01 +mem-write: 0x80005cbe <- 0x2a0309c1 +mem-write: 0x80005cc2 <- 0x2a830981 +mem-write: 0x80005cc6 <- 0x2b030941 +mem-write: 0x80005cca <- 0x2b830901 +mem-write: 0x80005cce <- 0x2c0308c1 +mem-write: 0x80005cd2 <- 0x2c830881 +mem-write: 0x80005cd6 <- 0x2d030841 +mem-write: 0x80005cda <- 0x2d830801 +mem-write: 0x80005cde <- 0x11307c1 +mem-write: 0x80005ce2 <- 0x80670b01 +mem-write: 0x80005ce6 <- 0x85130000 +mem-write: 0x80005cea <- 0xe0ef0009 +mem-write: 0x80005cee <- 0xe3e41f +mem-write: 0x80005cf2 <- 0x8713f605 +mem-write: 0x80005cf6 <- 0xd7830149 +mem-write: 0x80005cfa <- 0x89930009 +mem-write: 0x80005cfe <- 0x4130029 +mem-write: 0x80005d02 <- 0x1f230024 +mem-write: 0x80005d06 <- 0x98e3fef4 +mem-write: 0x80005d0a <- 0xf06ffee9 +mem-write: 0x80005d0e <- 0x8663fa1f +mem-write: 0x80005d12 <- 0x51308fa +mem-write: 0x80005d16 <- 0x5930009 +mem-write: 0x80005d1a <- 0xe0ef01c1 +mem-write: 0x80005d1e <- 0x593ec1f +mem-write: 0x80005d22 <- 0x85130381 +mem-write: 0x80005d26 <- 0xe0ef0009 +mem-write: 0x80005d2a <- 0x5b83eb5f +mem-write: 0x80005d2e <- 0x590303a1 +mem-write: 0x80005d32 <- 0x926301e1 +mem-write: 0x80005d36 <- 0x7930c0b +mem-write: 0x80005d3a <- 0xd9303c1 +mem-write: 0x80005d3e <- 0x8e630501 +mem-write: 0x80005d42 <- 0xd70334fd +mem-write: 0x80005d46 <- 0x87930007 +mem-write: 0x80005d4a <- 0xae30027 +mem-write: 0x80005d4e <- 0x513fe07 +mem-write: 0x80005d52 <- 0xf0ef0381 +mem-write: 0x80005d56 <- 0x7b3ad4f +mem-write: 0x80005d5a <- 0x560340a0 +mem-write: 0x80005d5e <- 0x262301e1 +mem-write: 0x80005d62 <- 0x6f00f1 +mem-write: 0x80005d66 <- 0x59309c0 +mem-write: 0x80005d6a <- 0x8513c20a +mem-write: 0x80005d6e <- 0xe0ef0009 +mem-write: 0x80005d72 <- 0x18e3f65f +mem-write: 0x80005d76 <- 0x713ee05 +mem-write: 0x80005d7a <- 0x7930104 +mem-write: 0x80005d7e <- 0x87930004 +mem-write: 0x80005d82 <- 0x9f230027 +mem-write: 0x80005d86 <- 0x9ce3fe07 +mem-write: 0x80005d8a <- 0xc7b7fee7 +mem-write: 0x80005d8e <- 0x28237fff +mem-write: 0x80005d92 <- 0xf06f00f4 +mem-write: 0x80005d96 <- 0x9ee3f19f +mem-write: 0x80005d9a <- 0x8513f74a +mem-write: 0x80005d9e <- 0xf0ef0009 +mem-write: 0x80005da2 <- 0x8e3850f +mem-write: 0x80005da6 <- 0x513f605 +mem-write: 0x80005daa <- 0xe0ef0009 +mem-write: 0x80005dae <- 0x493df1f +mem-write: 0x80005db2 <- 0x85130005 +mem-write: 0x80005db6 <- 0xe0ef0009 +mem-write: 0x80005dba <- 0x87b3de5f +mem-write: 0x80005dbe <- 0x37b340a4 +mem-write: 0x80005dc2 <- 0x979300f0 +mem-write: 0x80005dc6 <- 0x192300f7 +mem-write: 0x80005dca <- 0x71300f4 +mem-write: 0x80005dce <- 0x7930124 +mem-write: 0x80005dd2 <- 0x87930004 +mem-write: 0x80005dd6 <- 0x9f230027 +mem-write: 0x80005dda <- 0x9ce3fe07 +mem-write: 0x80005dde <- 0x5783fee7 +mem-write: 0x80005de2 <- 0x87370124 +mem-write: 0x80005de6 <- 0x7130000 +mem-write: 0x80005dea <- 0xe7b3fff7 +mem-write: 0x80005dee <- 0x192300e7 +mem-write: 0x80005df2 <- 0xf06f00f4 +mem-write: 0x80005df6 <- 0x2623eb9f +mem-write: 0x80005dfa <- 0x6130171 +mem-write: 0x80005dfe <- 0x24230009 +mem-write: 0x80005e02 <- 0x7930121 +mem-write: 0x80005e06 <- 0x6930201 +mem-write: 0x80005e0a <- 0x12630341 +mem-write: 0x80005e0e <- 0x80630206 +mem-write: 0x80005e12 <- 0xd7032af6 +mem-write: 0x80005e16 <- 0x87930007 +mem-write: 0x80005e1a <- 0xae30027 +mem-write: 0x80005e1e <- 0x513fe07 +mem-write: 0x80005e22 <- 0xf0ef01c1 +mem-write: 0x80005e26 <- 0x7b3a04f +mem-write: 0x80005e2a <- 0x242340a9 +mem-write: 0x80005e2e <- 0x270300f1 +mem-write: 0x80005e32 <- 0x8d130381 +mem-write: 0x80005e36 <- 0x7930384 +mem-write: 0x80005e3a <- 0xaa23000d +mem-write: 0x80005e3e <- 0x891302e4 +mem-write: 0x80005e42 <- 0x879304e4 +mem-write: 0x80005e46 <- 0x9f230027 +mem-write: 0x80005e4a <- 0x1ce3fe07 +mem-write: 0x80005e4e <- 0x513fef9 +mem-write: 0x80005e52 <- 0xe0ef0381 +mem-write: 0x80005e56 <- 0x5c03b69f +mem-write: 0x80005e5a <- 0xa370221 +mem-write: 0x80005e5e <- 0xd930001 +mem-write: 0x80005e62 <- 0x1a930501 +mem-write: 0x80005e66 <- 0x8ab3010c +mem-write: 0x80005e6a <- 0xb13418a +mem-write: 0x80005e6e <- 0xa1303a1 +mem-write: 0x80005e72 <- 0xc93fffa +mem-write: 0x80005e76 <- 0x99306e1 +mem-write: 0x80005e7a <- 0x57830561 +mem-write: 0x80005e7e <- 0x570303c1 +mem-write: 0x80005e82 <- 0xb9303e1 +mem-write: 0x80005e86 <- 0x9793000a +mem-write: 0x80005e8a <- 0x87b30107 +mem-write: 0x80005e8e <- 0xe86300e7 +mem-write: 0x80005e92 <- 0xd7b300fa +mem-write: 0x80005e96 <- 0x9b930387 +mem-write: 0x80005e9a <- 0xdb930107 +mem-write: 0x80005e9e <- 0x613010b +mem-write: 0x80005ea2 <- 0x5930541 +mem-write: 0x80005ea6 <- 0x851301c1 +mem-write: 0x80005eaa <- 0xe0ef000b +mem-write: 0x80005eae <- 0x713be9f +mem-write: 0x80005eb2 <- 0x79303c1 +mem-write: 0x80005eb6 <- 0xd5830581 +mem-write: 0x80005eba <- 0x56030007 +mem-write: 0x80005ebe <- 0x87930007 +mem-write: 0x80005ec2 <- 0x7130027 +mem-write: 0x80005ec6 <- 0x90630027 +mem-write: 0x80005eca <- 0x96e312c5 +mem-write: 0x80005ece <- 0x793ff97 +mem-write: 0x80005ed2 <- 0x5930000 +mem-write: 0x80005ed6 <- 0x861306c1 +mem-write: 0x80005eda <- 0x5703000d +mem-write: 0x80005ede <- 0xd8030006 +mem-write: 0x80005ee2 <- 0x6130005 +mem-write: 0x80005ee6 <- 0x733ffe6 +mem-write: 0x80005eea <- 0x73340f7 +mem-write: 0x80005eee <- 0x57934107 +mem-write: 0x80005ef2 <- 0x11230107 +mem-write: 0x80005ef6 <- 0xf79300e6 +mem-write: 0x80005efa <- 0x85930017 +mem-write: 0x80005efe <- 0x1ee3ffe5 +mem-write: 0x80005f02 <- 0x1023fd66 +mem-write: 0x80005f06 <- 0x793017d +mem-write: 0x80005f0a <- 0xd70303c1 +mem-write: 0x80005f0e <- 0x87930027 +mem-write: 0x80005f12 <- 0x9f230027 +mem-write: 0x80005f16 <- 0x9ae3fee7 +mem-write: 0x80005f1a <- 0x1823ffb7 +mem-write: 0x80005f1e <- 0xd130401 +mem-write: 0x80005f22 <- 0x1ce3002d +mem-write: 0x80005f26 <- 0x593f5a9 +mem-write: 0x80005f2a <- 0x7930000 +mem-write: 0x80005f2e <- 0x69303c1 +mem-write: 0x80005f32 <- 0xd7030521 +mem-write: 0x80005f36 <- 0x87930007 +mem-write: 0x80005f3a <- 0xe5b30027 +mem-write: 0x80005f3e <- 0x9ae300e5 +mem-write: 0x80005f42 <- 0x9793fed7 +mem-write: 0x80005f46 <- 0xd7930105 +mem-write: 0x80005f4a <- 0x84634107 +mem-write: 0x80005f4e <- 0x5930007 +mem-write: 0x80005f52 <- 0x95930010 +mem-write: 0x80005f56 <- 0xd5930105 +mem-write: 0x80005f5a <- 0x87130105 +mem-write: 0x80005f5e <- 0x7930344 +mem-write: 0x80005f62 <- 0x56030381 +mem-write: 0x80005f66 <- 0x87930007 +mem-write: 0x80005f6a <- 0x7130027 +mem-write: 0x80005f6e <- 0x9f230027 +mem-write: 0x80005f72 <- 0x98e3fec7 +mem-write: 0x80005f76 <- 0x2783fef6 +mem-write: 0x80005f7a <- 0x270300c1 +mem-write: 0x80005f7e <- 0x46b70081 +mem-write: 0x80005f82 <- 0x86930000 +mem-write: 0x80005f86 <- 0x8bb3fff6 +mem-write: 0x80005f8a <- 0x51340e7 +mem-write: 0x80005f8e <- 0x87930381 +mem-write: 0x80005f92 <- 0x7130004 +mem-write: 0x80005f96 <- 0x86b30400 +mem-write: 0x80005f9a <- 0x61300db +mem-write: 0x80005f9e <- 0xf0ef0000 +mem-write: 0x80005fa2 <- 0x5703a04f +mem-write: 0x80005fa6 <- 0x57830381 +mem-write: 0x80005faa <- 0x59301c1 +mem-write: 0x80005fae <- 0x5130004 +mem-write: 0x80005fb2 <- 0x87b30381 +mem-write: 0x80005fb6 <- 0x37b340e7 +mem-write: 0x80005fba <- 0x7b300f0 +mem-write: 0x80005fbe <- 0x1c2340f0 +mem-write: 0x80005fc2 <- 0xf0ef02f1 +mem-write: 0x80005fc6 <- 0xf06ff54f +mem-write: 0x80005fca <- 0x713ce5f +mem-write: 0x80005fce <- 0x57830149 +mem-write: 0x80005fd2 <- 0x9130009 +mem-write: 0x80005fd6 <- 0x4130029 +mem-write: 0x80005fda <- 0x1f230024 +mem-write: 0x80005fde <- 0x18e3fef4 +mem-write: 0x80005fe2 <- 0xf06ffee9 +mem-write: 0x80005fe6 <- 0x74e3cc9f +mem-write: 0x80005fea <- 0x8793eeb6 +mem-write: 0x80005fee <- 0x9893fffb +mem-write: 0x80005ff2 <- 0xd8930107 +mem-write: 0x80005ff6 <- 0x7930108 +mem-write: 0x80005ffa <- 0x26930000 +VXDRV: upload 1024 bytes to 0x80005ffd +mem-write: 0x80005ffd <- 0x13034105 +mem-write: 0x80006001 <- 0x306c106 +mem-write: 0x80006005 <- 0x3000657 +mem-write: 0x80006009 <- 0x130005d8 +mem-write: 0x8000600d <- 0x33ffe606 +mem-write: 0x80006011 <- 0x3340f707 +mem-write: 0x80006015 <- 0x93410707 +mem-write: 0x80006019 <- 0x23010757 +mem-write: 0x8000601d <- 0x9300e611 +mem-write: 0x80006021 <- 0x930017f7 +mem-write: 0x80006025 <- 0xe3ffe585 +mem-write: 0x80006029 <- 0x13fd361e +mem-write: 0x8000602d <- 0x9303c107 +mem-write: 0x80006031 <- 0x83058107 +mem-write: 0x80006035 <- 0x30007d5 +mem-write: 0x80006039 <- 0x93000756 +mem-write: 0x8000603d <- 0x13002787 +mem-write: 0x80006041 <- 0x63002707 +mem-write: 0x80006045 <- 0xe300c598 +mem-write: 0x80006049 <- 0x93ff9796 +mem-write: 0x8000604d <- 0x6f00088b +mem-write: 0x80006051 <- 0xe3e81ff0 +mem-write: 0x80006055 <- 0x93feb67c +mem-write: 0x80006059 <- 0x93ffeb87 +mem-write: 0x8000605d <- 0x9301079b +mem-write: 0x80006061 <- 0x13010bdb +mem-write: 0x80006065 <- 0x93000006 +mem-write: 0x80006069 <- 0x13034105 +mem-write: 0x8000606d <- 0x8306c107 +mem-write: 0x80006071 <- 0x3000757 +mem-write: 0x80006075 <- 0x130005d8 +mem-write: 0x80006079 <- 0xb3ffe707 +mem-write: 0x8000607d <- 0xb340c787 +mem-write: 0x80006081 <- 0x13410787 +mem-write: 0x80006085 <- 0x230107d6 +mem-write: 0x80006089 <- 0x1300f711 +mem-write: 0x8000608d <- 0x93001676 +mem-write: 0x80006091 <- 0xe3ffe585 +mem-write: 0x80006095 <- 0x6ffd371e +mem-write: 0x80006099 <- 0x93e39ff0 +mem-write: 0x8000609d <- 0x13014407 +mem-write: 0x800060a1 <- 0x23002404 +mem-write: 0x800060a5 <- 0xe3fe041f +mem-write: 0x800060a9 <- 0x6ffe879c +mem-write: 0x800060ad <- 0x3c01ff0 +mem-write: 0x800060b1 <- 0x8301c157 +mem-write: 0x800060b5 <- 0x63038157 +mem-write: 0x800060b9 <- 0x3700f704 +mem-write: 0x800060bd <- 0x23000086 +mem-write: 0x800060c1 <- 0x1300c419 +mem-write: 0x800060c5 <- 0x93012407 +mem-write: 0x800060c9 <- 0x93000407 +mem-write: 0x800060cd <- 0x23002787 +mem-write: 0x800060d1 <- 0xe3fe079f +mem-write: 0x800060d5 <- 0x83fef71c +mem-write: 0x800060d9 <- 0x37012457 +mem-write: 0x800060dd <- 0x13000087 +mem-write: 0x800060e1 <- 0xb3fff707 +mem-write: 0x800060e5 <- 0x2300e7e7 +mem-write: 0x800060e9 <- 0x6f00f419 +mem-write: 0x800060ed <- 0x13bc1ff0 +mem-write: 0x800060f1 <- 0x23fd0101 +mem-write: 0x800060f5 <- 0x23028124 +mem-write: 0x800060f9 <- 0x13021126 +mem-write: 0x800060fd <- 0x93000584 +mem-write: 0x80006101 <- 0x13004107 +mem-write: 0x80006105 <- 0x9301e107 +mem-write: 0x80006109 <- 0x23002787 +mem-write: 0x8000610d <- 0xe3fe079f +mem-write: 0x80006111 <- 0x3fee79c +mem-write: 0x80006115 <- 0x9300e556 +mem-write: 0x80006119 <- 0x93010617 +mem-write: 0x8000611d <- 0x634107d7 +mem-write: 0x80006121 <- 0xb70607ca +mem-write: 0x80006125 <- 0x93000087 +mem-write: 0x80006129 <- 0x23fff787 +mem-write: 0x8000612d <- 0x33000112 +mem-write: 0x80006131 <- 0x6300f676 +mem-write: 0x80006135 <- 0x9306f60c +mem-write: 0x80006139 <- 0x2300e507 +mem-write: 0x8000613d <- 0x1300c113 +mem-write: 0x80006141 <- 0x8300a107 +mem-write: 0x80006145 <- 0x93ffe7d6 +mem-write: 0x80006149 <- 0x13ffe787 +mem-write: 0x8000614d <- 0x23002707 +mem-write: 0x80006151 <- 0xe3fed71f +mem-write: 0x80006155 <- 0x63fef518 +mem-write: 0x80006159 <- 0x23020612 +mem-write: 0x8000615d <- 0x93000114 +mem-write: 0x80006161 <- 0x13000405 +mem-write: 0x80006165 <- 0xef004105 +mem-write: 0x80006169 <- 0x83db0ff0 +mem-write: 0x8000616d <- 0x302c120 +mem-write: 0x80006171 <- 0x13028124 +mem-write: 0x80006175 <- 0x67030101 +mem-write: 0x80006179 <- 0x93000080 +mem-write: 0x8000617d <- 0x93001007 +mem-write: 0x80006181 <- 0x13fff005 +mem-write: 0x80006185 <- 0x23004105 +mem-write: 0x80006189 <- 0xef00f114 +mem-write: 0x8000618d <- 0x6fc81fe0 +mem-write: 0x80006191 <- 0x93fd1ff0 +mem-write: 0x80006195 <- 0x23fff007 +mem-write: 0x80006199 <- 0xb700f112 +mem-write: 0x8000619d <- 0x93000087 +mem-write: 0x800061a1 <- 0x33fff787 +mem-write: 0x800061a5 <- 0xe300f676 +mem-write: 0x800061a9 <- 0x93f8f618 +mem-write: 0x800061ad <- 0x93000507 +mem-write: 0x800061b1 <- 0x300e506 +mem-write: 0x800061b5 <- 0x930007d7 +mem-write: 0x800061b9 <- 0x63002787 +mem-write: 0x800061bd <- 0xe304071c +mem-write: 0x800061c1 <- 0x13fed79a +mem-write: 0x800061c5 <- 0x93014407 +mem-write: 0x800061c9 <- 0x93000407 +mem-write: 0x800061cd <- 0x23002787 +mem-write: 0x800061d1 <- 0xe3fe079f +mem-write: 0x800061d5 <- 0x13fef71c +mem-write: 0x800061d9 <- 0x93012407 +mem-write: 0x800061dd <- 0x93000407 +mem-write: 0x800061e1 <- 0x23002787 +mem-write: 0x800061e5 <- 0xe3fe079f +mem-write: 0x800061e9 <- 0x83fef71c +mem-write: 0x800061ed <- 0x37012457 +mem-write: 0x800061f1 <- 0x13000087 +mem-write: 0x800061f5 <- 0xb3fff707 +mem-write: 0x800061f9 <- 0x2300e7e7 +mem-write: 0x800061fd <- 0x8300f419 +mem-write: 0x80006201 <- 0xe300e517 +mem-write: 0x80006205 <- 0x13f607d4 +mem-write: 0x80006209 <- 0xef000405 +mem-write: 0x8000620d <- 0x6f945fe0 +mem-write: 0x80006211 <- 0x13f5dff0 +mem-write: 0x80006215 <- 0x93010407 +mem-write: 0x80006219 <- 0x93000407 +mem-write: 0x8000621d <- 0x23002787 +mem-write: 0x80006221 <- 0xe3fe079f +mem-write: 0x80006225 <- 0xb7fef71c +mem-write: 0x80006229 <- 0x237fffc7 +mem-write: 0x8000622d <- 0x6f00f428 +mem-write: 0x80006231 <- 0x83f3dff0 +mem-write: 0x80006235 <- 0x1300c5a8 +mem-write: 0x80006239 <- 0x83e10101 +mem-write: 0x8000623d <- 0x30005ae +mem-write: 0x80006241 <- 0x30045ae +mem-write: 0x80006245 <- 0x230085a3 +mem-write: 0x80006249 <- 0x8303112e +mem-write: 0x8000624d <- 0x93040525 +mem-write: 0x80006251 <- 0x23fff008 +mem-write: 0x80006255 <- 0x93171120 +mem-write: 0x80006259 <- 0x23090008 +mem-write: 0x8000625d <- 0x231e8124 +mem-write: 0x80006261 <- 0x231d412c +mem-write: 0x80006265 <- 0x231e1126 +mem-write: 0x80006269 <- 0x231e9122 +mem-write: 0x8000626d <- 0x231f2120 +mem-write: 0x80006271 <- 0x231d312e +mem-write: 0x80006275 <- 0x231d512a +mem-write: 0x80006279 <- 0x231d6128 +mem-write: 0x8000627d <- 0x231d7126 +mem-write: 0x80006281 <- 0x231d8124 +mem-write: 0x80006285 <- 0x231d9122 +mem-write: 0x80006289 <- 0x231da120 +mem-write: 0x8000628d <- 0x231bb12e +mem-write: 0x80006291 <- 0x2303d128 +mem-write: 0x80006295 <- 0x2303c12a +mem-write: 0x80006299 <- 0x2302612c +mem-write: 0x8000629d <- 0x23171122 +mem-write: 0x800062a1 <- 0x2300c120 +mem-write: 0x800062a5 <- 0x2300d124 +mem-write: 0x800062a9 <- 0x2300e126 +mem-write: 0x800062ad <- 0x1301012c +mem-write: 0x800062b1 <- 0x1300050a +mem-write: 0x800062b5 <- 0x63000784 +mem-write: 0x800062b9 <- 0x3020580 +mem-write: 0x800062bd <- 0x93044527 +mem-write: 0x800062c1 <- 0xb3001007 +mem-write: 0x800062c5 <- 0x2300e797 +mem-write: 0x800062c9 <- 0x2300e5a2 +mem-write: 0x800062cd <- 0xef00f5a4 +mem-write: 0x800062d1 <- 0x23585010 +mem-write: 0x800062d5 <- 0x93040a20 +mem-write: 0x800062d9 <- 0x93060109 +mem-write: 0x800062dd <- 0x13000985 +mem-write: 0x800062e1 <- 0xef030105 +mem-write: 0x800062e5 <- 0x13e0dff0 +mem-write: 0x800062e9 <- 0xef000985 +mem-write: 0x800062ed <- 0x38b1fe0 +mem-write: 0x800062f1 <- 0x33000127 +mem-write: 0x800062f5 <- 0x2300a035 +mem-write: 0x800062f9 <- 0x9300a420 +mem-write: 0x800062fd <- 0xe3003007 +mem-write: 0x80006301 <- 0x9314f702 +mem-write: 0x80006305 <- 0x23014007 +mem-write: 0x80006309 <- 0xe300f122 +mem-write: 0x8000630d <- 0x835e0718 +mem-write: 0x80006311 <- 0x3072157 +mem-write: 0x80006315 <- 0x93164127 +mem-write: 0x80006319 <- 0x23fff7c7 +mem-write: 0x8000631d <- 0x1300e12a +mem-write: 0x80006321 <- 0x63011797 +mem-write: 0x80006325 <- 0x13000718 +mem-write: 0x80006329 <- 0xef000985 +mem-write: 0x8000632d <- 0xe3801fe0 +mem-write: 0x80006331 <- 0x93400514 +mem-write: 0x80006335 <- 0x23090007 +mem-write: 0x80006339 <- 0x1316f122 +mem-write: 0x8000633d <- 0x9307c107 +mem-write: 0x80006341 <- 0x13000987 +mem-write: 0x80006345 <- 0x83074106 +mem-write: 0x80006349 <- 0x930007d6 +mem-write: 0x8000634d <- 0x13002787 +mem-write: 0x80006351 <- 0x23002707 +mem-write: 0x80006355 <- 0xe3fed71f +mem-write: 0x80006359 <- 0x3fec798 +mem-write: 0x8000635d <- 0x2308e156 +mem-write: 0x80006361 <- 0x93000128 +mem-write: 0x80006365 <- 0x93010617 +mem-write: 0x80006369 <- 0x634107d7 +mem-write: 0x8000636d <- 0x130007de +mem-write: 0x80006371 <- 0xb7011616 +mem-write: 0x80006375 <- 0x13000107 +mem-write: 0x80006379 <- 0x93011656 +mem-write: 0x8000637d <- 0x23fff787 +mem-write: 0x80006381 <- 0x2308c117 +mem-write: 0x80006385 <- 0x3700f128 +mem-write: 0x80006389 <- 0x9380015b +mem-write: 0x8000638d <- 0x13c20b0d +mem-write: 0x80006391 <- 0x93014d8c +mem-write: 0x80006395 <- 0x93000006 +mem-write: 0x80006399 <- 0x13098107 +mem-write: 0x8000639d <- 0x13000c07 +mem-write: 0x800063a1 <- 0x6f0ac10d +mem-write: 0x800063a5 <- 0x83008000 +mem-write: 0x800063a9 <- 0x93000756 +mem-write: 0x800063ad <- 0x23002787 +mem-write: 0x800063b1 <- 0x13fed79f +mem-write: 0x800063b5 <- 0xe3002707 +mem-write: 0x800063b9 <- 0x63ffa798 +mem-write: 0x800063bd <- 0xb7140608 +mem-write: 0x800063c1 <- 0x93000087 +mem-write: 0x800063c5 <- 0xe3fff787 +mem-write: 0x800063c9 <- 0x8356f606 +mem-write: 0x800063cd <- 0xe308c117 +mem-write: 0x800063d1 <- 0x935a07d8 +mem-write: 0x800063d5 <- 0x1307c105 +mem-write: 0x800063d9 <- 0xef000c05 +mem-write: 0x800063dd <- 0x638f9fe0 +mem-write: 0x800063e1 <- 0xe3140502 +mem-write: 0x800063e5 <- 0x830c054c +mem-write: 0x800063e9 <- 0xe308e157 +mem-write: 0x800063ed <- 0x8362079c +mem-write: 0x800063f1 <- 0x9308c117 +mem-write: 0x800063f5 <- 0x13000004 +mem-write: 0x800063f9 <- 0x63160109 +VXDRV: upload 1024 bytes to 0x800063fd +mem-write: 0x800063fd <- 0x130207c4 +mem-write: 0x80006401 <- 0x13118d84 +mem-write: 0x80006405 <- 0x9307c106 +mem-write: 0x80006409 <- 0x93000906 +mem-write: 0x8000640d <- 0x13000605 +mem-write: 0x80006411 <- 0xef000405 +mem-write: 0x80006415 <- 0x83bb4ff0 +mem-write: 0x80006419 <- 0x9308c117 +mem-write: 0x8000641d <- 0xe3fff484 +mem-write: 0x80006421 <- 0x13fe07d2 +mem-write: 0x80006425 <- 0x930d0104 +mem-write: 0x80006429 <- 0x130e810b +mem-write: 0x8000642d <- 0x93000407 +mem-write: 0x80006431 <- 0x1307c107 +mem-write: 0x80006435 <- 0x83090106 +mem-write: 0x80006439 <- 0x930007d6 +mem-write: 0x8000643d <- 0x13002787 +mem-write: 0x80006441 <- 0x23002707 +mem-write: 0x80006445 <- 0xe3fed71f +mem-write: 0x80006449 <- 0x93fec798 +mem-write: 0x8000644d <- 0x93000006 +mem-write: 0x80006451 <- 0x13098107 +mem-write: 0x80006455 <- 0x6f000c07 +mem-write: 0x80006459 <- 0x83008000 +mem-write: 0x8000645d <- 0x93000756 +mem-write: 0x80006461 <- 0x23002787 +mem-write: 0x80006465 <- 0x13fed79f +mem-write: 0x80006469 <- 0xe3002707 +mem-write: 0x8000646d <- 0x93ffa798 +mem-write: 0x80006471 <- 0x13028d8c +mem-write: 0x80006475 <- 0xb712cd8d +mem-write: 0x80006479 <- 0x93fffffa +mem-write: 0x8000647d <- 0x6f118d8d +mem-write: 0x80006481 <- 0x1300c000 +mem-write: 0x80006485 <- 0x93014d0d +mem-write: 0x80006489 <- 0x93014c8c +mem-write: 0x8000648d <- 0x13000405 +mem-write: 0x80006491 <- 0xef000c05 +mem-write: 0x80006495 <- 0x93841fe0 +mem-write: 0x80006499 <- 0x93000507 +mem-write: 0x8000649d <- 0x13000405 +mem-write: 0x800064a1 <- 0x63000d05 +mem-write: 0x800064a5 <- 0xef04f056 +mem-write: 0x800064a9 <- 0x9382dfe0 +mem-write: 0x800064ad <- 0x93000507 +mem-write: 0x800064b1 <- 0x13000906 +mem-write: 0x800064b5 <- 0x93000406 +mem-write: 0x800064b9 <- 0x13000405 +mem-write: 0x800064bd <- 0x63000c85 +mem-write: 0x800064c1 <- 0xef0207c0 +mem-write: 0x800064c5 <- 0x13b04ff0 +mem-write: 0x800064c9 <- 0x93098106 +mem-write: 0x800064cd <- 0x93000906 +mem-write: 0x800064d1 <- 0x13000605 +mem-write: 0x800064d5 <- 0xef000c85 +mem-write: 0x800064d9 <- 0xb3af0ff0 +mem-write: 0x800064dd <- 0x93015484 +mem-write: 0x800064e1 <- 0xb301fad7 +mem-write: 0x800064e5 <- 0x93015787 +mem-write: 0x800064e9 <- 0xe34017da +mem-write: 0x800064ed <- 0x13f9bc9c +mem-write: 0x800064f1 <- 0x93098106 +mem-write: 0x800064f5 <- 0x93000906 +mem-write: 0x800064f9 <- 0x13000c05 +mem-write: 0x800064fd <- 0xef000605 +mem-write: 0x80006501 <- 0x93ee0ff0 +mem-write: 0x80006505 <- 0x6f12410a +mem-write: 0x80006509 <- 0x93030000 +mem-write: 0x8000650d <- 0x9307c107 +mem-write: 0x80006511 <- 0x308e106 +mem-write: 0x80006515 <- 0x930007d7 +mem-write: 0x80006519 <- 0xe3002787 +mem-write: 0x8000651d <- 0xe3ea071c +mem-write: 0x80006521 <- 0x93fed79a +mem-write: 0x80006525 <- 0x93000004 +mem-write: 0x80006529 <- 0x1312410a +mem-write: 0x8000652d <- 0x13160109 +mem-write: 0x80006531 <- 0x930d0104 +mem-write: 0x80006535 <- 0x930e810b +mem-write: 0x80006539 <- 0x13000405 +mem-write: 0x8000653d <- 0xef098105 +mem-write: 0x80006541 <- 0x13e9cfe0 +mem-write: 0x80006545 <- 0x93098107 +mem-write: 0x80006549 <- 0x83000407 +mem-write: 0x8000654d <- 0x930007d6 +mem-write: 0x80006551 <- 0x13002787 +mem-write: 0x80006555 <- 0x23002707 +mem-write: 0x80006559 <- 0xe3fed71f +mem-write: 0x8000655d <- 0x93ff7798 +mem-write: 0x80006561 <- 0x13000405 +mem-write: 0x80006565 <- 0x2307c105 +mem-write: 0x80006569 <- 0xef0a0118 +mem-write: 0x8000656d <- 0x93e70fe0 +mem-write: 0x80006571 <- 0x307c107 +mem-write: 0x80006575 <- 0x13000457 +mem-write: 0x80006579 <- 0x93002404 +mem-write: 0x8000657d <- 0x23002787 +mem-write: 0x80006581 <- 0xe3fee79f +mem-write: 0x80006585 <- 0x13ff7418 +mem-write: 0x80006589 <- 0x13098105 +mem-write: 0x8000658d <- 0x93000906 +mem-write: 0x80006591 <- 0x2307c105 +mem-write: 0x80006595 <- 0xef08011a +mem-write: 0x80006599 <- 0x3818ff0 +mem-write: 0x8000659d <- 0x631ac155 +mem-write: 0x800065a1 <- 0x931c0514 +mem-write: 0x800065a5 <- 0x1309410c +mem-write: 0x800065a9 <- 0x1307e104 +mem-write: 0x800065ad <- 0x930b610c +mem-write: 0x800065b1 <- 0x13c20b05 +mem-write: 0x800065b5 <- 0xef07c105 +mem-write: 0x800065b9 <- 0x63f1cfe0 +mem-write: 0x800065bd <- 0x131a0506 +mem-write: 0x800065c1 <- 0x93000007 +mem-write: 0x800065c5 <- 0x6f000c86 +mem-write: 0x800065c9 <- 0x1301c000 +mem-write: 0x800065cd <- 0x23001717 +mem-write: 0x800065d1 <- 0x1300f690 +mem-write: 0x800065d5 <- 0x93010717 +mem-write: 0x800065d9 <- 0x13ffe686 +mem-write: 0x800065dd <- 0x63010757 +mem-write: 0x800065e1 <- 0x83048684 +mem-write: 0x800065e5 <- 0x130006d7 +mem-write: 0x800065e9 <- 0x13010796 +mem-write: 0x800065ed <- 0x93410656 +mem-write: 0x800065f1 <- 0x63001797 +mem-write: 0x800065f5 <- 0x13000654 +mem-write: 0x800065f9 <- 0x93001767 +mem-write: 0x800065fd <- 0x93010797 +mem-write: 0x80006601 <- 0x130107d7 +mem-write: 0x80006605 <- 0x93002776 +mem-write: 0x80006609 <- 0xe30017e5 +mem-write: 0x8000660d <- 0x13fc0600 +mem-write: 0x80006611 <- 0x23001717 +mem-write: 0x80006615 <- 0x1300b690 +mem-write: 0x80006619 <- 0x93010717 +mem-write: 0x8000661d <- 0x13ffe686 +mem-write: 0x80006621 <- 0xe3010757 +mem-write: 0x80006625 <- 0x13fc8690 +mem-write: 0x80006629 <- 0x930b4107 +mem-write: 0x8000662d <- 0x8307c107 +mem-write: 0x80006631 <- 0x930007d6 +mem-write: 0x80006635 <- 0x13002787 +mem-write: 0x80006639 <- 0x23002707 +mem-write: 0x8000663d <- 0xe3fed71f +mem-write: 0x80006641 <- 0x23ff9798 +mem-write: 0x80006645 <- 0x130c0116 +mem-write: 0x80006649 <- 0x93000007 +mem-write: 0x8000664d <- 0x6f0cc106 +mem-write: 0x80006651 <- 0x1301c000 +mem-write: 0x80006655 <- 0x23001717 +mem-write: 0x80006659 <- 0x1300f690 +mem-write: 0x8000665d <- 0x93010717 +mem-write: 0x80006661 <- 0x13ffe686 +mem-write: 0x80006665 <- 0x63010757 +mem-write: 0x80006669 <- 0x83058684 +mem-write: 0x8000666d <- 0x130006d7 +mem-write: 0x80006671 <- 0x13010796 +mem-write: 0x80006675 <- 0x93410656 +mem-write: 0x80006679 <- 0x63001797 +mem-write: 0x8000667d <- 0x13000654 +mem-write: 0x80006681 <- 0x93001767 +mem-write: 0x80006685 <- 0x93010797 +mem-write: 0x80006689 <- 0x130107d7 +mem-write: 0x8000668d <- 0x93002776 +mem-write: 0x80006691 <- 0xe30017e5 +mem-write: 0x80006695 <- 0x13fc0600 +mem-write: 0x80006699 <- 0x23001717 +mem-write: 0x8000669d <- 0x1300b690 +mem-write: 0x800066a1 <- 0x93010717 +mem-write: 0x800066a5 <- 0x13ffe686 +mem-write: 0x800066a9 <- 0xe3010757 +mem-write: 0x800066ad <- 0x13fd8690 +mem-write: 0x800066b1 <- 0x93000007 +mem-write: 0x800066b5 <- 0x6f0cc106 +mem-write: 0x800066b9 <- 0x1301c000 +mem-write: 0x800066bd <- 0x23001717 +mem-write: 0x800066c1 <- 0x1300f690 +mem-write: 0x800066c5 <- 0x93010717 +mem-write: 0x800066c9 <- 0x13ffe686 +mem-write: 0x800066cd <- 0x63010757 +mem-write: 0x800066d1 <- 0x83058684 +mem-write: 0x800066d5 <- 0x130006d7 +mem-write: 0x800066d9 <- 0x13010796 +mem-write: 0x800066dd <- 0x93410656 +mem-write: 0x800066e1 <- 0x63001797 +mem-write: 0x800066e5 <- 0x13000654 +mem-write: 0x800066e9 <- 0x93001767 +mem-write: 0x800066ed <- 0x93010797 +mem-write: 0x800066f1 <- 0x130107d7 +mem-write: 0x800066f5 <- 0x93002776 +mem-write: 0x800066f9 <- 0xe30017e5 +mem-write: 0x800066fd <- 0x13fc0600 +mem-write: 0x80006701 <- 0x23001717 +mem-write: 0x80006705 <- 0x1300b690 +mem-write: 0x80006709 <- 0x93010717 +mem-write: 0x8000670d <- 0x13ffe686 +mem-write: 0x80006711 <- 0xe3010757 +mem-write: 0x80006715 <- 0x13fd8690 +mem-write: 0x80006719 <- 0x93000006 +mem-write: 0x8000671d <- 0x13000c86 +mem-write: 0x80006721 <- 0x830cc107 +mem-write: 0x80006725 <- 0x830006d5 +mem-write: 0x80006729 <- 0x93000757 +mem-write: 0x8000672d <- 0x13ffe686 +mem-write: 0x80006731 <- 0xb3ffe707 +mem-write: 0x80006735 <- 0xb300b787 +mem-write: 0x80006739 <- 0x1300c787 +mem-write: 0x8000673d <- 0x230107d6 +mem-write: 0x80006741 <- 0x1300f691 +mem-write: 0x80006745 <- 0xe3001676 +mem-write: 0x80006749 <- 0x13fd871e +mem-write: 0x8000674d <- 0x13098105 +mem-write: 0x80006751 <- 0x93000906 +mem-write: 0x80006755 <- 0xef07c105 +mem-write: 0x80006759 <- 0x3e59fe0 +mem-write: 0x8000675d <- 0x931ac155 +mem-write: 0x80006761 <- 0xe3fff484 +mem-write: 0x80006765 <- 0x83e40506 +mem-write: 0x80006769 <- 0x83010127 +mem-write: 0x8000676d <- 0x13000126 +mem-write: 0x80006771 <- 0xb3003007 +mem-write: 0x80006775 <- 0xb300f037 +mem-write: 0x80006779 <- 0x9340f007 +mem-write: 0x8000677d <- 0x9300d7f7 +mem-write: 0x80006781 <- 0x23020787 +mem-write: 0x80006785 <- 0x8312f102 +mem-write: 0x80006789 <- 0x63004127 +mem-write: 0x8000678d <- 0xb300e694 +mem-write: 0x80006791 <- 0x13009787 +mem-write: 0x80006795 <- 0x1302a007 +mem-write: 0x80006799 <- 0x63000784 +mem-write: 0x8000679d <- 0x1300f754 +mem-write: 0x800067a1 <- 0x1302a004 +mem-write: 0x800067a5 <- 0x6300a007 +mem-write: 0x800067a9 <- 0x134ee502 +mem-write: 0x800067ad <- 0x13030505 +mem-write: 0x800067b1 <- 0xa302e007 +mem-write: 0x800067b5 <- 0x2312a102 +mem-write: 0x800067b9 <- 0xe312e103 +mem-write: 0x800067bd <- 0x931e07c2 +mem-write: 0x800067c1 <- 0x23127107 +mem-write: 0x800067c5 <- 0x1300f128 +mem-write: 0x800067c9 <- 0x2300000c +mem-write: 0x800067cd <- 0x9300912e +mem-write: 0x800067d1 <- 0x13000c04 +mem-write: 0x800067d5 <- 0x300090c +mem-write: 0x800067d9 <- 0x93010129 +mem-write: 0x800067dd <- 0x930b410c +mem-write: 0x800067e1 <- 0x9309410d +mem-write: 0x800067e5 <- 0x1307e10b +mem-write: 0x800067e9 <- 0x130b610d +mem-write: 0x800067ed <- 0x13000007 +mem-write: 0x800067f1 <- 0x6f000d86 +mem-write: 0x800067f5 <- 0x1301c000 +mem-write: 0x800067f9 <- 0x23001717 +VXDRV: upload 1024 bytes to 0x800067fd +mem-write: 0x800067fd <- 0x1300f610 +mem-write: 0x80006801 <- 0x13010717 +mem-write: 0x80006805 <- 0x13ffe606 +mem-write: 0x80006809 <- 0x63010757 +mem-write: 0x8000680d <- 0x83057604 +mem-write: 0x80006811 <- 0x93000657 +mem-write: 0x80006815 <- 0x93010795 +mem-write: 0x80006819 <- 0x934105d5 +mem-write: 0x8000681d <- 0x63001797 +mem-write: 0x80006821 <- 0x130005d4 +mem-write: 0x80006825 <- 0x93001767 +mem-write: 0x80006829 <- 0x93010797 +mem-write: 0x8000682d <- 0x930107d7 +mem-write: 0x80006831 <- 0x13002775 +mem-write: 0x80006835 <- 0xe30017e5 +mem-write: 0x80006839 <- 0x13fc0580 +mem-write: 0x8000683d <- 0x23001717 +mem-write: 0x80006841 <- 0x1300a610 +mem-write: 0x80006845 <- 0x13010717 +mem-write: 0x80006849 <- 0x13ffe606 +mem-write: 0x8000684d <- 0xe3010757 +mem-write: 0x80006851 <- 0x13fd7610 +mem-write: 0x80006855 <- 0x93000c87 +mem-write: 0x80006859 <- 0x307c107 +mem-write: 0x8000685d <- 0x930007d6 +mem-write: 0x80006861 <- 0x13002787 +mem-write: 0x80006865 <- 0x23002707 +mem-write: 0x80006869 <- 0xe3fec71f +mem-write: 0x8000686d <- 0x23ffb798 +mem-write: 0x80006871 <- 0x130c0116 +mem-write: 0x80006875 <- 0x13000007 +mem-write: 0x80006879 <- 0x6f0cc106 +mem-write: 0x8000687d <- 0x1301c000 +mem-write: 0x80006881 <- 0x23001717 +mem-write: 0x80006885 <- 0x1300f610 +mem-write: 0x80006889 <- 0x13010717 +mem-write: 0x8000688d <- 0x13ffe606 +mem-write: 0x80006891 <- 0x63010757 +mem-write: 0x80006895 <- 0x8305a604 +mem-write: 0x80006899 <- 0x93000657 +mem-write: 0x8000689d <- 0x93010795 +mem-write: 0x800068a1 <- 0x934105d5 +mem-write: 0x800068a5 <- 0x63001797 +mem-write: 0x800068a9 <- 0x130005d4 +mem-write: 0x800068ad <- 0x93001767 +mem-write: 0x800068b1 <- 0x93010797 +mem-write: 0x800068b5 <- 0x930107d7 +mem-write: 0x800068b9 <- 0x13002775 +mem-write: 0x800068bd <- 0xe30017e5 +mem-write: 0x800068c1 <- 0x13fc0580 +mem-write: 0x800068c5 <- 0x23001717 +mem-write: 0x800068c9 <- 0x1300a610 +mem-write: 0x800068cd <- 0x13010717 +mem-write: 0x800068d1 <- 0x13ffe606 +mem-write: 0x800068d5 <- 0xe3010757 +mem-write: 0x800068d9 <- 0x13fda610 +mem-write: 0x800068dd <- 0x13000007 +mem-write: 0x800068e1 <- 0x6f0cc106 +mem-write: 0x800068e5 <- 0x1301c000 +mem-write: 0x800068e9 <- 0x23001717 +mem-write: 0x800068ed <- 0x1300f610 +mem-write: 0x800068f1 <- 0x13010717 +mem-write: 0x800068f5 <- 0x13ffe606 +mem-write: 0x800068f9 <- 0x63010757 +mem-write: 0x800068fd <- 0x8305a604 +mem-write: 0x80006901 <- 0x93000657 +mem-write: 0x80006905 <- 0x93010795 +mem-write: 0x80006909 <- 0x934105d5 +mem-write: 0x8000690d <- 0x63001797 +mem-write: 0x80006911 <- 0x130005d4 +mem-write: 0x80006915 <- 0x93001767 +mem-write: 0x80006919 <- 0x93010797 +mem-write: 0x8000691d <- 0x930107d7 +mem-write: 0x80006921 <- 0x13002775 +mem-write: 0x80006925 <- 0xe30017e5 +mem-write: 0x80006929 <- 0x13fc0580 +mem-write: 0x8000692d <- 0x23001717 +mem-write: 0x80006931 <- 0x1300a610 +mem-write: 0x80006935 <- 0x13010717 +mem-write: 0x80006939 <- 0x13ffe606 +mem-write: 0x8000693d <- 0xe3010757 +mem-write: 0x80006941 <- 0x93fda610 +mem-write: 0x80006945 <- 0x13000005 +mem-write: 0x80006949 <- 0x13000d86 +mem-write: 0x8000694d <- 0x30cc107 +mem-write: 0x80006951 <- 0x83000655 +mem-write: 0x80006955 <- 0x13000757 +mem-write: 0x80006959 <- 0x13ffe606 +mem-write: 0x8000695d <- 0xb3ffe707 +mem-write: 0x80006961 <- 0xb300a787 +mem-write: 0x80006965 <- 0x9300b787 +mem-write: 0x80006969 <- 0x230107d5 +mem-write: 0x8000696d <- 0x9300f611 +mem-write: 0x80006971 <- 0xe30015f5 +mem-write: 0x80006975 <- 0x13fda71e +mem-write: 0x80006979 <- 0x93000c06 +mem-write: 0x8000697d <- 0x1307c105 +mem-write: 0x80006981 <- 0xef098105 +mem-write: 0x80006985 <- 0x83c2dfe0 +mem-write: 0x80006989 <- 0x331ac157 +mem-write: 0x8000698d <- 0x93009907 +mem-write: 0x80006991 <- 0x13001484 +mem-write: 0x80006995 <- 0x23030786 +mem-write: 0x80006999 <- 0xe300c700 +mem-write: 0x8000699d <- 0x13e49458 +mem-write: 0x800069a1 <- 0x3fff445 +mem-write: 0x800069a5 <- 0x13010127 +mem-write: 0x800069a9 <- 0x3341f555 +mem-write: 0x800069ad <- 0x8300a475 +mem-write: 0x800069b1 <- 0x1301c124 +mem-write: 0x800069b5 <- 0x33001509 +mem-write: 0x800069b9 <- 0x33012709 +mem-write: 0x800069bd <- 0x1300a70c +mem-write: 0x800069c1 <- 0x63004007 +mem-write: 0x800069c5 <- 0x1304f75e +mem-write: 0x800069c9 <- 0xe3005007 +mem-write: 0x800069cd <- 0x8300e780 +mem-write: 0x800069d1 <- 0x13ffe947 +mem-write: 0x800069d5 <- 0x93ffe907 +mem-write: 0x800069d9 <- 0x6307f7f7 +mem-write: 0x800069dd <- 0x93780444 +mem-write: 0x800069e1 <- 0x1302e006 +mem-write: 0x800069e5 <- 0x93038006 +mem-write: 0x800069e9 <- 0x63030005 +mem-write: 0x800069ed <- 0x6300d78e +mem-write: 0x800069f1 <- 0x8378f652 +mem-write: 0x800069f5 <- 0x23fff747 +mem-write: 0x800069f9 <- 0x1300b700 +mem-write: 0x800069fd <- 0x93fff707 +mem-write: 0x80006a01 <- 0x6f07f7f7 +mem-write: 0x80006a05 <- 0x83fe9ff0 +mem-write: 0x80006a09 <- 0x93fff747 +mem-write: 0x80006a0d <- 0xe3038006 +mem-write: 0x80006a11 <- 0x9300f6f4 +mem-write: 0x80006a15 <- 0x93031007 +mem-write: 0x80006a19 <- 0xa3001484 +mem-write: 0x80006a1d <- 0xb7fef70f +mem-write: 0x80006a21 <- 0x13800155 +mem-write: 0x80006a25 <- 0x93000486 +mem-write: 0x80006a29 <- 0x13c1c585 +mem-write: 0x80006a2d <- 0xef000c05 +mem-write: 0x80006a31 <- 0x83039020 +mem-write: 0x80006a35 <- 0x3072157 +mem-write: 0x80006a39 <- 0x23014127 +mem-write: 0x80006a3d <- 0x93169128 +mem-write: 0x80006a41 <- 0x23fff7c7 +mem-write: 0x80006a45 <- 0x1316e122 +mem-write: 0x80006a49 <- 0x63011797 +mem-write: 0x80006a4d <- 0x1300071e +mem-write: 0x80006a51 <- 0xef000985 +mem-write: 0x80006a55 <- 0x63b9cfe0 +mem-write: 0x80006a59 <- 0x13220510 +mem-write: 0x80006a5d <- 0xef000985 +mem-write: 0x80006a61 <- 0x638ccfe0 +mem-write: 0x80006a65 <- 0x8320051a +mem-write: 0x80006a69 <- 0x300c126 +mem-write: 0x80006a6d <- 0x93124147 +mem-write: 0x80006a71 <- 0x23001487 +mem-write: 0x80006a75 <- 0x9300f6a0 +mem-write: 0x80006a79 <- 0x63000a87 +mem-write: 0x80006a7d <- 0x9302070a +mem-write: 0x80006a81 <- 0x6302e006 +mem-write: 0x80006a85 <- 0x31cd70c +mem-write: 0x80006a89 <- 0x930017c7 +mem-write: 0x80006a8d <- 0xe3001787 +mem-write: 0x80006a91 <- 0x93fe071a +mem-write: 0x80006a95 <- 0x63045006 +mem-write: 0x80006a99 <- 0x6f00fae6 +mem-write: 0x80006a9d <- 0x63014000 +mem-write: 0x80006aa1 <- 0x3015788 +mem-write: 0x80006aa5 <- 0x93fff7c7 +mem-write: 0x80006aa9 <- 0xe3fff787 +mem-write: 0x80006aad <- 0x23fed71a +mem-write: 0x80006ab1 <- 0x93000780 +mem-write: 0x80006ab5 <- 0x93000a87 +mem-write: 0x80006ab9 <- 0x13020006 +mem-write: 0x80006abd <- 0x302d006 +mem-write: 0x80006ac1 <- 0x630007c7 +mem-write: 0x80006ac5 <- 0x6300d704 +mem-write: 0x80006ac9 <- 0x9300c716 +mem-write: 0x80006acd <- 0x6f001787 +mem-write: 0x80006ad1 <- 0x13ff1ff0 +mem-write: 0x80006ad5 <- 0x6f000a84 +mem-write: 0x80006ad9 <- 0x300c000 +mem-write: 0x80006add <- 0x130007c7 +mem-write: 0x80006ae1 <- 0x23000684 +mem-write: 0x80006ae5 <- 0x9300e400 +mem-write: 0x80006ae9 <- 0x93001406 +mem-write: 0x80006aed <- 0xe3001787 +mem-write: 0x80006af1 <- 0x83fe0716 +mem-write: 0x80006af5 <- 0x93000126 +mem-write: 0x80006af9 <- 0x3002007 +mem-write: 0x80006afd <- 0x63fff447 +mem-write: 0x80006b01 <- 0x8312f686 +mem-write: 0x80006b05 <- 0x93004127 +mem-write: 0x80006b09 <- 0x63000786 +mem-write: 0x80006b0d <- 0x930097d4 +mem-write: 0x80006b11 <- 0x93000486 +mem-write: 0x80006b15 <- 0x63030007 +mem-write: 0x80006b19 <- 0xb302f716 +mem-write: 0x80006b1d <- 0x63415407 +mem-write: 0x80006b21 <- 0x1302f6d2 +mem-write: 0x80006b25 <- 0x6f030006 +mem-write: 0x80006b29 <- 0x63008000 +mem-write: 0x80006b2d <- 0x8300e6dc +mem-write: 0x80006b31 <- 0xa3ffe447 +mem-write: 0x80006b35 <- 0x13fe040f +mem-write: 0x80006b39 <- 0x33fff404 +mem-write: 0x80006b3d <- 0xe3415407 +mem-write: 0x80006b41 <- 0x3fec786 +mem-write: 0x80006b45 <- 0x93000127 +mem-write: 0x80006b49 <- 0x63003007 +mem-write: 0x80006b4d <- 0x830af702 +mem-write: 0x80006b51 <- 0x23008127 +mem-write: 0x80006b55 <- 0x93040a22 +mem-write: 0x80006b59 <- 0x93009786 +mem-write: 0x80006b5d <- 0x63017007 +mem-write: 0x80006b61 <- 0x130cd7f2 +mem-write: 0x80006b65 <- 0x93001007 +mem-write: 0x80006b69 <- 0x93004007 +mem-write: 0x80006b6d <- 0x13001797 +mem-write: 0x80006b71 <- 0x93014786 +mem-write: 0x80006b75 <- 0x13000705 +mem-write: 0x80006b79 <- 0xe3001707 +mem-write: 0x80006b7d <- 0x23fec6f8 +mem-write: 0x80006b81 <- 0x1304ba22 +mem-write: 0x80006b85 <- 0xef000a05 +mem-write: 0x80006b89 <- 0x23424010 +mem-write: 0x80006b8d <- 0x9304aa20 +mem-write: 0x80006b91 <- 0x93000a85 +mem-write: 0x80006b95 <- 0xef000504 +mem-write: 0x80006b99 <- 0x830a5020 +mem-write: 0x80006b9d <- 0x63018127 +mem-write: 0x80006ba1 <- 0x33000788 +mem-write: 0x80006ba5 <- 0x33415404 +mem-write: 0x80006ba9 <- 0x23008484 +mem-write: 0x80006bad <- 0x830087a0 +mem-write: 0x80006bb1 <- 0x31ec120 +mem-write: 0x80006bb5 <- 0x31e8124 +mem-write: 0x80006bb9 <- 0x831e0129 +mem-write: 0x80006bbd <- 0x31dc129 +mem-write: 0x80006bc1 <- 0x831d812a +mem-write: 0x80006bc5 <- 0x31d412a +mem-write: 0x80006bc9 <- 0x831d012b +mem-write: 0x80006bcd <- 0x31cc12b +mem-write: 0x80006bd1 <- 0x831c812c +mem-write: 0x80006bd5 <- 0x31c412c +mem-write: 0x80006bd9 <- 0x831c012d +mem-write: 0x80006bdd <- 0x131bc12d +mem-write: 0x80006be1 <- 0x83000485 +mem-write: 0x80006be5 <- 0x131e4124 +mem-write: 0x80006be9 <- 0x671f0101 +mem-write: 0x80006bed <- 0x83000080 +mem-write: 0x80006bf1 <- 0xb3004127 +mem-write: 0x80006bf5 <- 0x63009784 +mem-write: 0x80006bf9 <- 0x835004c8 +VXDRV: upload 1024 bytes to 0x80006bfd +mem-write: 0x80006bfd <- 0x300c127 +mem-write: 0x80006c01 <- 0x83008127 +mem-write: 0x80006c05 <- 0xb30007a7 +mem-write: 0x80006c09 <- 0x2300f707 +mem-write: 0x80006c0d <- 0x8300f124 +mem-write: 0x80006c11 <- 0x23008127 +mem-write: 0x80006c15 <- 0x93040a22 +mem-write: 0x80006c19 <- 0x93003786 +mem-write: 0x80006c1d <- 0xe3017007 +mem-write: 0x80006c21 <- 0x93f4d7e2 +mem-write: 0x80006c25 <- 0x6f000005 +mem-write: 0x80006c29 <- 0x93f5dff0 +mem-write: 0x80006c2d <- 0xe3030007 +mem-write: 0x80006c31 <- 0xb3f2f710 +mem-write: 0x80006c35 <- 0x93415407 +mem-write: 0x80006c39 <- 0xe3001006 +mem-write: 0x80006c3d <- 0x6feef6c4 +mem-write: 0x80006c41 <- 0x83f11ff0 +mem-write: 0x80006c45 <- 0x23008124 +mem-write: 0x80006c49 <- 0x93009122 +mem-write: 0x80006c4d <- 0x6302a007 +mem-write: 0x80006c51 <- 0x23ec97d0 +mem-write: 0x80006c55 <- 0x6f00f122 +mem-write: 0x80006c59 <- 0x3eb8ff0 +mem-write: 0x80006c5d <- 0xe30007c7 +mem-write: 0x80006c61 <- 0x3e2070a +mem-write: 0x80006c65 <- 0x930017c7 +mem-write: 0x80006c69 <- 0xa3001787 +mem-write: 0x80006c6d <- 0xe3fee78f +mem-write: 0x80006c71 <- 0x6ffe071a +mem-write: 0x80006c75 <- 0x3e21ff0 +mem-write: 0x80006c79 <- 0xb700c127 +mem-write: 0x80006c7d <- 0x93000027 +mem-write: 0x80006c81 <- 0x2370f787 +mem-write: 0x80006c85 <- 0x6f00f720 +mem-write: 0x80006c89 <- 0x13e2dff0 +mem-write: 0x80006c8d <- 0xa3031007 +mem-write: 0x80006c91 <- 0x1312e102 +mem-write: 0x80006c95 <- 0x2302e007 +mem-write: 0x80006c99 <- 0x9312e103 +mem-write: 0x80006c9d <- 0x63001484 +mem-write: 0x80006ca1 <- 0x932af05c +mem-write: 0x80006ca5 <- 0xa3030007 +mem-write: 0x80006ca9 <- 0x9312f103 +mem-write: 0x80006cad <- 0x13128107 +mem-write: 0x80006cb1 <- 0x23fff404 +mem-write: 0x80006cb5 <- 0x6f00f128 +mem-write: 0x80006cb9 <- 0x93b11ff0 +mem-write: 0x80006cbd <- 0x130b410c +mem-write: 0x80006cc1 <- 0x93000c87 +mem-write: 0x80006cc5 <- 0x1307c107 +mem-write: 0x80006cc9 <- 0x83090106 +mem-write: 0x80006ccd <- 0x930007d6 +mem-write: 0x80006cd1 <- 0x13002787 +mem-write: 0x80006cd5 <- 0x23002707 +mem-write: 0x80006cd9 <- 0xe3fed71f +mem-write: 0x80006cdd <- 0xb7fec798 +mem-write: 0x80006ce1 <- 0x93000047 +mem-write: 0x80006ce5 <- 0x2308e787 +mem-write: 0x80006ce9 <- 0xb70cf113 +mem-write: 0x80006ced <- 0x93000087 +mem-write: 0x80006cf1 <- 0x23fff787 +mem-write: 0x80006cf5 <- 0xb700f12e +mem-write: 0x80006cf9 <- 0x93ffffc7 +mem-write: 0x80006cfd <- 0x1312410a +mem-write: 0x80006d01 <- 0x130d0104 +mem-write: 0x80006d05 <- 0x1301000e +mem-write: 0x80006d09 <- 0x930c8d83 +mem-write: 0x80006d0d <- 0x23002787 +mem-write: 0x80006d11 <- 0x23034124 +mem-write: 0x80006d15 <- 0x93035122 +mem-write: 0x80006d19 <- 0x93000004 +mem-write: 0x80006d1d <- 0x13000c8a +mem-write: 0x80006d21 <- 0x93160109 +mem-write: 0x80006d25 <- 0x9300040c +mem-write: 0x80006d29 <- 0x230aa10b +mem-write: 0x80006d2d <- 0x1302f120 +mem-write: 0x80006d31 <- 0x13000e0a +mem-write: 0x80006d35 <- 0x93000304 +mem-write: 0x80006d39 <- 0x13000906 +mem-write: 0x80006d3d <- 0x93098106 +mem-write: 0x80006d41 <- 0x13000a85 +mem-write: 0x80006d45 <- 0xef000405 +mem-write: 0x80006d49 <- 0x13e99fe0 +mem-write: 0x80006d4d <- 0x9304c107 +mem-write: 0x80006d51 <- 0x83098107 +mem-write: 0x80006d55 <- 0x930007d6 +mem-write: 0x80006d59 <- 0x13002787 +mem-write: 0x80006d5d <- 0x23002707 +mem-write: 0x80006d61 <- 0xe3fed71f +mem-write: 0x80006d65 <- 0x83ffa798 +mem-write: 0x80006d69 <- 0x8301c127 +mem-write: 0x80006d6d <- 0x3305e155 +mem-write: 0x80006d71 <- 0x8300f5f6 +mem-write: 0x80006d75 <- 0x33020127 +mem-write: 0x80006d79 <- 0x6300f605 +mem-write: 0x80006d7d <- 0x133aa050 +mem-write: 0x80006d81 <- 0x33090006 +mem-write: 0x80006d85 <- 0x1340a606 +mem-write: 0x80006d89 <- 0x93000c87 +mem-write: 0x80006d8d <- 0x8304c107 +mem-write: 0x80006d91 <- 0x930007d6 +mem-write: 0x80006d95 <- 0x13002787 +mem-write: 0x80006d99 <- 0x23002707 +mem-write: 0x80006d9d <- 0xe3fed71f +mem-write: 0x80006da1 <- 0x63ff3798 +mem-write: 0x80006da5 <- 0x9306c054 +mem-write: 0x80006da9 <- 0x1300f007 +mem-write: 0x80006dad <- 0x63000c87 +mem-write: 0x80006db1 <- 0x1302c7dc +mem-write: 0x80006db5 <- 0x93ff0606 +mem-write: 0x80006db9 <- 0x13004656 +mem-write: 0x80006dbd <- 0x13001687 +mem-write: 0x80006dc1 <- 0x33001717 +mem-write: 0x80006dc5 <- 0x9300ec87 +mem-write: 0x80006dc9 <- 0x93000c87 +mem-write: 0x80006dcd <- 0x23002787 +mem-write: 0x80006dd1 <- 0xe3fe079f +mem-write: 0x80006dd5 <- 0x13fee79c +mem-write: 0x80006dd9 <- 0x33080006 +mem-write: 0x80006ddd <- 0x9340a606 +mem-write: 0x80006de1 <- 0x33004696 +mem-write: 0x80006de5 <- 0x1340d606 +mem-write: 0x80006de9 <- 0x33001616 +mem-write: 0x80006ded <- 0x8300cd86 +mem-write: 0x80006df1 <- 0x83000757 +mem-write: 0x80006df5 <- 0xb3230656 +mem-write: 0x80006df9 <- 0x2300d7f7 +mem-write: 0x80006dfd <- 0x9300f710 +mem-write: 0x80006e01 <- 0x93010595 +mem-write: 0x80006e05 <- 0x634105d5 +mem-write: 0x80006e09 <- 0x931605c0 +mem-write: 0x80006e0d <- 0x13098107 +mem-write: 0x80006e11 <- 0x3000c87 +mem-write: 0x80006e15 <- 0x830007d6 +mem-write: 0x80006e19 <- 0x93000756 +mem-write: 0x80006e1d <- 0x13002787 +mem-write: 0x80006e21 <- 0x63002707 +mem-write: 0x80006e25 <- 0xe302d614 +mem-write: 0x80006e29 <- 0x13fefb96 +mem-write: 0x80006e2d <- 0x93000a87 +mem-write: 0x80006e31 <- 0x83098107 +mem-write: 0x80006e35 <- 0x930007d6 +mem-write: 0x80006e39 <- 0x13002787 +mem-write: 0x80006e3d <- 0x23002707 +mem-write: 0x80006e41 <- 0xe3fed71f +mem-write: 0x80006e45 <- 0xb3ffa798 +mem-write: 0x80006e49 <- 0x13014484 +mem-write: 0x80006e4d <- 0x93014404 +mem-write: 0x80006e51 <- 0x1312cd87 +mem-write: 0x80006e55 <- 0xe3001a5a +mem-write: 0x80006e59 <- 0x83eef410 +mem-write: 0x80006e5d <- 0x30c6157 +mem-write: 0x80006e61 <- 0x1308e157 +mem-write: 0x80006e65 <- 0x3000c84 +mem-write: 0x80006e69 <- 0xb302812a +mem-write: 0x80006e6d <- 0x3700e787 +mem-write: 0x80006e71 <- 0x93ffffc7 +mem-write: 0x80006e75 <- 0x13000a8c +mem-write: 0x80006e79 <- 0x83f72707 +mem-write: 0x80006e7d <- 0xb302412a +mem-write: 0x80006e81 <- 0x2300e787 +mem-write: 0x80006e85 <- 0x130cf113 +mem-write: 0x80006e89 <- 0x9307c107 +mem-write: 0x80006e8d <- 0x13000c87 +mem-write: 0x80006e91 <- 0x830c8106 +mem-write: 0x80006e95 <- 0x930007d6 +mem-write: 0x80006e99 <- 0x13002787 +mem-write: 0x80006e9d <- 0x23002707 +mem-write: 0x80006ea1 <- 0xe3fed71f +mem-write: 0x80006ea5 <- 0x13fec798 +mem-write: 0x80006ea9 <- 0x93000007 +mem-write: 0x80006ead <- 0x6f098107 +mem-write: 0x80006eb1 <- 0x3008000 +mem-write: 0x80006eb5 <- 0x93000c57 +mem-write: 0x80006eb9 <- 0x23002787 +mem-write: 0x80006ebd <- 0x13fee79f +mem-write: 0x80006ec1 <- 0xe3002c0c +mem-write: 0x80006ec5 <- 0x13ffa798 +mem-write: 0x80006ec9 <- 0x37028d8d +mem-write: 0x80006ecd <- 0x9300001c +mem-write: 0x80006ed1 <- 0x6f118d8d +mem-write: 0x80006ed5 <- 0x13010000 +mem-write: 0x80006ed9 <- 0x63001c5c +mem-write: 0x80006edd <- 0x1329bd00 +mem-write: 0x80006ee1 <- 0x93014d0d +mem-write: 0x80006ee5 <- 0x13000c85 +mem-write: 0x80006ee9 <- 0xef000d85 +mem-write: 0x80006eed <- 0x93de9fd0 +mem-write: 0x80006ef1 <- 0x93000507 +mem-write: 0x80006ef5 <- 0x13000c85 +mem-write: 0x80006ef9 <- 0x63000d05 +mem-write: 0x80006efd <- 0xef26f040 +mem-write: 0x80006f01 <- 0xe3dd5fd0 +mem-write: 0x80006f05 <- 0x93fca04a +mem-write: 0x80006f09 <- 0x13000906 +mem-write: 0x80006f0d <- 0x93000c86 +mem-write: 0x80006f11 <- 0x13000c85 +mem-write: 0x80006f15 <- 0xef000d05 +mem-write: 0x80006f19 <- 0x13cc9fe0 +mem-write: 0x80006f1d <- 0x93098106 +mem-write: 0x80006f21 <- 0x93000906 +mem-write: 0x80006f25 <- 0x13000605 +mem-write: 0x80006f29 <- 0xef000d05 +mem-write: 0x80006f2d <- 0xb389dfe0 +mem-write: 0x80006f31 <- 0x6f018484 +mem-write: 0x80006f35 <- 0x93fa5ff0 +mem-write: 0x80006f39 <- 0xb712410a +mem-write: 0x80006f3d <- 0x93800155 +mem-write: 0x80006f41 <- 0x13bf8585 +mem-write: 0x80006f45 <- 0xb7000a85 +mem-write: 0x80006f49 <- 0xef000024 +mem-write: 0x80006f4d <- 0x9331c020 +mem-write: 0x80006f51 <- 0x6f70f484 +mem-write: 0x80006f55 <- 0x13ae1ff0 +mem-write: 0x80006f59 <- 0xe312710c +mem-write: 0x80006f5d <- 0x23ac0792 +mem-write: 0x80006f61 <- 0x6f018128 +mem-write: 0x80006f65 <- 0x93865ff0 +mem-write: 0x80006f69 <- 0x1304c107 +mem-write: 0x80006f6d <- 0x6f000c87 +mem-write: 0x80006f71 <- 0x9300c000 +mem-write: 0x80006f75 <- 0xe305e106 +mem-write: 0x80006f79 <- 0x3e8f68a +mem-write: 0x80006f7d <- 0x830007d6 +mem-write: 0x80006f81 <- 0x93000756 +mem-write: 0x80006f85 <- 0x13002787 +mem-write: 0x80006f89 <- 0xe3002707 +mem-write: 0x80006f8d <- 0x83fed604 +mem-write: 0x80006f91 <- 0x30e2157 +mem-write: 0x80006f95 <- 0xb301c127 +mem-write: 0x80006f99 <- 0x6300f777 +mem-write: 0x80006f9d <- 0x1300e79e +mem-write: 0x80006fa1 <- 0xef000c85 +mem-write: 0x80006fa5 <- 0xe3b89fd0 +mem-write: 0x80006fa9 <- 0x13e60512 +mem-write: 0x80006fad <- 0xef000c85 +mem-write: 0x80006fb1 <- 0xe3e41fd0 +mem-write: 0x80006fb5 <- 0x93e4051c +mem-write: 0x80006fb9 <- 0x130ec105 +mem-write: 0x80006fbd <- 0xef000c05 +mem-write: 0x80006fc1 <- 0x93c1dfd0 +mem-write: 0x80006fc5 <- 0x13108105 +mem-write: 0x80006fc9 <- 0xef000c85 +mem-write: 0x80006fcd <- 0x3c11fd0 +mem-write: 0x80006fd1 <- 0x30ec156 +mem-write: 0x80006fd5 <- 0x8310a155 +mem-write: 0x80006fd9 <- 0x130ee158 +mem-write: 0x80006fdd <- 0x13fff646 +mem-write: 0x80006fe1 <- 0x13010616 +mem-write: 0x80006fe5 <- 0x23010656 +mem-write: 0x80006fe9 <- 0xb30ec116 +mem-write: 0x80006fed <- 0x9340a885 +mem-write: 0x80006ff1 <- 0x63000506 +mem-write: 0x80006ff5 <- 0x8306b05e +mem-write: 0x80006ff9 <- 0x13024126 +VXDRV: upload 1024 bytes to 0x80006ffd +mem-write: 0x80006ffd <- 0x93108107 +mem-write: 0x80007001 <- 0x83120107 +mem-write: 0x80007005 <- 0x13000755 +mem-write: 0x80007009 <- 0x93002707 +mem-write: 0x8000700d <- 0x23002686 +mem-write: 0x80007011 <- 0xe3feb69f +mem-write: 0x80007015 <- 0x23fef718 +mem-write: 0x80007019 <- 0x1312011e +mem-write: 0x8000701d <- 0x93108107 +mem-write: 0x80007021 <- 0x6f0ec106 +mem-write: 0x80007025 <- 0x3008000 +mem-write: 0x80007029 <- 0x130006d6 +mem-write: 0x8000702d <- 0x23002707 +mem-write: 0x80007031 <- 0x93fec71f +mem-write: 0x80007035 <- 0xe3002686 +mem-write: 0x80007039 <- 0x83fef718 +mem-write: 0x8000703d <- 0x23024127 +mem-write: 0x80007041 <- 0x13120110 +mem-write: 0x80007045 <- 0x130ec107 +mem-write: 0x80007049 <- 0x8313c106 +mem-write: 0x8000704d <- 0x930007d6 +mem-write: 0x80007051 <- 0x13002787 +mem-write: 0x80007055 <- 0x23002707 +mem-write: 0x80007059 <- 0xe3fed71f +mem-write: 0x8000705d <- 0x83fec798 +mem-write: 0x80007061 <- 0xb310a156 +mem-write: 0x80007065 <- 0x23411505 +mem-write: 0x80007069 <- 0x13100112 +mem-write: 0x8000706d <- 0x63000685 +mem-write: 0x80007071 <- 0x232c0586 +mem-write: 0x80007075 <- 0x9302d126 +mem-write: 0x80007079 <- 0x63f6f007 +mem-write: 0x8000707d <- 0x1306f5c8 +mem-write: 0x80007081 <- 0xef0ec105 +mem-write: 0x80007085 <- 0x83d89fd0 +mem-write: 0x80007089 <- 0x9302c126 +mem-write: 0x8000708d <- 0x93000505 +mem-write: 0x80007091 <- 0x13120107 +mem-write: 0x80007095 <- 0x3104105 +mem-write: 0x80007099 <- 0x30ec156 +mem-write: 0x8000709d <- 0x63108157 +mem-write: 0x800070a1 <- 0x132ee60a +mem-write: 0x800070a5 <- 0x13000007 +mem-write: 0x800070a9 <- 0x3000706 +mem-write: 0x800070ad <- 0x30007d7 +mem-write: 0x800070b1 <- 0x93000558 +mem-write: 0x800070b5 <- 0x33ffe787 +mem-write: 0x800070b9 <- 0x3340c707 +mem-write: 0x800070bd <- 0x13410707 +mem-write: 0x800070c1 <- 0x23010756 +mem-write: 0x800070c5 <- 0x1300e791 +mem-write: 0x800070c9 <- 0x1310a107 +mem-write: 0x800070cd <- 0x13001676 +mem-write: 0x800070d1 <- 0xe3ffe505 +mem-write: 0x800070d5 <- 0x13fce79c +mem-write: 0x800070d9 <- 0x93001006 +mem-write: 0x800070dd <- 0x13000907 +mem-write: 0x800070e1 <- 0x13040007 +mem-write: 0x800070e5 <- 0xef108105 +mem-write: 0x800070e9 <- 0x938bcfe0 +mem-write: 0x800070ed <- 0x13000c85 +mem-write: 0x800070f1 <- 0xef108105 +mem-write: 0x800070f5 <- 0x6fe24fe0 +mem-write: 0x800070f9 <- 0x83d15ff0 +mem-write: 0x800070fd <- 0x93008127 +mem-write: 0x80007101 <- 0x6ffff784 +mem-write: 0x80007105 <- 0x83b45ff0 +mem-write: 0x80007109 <- 0x2300c127 +mem-write: 0x8000710d <- 0x13120102 +mem-write: 0x80007111 <- 0x23000a84 +mem-write: 0x80007115 <- 0x6f0007a0 +mem-write: 0x80007119 <- 0x93af9ff0 +mem-write: 0x8000711d <- 0x13000c87 +mem-write: 0x80007121 <- 0x930e4107 +mem-write: 0x80007125 <- 0x23002787 +mem-write: 0x80007129 <- 0xe3fe079f +mem-write: 0x8000712d <- 0x6ffee79c +mem-write: 0x80007131 <- 0x83cd1ff0 +mem-write: 0x80007135 <- 0x93010127 +mem-write: 0x80007139 <- 0x6312410a +mem-write: 0x8000713d <- 0xb706078a +mem-write: 0x80007141 <- 0x93800155 +mem-write: 0x80007145 <- 0x13c00585 +mem-write: 0x80007149 <- 0xb7000a85 +mem-write: 0x8000714d <- 0xef000024 +mem-write: 0x80007151 <- 0x93118020 +mem-write: 0x80007155 <- 0x6f70f484 +mem-write: 0x80007159 <- 0x938ddff0 +mem-write: 0x8000715d <- 0x6f0e810b +mem-write: 0x80007161 <- 0x93bd8ff0 +mem-write: 0x80007165 <- 0x23031007 +mem-write: 0x80007169 <- 0x93fef90f +mem-write: 0x8000716d <- 0x6f001484 +mem-write: 0x80007171 <- 0x938b1ff0 +mem-write: 0x80007175 <- 0x23001787 +mem-write: 0x80007179 <- 0x6f00f700 +mem-write: 0x8000717d <- 0x938a5ff0 +mem-write: 0x80007181 <- 0xb712410a +mem-write: 0x80007185 <- 0x93800155 +mem-write: 0x80007189 <- 0x13c18585 +mem-write: 0x8000718d <- 0xb7000a85 +mem-write: 0x80007191 <- 0xef000024 +mem-write: 0x80007195 <- 0x930d4020 +mem-write: 0x80007199 <- 0x6f70f484 +mem-write: 0x8000719d <- 0x83899ff0 +mem-write: 0x800071a1 <- 0x131ac157 +mem-write: 0x800071a5 <- 0x1312610c +mem-write: 0x800071a9 <- 0x6f127109 +mem-write: 0x800071ad <- 0xb7815ff0 +mem-write: 0x800071b1 <- 0x93800155 +mem-write: 0x800071b5 <- 0x13c0c585 +mem-write: 0x800071b9 <- 0xb7000a85 +mem-write: 0x800071bd <- 0xef000024 +mem-write: 0x800071c1 <- 0x930a8020 +mem-write: 0x800071c5 <- 0x6f70f484 +mem-write: 0x800071c9 <- 0x9386dff0 +mem-write: 0x800071cd <- 0x13098105 +mem-write: 0x800071d1 <- 0xef07c105 +mem-write: 0x800071d5 <- 0x93d44fe0 +mem-write: 0x800071d9 <- 0x13c20b05 +mem-write: 0x800071dd <- 0xef098105 +mem-write: 0x800071e1 <- 0x63af5fd0 +mem-write: 0x800071e5 <- 0xe3fe0516 +mem-write: 0x800071e9 <- 0x8382044c +mem-write: 0x800071ed <- 0x13ffe947 +mem-write: 0x800071f1 <- 0x13fd2787 +mem-write: 0x800071f5 <- 0x13001737 +mem-write: 0x800071f9 <- 0x33fff747 +mem-write: 0x800071fd <- 0x300ec07 +mem-write: 0x80007201 <- 0x13000747 +mem-write: 0x80007205 <- 0xe3001777 +mem-write: 0x80007209 <- 0x1380070c +mem-write: 0x8000720d <- 0x93ffe907 +mem-write: 0x80007211 <- 0x6f07f7f7 +mem-write: 0x80007215 <- 0x93fccff0 +mem-write: 0x80007219 <- 0xa3001787 +mem-write: 0x8000721d <- 0x6ffef70f +mem-write: 0x80007221 <- 0x13801ff0 +mem-write: 0x80007225 <- 0x930d0104 +mem-write: 0x80007229 <- 0x13000405 +mem-write: 0x8000722d <- 0xb707c105 +mem-write: 0x80007231 <- 0xef00004c +mem-write: 0x80007235 <- 0x939a9fd0 +mem-write: 0x80007239 <- 0x93000004 +mem-write: 0x8000723d <- 0x130e810b +mem-write: 0x80007241 <- 0x930cc109 +mem-write: 0x80007245 <- 0x930d210a +mem-write: 0x80007249 <- 0x83ffec8c +mem-write: 0x8000724d <- 0x930e8157 +mem-write: 0x80007251 <- 0x630077f7 +mem-write: 0x80007255 <- 0x130c079a +mem-write: 0x80007259 <- 0x930b4107 +mem-write: 0x8000725d <- 0x83000407 +mem-write: 0x80007261 <- 0x930007d6 +mem-write: 0x80007265 <- 0x13002787 +mem-write: 0x80007269 <- 0x23002707 +mem-write: 0x8000726d <- 0xe3fed71f +mem-write: 0x80007271 <- 0x13ff7798 +mem-write: 0x80007275 <- 0x230b4105 +mem-write: 0x80007279 <- 0xef0c0116 +mem-write: 0x8000727d <- 0x13f40fd0 +mem-write: 0x80007281 <- 0xef0b4105 +mem-write: 0x80007285 <- 0x13f38fd0 +mem-write: 0x80007289 <- 0x93000006 +mem-write: 0x8000728d <- 0x13000906 +mem-write: 0x80007291 <- 0x83000b87 +mem-write: 0x80007295 <- 0x830006d5 +mem-write: 0x80007299 <- 0x93000757 +mem-write: 0x8000729d <- 0x13ffe686 +mem-write: 0x800072a1 <- 0xb3ffe707 +mem-write: 0x800072a5 <- 0xb300b787 +mem-write: 0x800072a9 <- 0x1300c787 +mem-write: 0x800072ad <- 0x230107d6 +mem-write: 0x800072b1 <- 0x1300f691 +mem-write: 0x800072b5 <- 0xe3001676 +mem-write: 0x800072b9 <- 0x83fd571e +mem-write: 0x800072bd <- 0x30b6157 +mem-write: 0x800072c1 <- 0x930b8157 +mem-write: 0x800072c5 <- 0x23003787 +mem-write: 0x800072c9 <- 0x630af11b +mem-write: 0x800072cd <- 0x13020700 +mem-write: 0x800072d1 <- 0xef0b4105 +mem-write: 0x800072d5 <- 0x83ee8fd0 +mem-write: 0x800072d9 <- 0x30b6157 +mem-write: 0x800072dd <- 0x930b8157 +mem-write: 0x800072e1 <- 0x23001787 +mem-write: 0x800072e5 <- 0xe30af11b +mem-write: 0x800072e9 <- 0x83fe0714 +mem-write: 0x800072ed <- 0x630cc157 +mem-write: 0x800072f1 <- 0x8302079c +mem-write: 0x800072f5 <- 0x630b6157 +mem-write: 0x800072f9 <- 0x1302fce8 +mem-write: 0x800072fd <- 0x93000407 +mem-write: 0x80007301 <- 0x830b4107 +mem-write: 0x80007305 <- 0x930007d6 +mem-write: 0x80007309 <- 0x13002787 +mem-write: 0x8000730d <- 0x23002707 +mem-write: 0x80007311 <- 0xe3fed71f +mem-write: 0x80007315 <- 0x23ff2798 +mem-write: 0x80007319 <- 0x930e0114 +mem-write: 0x8000731d <- 0x93fff484 +mem-write: 0x80007321 <- 0xe3fd5007 +mem-write: 0x80007325 <- 0x93f2f494 +mem-write: 0x80007329 <- 0x1307c105 +mem-write: 0x8000732d <- 0xef000405 +mem-write: 0x80007331 <- 0x13be8fe0 +mem-write: 0x80007335 <- 0x6f160109 +mem-write: 0x80007339 <- 0x138f4ff0 +mem-write: 0x8000733d <- 0x9310c107 +mem-write: 0x80007341 <- 0x830f0107 +mem-write: 0x80007345 <- 0x30007d8 +mem-write: 0x80007349 <- 0x93000756 +mem-write: 0x8000734d <- 0x13002787 +mem-write: 0x80007351 <- 0x63002707 +mem-write: 0x80007355 <- 0x1302c898 +mem-write: 0x80007359 <- 0xe3106106 +mem-write: 0x8000735d <- 0x3fec794 +mem-write: 0x80007361 <- 0x830ec157 +mem-write: 0x80007365 <- 0x63108157 +mem-write: 0x80007369 <- 0x9306f702 +mem-write: 0x8000736d <- 0x13000c87 +mem-write: 0x80007371 <- 0x930e4107 +mem-write: 0x80007375 <- 0x23002787 +mem-write: 0x80007379 <- 0xe3fe079f +mem-write: 0x8000737d <- 0x6ffee79c +mem-write: 0x80007381 <- 0x63a8dff0 +mem-write: 0x80007385 <- 0x930d1666 +mem-write: 0x80007389 <- 0x13120107 +mem-write: 0x8000738d <- 0x6f104105 +mem-write: 0x80007391 <- 0x13d09ff0 +mem-write: 0x80007395 <- 0x13000007 +mem-write: 0x80007399 <- 0x30ee103 +mem-write: 0x8000739d <- 0x30007d8 +mem-write: 0x800073a1 <- 0x93000556 +mem-write: 0x800073a5 <- 0x13ffe787 +mem-write: 0x800073a9 <- 0x33ffe505 +mem-write: 0x800073ad <- 0x33010606 +mem-write: 0x800073b1 <- 0x1300e607 +mem-write: 0x800073b5 <- 0x23010756 +mem-write: 0x800073b9 <- 0x1300e791 +mem-write: 0x800073bd <- 0xe3001677 +mem-write: 0x800073c1 <- 0x13fc651e +mem-write: 0x800073c5 <- 0x6f000006 +mem-write: 0x800073c9 <- 0x13d15ff0 +mem-write: 0x800073cd <- 0x63000687 +mem-write: 0x800073d1 <- 0x83060692 +mem-write: 0x800073d5 <- 0x6310e117 +mem-write: 0x800073d9 <- 0x930407ce +mem-write: 0x800073dd <- 0x6f120106 +mem-write: 0x800073e1 <- 0x23020000 +mem-write: 0x800073e5 <- 0x1300f690 +mem-write: 0x800073e9 <- 0x13001717 +mem-write: 0x800073ed <- 0x93010717 +mem-write: 0x800073f1 <- 0x93ffe686 +mem-write: 0x800073f5 <- 0x1310a107 +mem-write: 0x800073f9 <- 0xe3010757 +VXDRV: upload 1024 bytes to 0x800073fd +mem-write: 0x800073fd <- 0x83cef688 +mem-write: 0x80007401 <- 0x130006d7 +mem-write: 0x80007405 <- 0x13010796 +mem-write: 0x80007409 <- 0x93410656 +mem-write: 0x8000740d <- 0x63001797 +mem-write: 0x80007411 <- 0x13000654 +mem-write: 0x80007415 <- 0x93001767 +mem-write: 0x80007419 <- 0x93010797 +mem-write: 0x8000741d <- 0x130107d7 +mem-write: 0x80007421 <- 0x93002776 +mem-write: 0x80007425 <- 0xe30017e5 +mem-write: 0x80007429 <- 0x23fa060e +mem-write: 0x8000742d <- 0x6f00b690 +mem-write: 0x80007431 <- 0x13fb9ff0 +mem-write: 0x80007435 <- 0x9310c106 +mem-write: 0x80007439 <- 0x63120107 +mem-write: 0x8000743d <- 0x63080712 +mem-write: 0x80007441 <- 0x308c782 +mem-write: 0x80007445 <- 0x13000657 +mem-write: 0x80007449 <- 0x6f002606 +mem-write: 0x8000744d <- 0x3ff1ff0 +mem-write: 0x80007451 <- 0x13024126 +mem-write: 0x80007455 <- 0x93108107 +mem-write: 0x80007459 <- 0x3120107 +mem-write: 0x8000745d <- 0x13000755 +mem-write: 0x80007461 <- 0x13002707 +mem-write: 0x80007465 <- 0x23002606 +mem-write: 0x80007469 <- 0xe3fea61f +mem-write: 0x8000746d <- 0x23fef718 +mem-write: 0x80007471 <- 0x1312011e +mem-write: 0x80007475 <- 0x13108106 +mem-write: 0x80007479 <- 0x130ec107 +mem-write: 0x8000747d <- 0x3104105 +mem-write: 0x80007481 <- 0x13000758 +mem-write: 0x80007485 <- 0x13002707 +mem-write: 0x80007489 <- 0x23002606 +mem-write: 0x8000748d <- 0xe3ff061f +mem-write: 0x80007491 <- 0x3fea718 +mem-write: 0x80007495 <- 0x23024127 +mem-write: 0x80007499 <- 0x93120110 +mem-write: 0x8000749d <- 0x130ec108 +mem-write: 0x800074a1 <- 0x313c106 +mem-write: 0x800074a5 <- 0x13000758 +mem-write: 0x800074a9 <- 0x93002707 +mem-write: 0x800074ad <- 0x23002888 +mem-write: 0x800074b1 <- 0xe3ff089f +mem-write: 0x800074b5 <- 0x23fec718 +mem-write: 0x800074b9 <- 0x6f100112 +mem-write: 0x800074bd <- 0x13bddff0 +mem-write: 0x800074c1 <- 0x23001685 +mem-write: 0x800074c5 <- 0x6f10a115 +mem-write: 0x800074c9 <- 0x3c25ff0 +mem-write: 0x800074cd <- 0x83008527 +mem-write: 0x800074d1 <- 0x300c527 +mem-write: 0x800074d5 <- 0x83000526 +mem-write: 0x800074d9 <- 0x13004526 +mem-write: 0x800074dd <- 0x13fc0101 +mem-write: 0x800074e1 <- 0x93000105 +mem-write: 0x800074e5 <- 0x23014105 +mem-write: 0x800074e9 <- 0x2300e124 +mem-write: 0x800074ed <- 0x2300f126 +mem-write: 0x800074f1 <- 0x2302112e +mem-write: 0x800074f5 <- 0x2300c120 +mem-write: 0x800074f9 <- 0xef00d122 +mem-write: 0x800074fd <- 0x83bf5fe0 +mem-write: 0x80007501 <- 0x13026157 +mem-write: 0x80007505 <- 0x93000005 +mem-write: 0x80007509 <- 0x13fff7c7 +mem-write: 0x8000750d <- 0x63011797 +mem-write: 0x80007511 <- 0x1300071a +mem-write: 0x80007515 <- 0xef014105 +mem-write: 0x80007519 <- 0x13e14fd0 +mem-write: 0x8000751d <- 0x13001535 +mem-write: 0x80007521 <- 0x83001505 +mem-write: 0x80007525 <- 0x1303c120 +mem-write: 0x80007529 <- 0x67040101 +mem-write: 0x8000752d <- 0x13000080 +mem-write: 0x80007531 <- 0x670f0505 +mem-write: 0x80007535 <- 0x13000080 +mem-write: 0x80007539 <- 0x67128185 +mem-write: 0x8000753d <- 0x13000080 +mem-write: 0x80007541 <- 0x67128185 +mem-write: 0x80007545 <- 0x13000080 +mem-write: 0x80007549 <- 0x23f90101 +mem-write: 0x8000754d <- 0x13068124 +mem-write: 0x80007551 <- 0x83000584 +mem-write: 0x80007555 <- 0x2300e595 +mem-write: 0x80007559 <- 0x23069122 +mem-write: 0x8000755d <- 0x23072120 +mem-write: 0x80007561 <- 0x93061126 +mem-write: 0x80007565 <- 0x13000604 +mem-write: 0x80007569 <- 0x63000689 +mem-write: 0x8000756d <- 0x130405ca +mem-write: 0x80007571 <- 0xef008106 +mem-write: 0x80007575 <- 0x63434060 +mem-write: 0x80007579 <- 0x3040544 +mem-write: 0x8000757d <- 0xb700c127 +mem-write: 0x80007581 <- 0x830000f7 +mem-write: 0x80007585 <- 0xb306c120 +mem-write: 0x80007589 <- 0x3700e7f7 +mem-write: 0x8000758d <- 0xb3ffffe7 +mem-write: 0x80007591 <- 0x300e787 +mem-write: 0x80007595 <- 0x93068124 +mem-write: 0x80007599 <- 0x230017b7 +mem-write: 0x8000759d <- 0x9300f920 +mem-write: 0x800075a1 <- 0x23400007 +mem-write: 0x800075a5 <- 0x3700f4a0 +mem-write: 0x800075a9 <- 0x83000015 +mem-write: 0x800075ad <- 0x3064124 +mem-write: 0x800075b1 <- 0x13060129 +mem-write: 0x800075b5 <- 0x13800505 +mem-write: 0x800075b9 <- 0x67070101 +mem-write: 0x800075bd <- 0x83000080 +mem-write: 0x800075c1 <- 0x2300c457 +mem-write: 0x800075c5 <- 0x93000920 +mem-write: 0x800075c9 <- 0x630807f7 +mem-write: 0x800075cd <- 0x83020784 +mem-write: 0x800075d1 <- 0x306c120 +mem-write: 0x800075d5 <- 0x93068124 +mem-write: 0x800075d9 <- 0x23040007 +mem-write: 0x800075dd <- 0x300f4a0 +mem-write: 0x800075e1 <- 0x83060129 +mem-write: 0x800075e5 <- 0x13064124 +mem-write: 0x800075e9 <- 0x13000005 +mem-write: 0x800075ed <- 0x67070101 +mem-write: 0x800075f1 <- 0x83000080 +mem-write: 0x800075f5 <- 0x306c120 +mem-write: 0x800075f9 <- 0x93068124 +mem-write: 0x800075fd <- 0x23400007 +mem-write: 0x80007601 <- 0x300f4a0 +mem-write: 0x80007605 <- 0x83060129 +mem-write: 0x80007609 <- 0x13064124 +mem-write: 0x8000760d <- 0x13000005 +mem-write: 0x80007611 <- 0x67070101 +mem-write: 0x80007615 <- 0x83000080 +mem-write: 0x80007619 <- 0x1300c5d7 +mem-write: 0x8000761d <- 0x23fe0101 +mem-write: 0x80007621 <- 0x2300812c +mem-write: 0x80007625 <- 0x2300112e +mem-write: 0x80007629 <- 0x2300912a +mem-write: 0x8000762d <- 0x93012128 +mem-write: 0x80007631 <- 0x130027f7 +mem-write: 0x80007635 <- 0x63000584 +mem-write: 0x80007639 <- 0x93020788 +mem-write: 0x8000763d <- 0x23043587 +mem-write: 0x80007641 <- 0x2300f5a0 +mem-write: 0x80007645 <- 0x9300f5a8 +mem-write: 0x80007649 <- 0x23001007 +mem-write: 0x8000764d <- 0x8300f5aa +mem-write: 0x80007651 <- 0x301c120 +mem-write: 0x80007655 <- 0x83018124 +mem-write: 0x80007659 <- 0x3014124 +mem-write: 0x8000765d <- 0x13010129 +mem-write: 0x80007661 <- 0x67020101 +mem-write: 0x80007665 <- 0x93000080 +mem-write: 0x80007669 <- 0x1300c106 +mem-write: 0x8000766d <- 0x93008106 +mem-write: 0x80007671 <- 0xef000504 +mem-write: 0x80007675 <- 0x83ed5ff0 +mem-write: 0x80007679 <- 0x13008125 +mem-write: 0x8000767d <- 0x13000509 +mem-write: 0x80007681 <- 0xef000485 +mem-write: 0x80007685 <- 0x830b4000 +mem-write: 0x80007689 <- 0x6300c417 +mem-write: 0x8000768d <- 0x37040508 +mem-write: 0x80007691 <- 0x13800047 +mem-write: 0x80007695 <- 0x230e0707 +mem-write: 0x80007699 <- 0x302e4ae +mem-write: 0x8000769d <- 0x83008127 +mem-write: 0x800076a1 <- 0x9300c126 +mem-write: 0x800076a5 <- 0x230807e7 +mem-write: 0x800076a9 <- 0x2300f416 +mem-write: 0x800076ad <- 0x2300a420 +mem-write: 0x800076b1 <- 0x2300a428 +mem-write: 0x800076b5 <- 0x6300e42a +mem-write: 0x800076b9 <- 0xb3040698 +mem-write: 0x800076bd <- 0x830127e7 +mem-write: 0x800076c1 <- 0x2301c120 +mem-write: 0x800076c5 <- 0x300f416 +mem-write: 0x800076c9 <- 0x83018124 +mem-write: 0x800076cd <- 0x3014124 +mem-write: 0x800076d1 <- 0x13010129 +mem-write: 0x800076d5 <- 0x67020101 +mem-write: 0x800076d9 <- 0x13000080 +mem-write: 0x800076dd <- 0xe32007f7 +mem-write: 0x800076e1 <- 0x93f60718 +mem-write: 0x800076e5 <- 0x93ffc7f7 +mem-write: 0x800076e9 <- 0x130027e7 +mem-write: 0x800076ed <- 0x23043407 +mem-write: 0x800076f1 <- 0x9300f416 +mem-write: 0x800076f5 <- 0x23001007 +mem-write: 0x800076f9 <- 0x2300e420 +mem-write: 0x800076fd <- 0x2300e428 +mem-write: 0x80007701 <- 0x6f00f42a +mem-write: 0x80007705 <- 0x83f4dff0 +mem-write: 0x80007709 <- 0x1300e415 +mem-write: 0x8000770d <- 0xef000485 +mem-write: 0x80007711 <- 0x637a4060 +mem-write: 0x80007715 <- 0x83000516 +mem-write: 0x80007719 <- 0x6f00c417 +mem-write: 0x8000771d <- 0x3fa1ff0 +mem-write: 0x80007721 <- 0x1300c457 +mem-write: 0x80007725 <- 0x13ffc777 +mem-write: 0x80007729 <- 0x93001767 +mem-write: 0x8000772d <- 0x93010717 +mem-write: 0x80007731 <- 0x6f4107d7 +mem-write: 0x80007735 <- 0x13f89ff0 +mem-write: 0x80007739 <- 0x23fd0101 +mem-write: 0x8000773d <- 0x2301312e +mem-write: 0x80007741 <- 0x23021126 +mem-write: 0x80007745 <- 0x23028124 +mem-write: 0x80007749 <- 0x23029122 +mem-write: 0x8000774d <- 0x23032120 +mem-write: 0x80007751 <- 0x2301412c +mem-write: 0x80007755 <- 0x2301512a +mem-write: 0x80007759 <- 0x23016128 +mem-write: 0x8000775d <- 0x23017126 +mem-write: 0x80007761 <- 0x23018124 +mem-write: 0x80007765 <- 0x93019122 +mem-write: 0x80007769 <- 0x1300b587 +mem-write: 0x8000776d <- 0x93016007 +mem-write: 0x80007771 <- 0x63000509 +mem-write: 0x80007775 <- 0x9306f764 +mem-write: 0x80007779 <- 0x63010007 +mem-write: 0x8000777d <- 0xef1eb7e2 +mem-write: 0x80007781 <- 0x93025000 +mem-write: 0x80007785 <- 0x13010004 +mem-write: 0x80007789 <- 0x93002006 +mem-write: 0x8000778d <- 0x13018007 +mem-write: 0x80007791 <- 0xb3c30189 +mem-write: 0x80007795 <- 0x300f907 +mem-write: 0x80007799 <- 0x130047a4 +mem-write: 0x8000779d <- 0x63ff8787 +mem-write: 0x800077a1 <- 0x8320e408 +mem-write: 0x800077a5 <- 0x83004427 +mem-write: 0x800077a9 <- 0x300c426 +mem-write: 0x800077ad <- 0x93008426 +mem-write: 0x800077b1 <- 0xb3ffc7f7 +mem-write: 0x800077b5 <- 0x300f407 +mem-write: 0x800077b9 <- 0x230047a7 +mem-write: 0x800077bd <- 0x2300d626 +mem-write: 0x800077c1 <- 0x1300c6a4 +mem-write: 0x800077c5 <- 0x13001767 +mem-write: 0x800077c9 <- 0x23000985 +mem-write: 0x800077cd <- 0xef00e7a2 +mem-write: 0x800077d1 <- 0x137d8000 +mem-write: 0x800077d5 <- 0x6f008405 +mem-write: 0x800077d9 <- 0x93194000 +mem-write: 0x800077dd <- 0x63ff87f4 +mem-write: 0x800077e1 <- 0x631807c0 +mem-write: 0x800077e5 <- 0xef16b4ee +mem-write: 0x800077e9 <- 0x937bc000 +mem-write: 0x800077ed <- 0x631f7007 +mem-write: 0x800077f1 <- 0x934497fa +mem-write: 0x800077f5 <- 0x630094d7 +mem-write: 0x800077f9 <- 0x131a0784 +VXDRV: upload 1024 bytes to 0x800077fd +mem-write: 0x800077fd <- 0x63004007 +mem-write: 0x80007801 <- 0x933cf760 +mem-write: 0x80007805 <- 0x130064d7 +mem-write: 0x80007809 <- 0x13039786 +mem-write: 0x8000780d <- 0x93038785 +mem-write: 0x80007811 <- 0x13003616 +mem-write: 0x80007815 <- 0xb3c30189 +mem-write: 0x80007819 <- 0x300d906 +mem-write: 0x8000781d <- 0x930046a4 +mem-write: 0x80007821 <- 0x63ff8686 +mem-write: 0x80007825 <- 0x93028686 +mem-write: 0x80007829 <- 0x6f00f005 +mem-write: 0x8000782d <- 0x63010000 +mem-write: 0x80007831 <- 0x3320752 +mem-write: 0x80007835 <- 0x6300c424 +mem-write: 0x80007839 <- 0x8300868c +mem-write: 0x8000783d <- 0x93004427 +mem-write: 0x80007841 <- 0x33ffc7f7 +mem-write: 0x80007845 <- 0xe3409787 +mem-write: 0x80007849 <- 0x13fee5d4 +mem-write: 0x8000784d <- 0x3000506 +mem-write: 0x80007851 <- 0x93010924 +mem-write: 0x80007855 <- 0x63008908 +mem-write: 0x80007859 <- 0x3171408 +mem-write: 0x8000785d <- 0x93004425 +mem-write: 0x80007861 <- 0x1300f006 +mem-write: 0x80007865 <- 0xb3ffc575 +mem-write: 0x80007869 <- 0x63409507 +mem-write: 0x8000786d <- 0x2340f6c2 +mem-write: 0x80007871 <- 0x2301192a +mem-write: 0x80007875 <- 0x63011928 +mem-write: 0x80007879 <- 0x933c07dc +mem-write: 0x8000787d <- 0x631ff007 +mem-write: 0x80007881 <- 0x932ea7e0 +mem-write: 0x80007885 <- 0x93ff8577 +mem-write: 0x80007889 <- 0x83008787 +mem-write: 0x8000788d <- 0xb3004925 +mem-write: 0x80007891 <- 0x8300f907 +mem-write: 0x80007895 <- 0x130007a6 +mem-write: 0x80007899 <- 0x13005555 +mem-write: 0x8000789d <- 0x33001007 +mem-write: 0x800078a1 <- 0x3300a717 +mem-write: 0x800078a5 <- 0x9300b767 +mem-write: 0x800078a9 <- 0x23ff8785 +mem-write: 0x800078ad <- 0x2300b426 +mem-write: 0x800078b1 <- 0x2300d424 +mem-write: 0x800078b5 <- 0x2300e922 +mem-write: 0x800078b9 <- 0x230087a0 +mem-write: 0x800078bd <- 0x930086a6 +mem-write: 0x800078c1 <- 0x93402657 +mem-write: 0x800078c5 <- 0xb3001005 +mem-write: 0x800078c9 <- 0x6300f595 +mem-write: 0x800078cd <- 0xb310b768 +mem-write: 0x800078d1 <- 0x6300e5f7 +mem-write: 0x800078d5 <- 0x93020794 +mem-write: 0x800078d9 <- 0x13001595 +mem-write: 0x800078dd <- 0xb3ffc676 +mem-write: 0x800078e1 <- 0x1300e5f7 +mem-write: 0x800078e5 <- 0x63004606 +mem-write: 0x800078e9 <- 0x9300079a +mem-write: 0x800078ed <- 0xb3001595 +mem-write: 0x800078f1 <- 0x1300e5f7 +mem-write: 0x800078f5 <- 0xe3004606 +mem-write: 0x800078f9 <- 0x13fe078a +mem-write: 0x800078fd <- 0x1300f008 +mem-write: 0x80007901 <- 0x33003613 +mem-write: 0x80007905 <- 0x13006903 +mem-write: 0x80007909 <- 0x83000305 +mem-write: 0x8000790d <- 0x1300c527 +mem-write: 0x80007911 <- 0x6300060e +mem-write: 0x80007915 <- 0x32cf508 +mem-write: 0x80007919 <- 0x130047a7 +mem-write: 0x8000791d <- 0x83000784 +mem-write: 0x80007921 <- 0x1300c7a7 +mem-write: 0x80007925 <- 0xb3ffc777 +mem-write: 0x80007929 <- 0x63409706 +mem-write: 0x8000792d <- 0xe32cd848 +mem-write: 0x80007931 <- 0x33fe06c2 +mem-write: 0x80007935 <- 0x8300e407 +mem-write: 0x80007939 <- 0x3004726 +mem-write: 0x8000793d <- 0x13008426 +mem-write: 0x80007941 <- 0x93000985 +mem-write: 0x80007945 <- 0x230016e6 +mem-write: 0x80007949 <- 0x2300d722 +mem-write: 0x8000794d <- 0x2300f626 +mem-write: 0x80007951 <- 0xef00c7a4 +mem-write: 0x80007955 <- 0x13654000 +mem-write: 0x80007959 <- 0x6f008405 +mem-write: 0x8000795d <- 0x93010000 +mem-write: 0x80007961 <- 0x2300c007 +mem-write: 0x80007965 <- 0x1300f9a0 +mem-write: 0x80007969 <- 0x83000005 +mem-write: 0x8000796d <- 0x302c120 +mem-write: 0x80007971 <- 0x83028124 +mem-write: 0x80007975 <- 0x3024124 +mem-write: 0x80007979 <- 0x83020129 +mem-write: 0x8000797d <- 0x301c129 +mem-write: 0x80007981 <- 0x8301812a +mem-write: 0x80007985 <- 0x301412a +mem-write: 0x80007989 <- 0x8301012b +mem-write: 0x8000798d <- 0x300c12b +mem-write: 0x80007991 <- 0x8300812c +mem-write: 0x80007995 <- 0x1300412c +mem-write: 0x80007999 <- 0x67030101 +mem-write: 0x8000799d <- 0x93000080 +mem-write: 0x800079a1 <- 0x13200006 +mem-write: 0x800079a5 <- 0x13040006 +mem-write: 0x800079a9 <- 0x6f03f005 +mem-write: 0x800079ad <- 0x3e69ff0 +mem-write: 0x800079b1 <- 0x1300c7a4 +mem-write: 0x800079b5 <- 0xe3002606 +mem-write: 0x800079b9 <- 0x3de8796 +mem-write: 0x800079bd <- 0x93010924 +mem-write: 0x800079c1 <- 0xe3008908 +mem-write: 0x800079c5 <- 0x3e9141c +mem-write: 0x800079c9 <- 0x93004927 +mem-write: 0x800079cd <- 0x93402657 +mem-write: 0x800079d1 <- 0xb3001005 +mem-write: 0x800079d5 <- 0xe300f595 +mem-write: 0x800079d9 <- 0x3eeb77c +mem-write: 0x800079dd <- 0x83008924 +mem-write: 0x800079e1 <- 0x1300442a +mem-write: 0x800079e5 <- 0x63ffcafb +mem-write: 0x800079e9 <- 0xb3009b68 +mem-write: 0x800079ed <- 0x13409b07 +mem-write: 0x800079f1 <- 0x6300f007 +mem-write: 0x800079f5 <- 0x8312f74c +mem-write: 0x800079f9 <- 0x31f01aa +mem-write: 0x800079fd <- 0x931dc1a7 +mem-write: 0x80007a01 <- 0x33fff007 +mem-write: 0x80007a05 <- 0xb301640a +mem-write: 0x80007a09 <- 0x6301548a +mem-write: 0x80007a0d <- 0xb734f704 +mem-write: 0x80007a11 <- 0x93000017 +mem-write: 0x80007a15 <- 0xb300f787 +mem-write: 0x80007a19 <- 0xb700fa8a +mem-write: 0x80007a1d <- 0xb3fffff7 +mem-write: 0x80007a21 <- 0x9300fafa +mem-write: 0x80007a25 <- 0x13000a85 +mem-write: 0x80007a29 <- 0xef000985 +mem-write: 0x80007a2d <- 0x93774010 +mem-write: 0x80007a31 <- 0x93fff007 +mem-write: 0x80007a35 <- 0x6300050b +mem-write: 0x80007a39 <- 0x6328f506 +mem-write: 0x80007a3d <- 0x13294562 +mem-write: 0x80007a41 <- 0x831f818c +mem-write: 0x80007a45 <- 0xb3000c25 +mem-write: 0x80007a49 <- 0x2300ba85 +mem-write: 0x80007a4d <- 0x9300bc20 +mem-write: 0x80007a51 <- 0x63000587 +mem-write: 0x80007a55 <- 0x8338aa0e +mem-write: 0x80007a59 <- 0x131dc1a6 +mem-write: 0x80007a5d <- 0x63fff007 +mem-write: 0x80007a61 <- 0x333ae686 +mem-write: 0x80007a65 <- 0xb3414b8a +mem-write: 0x80007a69 <- 0x2300fa07 +mem-write: 0x80007a6d <- 0x9300fc20 +mem-write: 0x80007a71 <- 0x63007bfc +mem-write: 0x80007a75 <- 0xb7300c82 +mem-write: 0x80007a79 <- 0xb3000017 +mem-write: 0x80007a7d <- 0x93419b8b +mem-write: 0x80007a81 <- 0x93008785 +mem-write: 0x80007a85 <- 0xb3008b8b +mem-write: 0x80007a89 <- 0xb3419585 +mem-write: 0x80007a8d <- 0x93015b8a +mem-write: 0x80007a91 <- 0xb3fff787 +mem-write: 0x80007a95 <- 0x33415585 +mem-write: 0x80007a99 <- 0x9300f5fa +mem-write: 0x80007a9d <- 0x13000a05 +mem-write: 0x80007aa1 <- 0xef000985 +mem-write: 0x80007aa5 <- 0x936fc010 +mem-write: 0x80007aa9 <- 0x63fff007 +mem-write: 0x80007aad <- 0x333af50a +mem-write: 0x80007ab1 <- 0xb3417505 +mem-write: 0x80007ab5 <- 0x8301450a +mem-write: 0x80007ab9 <- 0x23000c25 +mem-write: 0x80007abd <- 0x93017924 +mem-write: 0x80007ac1 <- 0xb3001aea +mem-write: 0x80007ac5 <- 0x2300ba05 +mem-write: 0x80007ac9 <- 0x2300bc20 +mem-write: 0x80007acd <- 0x63015ba2 +mem-write: 0x80007ad1 <- 0x93352402 +mem-write: 0x80007ad5 <- 0x6300f006 +mem-write: 0x80007ad9 <- 0x33566f2 +mem-write: 0x80007add <- 0x93004427 +mem-write: 0x80007ae1 <- 0x93ff4b07 +mem-write: 0x80007ae5 <- 0x13ff87f7 +mem-write: 0x80007ae9 <- 0x33001777 +mem-write: 0x80007aed <- 0x2300f767 +mem-write: 0x80007af1 <- 0x1300e422 +mem-write: 0x80007af5 <- 0x33005006 +mem-write: 0x80007af9 <- 0x2300f407 +mem-write: 0x80007afd <- 0x2300c722 +mem-write: 0x80007b01 <- 0x6300c724 +mem-write: 0x80007b05 <- 0x8336f6e8 +mem-write: 0x80007b09 <- 0x13004baa +mem-write: 0x80007b0d <- 0x3000b84 +mem-write: 0x80007b11 <- 0x631ec1a7 +mem-write: 0x80007b15 <- 0x2300b774 +mem-write: 0x80007b19 <- 0x31eb1a6 +mem-write: 0x80007b1d <- 0x631e81a7 +mem-write: 0x80007b21 <- 0x231ab776 +mem-write: 0x80007b25 <- 0x6f1eb1a4 +mem-write: 0x80007b29 <- 0x131a4000 +mem-write: 0x80007b2d <- 0x230014e7 +mem-write: 0x80007b31 <- 0xb300e422 +mem-write: 0x80007b35 <- 0x23009404 +mem-write: 0x80007b39 <- 0x93009924 +mem-write: 0x80007b3d <- 0x130017e7 +mem-write: 0x80007b41 <- 0x23000985 +mem-write: 0x80007b45 <- 0xef00f4a2 +mem-write: 0x80007b49 <- 0x13460000 +mem-write: 0x80007b4d <- 0x6f008405 +mem-write: 0x80007b51 <- 0x83e1dff0 +mem-write: 0x80007b55 <- 0x300c426 +mem-write: 0x80007b59 <- 0x6f008426 +mem-write: 0x80007b5d <- 0x93c59ff0 +mem-write: 0x80007b61 <- 0x13009557 +mem-write: 0x80007b65 <- 0x63004007 +mem-write: 0x80007b69 <- 0x1314f772 +mem-write: 0x80007b6d <- 0x63014007 +mem-write: 0x80007b71 <- 0x9322f76a +mem-write: 0x80007b75 <- 0x9305c786 +mem-write: 0x80007b79 <- 0x9305b785 +mem-write: 0x80007b7d <- 0xb3003696 +mem-write: 0x80007b81 <- 0x8300d906 +mem-write: 0x80007b85 <- 0x930006a7 +mem-write: 0x80007b89 <- 0x63ff8686 +mem-write: 0x80007b8d <- 0x31cf688 +mem-write: 0x80007b91 <- 0x130047a7 +mem-write: 0x80007b95 <- 0x63ffc777 +mem-write: 0x80007b99 <- 0x8300e576 +mem-write: 0x80007b9d <- 0xe30087a7 +mem-write: 0x80007ba1 <- 0x83fef698 +mem-write: 0x80007ba5 <- 0x300c7a6 +mem-write: 0x80007ba9 <- 0x23004927 +mem-write: 0x80007bad <- 0x2300d426 +mem-write: 0x80007bb1 <- 0x2300f424 +mem-write: 0x80007bb5 <- 0x230086a4 +mem-write: 0x80007bb9 <- 0x6f0087a6 +mem-write: 0x80007bbd <- 0x13d05ff0 +mem-write: 0x80007bc1 <- 0x63014007 +mem-write: 0x80007bc5 <- 0x1312f776 +mem-write: 0x80007bc9 <- 0x63054007 +mem-write: 0x80007bcd <- 0x931ef76a +mem-write: 0x80007bd1 <- 0x1300c4d7 +mem-write: 0x80007bd5 <- 0x1306f786 +mem-write: 0x80007bd9 <- 0x9306e785 +mem-write: 0x80007bdd <- 0x6f003616 +mem-write: 0x80007be1 <- 0x13c35ff0 +mem-write: 0x80007be5 <- 0x93001e0e +mem-write: 0x80007be9 <- 0x13003e77 +mem-write: 0x80007bed <- 0x63008505 +mem-write: 0x80007bf1 <- 0x8310078e +mem-write: 0x80007bf5 <- 0x6f00c527 +mem-write: 0x80007bf9 <- 0x3d1dff0 +VXDRV: upload 1023 bytes to 0x80007bfd +mem-write: 0x80007bfd <- 0x93008426 +mem-write: 0x80007c01 <- 0x230014e5 +mem-write: 0x80007c05 <- 0x2300b422 +mem-write: 0x80007c09 <- 0x2300f626 +mem-write: 0x80007c0d <- 0xb300c7a4 +mem-write: 0x80007c11 <- 0x23009404 +mem-write: 0x80007c15 <- 0x2300992a +mem-write: 0x80007c19 <- 0x93009928 +mem-write: 0x80007c1d <- 0x230016e7 +mem-write: 0x80007c21 <- 0x230114a6 +mem-write: 0x80007c25 <- 0x230114a4 +mem-write: 0x80007c29 <- 0x3300f4a2 +mem-write: 0x80007c2d <- 0x1300e407 +mem-write: 0x80007c31 <- 0x23000985 +mem-write: 0x80007c35 <- 0xef00d720 +mem-write: 0x80007c39 <- 0x13370000 +mem-write: 0x80007c3d <- 0x6f008405 +mem-write: 0x80007c41 <- 0x13d2dff0 +mem-write: 0x80007c45 <- 0x930034d6 +mem-write: 0x80007c49 <- 0x6f008487 +mem-write: 0x80007c4d <- 0x33b45ff0 +mem-write: 0x80007c51 <- 0x8300a407 +mem-write: 0x80007c55 <- 0x13004727 +mem-write: 0x80007c59 <- 0x93000985 +mem-write: 0x80007c5d <- 0x230017e7 +mem-write: 0x80007c61 <- 0xef00f722 +mem-write: 0x80007c65 <- 0x13344000 +mem-write: 0x80007c69 <- 0x6f008405 +mem-write: 0x80007c6d <- 0x13d01ff0 +mem-write: 0x80007c71 <- 0x230014e7 +mem-write: 0x80007c75 <- 0xb300e422 +mem-write: 0x80007c79 <- 0x23009404 +mem-write: 0x80007c7d <- 0x2300992a +mem-write: 0x80007c81 <- 0x13009928 +mem-write: 0x80007c85 <- 0x230017e7 +mem-write: 0x80007c89 <- 0x230114a6 +mem-write: 0x80007c8d <- 0x230114a4 +mem-write: 0x80007c91 <- 0x3300e4a2 +mem-write: 0x80007c95 <- 0x2300a405 +mem-write: 0x80007c99 <- 0x1300f520 +mem-write: 0x80007c9d <- 0xef000985 +mem-write: 0x80007ca1 <- 0x13308000 +mem-write: 0x80007ca5 <- 0x6f008405 +mem-write: 0x80007ca9 <- 0x93cc5ff0 +mem-write: 0x80007cad <- 0x93006557 +mem-write: 0x80007cb1 <- 0x93039786 +mem-write: 0x80007cb5 <- 0x93038785 +mem-write: 0x80007cb9 <- 0x6f003696 +mem-write: 0x80007cbd <- 0x63ec5ff0 +mem-write: 0x80007cc1 <- 0x311240e +mem-write: 0x80007cc5 <- 0x83008924 +mem-write: 0x80007cc9 <- 0x9300442a +mem-write: 0x80007ccd <- 0xb3ffcafa +mem-write: 0x80007cd1 <- 0x63409a87 +mem-write: 0x80007cd5 <- 0x13009ae6 +mem-write: 0x80007cd9 <- 0xe300f007 +mem-write: 0x80007cdd <- 0x13e4f748 +mem-write: 0x80007ce1 <- 0xef000985 +mem-write: 0x80007ce5 <- 0x132c4000 +mem-write: 0x80007ce9 <- 0x6f000005 +mem-write: 0x80007ced <- 0x13c81ff0 +mem-write: 0x80007cf1 <- 0x1305c786 +mem-write: 0x80007cf5 <- 0x9305b785 +mem-write: 0x80007cf9 <- 0x6f003616 +mem-write: 0x80007cfd <- 0x83b19ff0 +mem-write: 0x80007d01 <- 0x13008327 +mem-write: 0x80007d05 <- 0x63fff606 +mem-write: 0x80007d09 <- 0x931c6790 +mem-write: 0x80007d0d <- 0x13003677 +mem-write: 0x80007d11 <- 0xe3ff8303 +mem-write: 0x80007d15 <- 0x3fe0796 +mem-write: 0x80007d19 <- 0x93004927 +mem-write: 0x80007d1d <- 0xb3fff5c7 +mem-write: 0x80007d21 <- 0x2300e7f7 +mem-write: 0x80007d25 <- 0x9300f922 +mem-write: 0x80007d29 <- 0xe3001595 +mem-write: 0x80007d2d <- 0xe3cab7e8 +mem-write: 0x80007d31 <- 0x33ca0586 +mem-write: 0x80007d35 <- 0x6300f5f7 +mem-write: 0x80007d39 <- 0x9300071a +mem-write: 0x80007d3d <- 0x33001595 +mem-write: 0x80007d41 <- 0x1300f5f7 +mem-write: 0x80007d45 <- 0xe3004e0e +mem-write: 0x80007d49 <- 0x13fe070a +mem-write: 0x80007d4d <- 0x6f000e06 +mem-write: 0x80007d51 <- 0x93bb1ff0 +mem-write: 0x80007d55 <- 0x6f010a8a +mem-write: 0x80007d59 <- 0x3ccdff0 +mem-write: 0x80007d5d <- 0x93004925 +mem-write: 0x80007d61 <- 0x134025d5 +mem-write: 0x80007d65 <- 0x33001007 +mem-write: 0x80007d69 <- 0x3300b717 +mem-write: 0x80007d6d <- 0x2300a767 +mem-write: 0x80007d71 <- 0x6f00e922 +mem-write: 0x80007d75 <- 0xb3e39ff0 +mem-write: 0x80007d79 <- 0xb3015b85 +mem-write: 0x80007d7d <- 0x9340b005 +mem-write: 0x80007d81 <- 0x13014595 +mem-write: 0x80007d85 <- 0x930145da +mem-write: 0x80007d89 <- 0x13000a05 +mem-write: 0x80007d8d <- 0xef000985 +mem-write: 0x80007d91 <- 0x93410010 +mem-write: 0x80007d95 <- 0xe3fff007 +mem-write: 0x80007d99 <- 0x13d0f51c +mem-write: 0x80007d9d <- 0x6f00000a +mem-write: 0x80007da1 <- 0x13d19ff0 +mem-write: 0x80007da5 <- 0x63054007 +mem-write: 0x80007da9 <- 0x9308f760 +mem-write: 0x80007dad <- 0x9300c557 +mem-write: 0x80007db1 <- 0x9306f786 +mem-write: 0x80007db5 <- 0x9306e785 +mem-write: 0x80007db9 <- 0x6f003696 +mem-write: 0x80007dbd <- 0x13dc5ff0 +mem-write: 0x80007dc1 <- 0x63154007 +mem-write: 0x80007dc5 <- 0x9308f760 +mem-write: 0x80007dc9 <- 0x1300f4d7 +mem-write: 0x80007dcd <- 0x13078786 +mem-write: 0x80007dd1 <- 0x93077785 +mem-write: 0x80007dd5 <- 0x6f003616 +mem-write: 0x80007dd9 <- 0x13a3dff0 +mem-write: 0x80007ddd <- 0x831f818c +mem-write: 0x80007de1 <- 0xb3000c27 +mem-write: 0x80007de5 <- 0x2300fa87 +mem-write: 0x80007de9 <- 0x6f00fc20 +mem-write: 0x80007ded <- 0x13c6dff0 +mem-write: 0x80007df1 <- 0xe3014a17 +mem-write: 0x80007df5 <- 0x3c60712 +mem-write: 0x80007df9 <- 0xb3008924 +mem-write: 0x80007dfd <- 0x93015b0a +mem-write: 0x80007e01 <- 0x23001aea +mem-write: 0x80007e05 <- 0x6f015422 +mem-write: 0x80007e09 <- 0x23d09ff0 +mem-write: 0x80007e0d <- 0x6f1d71ae +mem-write: 0x80007e11 <- 0x13c61ff0 +mem-write: 0x80007e15 <- 0x6f000b84 +mem-write: 0x80007e19 <- 0x93cf9ff0 +mem-write: 0x80007e1d <- 0x23001007 +mem-write: 0x80007e21 <- 0x6f00fba2 +mem-write: 0x80007e25 <- 0x13ebdff0 +mem-write: 0x80007e29 <- 0x63154007 +mem-write: 0x80007e2d <- 0x9306f762 +mem-write: 0x80007e31 <- 0x9300f557 +mem-write: 0x80007e35 <- 0x93078786 +mem-write: 0x80007e39 <- 0x93077785 +mem-write: 0x80007e3d <- 0x6f003696 +mem-write: 0x80007e41 <- 0x13d41ff0 +mem-write: 0x80007e45 <- 0x63554007 +mem-write: 0x80007e49 <- 0x9306f762 +mem-write: 0x80007e4d <- 0x130124d7 +mem-write: 0x80007e51 <- 0x1307d786 +mem-write: 0x80007e55 <- 0x9307c785 +mem-write: 0x80007e59 <- 0x6f003616 +mem-write: 0x80007e5d <- 0x939b9ff0 +mem-write: 0x80007e61 <- 0xb3ff8c8c +mem-write: 0x80007e65 <- 0xb3019a8a +mem-write: 0x80007e69 <- 0x13417a8a +mem-write: 0x80007e6d <- 0x6f00000a +mem-write: 0x80007e71 <- 0x93c49ff0 +mem-write: 0x80007e75 <- 0x13008405 +mem-write: 0x80007e79 <- 0xef000985 +mem-write: 0x80007e7d <- 0x3ee8fc0 +mem-write: 0x80007e81 <- 0x83008924 +mem-write: 0x80007e85 <- 0x83000c25 +mem-write: 0x80007e89 <- 0x6f00442a +mem-write: 0x80007e8d <- 0x13c85ff0 +mem-write: 0x80007e91 <- 0x63554007 +mem-write: 0x80007e95 <- 0x9302f764 +mem-write: 0x80007e99 <- 0x93012557 +mem-write: 0x80007e9d <- 0x9307d786 +mem-write: 0x80007ea1 <- 0x9307c785 +mem-write: 0x80007ea5 <- 0x6f003696 +mem-write: 0x80007ea9 <- 0x93cd9ff0 +mem-write: 0x80007ead <- 0x133f8006 +mem-write: 0x80007eb1 <- 0x1307f006 +mem-write: 0x80007eb5 <- 0x6f07e005 +mem-write: 0x80007eb9 <- 0x9395dff0 +mem-write: 0x80007ebd <- 0x933f8006 +mem-write: 0x80007ec1 <- 0x6f07e005 +mem-write: 0x80007ec5 <- 0x83cbdff0 +mem-write: 0x80007ec9 <- 0x6f004927 +mem-write: 0x80007ecd <- 0x93e5dff0 +mem-write: 0x80007ed1 <- 0x93003577 +mem-write: 0x80007ed5 <- 0x630ff5f6 +mem-write: 0x80007ed9 <- 0x9302078a +mem-write: 0x80007edd <- 0x63fff607 +mem-write: 0x80007ee1 <- 0x1302060e +mem-write: 0x80007ee5 <- 0x6ffff006 +mem-write: 0x80007ee9 <- 0x13018000 +mem-write: 0x80007eed <- 0x13001505 +mem-write: 0x80007ef1 <- 0x63003577 +mem-write: 0x80007ef5 <- 0x9300070e +mem-write: 0x80007ef9 <- 0x63fff787 +mem-write: 0x80007efd <- 0x302c780 +mem-write: 0x80007f01 <- 0xe3000547 +mem-write: 0x80007f05 <- 0x67fed714 +mem-write: 0x80007f09 <- 0x93000080 +mem-write: 0x80007f0d <- 0x13000607 +mem-write: 0x80007f11 <- 0x63003007 +mem-write: 0x80007f15 <- 0x6302f766 +mem-write: 0x80007f19 <- 0x13000796 +mem-write: 0x80007f1d <- 0x67000005 +mem-write: 0x80007f21 <- 0xb3000080 +mem-write: 0x80007f25 <- 0x6f00f507 +mem-write: 0x80007f29 <- 0x1300c000 +mem-write: 0x80007f2d <- 0xe3001505 +mem-write: 0x80007f31 <- 0x3fea786 +mem-write: 0x80007f35 <- 0xe3000547 +mem-write: 0x80007f39 <- 0x67fed71a +mem-write: 0x80007f3d <- 0x37000080 +mem-write: 0x80007f41 <- 0x93000107 +mem-write: 0x80007f45 <- 0x13008598 +mem-write: 0x80007f49 <- 0xb3fff707 +mem-write: 0x80007f4d <- 0x9300e8f8 +mem-write: 0x80007f51 <- 0xb30ff5f5 +mem-write: 0x80007f55 <- 0x9300b8e5 +mem-write: 0x80007f59 <- 0xb3010598 +mem-write: 0x80007f5d <- 0x3700b8e8 +mem-write: 0x80007f61 <- 0xb7feff08 +mem-write: 0x80007f65 <- 0x13808085 +mem-write: 0x80007f69 <- 0x93eff808 +mem-write: 0x80007f6d <- 0x13080585 +mem-write: 0x80007f71 <- 0x3003003 +mem-write: 0x80007f75 <- 0x33000527 +mem-write: 0x80007f79 <- 0x3300e8c7 +mem-write: 0x80007f7d <- 0x13010706 +mem-write: 0x80007f81 <- 0x33fff747 +mem-write: 0x80007f85 <- 0x3300e677 +mem-write: 0x80007f89 <- 0xe300b777 +mem-write: 0x80007f8d <- 0x93f8071c +mem-write: 0x80007f91 <- 0x13ffc787 +mem-write: 0x80007f95 <- 0xe3004505 +mem-write: 0x80007f99 <- 0xe3fcf36e +mem-write: 0x80007f9d <- 0x6ff80794 +mem-write: 0x80007fa1 <- 0x67f7dff0 +mem-write: 0x80007fa5 <- 0x67000080 +mem-write: 0x80007fa9 <- 0x83000080 +mem-write: 0x80007fad <- 0x1304c527 +mem-write: 0x80007fb1 <- 0x23ff0101 +mem-write: 0x80007fb5 <- 0x23008124 +mem-write: 0x80007fb9 <- 0x23009122 +mem-write: 0x80007fbd <- 0x23001126 +mem-write: 0x80007fc1 <- 0x13012120 +mem-write: 0x80007fc5 <- 0x93000504 +mem-write: 0x80007fc9 <- 0x63000584 +mem-write: 0x80007fcd <- 0x1302078e +mem-write: 0x80007fd1 <- 0xb3002495 +mem-write: 0x80007fd5 <- 0x300a787 +mem-write: 0x80007fd9 <- 0x630007a5 +mem-write: 0x80007fdd <- 0x3040506 +mem-write: 0x80007fe1 <- 0x23000527 +mem-write: 0x80007fe5 <- 0x2300e7a0 +mem-write: 0x80007fe9 <- 0x23000528 +mem-write: 0x80007fed <- 0x83000526 +mem-write: 0x80007ff1 <- 0x300c120 +mem-write: 0x80007ff5 <- 0x83008124 +mem-write: 0x80007ff9 <- 0x3004124 +VXDRV: upload 1024 bytes to 0x80007ffc +mem-write: 0x80007ffc <- 0x12903 +mem-write: 0x80008000 <- 0x1010113 +mem-write: 0x80008004 <- 0x8067 +mem-write: 0x80008008 <- 0x2100613 +mem-write: 0x8000800c <- 0x400593 +mem-write: 0x80008010 <- 0x518050ef +mem-write: 0x80008014 <- 0x4a42623 +mem-write: 0x80008018 <- 0x50793 +mem-write: 0x8000801c <- 0xfa051ae3 +mem-write: 0x80008020 <- 0x513 +mem-write: 0x80008024 <- 0xfcdff06f +mem-write: 0x80008028 <- 0x100913 +mem-write: 0x8000802c <- 0x991933 +mem-write: 0x80008030 <- 0x590613 +mem-write: 0x80008034 <- 0x261613 +mem-write: 0x80008038 <- 0x100593 +mem-write: 0x8000803c <- 0x40513 +mem-write: 0x80008040 <- 0x4e8050ef +mem-write: 0x80008044 <- 0xfc050ee3 +mem-write: 0x80008048 <- 0x952223 +mem-write: 0x8000804c <- 0x1252423 +mem-write: 0x80008050 <- 0xf99ff06f +mem-write: 0x80008054 <- 0x2058063 +mem-write: 0x80008058 <- 0x45a703 +mem-write: 0x8000805c <- 0x4c52783 +mem-write: 0x80008060 <- 0x271713 +mem-write: 0x80008064 <- 0xe787b3 +mem-write: 0x80008068 <- 0x7a703 +mem-write: 0x8000806c <- 0xe5a023 +mem-write: 0x80008070 <- 0xb7a023 +mem-write: 0x80008074 <- 0x8067 +mem-write: 0x80008078 <- 0xfe010113 +mem-write: 0x8000807c <- 0x912a23 +mem-write: 0x80008080 <- 0x105a483 +mem-write: 0x80008084 <- 0x10337 +mem-write: 0x80008088 <- 0x812c23 +mem-write: 0x8000808c <- 0x1212823 +mem-write: 0x80008090 <- 0x1312623 +mem-write: 0x80008094 <- 0x112e23 +mem-write: 0x80008098 <- 0x1412423 +mem-write: 0x8000809c <- 0x58913 +mem-write: 0x800080a0 <- 0x50993 +mem-write: 0x800080a4 <- 0x68413 +mem-write: 0x800080a8 <- 0x1458813 +mem-write: 0x800080ac <- 0x893 +mem-write: 0x800080b0 <- 0xfff30313 +mem-write: 0x800080b4 <- 0x82783 +mem-write: 0x800080b8 <- 0x480813 +mem-write: 0x800080bc <- 0x188893 +mem-write: 0x800080c0 <- 0x67f6b3 +mem-write: 0x800080c4 <- 0x2c686b3 +mem-write: 0x800080c8 <- 0x107d793 +mem-write: 0x800080cc <- 0x2c787b3 +mem-write: 0x800080d0 <- 0x8686b3 +mem-write: 0x800080d4 <- 0x106de13 +mem-write: 0x800080d8 <- 0x66f733 +mem-write: 0x800080dc <- 0x1c786b3 +mem-write: 0x800080e0 <- 0x1069793 +mem-write: 0x800080e4 <- 0xe78733 +mem-write: 0x800080e8 <- 0xfee82e23 +mem-write: 0x800080ec <- 0x106d413 +mem-write: 0x800080f0 <- 0xfc98c2e3 +mem-write: 0x800080f4 <- 0x2040263 +mem-write: 0x800080f8 <- 0x892783 +mem-write: 0x800080fc <- 0x4f4d063 +mem-write: 0x80008100 <- 0x448793 +mem-write: 0x80008104 <- 0x279793 +mem-write: 0x80008108 <- 0xf907b3 +mem-write: 0x8000810c <- 0x87a223 +mem-write: 0x80008110 <- 0x148493 +mem-write: 0x80008114 <- 0x992823 +mem-write: 0x80008118 <- 0x1c12083 +mem-write: 0x8000811c <- 0x1812403 +mem-write: 0x80008120 <- 0x1412483 +mem-write: 0x80008124 <- 0xc12983 +mem-write: 0x80008128 <- 0x812a03 +mem-write: 0x8000812c <- 0x90513 +mem-write: 0x80008130 <- 0x1012903 +mem-write: 0x80008134 <- 0x2010113 +mem-write: 0x80008138 <- 0x8067 +mem-write: 0x8000813c <- 0x492583 +mem-write: 0x80008140 <- 0x98513 +mem-write: 0x80008144 <- 0x158593 +mem-write: 0x80008148 <- 0xe65ff0ef +mem-write: 0x8000814c <- 0x50a13 +mem-write: 0x80008150 <- 0x4050c63 +mem-write: 0x80008154 <- 0x1092603 +mem-write: 0x80008158 <- 0xc90593 +mem-write: 0x8000815c <- 0xc50513 +mem-write: 0x80008160 <- 0x260613 +mem-write: 0x80008164 <- 0x261613 +mem-write: 0x80008168 <- 0x719050ef +mem-write: 0x8000816c <- 0x492703 +mem-write: 0x80008170 <- 0x4c9a783 +mem-write: 0x80008174 <- 0x271713 +mem-write: 0x80008178 <- 0xe787b3 +mem-write: 0x8000817c <- 0x7a703 +mem-write: 0x80008180 <- 0xe92023 +mem-write: 0x80008184 <- 0x127a023 +mem-write: 0x80008188 <- 0x448793 +mem-write: 0x8000818c <- 0xa0913 +mem-write: 0x80008190 <- 0x279793 +mem-write: 0x80008194 <- 0xf907b3 +mem-write: 0x80008198 <- 0x87a223 +mem-write: 0x8000819c <- 0x148493 +mem-write: 0x800081a0 <- 0x992823 +mem-write: 0x800081a4 <- 0xf75ff06f +mem-write: 0x800081a8 <- 0x800156b7 +mem-write: 0x800081ac <- 0x80015537 +mem-write: 0x800081b0 <- 0xe7468693 +mem-write: 0x800081b4 <- 0x613 +mem-write: 0x800081b8 <- 0xb500593 +mem-write: 0x800081bc <- 0xe8850513 +mem-write: 0x800081c0 <- 0x300050ef +mem-write: 0x800081c4 <- 0xfe010113 +mem-write: 0x800081c8 <- 0x812c23 +mem-write: 0x800081cc <- 0x912a23 +mem-write: 0x800081d0 <- 0x1212823 +mem-write: 0x800081d4 <- 0x1312623 +mem-write: 0x800081d8 <- 0x1412423 +mem-write: 0x800081dc <- 0x868813 +mem-write: 0x800081e0 <- 0x900793 +mem-write: 0x800081e4 <- 0x112e23 +mem-write: 0x800081e8 <- 0x1512223 +mem-write: 0x800081ec <- 0x2f84833 +mem-write: 0x800081f0 <- 0x68993 +mem-write: 0x800081f4 <- 0x50913 +mem-write: 0x800081f8 <- 0x58413 +mem-write: 0x800081fc <- 0x60a13 +mem-write: 0x80008200 <- 0x70493 +mem-write: 0x80008204 <- 0xcd7d863 +mem-write: 0x80008208 <- 0x100793 +mem-write: 0x8000820c <- 0x593 +mem-write: 0x80008210 <- 0x179793 +mem-write: 0x80008214 <- 0x158593 +mem-write: 0x80008218 <- 0xff07cce3 +mem-write: 0x8000821c <- 0x90513 +mem-write: 0x80008220 <- 0xd8dff0ef +mem-write: 0x80008224 <- 0x50593 +mem-write: 0x80008228 <- 0xa050a63 +mem-write: 0x8000822c <- 0x100793 +mem-write: 0x80008230 <- 0xf52823 +mem-write: 0x80008234 <- 0x952a23 +mem-write: 0x80008238 <- 0x900793 +mem-write: 0x8000823c <- 0x947d663 +mem-write: 0x80008240 <- 0x940a93 +mem-write: 0x80008244 <- 0xa8493 +mem-write: 0x80008248 <- 0x1440433 +mem-write: 0x8000824c <- 0x4c683 +mem-write: 0x80008250 <- 0xa00613 +mem-write: 0x80008254 <- 0x90513 +mem-write: 0x80008258 <- 0xfd068693 +mem-write: 0x8000825c <- 0xe1dff0ef +mem-write: 0x80008260 <- 0x148493 +mem-write: 0x80008264 <- 0x50593 +mem-write: 0x80008268 <- 0xfe8492e3 +mem-write: 0x8000826c <- 0xff8a0413 +mem-write: 0x80008270 <- 0x8a8433 +mem-write: 0x80008274 <- 0x33a5663 +mem-write: 0x80008278 <- 0x414989b3 +mem-write: 0x8000827c <- 0x13409b3 +mem-write: 0x80008280 <- 0x44683 +mem-write: 0x80008284 <- 0xa00613 +mem-write: 0x80008288 <- 0x90513 +mem-write: 0x8000828c <- 0xfd068693 +mem-write: 0x80008290 <- 0xde9ff0ef +mem-write: 0x80008294 <- 0x140413 +mem-write: 0x80008298 <- 0x50593 +mem-write: 0x8000829c <- 0xfe8992e3 +mem-write: 0x800082a0 <- 0x1c12083 +mem-write: 0x800082a4 <- 0x1812403 +mem-write: 0x800082a8 <- 0x1412483 +mem-write: 0x800082ac <- 0x1012903 +mem-write: 0x800082b0 <- 0xc12983 +mem-write: 0x800082b4 <- 0x812a03 +mem-write: 0x800082b8 <- 0x412a83 +mem-write: 0x800082bc <- 0x58513 +mem-write: 0x800082c0 <- 0x2010113 +mem-write: 0x800082c4 <- 0x8067 +mem-write: 0x800082c8 <- 0xa40413 +mem-write: 0x800082cc <- 0x900a13 +mem-write: 0x800082d0 <- 0xfa5ff06f +mem-write: 0x800082d4 <- 0x593 +mem-write: 0x800082d8 <- 0xf45ff06f +mem-write: 0x800082dc <- 0x800156b7 +mem-write: 0x800082e0 <- 0x80015537 +mem-write: 0x800082e4 <- 0xe7468693 +mem-write: 0x800082e8 <- 0x613 +mem-write: 0x800082ec <- 0xce00593 +mem-write: 0x800082f0 <- 0xe8850513 +mem-write: 0x800082f4 <- 0x1cc050ef +mem-write: 0x800082f8 <- 0xffff0737 +mem-write: 0x800082fc <- 0xe57733 +mem-write: 0x80008300 <- 0x50793 +mem-write: 0x80008304 <- 0x513 +mem-write: 0x80008308 <- 0x71663 +mem-write: 0x8000830c <- 0x1079793 +mem-write: 0x80008310 <- 0x1000513 +mem-write: 0x80008314 <- 0xff000737 +mem-write: 0x80008318 <- 0xe7f733 +mem-write: 0x8000831c <- 0x71663 +mem-write: 0x80008320 <- 0x850513 +mem-write: 0x80008324 <- 0x879793 +mem-write: 0x80008328 <- 0xf0000737 +mem-write: 0x8000832c <- 0xe7f733 +mem-write: 0x80008330 <- 0x71663 +mem-write: 0x80008334 <- 0x450513 +mem-write: 0x80008338 <- 0x479793 +mem-write: 0x8000833c <- 0xc0000737 +mem-write: 0x80008340 <- 0xe7f733 +mem-write: 0x80008344 <- 0x71663 +mem-write: 0x80008348 <- 0x250513 +mem-write: 0x8000834c <- 0x279793 +mem-write: 0x80008350 <- 0x7c863 +mem-write: 0x80008354 <- 0x179713 +mem-write: 0x80008358 <- 0x150513 +mem-write: 0x8000835c <- 0x75463 +mem-write: 0x80008360 <- 0x8067 +mem-write: 0x80008364 <- 0x2000513 +mem-write: 0x80008368 <- 0x8067 +mem-write: 0x8000836c <- 0x52783 +mem-write: 0x80008370 <- 0x50713 +mem-write: 0x80008374 <- 0x77f693 +mem-write: 0x80008378 <- 0x2068463 +mem-write: 0x8000837c <- 0x17f693 +mem-write: 0x80008380 <- 0x513 +mem-write: 0x80008384 <- 0x6069e63 +mem-write: 0x80008388 <- 0x27f693 +mem-write: 0x8000838c <- 0x8068063 +mem-write: 0x80008390 <- 0x17d793 +mem-write: 0x80008394 <- 0xf72023 +mem-write: 0x80008398 <- 0x100513 +mem-write: 0x8000839c <- 0x8067 +mem-write: 0x800083a0 <- 0x1079693 +mem-write: 0x800083a4 <- 0x106d693 +mem-write: 0x800083a8 <- 0x513 +mem-write: 0x800083ac <- 0x69663 +mem-write: 0x800083b0 <- 0x107d793 +mem-write: 0x800083b4 <- 0x1000513 +mem-write: 0x800083b8 <- 0xff7f693 +mem-write: 0x800083bc <- 0x69663 +mem-write: 0x800083c0 <- 0x850513 +mem-write: 0x800083c4 <- 0x87d793 +mem-write: 0x800083c8 <- 0xf7f693 +mem-write: 0x800083cc <- 0x69663 +mem-write: 0x800083d0 <- 0x450513 +mem-write: 0x800083d4 <- 0x47d793 +mem-write: 0x800083d8 <- 0x37f693 +mem-write: 0x800083dc <- 0x69663 +mem-write: 0x800083e0 <- 0x250513 +mem-write: 0x800083e4 <- 0x27d793 +mem-write: 0x800083e8 <- 0x17f693 +mem-write: 0x800083ec <- 0x69c63 +mem-write: 0x800083f0 <- 0x17d793 +mem-write: 0x800083f4 <- 0x150513 +mem-write: 0x800083f8 <- 0x79663 +VXDRV: upload 1024 bytes to 0x800083fc +mem-write: 0x800083fc <- 0x2000513 +mem-write: 0x80008400 <- 0x8067 +mem-write: 0x80008404 <- 0xf72023 +mem-write: 0x80008408 <- 0x8067 +mem-write: 0x8000840c <- 0x27d793 +mem-write: 0x80008410 <- 0xf72023 +mem-write: 0x80008414 <- 0x200513 +mem-write: 0x80008418 <- 0x8067 +mem-write: 0x8000841c <- 0xff010113 +mem-write: 0x80008420 <- 0x812423 +mem-write: 0x80008424 <- 0x58413 +mem-write: 0x80008428 <- 0x100593 +mem-write: 0x8000842c <- 0x112623 +mem-write: 0x80008430 <- 0xb7dff0ef +mem-write: 0x80008434 <- 0x2050063 +mem-write: 0x80008438 <- 0xc12083 +mem-write: 0x8000843c <- 0x852a23 +mem-write: 0x80008440 <- 0x812403 +mem-write: 0x80008444 <- 0x100713 +mem-write: 0x80008448 <- 0xe52823 +mem-write: 0x8000844c <- 0x1010113 +mem-write: 0x80008450 <- 0x8067 +mem-write: 0x80008454 <- 0x800156b7 +mem-write: 0x80008458 <- 0x80015537 +mem-write: 0x8000845c <- 0xe7468693 +mem-write: 0x80008460 <- 0x613 +mem-write: 0x80008464 <- 0x14000593 +mem-write: 0x80008468 <- 0xe8850513 +mem-write: 0x8000846c <- 0x54050ef +mem-write: 0x80008470 <- 0xfe010113 +mem-write: 0x80008474 <- 0x1212823 +mem-write: 0x80008478 <- 0x1312623 +mem-write: 0x8000847c <- 0x105a903 +mem-write: 0x80008480 <- 0x1062983 +mem-write: 0x80008484 <- 0x912a23 +mem-write: 0x80008488 <- 0x1412423 +mem-write: 0x8000848c <- 0x112e23 +mem-write: 0x80008490 <- 0x812c23 +mem-write: 0x80008494 <- 0x58a13 +mem-write: 0x80008498 <- 0x60493 +mem-write: 0x8000849c <- 0x1394c63 +mem-write: 0x800084a0 <- 0x98713 +mem-write: 0x800084a4 <- 0x58493 +mem-write: 0x800084a8 <- 0x90993 +mem-write: 0x800084ac <- 0x60a13 +mem-write: 0x800084b0 <- 0x70913 +mem-write: 0x800084b4 <- 0x84a783 +mem-write: 0x800084b8 <- 0x44a583 +mem-write: 0x800084bc <- 0x1298433 +mem-write: 0x800084c0 <- 0x87a7b3 +mem-write: 0x800084c4 <- 0xf585b3 +mem-write: 0x800084c8 <- 0xae5ff0ef +mem-write: 0x800084cc <- 0x1a050c63 +mem-write: 0x800084d0 <- 0x1450313 +mem-write: 0x800084d4 <- 0x241893 +mem-write: 0x800084d8 <- 0x11308b3 +mem-write: 0x800084dc <- 0x30793 +mem-write: 0x800084e0 <- 0x1137863 +mem-write: 0x800084e4 <- 0x7a023 +mem-write: 0x800084e8 <- 0x478793 +mem-write: 0x800084ec <- 0xff17ece3 +mem-write: 0x800084f0 <- 0x14a0813 +mem-write: 0x800084f4 <- 0x291e13 +mem-write: 0x800084f8 <- 0x1448e93 +mem-write: 0x800084fc <- 0x299593 +mem-write: 0x80008500 <- 0x1c80e33 +mem-write: 0x80008504 <- 0xbe85b3 +mem-write: 0x80008508 <- 0xbc87c63 +mem-write: 0x8000850c <- 0x1548793 +mem-write: 0x80008510 <- 0x400f13 +mem-write: 0x80008514 <- 0x14f5fe63 +mem-write: 0x80008518 <- 0x10637 +mem-write: 0x8000851c <- 0xfff60613 +mem-write: 0x80008520 <- 0x100006f +mem-write: 0x80008524 <- 0x480813 +mem-write: 0x80008528 <- 0x430313 +mem-write: 0x8000852c <- 0x9c87a63 +mem-write: 0x80008530 <- 0x82f83 +mem-write: 0x80008534 <- 0xcff4b3 +mem-write: 0x80008538 <- 0xc049463 +mem-write: 0x8000853c <- 0x10fdf93 +mem-write: 0x80008540 <- 0xfe0f82e3 +mem-write: 0x80008544 <- 0x32703 +mem-write: 0x80008548 <- 0x30293 +mem-write: 0x8000854c <- 0xe8693 +mem-write: 0x80008550 <- 0x70493 +mem-write: 0x80008554 <- 0x393 +mem-write: 0x80008558 <- 0x6a783 +mem-write: 0x8000855c <- 0x104d993 +mem-write: 0x80008560 <- 0xc77733 +mem-write: 0x80008564 <- 0xc7f7b3 +mem-write: 0x80008568 <- 0x3f787b3 +mem-write: 0x8000856c <- 0x42a483 +mem-write: 0x80008570 <- 0x428293 +mem-write: 0x80008574 <- 0x468693 +mem-write: 0x80008578 <- 0xc4f933 +mem-write: 0x8000857c <- 0x13787b3 +mem-write: 0x80008580 <- 0x7787b3 +mem-write: 0x80008584 <- 0x1079393 +mem-write: 0x80008588 <- 0xe3e733 +mem-write: 0x8000858c <- 0xfee2ae23 +mem-write: 0x80008590 <- 0xffe6d703 +mem-write: 0x80008594 <- 0x107d793 +mem-write: 0x80008598 <- 0x3f70733 +mem-write: 0x8000859c <- 0x1270733 +mem-write: 0x800085a0 <- 0xf70733 +mem-write: 0x800085a4 <- 0x1075393 +mem-write: 0x800085a8 <- 0xfab6e8e3 +mem-write: 0x800085ac <- 0x1e307b3 +mem-write: 0x800085b0 <- 0xe7a023 +mem-write: 0x800085b4 <- 0x480813 +mem-write: 0x800085b8 <- 0x430313 +mem-write: 0x800085bc <- 0xf7c86ae3 +mem-write: 0x800085c0 <- 0x804863 +mem-write: 0x800085c4 <- 0x180006f +mem-write: 0x800085c8 <- 0xfff40413 +mem-write: 0x800085cc <- 0x40863 +mem-write: 0x800085d0 <- 0xffc8a783 +mem-write: 0x800085d4 <- 0xffc88893 +mem-write: 0x800085d8 <- 0xfe0788e3 +mem-write: 0x800085dc <- 0x1c12083 +mem-write: 0x800085e0 <- 0x852823 +mem-write: 0x800085e4 <- 0x1812403 +mem-write: 0x800085e8 <- 0x1412483 +mem-write: 0x800085ec <- 0x1012903 +mem-write: 0x800085f0 <- 0xc12983 +mem-write: 0x800085f4 <- 0x812a03 +mem-write: 0x800085f8 <- 0x2010113 +mem-write: 0x800085fc <- 0x8067 +mem-write: 0x80008600 <- 0x30393 +mem-write: 0x80008604 <- 0xe8293 +mem-write: 0x80008608 <- 0x913 +mem-write: 0x8000860c <- 0x2a703 +mem-write: 0x80008610 <- 0x3af83 +mem-write: 0x80008614 <- 0x438393 +mem-write: 0x80008618 <- 0xc776b3 +mem-write: 0x8000861c <- 0x29686b3 +mem-write: 0x80008620 <- 0x1075793 +mem-write: 0x80008624 <- 0xcff733 +mem-write: 0x80008628 <- 0x10fdf93 +mem-write: 0x8000862c <- 0x428293 +mem-write: 0x80008630 <- 0x29787b3 +mem-write: 0x80008634 <- 0xe686b3 +mem-write: 0x80008638 <- 0x12686b3 +mem-write: 0x8000863c <- 0x106d713 +mem-write: 0x80008640 <- 0xc6f6b3 +mem-write: 0x80008644 <- 0x1f787b3 +mem-write: 0x80008648 <- 0xe787b3 +mem-write: 0x8000864c <- 0x1079713 +mem-write: 0x80008650 <- 0xd766b3 +mem-write: 0x80008654 <- 0xfed3ae23 +mem-write: 0x80008658 <- 0x107d913 +mem-write: 0x8000865c <- 0xfab2e8e3 +mem-write: 0x80008660 <- 0x1e307b3 +mem-write: 0x80008664 <- 0x127a023 +mem-write: 0x80008668 <- 0x82f83 +mem-write: 0x8000866c <- 0xed1ff06f +mem-write: 0x80008670 <- 0x40958f33 +mem-write: 0x80008674 <- 0xfebf0f13 +mem-write: 0x80008678 <- 0xffcf7f13 +mem-write: 0x8000867c <- 0x4f0f13 +mem-write: 0x80008680 <- 0xe99ff06f +mem-write: 0x80008684 <- 0x800156b7 +mem-write: 0x80008688 <- 0x80015537 +mem-write: 0x8000868c <- 0xe7468693 +mem-write: 0x80008690 <- 0x613 +mem-write: 0x80008694 <- 0x15d00593 +mem-write: 0x80008698 <- 0xe8850513 +mem-write: 0x8000869c <- 0x625040ef +mem-write: 0x800086a0 <- 0xfe010113 +mem-write: 0x800086a4 <- 0x812c23 +mem-write: 0x800086a8 <- 0x1312623 +mem-write: 0x800086ac <- 0x1412423 +mem-write: 0x800086b0 <- 0x112e23 +mem-write: 0x800086b4 <- 0x912a23 +mem-write: 0x800086b8 <- 0x1212823 +mem-write: 0x800086bc <- 0x367793 +mem-write: 0x800086c0 <- 0x60413 +mem-write: 0x800086c4 <- 0x50993 +mem-write: 0x800086c8 <- 0x58a13 +mem-write: 0x800086cc <- 0xc079463 +mem-write: 0x800086d0 <- 0x40245413 +mem-write: 0x800086d4 <- 0xa0913 +mem-write: 0x800086d8 <- 0x6040863 +mem-write: 0x800086dc <- 0x489a483 +mem-write: 0x800086e0 <- 0xc048e63 +mem-write: 0x800086e4 <- 0x147793 +mem-write: 0x800086e8 <- 0xa0913 +mem-write: 0x800086ec <- 0x2079063 +mem-write: 0x800086f0 <- 0x40145413 +mem-write: 0x800086f4 <- 0x4040a63 +mem-write: 0x800086f8 <- 0x4a503 +mem-write: 0x800086fc <- 0x6050863 +mem-write: 0x80008700 <- 0x50493 +mem-write: 0x80008704 <- 0x147793 +mem-write: 0x80008708 <- 0xfe0784e3 +mem-write: 0x8000870c <- 0x48613 +mem-write: 0x80008710 <- 0x90593 +mem-write: 0x80008714 <- 0x98513 +mem-write: 0x80008718 <- 0xd59ff0ef +mem-write: 0x8000871c <- 0x6090863 +mem-write: 0x80008720 <- 0x492703 +mem-write: 0x80008724 <- 0x4c9a783 +mem-write: 0x80008728 <- 0x40145413 +mem-write: 0x8000872c <- 0x271713 +mem-write: 0x80008730 <- 0xe787b3 +mem-write: 0x80008734 <- 0x7a703 +mem-write: 0x80008738 <- 0xe92023 +mem-write: 0x8000873c <- 0x127a023 +mem-write: 0x80008740 <- 0x50913 +mem-write: 0x80008744 <- 0xfa041ae3 +mem-write: 0x80008748 <- 0x1c12083 +mem-write: 0x8000874c <- 0x1812403 +mem-write: 0x80008750 <- 0x1412483 +mem-write: 0x80008754 <- 0xc12983 +mem-write: 0x80008758 <- 0x812a03 +mem-write: 0x8000875c <- 0x90513 +mem-write: 0x80008760 <- 0x1012903 +mem-write: 0x80008764 <- 0x2010113 +mem-write: 0x80008768 <- 0x8067 +mem-write: 0x8000876c <- 0x48613 +mem-write: 0x80008770 <- 0x48593 +mem-write: 0x80008774 <- 0x98513 +mem-write: 0x80008778 <- 0xcf9ff0ef +mem-write: 0x8000877c <- 0xa4a023 +mem-write: 0x80008780 <- 0x52023 +mem-write: 0x80008784 <- 0x50493 +mem-write: 0x80008788 <- 0xf7dff06f +mem-write: 0x8000878c <- 0x50913 +mem-write: 0x80008790 <- 0xf61ff06f +mem-write: 0x80008794 <- 0xfff78793 +mem-write: 0x80008798 <- 0x80015737 +mem-write: 0x8000879c <- 0xee070713 +mem-write: 0x800087a0 <- 0x279793 +mem-write: 0x800087a4 <- 0xf707b3 +mem-write: 0x800087a8 <- 0x7a603 +mem-write: 0x800087ac <- 0x693 +mem-write: 0x800087b0 <- 0x8c9ff0ef +mem-write: 0x800087b4 <- 0x50a13 +mem-write: 0x800087b8 <- 0xf19ff06f +mem-write: 0x800087bc <- 0x27100593 +mem-write: 0x800087c0 <- 0x98513 +mem-write: 0x800087c4 <- 0xc59ff0ef +mem-write: 0x800087c8 <- 0x4a9a423 +mem-write: 0x800087cc <- 0x50493 +mem-write: 0x800087d0 <- 0x52023 +mem-write: 0x800087d4 <- 0xf11ff06f +mem-write: 0x800087d8 <- 0xfe010113 +mem-write: 0x800087dc <- 0x1412423 +mem-write: 0x800087e0 <- 0x105aa03 +mem-write: 0x800087e4 <- 0x85a783 +mem-write: 0x800087e8 <- 0x1312623 +mem-write: 0x800087ec <- 0x40565993 +mem-write: 0x800087f0 <- 0x1498a33 +mem-write: 0x800087f4 <- 0x812c23 +mem-write: 0x800087f8 <- 0x912a23 +VXDRV: upload 1024 bytes to 0x800087fc +mem-write: 0x800087fc <- 0x1212823 +mem-write: 0x80008800 <- 0x1512223 +mem-write: 0x80008804 <- 0x112e23 +mem-write: 0x80008808 <- 0x1a0913 +mem-write: 0x8000880c <- 0x58493 +mem-write: 0x80008810 <- 0x60413 +mem-write: 0x80008814 <- 0x45a583 +mem-write: 0x80008818 <- 0x50a93 +mem-write: 0x8000881c <- 0x127d863 +mem-write: 0x80008820 <- 0x179793 +mem-write: 0x80008824 <- 0x158593 +mem-write: 0x80008828 <- 0xff27cce3 +mem-write: 0x8000882c <- 0xa8513 +mem-write: 0x80008830 <- 0xf7cff0ef +mem-write: 0x80008834 <- 0x10050c63 +mem-write: 0x80008838 <- 0x1450813 +mem-write: 0x8000883c <- 0x3305463 +mem-write: 0x80008840 <- 0x598993 +mem-write: 0x80008844 <- 0x299993 +mem-write: 0x80008848 <- 0x1350733 +mem-write: 0x8000884c <- 0x80793 +mem-write: 0x80008850 <- 0x478793 +mem-write: 0x80008854 <- 0xfe07ae23 +mem-write: 0x80008858 <- 0xfee79ce3 +mem-write: 0x8000885c <- 0xfec98993 +mem-write: 0x80008860 <- 0x1380833 +mem-write: 0x80008864 <- 0x104a703 +mem-write: 0x80008868 <- 0x1448793 +mem-write: 0x8000886c <- 0x1f47313 +mem-write: 0x80008870 <- 0x271613 +mem-write: 0x80008874 <- 0xc78633 +mem-write: 0x80008878 <- 0xa030463 +mem-write: 0x8000887c <- 0x2000593 +mem-write: 0x80008880 <- 0x406585b3 +mem-write: 0x80008884 <- 0x80893 +mem-write: 0x80008888 <- 0x693 +mem-write: 0x8000888c <- 0x7a703 +mem-write: 0x80008890 <- 0x488893 +mem-write: 0x80008894 <- 0x478793 +mem-write: 0x80008898 <- 0x671733 +mem-write: 0x8000889c <- 0xd76733 +mem-write: 0x800088a0 <- 0xfee8ae23 +mem-write: 0x800088a4 <- 0xffc7a703 +mem-write: 0x800088a8 <- 0xb756b3 +mem-write: 0x800088ac <- 0xfec7e0e3 +mem-write: 0x800088b0 <- 0x1548713 +mem-write: 0x800088b4 <- 0x400793 +mem-write: 0x800088b8 <- 0xe66a63 +mem-write: 0x800088bc <- 0x409607b3 +mem-write: 0x800088c0 <- 0xfeb78793 +mem-write: 0x800088c4 <- 0xffc7f793 +mem-write: 0x800088c8 <- 0x478793 +mem-write: 0x800088cc <- 0xf80833 +mem-write: 0x800088d0 <- 0xd82023 +mem-write: 0x800088d4 <- 0x68463 +mem-write: 0x800088d8 <- 0x90a13 +mem-write: 0x800088dc <- 0x44a703 +mem-write: 0x800088e0 <- 0x4caa783 +mem-write: 0x800088e4 <- 0x1c12083 +mem-write: 0x800088e8 <- 0x271713 +mem-write: 0x800088ec <- 0xe787b3 +mem-write: 0x800088f0 <- 0x7a703 +mem-write: 0x800088f4 <- 0x1452823 +mem-write: 0x800088f8 <- 0x1812403 +mem-write: 0x800088fc <- 0xe4a023 +mem-write: 0x80008900 <- 0x97a023 +mem-write: 0x80008904 <- 0x1012903 +mem-write: 0x80008908 <- 0x1412483 +mem-write: 0x8000890c <- 0xc12983 +mem-write: 0x80008910 <- 0x812a03 +mem-write: 0x80008914 <- 0x412a83 +mem-write: 0x80008918 <- 0x2010113 +mem-write: 0x8000891c <- 0x8067 +mem-write: 0x80008920 <- 0x7a703 +mem-write: 0x80008924 <- 0x478793 +mem-write: 0x80008928 <- 0x480813 +mem-write: 0x8000892c <- 0xfee82e23 +mem-write: 0x80008930 <- 0xfac7f6e3 +mem-write: 0x80008934 <- 0x7a703 +mem-write: 0x80008938 <- 0x478793 +mem-write: 0x8000893c <- 0x480813 +mem-write: 0x80008940 <- 0xfee82e23 +mem-write: 0x80008944 <- 0xfcc7eee3 +mem-write: 0x80008948 <- 0xf95ff06f +mem-write: 0x8000894c <- 0x800156b7 +mem-write: 0x80008950 <- 0x80015537 +mem-write: 0x80008954 <- 0xe7468693 +mem-write: 0x80008958 <- 0x613 +mem-write: 0x8000895c <- 0x1d900593 +mem-write: 0x80008960 <- 0xe8850513 +mem-write: 0x80008964 <- 0x35d040ef +mem-write: 0x80008968 <- 0x1052703 +mem-write: 0x8000896c <- 0x105a783 +mem-write: 0x80008970 <- 0x50813 +mem-write: 0x80008974 <- 0x40f70533 +mem-write: 0x80008978 <- 0x4f71463 +mem-write: 0x8000897c <- 0x279793 +mem-write: 0x80008980 <- 0x1480813 +mem-write: 0x80008984 <- 0x1458593 +mem-write: 0x80008988 <- 0xf80733 +mem-write: 0x8000898c <- 0xf587b3 +mem-write: 0x80008990 <- 0x80006f +mem-write: 0x80008994 <- 0x2e87663 +mem-write: 0x80008998 <- 0xffc72683 +mem-write: 0x8000899c <- 0xffc7a603 +mem-write: 0x800089a0 <- 0xffc70713 +mem-write: 0x800089a4 <- 0xffc78793 +mem-write: 0x800089a8 <- 0xfec686e3 +mem-write: 0x800089ac <- 0xc6b6b3 +mem-write: 0x800089b0 <- 0x40d00533 +mem-write: 0x800089b4 <- 0xffe57513 +mem-write: 0x800089b8 <- 0x150513 +mem-write: 0x800089bc <- 0x8067 +mem-write: 0x800089c0 <- 0x8067 +mem-write: 0x800089c4 <- 0x105a783 +mem-write: 0x800089c8 <- 0x1062703 +mem-write: 0x800089cc <- 0xff010113 +mem-write: 0x800089d0 <- 0x812423 +mem-write: 0x800089d4 <- 0x912223 +mem-write: 0x800089d8 <- 0x1212023 +mem-write: 0x800089dc <- 0x112623 +mem-write: 0x800089e0 <- 0x58493 +mem-write: 0x800089e4 <- 0x60413 +mem-write: 0x800089e8 <- 0x40e78933 +mem-write: 0x800089ec <- 0x1ae79463 +mem-write: 0x800089f0 <- 0x271693 +mem-write: 0x800089f4 <- 0x1458593 +mem-write: 0x800089f8 <- 0x1460713 +mem-write: 0x800089fc <- 0xd587b3 +mem-write: 0x80008a00 <- 0xd70733 +mem-write: 0x80008a04 <- 0x80006f +mem-write: 0x80008a08 <- 0x18f5fc63 +mem-write: 0x80008a0c <- 0xffc7a603 +mem-write: 0x80008a10 <- 0xffc72683 +mem-write: 0x80008a14 <- 0xffc78793 +mem-write: 0x80008a18 <- 0xffc70713 +mem-write: 0x80008a1c <- 0xfed606e3 +mem-write: 0x80008a20 <- 0x16d66063 +mem-write: 0x80008a24 <- 0x44a583 +mem-write: 0x80008a28 <- 0xd84ff0ef +mem-write: 0x80008a2c <- 0x1a050263 +mem-write: 0x80008a30 <- 0x104ae03 +mem-write: 0x80008a34 <- 0x1042283 +mem-write: 0x80008a38 <- 0x1448f93 +mem-write: 0x80008a3c <- 0x2e1e93 +mem-write: 0x80008a40 <- 0x1440813 +mem-write: 0x80008a44 <- 0x229293 +mem-write: 0x80008a48 <- 0x1450393 +mem-write: 0x80008a4c <- 0x108b7 +mem-write: 0x80008a50 <- 0x1252623 +mem-write: 0x80008a54 <- 0x1df8eb3 +mem-write: 0x80008a58 <- 0x5802b3 +mem-write: 0x80008a5c <- 0x38f13 +mem-write: 0x80008a60 <- 0xf8313 +mem-write: 0x80008a64 <- 0x793 +mem-write: 0x80008a68 <- 0xfff88893 +mem-write: 0x80008a6c <- 0x32703 +mem-write: 0x80008a70 <- 0x82583 +mem-write: 0x80008a74 <- 0x4f0f13 +mem-write: 0x80008a78 <- 0x11776b3 +mem-write: 0x80008a7c <- 0xf686b3 +mem-write: 0x80008a80 <- 0x115f7b3 +mem-write: 0x80008a84 <- 0x40f686b3 +mem-write: 0x80008a88 <- 0x105d593 +mem-write: 0x80008a8c <- 0x1075793 +mem-write: 0x80008a90 <- 0x40b787b3 +mem-write: 0x80008a94 <- 0x4106d713 +mem-write: 0x80008a98 <- 0xe787b3 +mem-write: 0x80008a9c <- 0x1079713 +mem-write: 0x80008aa0 <- 0x116f6b3 +mem-write: 0x80008aa4 <- 0xd766b3 +mem-write: 0x80008aa8 <- 0x480813 +mem-write: 0x80008aac <- 0xfedf2e23 +mem-write: 0x80008ab0 <- 0x430313 +mem-write: 0x80008ab4 <- 0x4107d793 +mem-write: 0x80008ab8 <- 0xfa586ae3 +mem-write: 0x80008abc <- 0x408285b3 +mem-write: 0x80008ac0 <- 0xfeb58593 +mem-write: 0x80008ac4 <- 0x1540413 +mem-write: 0x80008ac8 <- 0x25d593 +mem-write: 0x80008acc <- 0x713 +mem-write: 0x80008ad0 <- 0x82e463 +mem-write: 0x80008ad4 <- 0x259713 +mem-write: 0x80008ad8 <- 0xe38733 +mem-write: 0x80008adc <- 0x400813 +mem-write: 0x80008ae0 <- 0x82e663 +mem-write: 0x80008ae4 <- 0x158593 +mem-write: 0x80008ae8 <- 0x259813 +mem-write: 0x80008aec <- 0x10f8fb3 +mem-write: 0x80008af0 <- 0x10383b3 +mem-write: 0x80008af4 <- 0x5dffe63 +mem-write: 0x80008af8 <- 0x108b7 +mem-write: 0x80008afc <- 0x38813 +mem-write: 0x80008b00 <- 0xf8593 +mem-write: 0x80008b04 <- 0xfff88893 +mem-write: 0x80008b08 <- 0x5a703 +mem-write: 0x80008b0c <- 0x480813 +mem-write: 0x80008b10 <- 0x458593 +mem-write: 0x80008b14 <- 0x1177633 +mem-write: 0x80008b18 <- 0xf60633 +mem-write: 0x80008b1c <- 0x41065693 +mem-write: 0x80008b20 <- 0x1075793 +mem-write: 0x80008b24 <- 0xd787b3 +mem-write: 0x80008b28 <- 0x1079693 +mem-write: 0x80008b2c <- 0x1167633 +mem-write: 0x80008b30 <- 0xc6e6b3 +mem-write: 0x80008b34 <- 0xfed82e23 +mem-write: 0x80008b38 <- 0x4107d793 +mem-write: 0x80008b3c <- 0xfdd5e6e3 +mem-write: 0x80008b40 <- 0xfffe8713 +mem-write: 0x80008b44 <- 0x41f70fb3 +mem-write: 0x80008b48 <- 0xffcff713 +mem-write: 0x80008b4c <- 0xe38733 +mem-write: 0x80008b50 <- 0x69a63 +mem-write: 0x80008b54 <- 0xffc72783 +mem-write: 0x80008b58 <- 0xfffe0e13 +mem-write: 0x80008b5c <- 0xffc70713 +mem-write: 0x80008b60 <- 0xfe078ae3 +mem-write: 0x80008b64 <- 0xc12083 +mem-write: 0x80008b68 <- 0x812403 +mem-write: 0x80008b6c <- 0x1c52823 +mem-write: 0x80008b70 <- 0x412483 +mem-write: 0x80008b74 <- 0x12903 +mem-write: 0x80008b78 <- 0x1010113 +mem-write: 0x80008b7c <- 0x8067 +mem-write: 0x80008b80 <- 0x48793 +mem-write: 0x80008b84 <- 0x100913 +mem-write: 0x80008b88 <- 0x40493 +mem-write: 0x80008b8c <- 0x78413 +mem-write: 0x80008b90 <- 0xe95ff06f +mem-write: 0x80008b94 <- 0xfe0946e3 +mem-write: 0x80008b98 <- 0x913 +mem-write: 0x80008b9c <- 0xe89ff06f +mem-write: 0x80008ba0 <- 0x593 +mem-write: 0x80008ba4 <- 0xc08ff0ef +mem-write: 0x80008ba8 <- 0x4050263 +mem-write: 0x80008bac <- 0xc12083 +mem-write: 0x80008bb0 <- 0x812403 +mem-write: 0x80008bb4 <- 0x100793 +mem-write: 0x80008bb8 <- 0xf52823 +mem-write: 0x80008bbc <- 0x52a23 +mem-write: 0x80008bc0 <- 0x412483 +mem-write: 0x80008bc4 <- 0x12903 +mem-write: 0x80008bc8 <- 0x1010113 +mem-write: 0x80008bcc <- 0x8067 +mem-write: 0x80008bd0 <- 0x800156b7 +mem-write: 0x80008bd4 <- 0x80015537 +mem-write: 0x80008bd8 <- 0xe7468693 +mem-write: 0x80008bdc <- 0x613 +mem-write: 0x80008be0 <- 0x24000593 +mem-write: 0x80008be4 <- 0xe8850513 +mem-write: 0x80008be8 <- 0xd9040ef +mem-write: 0x80008bec <- 0x800156b7 +mem-write: 0x80008bf0 <- 0x80015537 +mem-write: 0x80008bf4 <- 0xe7468693 +mem-write: 0x80008bf8 <- 0x613 +VXDRV: upload 1024 bytes to 0x80008bfc +mem-write: 0x80008bfc <- 0x23200593 +mem-write: 0x80008c00 <- 0xe8850513 +mem-write: 0x80008c04 <- 0xbd040ef +mem-write: 0x80008c08 <- 0x7ff007b7 +mem-write: 0x80008c0c <- 0xb7f5b3 +mem-write: 0x80008c10 <- 0xfcc007b7 +mem-write: 0x80008c14 <- 0xf585b3 +mem-write: 0x80008c18 <- 0xb05863 +mem-write: 0x80008c1c <- 0x793 +mem-write: 0x80008c20 <- 0x78513 +mem-write: 0x80008c24 <- 0x8067 +mem-write: 0x80008c28 <- 0x40b005b3 +mem-write: 0x80008c2c <- 0x4145d593 +mem-write: 0x80008c30 <- 0x1300793 +mem-write: 0x80008c34 <- 0xb7c863 +mem-write: 0x80008c38 <- 0x807b7 +mem-write: 0x80008c3c <- 0x40b7d5b3 +mem-write: 0x80008c40 <- 0xfddff06f +mem-write: 0x80008c44 <- 0xfec58713 +mem-write: 0x80008c48 <- 0x1e00693 +mem-write: 0x80008c4c <- 0x593 +mem-write: 0x80008c50 <- 0x100793 +mem-write: 0x80008c54 <- 0xfce6c6e3 +mem-write: 0x80008c58 <- 0x800007b7 +mem-write: 0x80008c5c <- 0xe7d7b3 +mem-write: 0x80008c60 <- 0x78513 +mem-write: 0x80008c64 <- 0x8067 +mem-write: 0x80008c68 <- 0xfe010113 +mem-write: 0x80008c6c <- 0x912a23 +mem-write: 0x80008c70 <- 0x1052483 +mem-write: 0x80008c74 <- 0x812c23 +mem-write: 0x80008c78 <- 0x1450413 +mem-write: 0x80008c7c <- 0x249493 +mem-write: 0x80008c80 <- 0x9404b3 +mem-write: 0x80008c84 <- 0x1212823 +mem-write: 0x80008c88 <- 0xffc4a903 +mem-write: 0x80008c8c <- 0x1312623 +mem-write: 0x80008c90 <- 0x1412423 +mem-write: 0x80008c94 <- 0x90513 +mem-write: 0x80008c98 <- 0x58993 +mem-write: 0x80008c9c <- 0x112e23 +mem-write: 0x80008ca0 <- 0xe58ff0ef +mem-write: 0x80008ca4 <- 0x2000713 +mem-write: 0x80008ca8 <- 0x40a707b3 +mem-write: 0x80008cac <- 0xf9a023 +mem-write: 0x80008cb0 <- 0xa00793 +mem-write: 0x80008cb4 <- 0xffc48a13 +mem-write: 0x80008cb8 <- 0x8a7d063 +mem-write: 0x80008cbc <- 0xff550513 +mem-write: 0x80008cc0 <- 0x5447063 +mem-write: 0x80008cc4 <- 0xff84a783 +mem-write: 0x80008cc8 <- 0x4050063 +mem-write: 0x80008ccc <- 0x40a706b3 +mem-write: 0x80008cd0 <- 0xd7d733 +mem-write: 0x80008cd4 <- 0xa91933 +mem-write: 0x80008cd8 <- 0xe96933 +mem-write: 0x80008cdc <- 0xff848613 +mem-write: 0x80008ce0 <- 0x3ff00737 +mem-write: 0x80008ce4 <- 0xe96733 +mem-write: 0x80008ce8 <- 0xa797b3 +mem-write: 0x80008cec <- 0x2c47263 +mem-write: 0x80008cf0 <- 0xff44a603 +mem-write: 0x80008cf4 <- 0xd656b3 +mem-write: 0x80008cf8 <- 0xd7e7b3 +mem-write: 0x80008cfc <- 0x140006f +mem-write: 0x80008d00 <- 0x793 +mem-write: 0x80008d04 <- 0x6051463 +mem-write: 0x80008d08 <- 0x3ff00737 +mem-write: 0x80008d0c <- 0xe96733 +mem-write: 0x80008d10 <- 0x1c12083 +mem-write: 0x80008d14 <- 0x1812403 +mem-write: 0x80008d18 <- 0x1412483 +mem-write: 0x80008d1c <- 0x1012903 +mem-write: 0x80008d20 <- 0xc12983 +mem-write: 0x80008d24 <- 0x812a03 +mem-write: 0x80008d28 <- 0x78513 +mem-write: 0x80008d2c <- 0x70593 +mem-write: 0x80008d30 <- 0x2010113 +mem-write: 0x80008d34 <- 0x8067 +mem-write: 0x80008d38 <- 0xb00693 +mem-write: 0x80008d3c <- 0x40a686b3 +mem-write: 0x80008d40 <- 0x3ff007b7 +mem-write: 0x80008d44 <- 0xd95733 +mem-write: 0x80008d48 <- 0xf76733 +mem-write: 0x80008d4c <- 0x793 +mem-write: 0x80008d50 <- 0x1447663 +mem-write: 0x80008d54 <- 0xff84a783 +mem-write: 0x80008d58 <- 0xd7d7b3 +mem-write: 0x80008d5c <- 0x1550513 +mem-write: 0x80008d60 <- 0xa91533 +mem-write: 0x80008d64 <- 0xf567b3 +mem-write: 0x80008d68 <- 0xfa9ff06f +mem-write: 0x80008d6c <- 0xa91533 +mem-write: 0x80008d70 <- 0x3ff00737 +mem-write: 0x80008d74 <- 0xe56733 +mem-write: 0x80008d78 <- 0x793 +mem-write: 0x80008d7c <- 0xf95ff06f +mem-write: 0x80008d80 <- 0xfd010113 +mem-write: 0x80008d84 <- 0x1412c23 +mem-write: 0x80008d88 <- 0x58a13 +mem-write: 0x80008d8c <- 0x100593 +mem-write: 0x80008d90 <- 0x2912223 +mem-write: 0x80008d94 <- 0x3212023 +mem-write: 0x80008d98 <- 0x1312e23 +mem-write: 0x80008d9c <- 0x2112623 +mem-write: 0x80008da0 <- 0x2812423 +mem-write: 0x80008da4 <- 0x1512a23 +mem-write: 0x80008da8 <- 0x60493 +mem-write: 0x80008dac <- 0x68993 +mem-write: 0x80008db0 <- 0x70913 +mem-write: 0x80008db4 <- 0x9f8ff0ef +mem-write: 0x80008db8 <- 0x10050a63 +mem-write: 0x80008dbc <- 0x144d793 +mem-write: 0x80008dc0 <- 0x100737 +mem-write: 0x80008dc4 <- 0xfff70613 +mem-write: 0x80008dc8 <- 0x1579693 +mem-write: 0x80008dcc <- 0x50413 +mem-write: 0x80008dd0 <- 0x967633 +mem-write: 0x80008dd4 <- 0x7ff7fa93 +mem-write: 0x80008dd8 <- 0x68463 +mem-write: 0x80008ddc <- 0xe66633 +mem-write: 0x80008de0 <- 0xc12623 +mem-write: 0x80008de4 <- 0x80a0863 +mem-write: 0x80008de8 <- 0x810513 +mem-write: 0x80008dec <- 0x1412423 +mem-write: 0x80008df0 <- 0xd7cff0ef +mem-write: 0x80008df4 <- 0xc12703 +mem-write: 0x80008df8 <- 0x50793 +mem-write: 0x80008dfc <- 0xc050263 +mem-write: 0x80008e00 <- 0x812603 +mem-write: 0x80008e04 <- 0x2000693 +mem-write: 0x80008e08 <- 0x40a686b3 +mem-write: 0x80008e0c <- 0xd716b3 +mem-write: 0x80008e10 <- 0xc6e6b3 +mem-write: 0x80008e14 <- 0xa75733 +mem-write: 0x80008e18 <- 0xd42a23 +mem-write: 0x80008e1c <- 0xe12623 +mem-write: 0x80008e20 <- 0xe034b3 +mem-write: 0x80008e24 <- 0x148493 +mem-write: 0x80008e28 <- 0xe42c23 +mem-write: 0x80008e2c <- 0x942823 +mem-write: 0x80008e30 <- 0x60a8463 +mem-write: 0x80008e34 <- 0xbcda8a93 +mem-write: 0x80008e38 <- 0xfa8ab3 +mem-write: 0x80008e3c <- 0x3500513 +mem-write: 0x80008e40 <- 0x159a023 +mem-write: 0x80008e44 <- 0x40f507b3 +mem-write: 0x80008e48 <- 0xf92023 +mem-write: 0x80008e4c <- 0x2c12083 +mem-write: 0x80008e50 <- 0x40513 +mem-write: 0x80008e54 <- 0x2812403 +mem-write: 0x80008e58 <- 0x2412483 +mem-write: 0x80008e5c <- 0x2012903 +mem-write: 0x80008e60 <- 0x1c12983 +mem-write: 0x80008e64 <- 0x1812a03 +mem-write: 0x80008e68 <- 0x1412a83 +mem-write: 0x80008e6c <- 0x3010113 +mem-write: 0x80008e70 <- 0x8067 +mem-write: 0x80008e74 <- 0xc10513 +mem-write: 0x80008e78 <- 0xcf4ff0ef +mem-write: 0x80008e7c <- 0x100793 +mem-write: 0x80008e80 <- 0xf42823 +mem-write: 0x80008e84 <- 0xc12783 +mem-write: 0x80008e88 <- 0x100493 +mem-write: 0x80008e8c <- 0xf42a23 +mem-write: 0x80008e90 <- 0x2050793 +mem-write: 0x80008e94 <- 0xfa0a90e3 +mem-write: 0x80008e98 <- 0x249713 +mem-write: 0x80008e9c <- 0xe40733 +mem-write: 0x80008ea0 <- 0x1072503 +mem-write: 0x80008ea4 <- 0xbce78793 +mem-write: 0x80008ea8 <- 0xf9a023 +mem-write: 0x80008eac <- 0xc4cff0ef +mem-write: 0x80008eb0 <- 0x549493 +mem-write: 0x80008eb4 <- 0x40a484b3 +mem-write: 0x80008eb8 <- 0x992023 +mem-write: 0x80008ebc <- 0xf91ff06f +mem-write: 0x80008ec0 <- 0x812683 +mem-write: 0x80008ec4 <- 0xd42a23 +mem-write: 0x80008ec8 <- 0xf59ff06f +mem-write: 0x80008ecc <- 0x800156b7 +mem-write: 0x80008ed0 <- 0x80015537 +mem-write: 0x80008ed4 <- 0xe7468693 +mem-write: 0x80008ed8 <- 0x613 +mem-write: 0x80008edc <- 0x30a00593 +mem-write: 0x80008ee0 <- 0xe8850513 +mem-write: 0x80008ee4 <- 0x5dc040ef +mem-write: 0x80008ee8 <- 0xfd010113 +mem-write: 0x80008eec <- 0x3212023 +mem-write: 0x80008ef0 <- 0x58913 +mem-write: 0x80008ef4 <- 0x810593 +mem-write: 0x80008ef8 <- 0x2112623 +mem-write: 0x80008efc <- 0x2812423 +mem-write: 0x80008f00 <- 0x2912223 +mem-write: 0x80008f04 <- 0x1312e23 +mem-write: 0x80008f08 <- 0x50993 +mem-write: 0x80008f0c <- 0xd5dff0ef +mem-write: 0x80008f10 <- 0x50493 +mem-write: 0x80008f14 <- 0x58413 +mem-write: 0x80008f18 <- 0x90513 +mem-write: 0x80008f1c <- 0xc10593 +mem-write: 0x80008f20 <- 0xd49ff0ef +mem-write: 0x80008f24 <- 0x1092783 +mem-write: 0x80008f28 <- 0x109a703 +mem-write: 0x80008f2c <- 0xc12683 +mem-write: 0x80008f30 <- 0x40f70733 +mem-write: 0x80008f34 <- 0x812783 +mem-write: 0x80008f38 <- 0x571713 +mem-write: 0x80008f3c <- 0x40d787b3 +mem-write: 0x80008f40 <- 0xf707b3 +mem-write: 0x80008f44 <- 0x50693 +mem-write: 0x80008f48 <- 0x2f05e63 +mem-write: 0x80008f4c <- 0x1479793 +mem-write: 0x80008f50 <- 0x878433 +mem-write: 0x80008f54 <- 0x68613 +mem-write: 0x80008f58 <- 0x48513 +mem-write: 0x80008f5c <- 0x58693 +mem-write: 0x80008f60 <- 0x40593 +mem-write: 0x80008f64 <- 0x4c9070ef +mem-write: 0x80008f68 <- 0x2c12083 +mem-write: 0x80008f6c <- 0x2812403 +mem-write: 0x80008f70 <- 0x2412483 +mem-write: 0x80008f74 <- 0x2012903 +mem-write: 0x80008f78 <- 0x1c12983 +mem-write: 0x80008f7c <- 0x3010113 +mem-write: 0x80008f80 <- 0x8067 +mem-write: 0x80008f84 <- 0x1479713 +mem-write: 0x80008f88 <- 0x40e585b3 +mem-write: 0x80008f8c <- 0xfc9ff06f +mem-write: 0x80008f90 <- 0xff010113 +mem-write: 0x80008f94 <- 0x1212023 +mem-write: 0x80008f98 <- 0x112623 +mem-write: 0x80008f9c <- 0x812423 +mem-write: 0x80008fa0 <- 0x912223 +mem-write: 0x80008fa4 <- 0x1700793 +mem-write: 0x80008fa8 <- 0x50913 +mem-write: 0x80008fac <- 0x4a7d663 +mem-write: 0x80008fb0 <- 0x1a81a783 +mem-write: 0x80008fb4 <- 0x1ac1a583 +mem-write: 0x80008fb8 <- 0x1b01a403 +mem-write: 0x80008fbc <- 0x1b41a483 +mem-write: 0x80008fc0 <- 0x78513 +mem-write: 0x80008fc4 <- 0x40613 +mem-write: 0x80008fc8 <- 0x48693 +mem-write: 0x80008fcc <- 0x340080ef +mem-write: 0x80008fd0 <- 0xfff90913 +mem-write: 0x80008fd4 <- 0x50793 +mem-write: 0x80008fd8 <- 0xfe0914e3 +mem-write: 0x80008fdc <- 0xc12083 +mem-write: 0x80008fe0 <- 0x812403 +mem-write: 0x80008fe4 <- 0x412483 +mem-write: 0x80008fe8 <- 0x12903 +mem-write: 0x80008fec <- 0x78513 +mem-write: 0x80008ff0 <- 0x1010113 +mem-write: 0x80008ff4 <- 0x8067 +mem-write: 0x80008ff8 <- 0x800157b7 +VXDRV: upload 1024 bytes to 0x80008ffc +mem-write: 0x80008ffc <- 0x351913 +mem-write: 0x80009000 <- 0xee078793 +mem-write: 0x80009004 <- 0x1278933 +mem-write: 0x80009008 <- 0x1092783 +mem-write: 0x8000900c <- 0xc12083 +mem-write: 0x80009010 <- 0x812403 +mem-write: 0x80009014 <- 0x1492583 +mem-write: 0x80009018 <- 0x412483 +mem-write: 0x8000901c <- 0x12903 +mem-write: 0x80009020 <- 0x78513 +mem-write: 0x80009024 <- 0x1010113 +mem-write: 0x80009028 <- 0x8067 +mem-write: 0x8000902c <- 0x1062683 +mem-write: 0x80009030 <- 0xfff58593 +mem-write: 0x80009034 <- 0x4055d593 +mem-write: 0x80009038 <- 0x158593 +mem-write: 0x8000903c <- 0x1460793 +mem-write: 0x80009040 <- 0x269693 +mem-write: 0x80009044 <- 0x259593 +mem-write: 0x80009048 <- 0xd786b3 +mem-write: 0x8000904c <- 0xb505b3 +mem-write: 0x80009050 <- 0x2d7f863 +mem-write: 0x80009054 <- 0x50713 +mem-write: 0x80009058 <- 0x7a803 +mem-write: 0x8000905c <- 0x478793 +mem-write: 0x80009060 <- 0x470713 +mem-write: 0x80009064 <- 0xff072e23 +mem-write: 0x80009068 <- 0xfed7e8e3 +mem-write: 0x8000906c <- 0x40c687b3 +mem-write: 0x80009070 <- 0xfeb78793 +mem-write: 0x80009074 <- 0xffc7f793 +mem-write: 0x80009078 <- 0x478793 +mem-write: 0x8000907c <- 0xf50533 +mem-write: 0x80009080 <- 0xb57863 +mem-write: 0x80009084 <- 0x450513 +mem-write: 0x80009088 <- 0xfe052e23 +mem-write: 0x8000908c <- 0xfeb56ce3 +mem-write: 0x80009090 <- 0x8067 +mem-write: 0x80009094 <- 0x1052703 +mem-write: 0x80009098 <- 0x4055d613 +mem-write: 0x8000909c <- 0x1450693 +mem-write: 0x800090a0 <- 0x2c75263 +mem-write: 0x800090a4 <- 0x271793 +mem-write: 0x800090a8 <- 0xf687b3 +mem-write: 0x800090ac <- 0x4f6f263 +mem-write: 0x800090b0 <- 0xffc7a703 +mem-write: 0x800090b4 <- 0xffc78793 +mem-write: 0x800090b8 <- 0xfe070ae3 +mem-write: 0x800090bc <- 0x100513 +mem-write: 0x800090c0 <- 0x8067 +mem-write: 0x800090c4 <- 0x261793 +mem-write: 0x800090c8 <- 0xf687b3 +mem-write: 0x800090cc <- 0xfee650e3 +mem-write: 0x800090d0 <- 0x1f5f593 +mem-write: 0x800090d4 <- 0xfc058ce3 +mem-write: 0x800090d8 <- 0x7a603 +mem-write: 0x800090dc <- 0x100513 +mem-write: 0x800090e0 <- 0xb65733 +mem-write: 0x800090e4 <- 0xb715b3 +mem-write: 0x800090e8 <- 0xfcb602e3 +mem-write: 0x800090ec <- 0x8067 +mem-write: 0x800090f0 <- 0x513 +mem-write: 0x800090f4 <- 0x8067 +mem-write: 0x800090f8 <- 0xff010113 +mem-write: 0x800090fc <- 0x912223 +mem-write: 0x80009100 <- 0x800004b7 +mem-write: 0x80009104 <- 0x812423 +mem-write: 0x80009108 <- 0x112623 +mem-write: 0x8000910c <- 0xfff4c493 +mem-write: 0x80009110 <- 0x60413 +mem-write: 0x80009114 <- 0x62023 +mem-write: 0x80009118 <- 0xb4f6b3 +mem-write: 0x8000911c <- 0x7ff00637 +mem-write: 0x80009120 <- 0x58793 +mem-write: 0x80009124 <- 0x50713 +mem-write: 0x80009128 <- 0x4c6de63 +mem-write: 0x8000912c <- 0xa6e8b3 +mem-write: 0x80009130 <- 0x4088a63 +mem-write: 0x80009134 <- 0xc5f633 +mem-write: 0x80009138 <- 0x58813 +mem-write: 0x8000913c <- 0x893 +mem-write: 0x80009140 <- 0x2061063 +mem-write: 0x80009144 <- 0x1bc1a683 +mem-write: 0x80009148 <- 0x1b81a603 +mem-write: 0x8000914c <- 0x1c0080ef +mem-write: 0x80009150 <- 0x50713 +mem-write: 0x80009154 <- 0x58813 +mem-write: 0x80009158 <- 0xb4f6b3 +mem-write: 0x8000915c <- 0xfca00893 +mem-write: 0x80009160 <- 0x4146d693 +mem-write: 0x80009164 <- 0x801007b7 +mem-write: 0x80009168 <- 0xfff78793 +mem-write: 0x8000916c <- 0xc0268693 +mem-write: 0x80009170 <- 0xf87833 +mem-write: 0x80009174 <- 0x11686b3 +mem-write: 0x80009178 <- 0x3fe007b7 +mem-write: 0x8000917c <- 0xf867b3 +mem-write: 0x80009180 <- 0xd42023 +mem-write: 0x80009184 <- 0xc12083 +mem-write: 0x80009188 <- 0x812403 +mem-write: 0x8000918c <- 0x412483 +mem-write: 0x80009190 <- 0x70513 +mem-write: 0x80009194 <- 0x78593 +mem-write: 0x80009198 <- 0x1010113 +mem-write: 0x8000919c <- 0x8067 +mem-write: 0x800091a0 <- 0xff010113 +mem-write: 0x800091a4 <- 0x812423 +mem-write: 0x800091a8 <- 0x912223 +mem-write: 0x800091ac <- 0x50413 +mem-write: 0x800091b0 <- 0x58513 +mem-write: 0x800091b4 <- 0x112623 +mem-write: 0x800091b8 <- 0x2401a423 +mem-write: 0x800091bc <- 0xc54f70ef +mem-write: 0x800091c0 <- 0xfff00793 +mem-write: 0x800091c4 <- 0xf50c63 +mem-write: 0x800091c8 <- 0xc12083 +mem-write: 0x800091cc <- 0x812403 +mem-write: 0x800091d0 <- 0x412483 +mem-write: 0x800091d4 <- 0x1010113 +mem-write: 0x800091d8 <- 0x8067 +mem-write: 0x800091dc <- 0x2481a783 +mem-write: 0x800091e0 <- 0xfe0784e3 +mem-write: 0x800091e4 <- 0xc12083 +mem-write: 0x800091e8 <- 0xf42023 +mem-write: 0x800091ec <- 0x812403 +mem-write: 0x800091f0 <- 0x412483 +mem-write: 0x800091f4 <- 0x1010113 +mem-write: 0x800091f8 <- 0x8067 +mem-write: 0x800091fc <- 0xf6010113 +mem-write: 0x80009200 <- 0x8c10e93 +mem-write: 0x80009204 <- 0x8f12a23 +mem-write: 0x80009208 <- 0x80000337 +mem-write: 0x8000920c <- 0xffff07b7 +mem-write: 0x80009210 <- 0x58e13 +mem-write: 0x80009214 <- 0xfff34313 +mem-write: 0x80009218 <- 0x8d12623 +mem-write: 0x8000921c <- 0x20878793 +mem-write: 0x80009220 <- 0x810593 +mem-write: 0x80009224 <- 0xe8693 +mem-write: 0x80009228 <- 0x6112e23 +mem-write: 0x8000922c <- 0xf12a23 +mem-write: 0x80009230 <- 0x8e12823 +mem-write: 0x80009234 <- 0x9012c23 +mem-write: 0x80009238 <- 0x9112e23 +mem-write: 0x8000923c <- 0x1c12423 +mem-write: 0x80009240 <- 0x1c12c23 +mem-write: 0x80009244 <- 0x612e23 +mem-write: 0x80009248 <- 0x612823 +mem-write: 0x8000924c <- 0x1d12223 +mem-write: 0x80009250 <- 0x3d0000ef +mem-write: 0x80009254 <- 0x812783 +mem-write: 0x80009258 <- 0x78023 +mem-write: 0x8000925c <- 0x7c12083 +mem-write: 0x80009260 <- 0xa010113 +mem-write: 0x80009264 <- 0x8067 +mem-write: 0x80009268 <- 0x50e13 +mem-write: 0x8000926c <- 0xf6010113 +mem-write: 0x80009270 <- 0x1d81a503 +mem-write: 0x80009274 <- 0x8810e93 +mem-write: 0x80009278 <- 0x8f12a23 +mem-write: 0x8000927c <- 0x80000337 +mem-write: 0x80009280 <- 0xffff07b7 +mem-write: 0x80009284 <- 0xfff34313 +mem-write: 0x80009288 <- 0x8c12423 +mem-write: 0x8000928c <- 0x8d12623 +mem-write: 0x80009290 <- 0x20878793 +mem-write: 0x80009294 <- 0x58613 +mem-write: 0x80009298 <- 0xe8693 +mem-write: 0x8000929c <- 0x810593 +mem-write: 0x800092a0 <- 0x6112e23 +mem-write: 0x800092a4 <- 0xf12a23 +mem-write: 0x800092a8 <- 0x8e12823 +mem-write: 0x800092ac <- 0x9012c23 +mem-write: 0x800092b0 <- 0x9112e23 +mem-write: 0x800092b4 <- 0x1c12423 +mem-write: 0x800092b8 <- 0x1c12c23 +mem-write: 0x800092bc <- 0x612e23 +mem-write: 0x800092c0 <- 0x612823 +mem-write: 0x800092c4 <- 0x1d12223 +mem-write: 0x800092c8 <- 0x358000ef +mem-write: 0x800092cc <- 0x812783 +mem-write: 0x800092d0 <- 0x78023 +mem-write: 0x800092d4 <- 0x7c12083 +mem-write: 0x800092d8 <- 0xa010113 +mem-write: 0x800092dc <- 0x8067 +mem-write: 0x800092e0 <- 0xff010113 +mem-write: 0x800092e4 <- 0x812423 +mem-write: 0x800092e8 <- 0x58413 +mem-write: 0x800092ec <- 0xe59583 +mem-write: 0x800092f0 <- 0x112623 +mem-write: 0x800092f4 <- 0x7c9040ef +mem-write: 0x800092f8 <- 0x2054063 +mem-write: 0x800092fc <- 0x5042783 +mem-write: 0x80009300 <- 0xc12083 +mem-write: 0x80009304 <- 0xa787b3 +mem-write: 0x80009308 <- 0x4f42823 +mem-write: 0x8000930c <- 0x812403 +mem-write: 0x80009310 <- 0x1010113 +mem-write: 0x80009314 <- 0x8067 +mem-write: 0x80009318 <- 0xc45783 +mem-write: 0x8000931c <- 0xfffff737 +mem-write: 0x80009320 <- 0xfff70713 +mem-write: 0x80009324 <- 0xe7f7b3 +mem-write: 0x80009328 <- 0xc12083 +mem-write: 0x8000932c <- 0xf41623 +mem-write: 0x80009330 <- 0x812403 +mem-write: 0x80009334 <- 0x1010113 +mem-write: 0x80009338 <- 0x8067 +mem-write: 0x8000933c <- 0x513 +mem-write: 0x80009340 <- 0x8067 +mem-write: 0x80009344 <- 0xc59783 +mem-write: 0x80009348 <- 0xfe010113 +mem-write: 0x8000934c <- 0x812c23 +mem-write: 0x80009350 <- 0x912a23 +mem-write: 0x80009354 <- 0x1212823 +mem-write: 0x80009358 <- 0x1312623 +mem-write: 0x8000935c <- 0x112e23 +mem-write: 0x80009360 <- 0x1007f713 +mem-write: 0x80009364 <- 0x58413 +mem-write: 0x80009368 <- 0x50493 +mem-write: 0x8000936c <- 0xe59583 +mem-write: 0x80009370 <- 0x60913 +mem-write: 0x80009374 <- 0x68993 +mem-write: 0x80009378 <- 0x2071e63 +mem-write: 0x8000937c <- 0xfffff737 +mem-write: 0x80009380 <- 0xfff70713 +mem-write: 0x80009384 <- 0xe7f7b3 +mem-write: 0x80009388 <- 0xf41623 +mem-write: 0x8000938c <- 0x1812403 +mem-write: 0x80009390 <- 0x1c12083 +mem-write: 0x80009394 <- 0x98693 +mem-write: 0x80009398 <- 0x90613 +mem-write: 0x8000939c <- 0xc12983 +mem-write: 0x800093a0 <- 0x1012903 +mem-write: 0x800093a4 <- 0x48513 +mem-write: 0x800093a8 <- 0x1412483 +mem-write: 0x800093ac <- 0x2010113 +mem-write: 0x800093b0 <- 0xa80406f +mem-write: 0x800093b4 <- 0x200693 +mem-write: 0x800093b8 <- 0x613 +mem-write: 0x800093bc <- 0x3ed040ef +mem-write: 0x800093c0 <- 0xc41783 +mem-write: 0x800093c4 <- 0xe41583 +mem-write: 0x800093c8 <- 0xfb5ff06f +mem-write: 0x800093cc <- 0xff010113 +mem-write: 0x800093d0 <- 0x812423 +mem-write: 0x800093d4 <- 0x58413 +mem-write: 0x800093d8 <- 0xe59583 +mem-write: 0x800093dc <- 0x112623 +mem-write: 0x800093e0 <- 0x3c9040ef +mem-write: 0x800093e4 <- 0xfff00793 +mem-write: 0x800093e8 <- 0x2f50463 +mem-write: 0x800093ec <- 0xc45783 +mem-write: 0x800093f0 <- 0x1737 +mem-write: 0x800093f4 <- 0xc12083 +mem-write: 0x800093f8 <- 0xe7e7b3 +VXDRV: upload 1024 bytes to 0x800093fc +mem-write: 0x800093fc <- 0x4a42823 +mem-write: 0x80009400 <- 0xf41623 +mem-write: 0x80009404 <- 0x812403 +mem-write: 0x80009408 <- 0x1010113 +mem-write: 0x8000940c <- 0x8067 +mem-write: 0x80009410 <- 0xc45783 +mem-write: 0x80009414 <- 0xfffff737 +mem-write: 0x80009418 <- 0xfff70713 +mem-write: 0x8000941c <- 0xe7f7b3 +mem-write: 0x80009420 <- 0xc12083 +mem-write: 0x80009424 <- 0xf41623 +mem-write: 0x80009428 <- 0x812403 +mem-write: 0x8000942c <- 0x1010113 +mem-write: 0x80009430 <- 0x8067 +mem-write: 0x80009434 <- 0xe59583 +mem-write: 0x80009438 <- 0x1a00406f +mem-write: 0x8000943c <- 0xb567b3 +mem-write: 0x80009440 <- 0x37f793 +mem-write: 0x80009444 <- 0x8079263 +mem-write: 0x80009448 <- 0x5a703 +mem-write: 0x8000944c <- 0x7f7f86b7 +mem-write: 0x80009450 <- 0xf7f68693 +mem-write: 0x80009454 <- 0xd777b3 +mem-write: 0x80009458 <- 0xd787b3 +mem-write: 0x8000945c <- 0xe7e7b3 +mem-write: 0x80009460 <- 0xd7e7b3 +mem-write: 0x80009464 <- 0xfff00613 +mem-write: 0x80009468 <- 0x6c79e63 +mem-write: 0x8000946c <- 0x50613 +mem-write: 0x80009470 <- 0xfff00813 +mem-write: 0x80009474 <- 0xe62023 +mem-write: 0x80009478 <- 0x45a703 +mem-write: 0x8000947c <- 0x458593 +mem-write: 0x80009480 <- 0x460613 +mem-write: 0x80009484 <- 0xd777b3 +mem-write: 0x80009488 <- 0xd787b3 +mem-write: 0x8000948c <- 0xe7e7b3 +mem-write: 0x80009490 <- 0xd7e7b3 +mem-write: 0x80009494 <- 0xff0780e3 +mem-write: 0x80009498 <- 0x5c783 +mem-write: 0x8000949c <- 0x15c703 +mem-write: 0x800094a0 <- 0x25c683 +mem-write: 0x800094a4 <- 0xf60023 +mem-write: 0x800094a8 <- 0x78a63 +mem-write: 0x800094ac <- 0xe600a3 +mem-write: 0x800094b0 <- 0x70663 +mem-write: 0x800094b4 <- 0xd60123 +mem-write: 0x800094b8 <- 0x69463 +mem-write: 0x800094bc <- 0x8067 +mem-write: 0x800094c0 <- 0x601a3 +mem-write: 0x800094c4 <- 0x8067 +mem-write: 0x800094c8 <- 0x50793 +mem-write: 0x800094cc <- 0x5c703 +mem-write: 0x800094d0 <- 0x178793 +mem-write: 0x800094d4 <- 0x158593 +mem-write: 0x800094d8 <- 0xfee78fa3 +mem-write: 0x800094dc <- 0xfe0718e3 +mem-write: 0x800094e0 <- 0x8067 +mem-write: 0x800094e4 <- 0x50613 +mem-write: 0x800094e8 <- 0xfb1ff06f +mem-write: 0x800094ec <- 0x357793 +mem-write: 0x800094f0 <- 0x50713 +mem-write: 0x800094f4 <- 0x4079c63 +mem-write: 0x800094f8 <- 0x7f7f86b7 +mem-write: 0x800094fc <- 0xf7f68693 +mem-write: 0x80009500 <- 0xfff00593 +mem-write: 0x80009504 <- 0x72603 +mem-write: 0x80009508 <- 0x470713 +mem-write: 0x8000950c <- 0xd677b3 +mem-write: 0x80009510 <- 0xd787b3 +mem-write: 0x80009514 <- 0xc7e7b3 +mem-write: 0x80009518 <- 0xd7e7b3 +mem-write: 0x8000951c <- 0xfeb784e3 +mem-write: 0x80009520 <- 0xffc74683 +mem-write: 0x80009524 <- 0xffd74603 +mem-write: 0x80009528 <- 0xffe74783 +mem-write: 0x8000952c <- 0x40a70733 +mem-write: 0x80009530 <- 0x4068063 +mem-write: 0x80009534 <- 0x2060a63 +mem-write: 0x80009538 <- 0xf03533 +mem-write: 0x8000953c <- 0xe50533 +mem-write: 0x80009540 <- 0xffe50513 +mem-write: 0x80009544 <- 0x8067 +mem-write: 0x80009548 <- 0xfa0688e3 +mem-write: 0x8000954c <- 0x74783 +mem-write: 0x80009550 <- 0x170713 +mem-write: 0x80009554 <- 0x377693 +mem-write: 0x80009558 <- 0xfe0798e3 +mem-write: 0x8000955c <- 0x40a70733 +mem-write: 0x80009560 <- 0xfff70513 +mem-write: 0x80009564 <- 0x8067 +mem-write: 0x80009568 <- 0xffd70513 +mem-write: 0x8000956c <- 0x8067 +mem-write: 0x80009570 <- 0xffc70513 +mem-write: 0x80009574 <- 0x8067 +mem-write: 0x80009578 <- 0xa5e7b3 +mem-write: 0x8000957c <- 0x37f793 +mem-write: 0x80009580 <- 0x50713 +mem-write: 0x80009584 <- 0x6079863 +mem-write: 0x80009588 <- 0x300793 +mem-write: 0x8000958c <- 0x6c7f463 +mem-write: 0x80009590 <- 0xfeff0337 +mem-write: 0x80009594 <- 0x808088b7 +mem-write: 0x80009598 <- 0xeff30313 +mem-write: 0x8000959c <- 0x8088893 +mem-write: 0x800095a0 <- 0x300e13 +mem-write: 0x800095a4 <- 0x5a683 +mem-write: 0x800095a8 <- 0x6687b3 +mem-write: 0x800095ac <- 0xfff6c813 +mem-write: 0x800095b0 <- 0x107f7b3 +mem-write: 0x800095b4 <- 0x117f7b3 +mem-write: 0x800095b8 <- 0x2079e63 +mem-write: 0x800095bc <- 0xd72023 +mem-write: 0x800095c0 <- 0xffc60613 +mem-write: 0x800095c4 <- 0x470713 +mem-write: 0x800095c8 <- 0x458593 +mem-write: 0x800095cc <- 0xfcce6ce3 +mem-write: 0x800095d0 <- 0x158593 +mem-write: 0x800095d4 <- 0x170793 +mem-write: 0x800095d8 <- 0x2060463 +mem-write: 0x800095dc <- 0xfff5c683 +mem-write: 0x800095e0 <- 0xfff60813 +mem-write: 0x800095e4 <- 0xfed78fa3 +mem-write: 0x800095e8 <- 0x68e63 +mem-write: 0x800095ec <- 0x78713 +mem-write: 0x800095f0 <- 0x80613 +mem-write: 0x800095f4 <- 0x158593 +mem-write: 0x800095f8 <- 0x170793 +mem-write: 0x800095fc <- 0xfe0610e3 +mem-write: 0x80009600 <- 0x8067 +mem-write: 0x80009604 <- 0xc70633 +mem-write: 0x80009608 <- 0x80a63 +mem-write: 0x8000960c <- 0x178793 +mem-write: 0x80009610 <- 0xfe078fa3 +mem-write: 0x80009614 <- 0xfec79ce3 +mem-write: 0x80009618 <- 0x8067 +mem-write: 0x8000961c <- 0x8067 +mem-write: 0x80009620 <- 0xe1010113 +mem-write: 0x80009624 <- 0x1e112623 +mem-write: 0x80009628 <- 0x1f212023 +mem-write: 0x8000962c <- 0x1d812423 +mem-write: 0x80009630 <- 0x1da12023 +mem-write: 0x80009634 <- 0x58c13 +mem-write: 0x80009638 <- 0x60913 +mem-write: 0x8000963c <- 0xd12a23 +mem-write: 0x80009640 <- 0x1e812423 +mem-write: 0x80009644 <- 0x1e912223 +mem-write: 0x80009648 <- 0x1d312e23 +mem-write: 0x8000964c <- 0x1d412c23 +mem-write: 0x80009650 <- 0x1d512a23 +mem-write: 0x80009654 <- 0x1d612823 +mem-write: 0x80009658 <- 0x1d712623 +mem-write: 0x8000965c <- 0x1d912223 +mem-write: 0x80009660 <- 0x1bb12e23 +mem-write: 0x80009664 <- 0x50d13 +mem-write: 0x80009668 <- 0xed1fd0ef +mem-write: 0x8000966c <- 0x52783 +mem-write: 0x80009670 <- 0x78513 +mem-write: 0x80009674 <- 0x2f12823 +mem-write: 0x80009678 <- 0xe75ff0ef +mem-write: 0x8000967c <- 0xcc5703 +mem-write: 0x80009680 <- 0xe012823 +mem-write: 0x80009684 <- 0xe012a23 +mem-write: 0x80009688 <- 0xe012c23 +mem-write: 0x8000968c <- 0xe012e23 +mem-write: 0x80009690 <- 0x8077713 +mem-write: 0x80009694 <- 0x2a12623 +mem-write: 0x80009698 <- 0x70863 +mem-write: 0x8000969c <- 0x10c2703 +mem-write: 0x800096a0 <- 0x71463 +mem-write: 0x800096a4 <- 0x56c0106f +mem-write: 0x800096a8 <- 0x10c10793 +mem-write: 0x800096ac <- 0x80015737 +mem-write: 0x800096b0 <- 0xef12223 +mem-write: 0x800096b4 <- 0x78893 +mem-write: 0x800096b8 <- 0x870793 +mem-write: 0x800096bc <- 0x80015737 +mem-write: 0x800096c0 <- 0xf12c23 +mem-write: 0x800096c4 <- 0x90b13 +mem-write: 0x800096c8 <- 0x18470793 +mem-write: 0x800096cc <- 0xf12423 +mem-write: 0x800096d0 <- 0xb4783 +mem-write: 0x800096d4 <- 0xe012623 +mem-write: 0x800096d8 <- 0xe012423 +mem-write: 0x800096dc <- 0x2012023 +mem-write: 0x800096e0 <- 0x2012a23 +mem-write: 0x800096e4 <- 0x2012c23 +mem-write: 0x800096e8 <- 0x2012e23 +mem-write: 0x800096ec <- 0x4012423 +mem-write: 0x800096f0 <- 0x4012623 +mem-write: 0x800096f4 <- 0x12623 +mem-write: 0x800096f8 <- 0x22078463 +mem-write: 0x800096fc <- 0xb0413 +mem-write: 0x80009700 <- 0x2500693 +mem-write: 0x80009704 <- 0x2cd78463 +mem-write: 0x80009708 <- 0x144783 +mem-write: 0x8000970c <- 0x140413 +mem-write: 0x80009710 <- 0xfe079ae3 +mem-write: 0x80009714 <- 0x416404b3 +mem-write: 0x80009718 <- 0x21640463 +mem-write: 0x8000971c <- 0xec12683 +mem-write: 0x80009720 <- 0xe812783 +mem-write: 0x80009724 <- 0x168a023 +mem-write: 0x80009728 <- 0x9686b3 +mem-write: 0x8000972c <- 0x178793 +mem-write: 0x80009730 <- 0x98a223 +mem-write: 0x80009734 <- 0xed12623 +mem-write: 0x80009738 <- 0xef12423 +mem-write: 0x8000973c <- 0x700693 +mem-write: 0x80009740 <- 0x888893 +mem-write: 0x80009744 <- 0x28f6cc63 +mem-write: 0x80009748 <- 0xc12703 +mem-write: 0x8000974c <- 0x44783 +mem-write: 0x80009750 <- 0x970733 +mem-write: 0x80009754 <- 0xe12623 +mem-write: 0x80009758 <- 0x1c078463 +mem-write: 0x8000975c <- 0x144483 +mem-write: 0x80009760 <- 0xc0103a3 +mem-write: 0x80009764 <- 0x140413 +mem-write: 0x80009768 <- 0xfff00d93 +mem-write: 0x8000976c <- 0x993 +mem-write: 0x80009770 <- 0xa13 +mem-write: 0x80009774 <- 0x5a00913 +mem-write: 0x80009778 <- 0x900a93 +mem-write: 0x8000977c <- 0x2a00b93 +mem-write: 0x80009780 <- 0x88c93 +mem-write: 0x80009784 <- 0x140413 +mem-write: 0x80009788 <- 0xfe048793 +mem-write: 0x8000978c <- 0x4f96463 +mem-write: 0x80009790 <- 0x1812703 +mem-write: 0x80009794 <- 0x279793 +mem-write: 0x80009798 <- 0xe787b3 +mem-write: 0x8000979c <- 0x7a783 +mem-write: 0x800097a0 <- 0x78067 +mem-write: 0x800097a4 <- 0x993 +mem-write: 0x800097a8 <- 0xfd048693 +mem-write: 0x800097ac <- 0x44483 +mem-write: 0x800097b0 <- 0x299793 +mem-write: 0x800097b4 <- 0x13787b3 +mem-write: 0x800097b8 <- 0x179793 +mem-write: 0x800097bc <- 0xf689b3 +mem-write: 0x800097c0 <- 0xfd048693 +mem-write: 0x800097c4 <- 0x140413 +mem-write: 0x800097c8 <- 0xfedaf2e3 +mem-write: 0x800097cc <- 0xfe048793 +mem-write: 0x800097d0 <- 0xfcf970e3 +mem-write: 0x800097d4 <- 0xc8893 +mem-write: 0x800097d8 <- 0x14048463 +mem-write: 0x800097dc <- 0x14910623 +mem-write: 0x800097e0 <- 0xc0103a3 +mem-write: 0x800097e4 <- 0x100a93 +mem-write: 0x800097e8 <- 0x100c93 +mem-write: 0x800097ec <- 0x14c10b13 +mem-write: 0x800097f0 <- 0x12823 +mem-write: 0x800097f4 <- 0xd93 +mem-write: 0x800097f8 <- 0x2012423 +VXDRV: upload 1024 bytes to 0x800097fc +mem-write: 0x800097fc <- 0x2012223 +mem-write: 0x80009800 <- 0x12e23 +mem-write: 0x80009804 <- 0x2a7b93 +mem-write: 0x80009808 <- 0xb8463 +mem-write: 0x8000980c <- 0x2a8a93 +mem-write: 0x80009810 <- 0x84a7913 +mem-write: 0x80009814 <- 0xec12783 +mem-write: 0x80009818 <- 0x91663 +mem-write: 0x8000981c <- 0x41598833 +mem-write: 0x80009820 <- 0x63004ce3 +mem-write: 0x80009824 <- 0xc714683 +mem-write: 0x80009828 <- 0x2068a63 +mem-write: 0x8000982c <- 0xe812683 +mem-write: 0x80009830 <- 0xc710613 +mem-write: 0x80009834 <- 0xc8a023 +mem-write: 0x80009838 <- 0x178793 +mem-write: 0x8000983c <- 0x100613 +mem-write: 0x80009840 <- 0x168693 +mem-write: 0x80009844 <- 0xc8a223 +mem-write: 0x80009848 <- 0xef12623 +mem-write: 0x8000984c <- 0xed12423 +mem-write: 0x80009850 <- 0x700613 +mem-write: 0x80009854 <- 0x888893 +mem-write: 0x80009858 <- 0x4cd64c63 +mem-write: 0x8000985c <- 0x20b8a63 +mem-write: 0x80009860 <- 0xe812683 +mem-write: 0x80009864 <- 0xc810613 +mem-write: 0x80009868 <- 0xc8a023 +mem-write: 0x8000986c <- 0x278793 +mem-write: 0x80009870 <- 0x200613 +mem-write: 0x80009874 <- 0x168693 +mem-write: 0x80009878 <- 0xc8a223 +mem-write: 0x8000987c <- 0xef12623 +mem-write: 0x80009880 <- 0xed12423 +mem-write: 0x80009884 <- 0x700613 +mem-write: 0x80009888 <- 0x888893 +mem-write: 0x8000988c <- 0x6ad64ce3 +mem-write: 0x80009890 <- 0x8000693 +mem-write: 0x80009894 <- 0x42d900e3 +mem-write: 0x80009898 <- 0x419d8db3 +mem-write: 0x8000989c <- 0x4db04ee3 +mem-write: 0x800098a0 <- 0x100a7693 +mem-write: 0x800098a4 <- 0x2c069ae3 +mem-write: 0x800098a8 <- 0xe812703 +mem-write: 0x800098ac <- 0x19787b3 +mem-write: 0x800098b0 <- 0x168a023 +mem-write: 0x800098b4 <- 0x170713 +mem-write: 0x800098b8 <- 0x198a223 +mem-write: 0x800098bc <- 0xef12623 +mem-write: 0x800098c0 <- 0xee12423 +mem-write: 0x800098c4 <- 0x700693 +mem-write: 0x800098c8 <- 0x5ae6c063 +mem-write: 0x800098cc <- 0x888893 +mem-write: 0x800098d0 <- 0x4a7a13 +mem-write: 0x800098d4 <- 0xa0663 +mem-write: 0x800098d8 <- 0x415984b3 +mem-write: 0x800098dc <- 0x5a904663 +mem-write: 0x800098e0 <- 0x159d463 +mem-write: 0x800098e4 <- 0xa8993 +mem-write: 0x800098e8 <- 0xc12703 +mem-write: 0x800098ec <- 0x1370733 +mem-write: 0x800098f0 <- 0xe12623 +mem-write: 0x800098f4 <- 0x52079ce3 +mem-write: 0x800098f8 <- 0x1012783 +mem-write: 0x800098fc <- 0xe012423 +mem-write: 0x80009900 <- 0x78863 +mem-write: 0x80009904 <- 0x1012583 +mem-write: 0x80009908 <- 0xd0513 +mem-write: 0x8000990c <- 0xc59fa0ef +mem-write: 0x80009910 <- 0x10c10893 +mem-write: 0x80009914 <- 0x40b13 +mem-write: 0x80009918 <- 0xb4783 +mem-write: 0x8000991c <- 0xde0790e3 +mem-write: 0x80009920 <- 0xec12783 +mem-write: 0x80009924 <- 0x78463 +mem-write: 0x80009928 <- 0x3450106f +mem-write: 0x8000992c <- 0xcc5703 +mem-write: 0x80009930 <- 0x4077713 +mem-write: 0x80009934 <- 0x70463 +mem-write: 0x80009938 <- 0x3f80206f +mem-write: 0x8000993c <- 0x1ec12083 +mem-write: 0x80009940 <- 0x1e812403 +mem-write: 0x80009944 <- 0xc12503 +mem-write: 0x80009948 <- 0x1e412483 +mem-write: 0x8000994c <- 0x1e012903 +mem-write: 0x80009950 <- 0x1dc12983 +mem-write: 0x80009954 <- 0x1d812a03 +mem-write: 0x80009958 <- 0x1d412a83 +mem-write: 0x8000995c <- 0x1d012b03 +mem-write: 0x80009960 <- 0x1cc12b83 +mem-write: 0x80009964 <- 0x1c812c03 +mem-write: 0x80009968 <- 0x1c412c83 +mem-write: 0x8000996c <- 0x1c012d03 +mem-write: 0x80009970 <- 0x1bc12d83 +mem-write: 0x80009974 <- 0x1f010113 +mem-write: 0x80009978 <- 0x8067 +mem-write: 0x8000997c <- 0xd0513 +mem-write: 0x80009980 <- 0xbb9fd0ef +mem-write: 0x80009984 <- 0x452783 +mem-write: 0x80009988 <- 0x78513 +mem-write: 0x8000998c <- 0x4f12623 +mem-write: 0x80009990 <- 0xb5dff0ef +mem-write: 0x80009994 <- 0x50793 +mem-write: 0x80009998 <- 0xd0513 +mem-write: 0x8000999c <- 0x78493 +mem-write: 0x800099a0 <- 0x4f12423 +mem-write: 0x800099a4 <- 0xb95fd0ef +mem-write: 0x800099a8 <- 0x852783 +mem-write: 0x800099ac <- 0x2f12e23 +mem-write: 0x800099b0 <- 0x48463 +mem-write: 0x800099b4 <- 0x1640106f +mem-write: 0x800099b8 <- 0x44483 +mem-write: 0x800099bc <- 0xdc9ff06f +mem-write: 0x800099c0 <- 0x44483 +mem-write: 0x800099c4 <- 0x20a6a13 +mem-write: 0x800099c8 <- 0xdbdff06f +mem-write: 0x800099cc <- 0x416404b3 +mem-write: 0x800099d0 <- 0xd56416e3 +mem-write: 0x800099d4 <- 0x44783 +mem-write: 0x800099d8 <- 0xd81ff06f +mem-write: 0x800099dc <- 0xe410613 +mem-write: 0x800099e0 <- 0xc0593 +mem-write: 0x800099e4 <- 0xd0513 +mem-write: 0x800099e8 <- 0x174050ef +mem-write: 0x800099ec <- 0xf40510e3 +mem-write: 0x800099f0 <- 0x10c10893 +mem-write: 0x800099f4 <- 0xd55ff06f +mem-write: 0x800099f8 <- 0x8a7793 +mem-write: 0x800099fc <- 0xc8893 +mem-write: 0x80009a00 <- 0x78463 +mem-write: 0x80009a04 <- 0xa80106f +mem-write: 0x80009a08 <- 0x1412783 +mem-write: 0x80009a0c <- 0xb010513 +mem-write: 0x80009a10 <- 0x1912823 +mem-write: 0x80009a14 <- 0x778793 +mem-write: 0x80009a18 <- 0xff87f793 +mem-write: 0x80009a1c <- 0x7a583 +mem-write: 0x80009a20 <- 0x47a603 +mem-write: 0x80009a24 <- 0x878793 +mem-write: 0x80009a28 <- 0xf12a23 +mem-write: 0x80009a2c <- 0x1810a0ef +mem-write: 0x80009a30 <- 0xb012783 +mem-write: 0x80009a34 <- 0x1012883 +mem-write: 0x80009a38 <- 0xef12823 +mem-write: 0x80009a3c <- 0xb412783 +mem-write: 0x80009a40 <- 0xef12a23 +mem-write: 0x80009a44 <- 0xb812783 +mem-write: 0x80009a48 <- 0xef12c23 +mem-write: 0x80009a4c <- 0xbc12783 +mem-write: 0x80009a50 <- 0xef12e23 +mem-write: 0x80009a54 <- 0xf010513 +mem-write: 0x80009a58 <- 0x1112823 +mem-write: 0x80009a5c <- 0xa71fd0ef +mem-write: 0x80009a60 <- 0xca12623 +mem-write: 0x80009a64 <- 0x200793 +mem-write: 0x80009a68 <- 0x1012883 +mem-write: 0x80009a6c <- 0xf51463 +mem-write: 0x80009a70 <- 0x6580106f +mem-write: 0x80009a74 <- 0x100793 +mem-write: 0x80009a78 <- 0xf51463 +mem-write: 0x80009a7c <- 0x750106f +mem-write: 0x80009a80 <- 0x6100793 +mem-write: 0x80009a84 <- 0xf49463 +mem-write: 0x80009a88 <- 0x19c0206f +mem-write: 0x80009a8c <- 0x4100793 +mem-write: 0x80009a90 <- 0xf49463 +mem-write: 0x80009a94 <- 0x52d0106f +mem-write: 0x80009a98 <- 0xfdf4fb93 +mem-write: 0x80009a9c <- 0xfff00793 +mem-write: 0x80009aa0 <- 0x5712a23 +mem-write: 0x80009aa4 <- 0xfd9463 +mem-write: 0x80009aa8 <- 0x2150106f +mem-write: 0x80009aac <- 0x4700793 +mem-write: 0x80009ab0 <- 0xfb9463 +mem-write: 0x80009ab4 <- 0x1e80206f +mem-write: 0x80009ab8 <- 0xfc12303 +mem-write: 0x80009abc <- 0x3412423 +mem-write: 0x80009ac0 <- 0xf012e03 +mem-write: 0x80009ac4 <- 0xf412e83 +mem-write: 0x80009ac8 <- 0xf812f03 +mem-write: 0x80009acc <- 0x100a6793 +mem-write: 0x80009ad0 <- 0x35463 +mem-write: 0x80009ad4 <- 0x39c0206f +mem-write: 0x80009ad8 <- 0x4012c23 +mem-write: 0x80009adc <- 0x78a13 +mem-write: 0x80009ae0 <- 0x12823 +mem-write: 0x80009ae4 <- 0x4600793 +mem-write: 0x80009ae8 <- 0xfb9463 +mem-write: 0x80009aec <- 0x2b90106f +mem-write: 0x80009af0 <- 0x4500793 +mem-write: 0x80009af4 <- 0x5112223 +mem-write: 0x80009af8 <- 0xfb8463 +mem-write: 0x80009afc <- 0x33d0106f +mem-write: 0x80009b00 <- 0x1d8913 +mem-write: 0x80009b04 <- 0xb010a93 +mem-write: 0x80009b08 <- 0x90693 +mem-write: 0x80009b0c <- 0xdc10813 +mem-write: 0x80009b10 <- 0xd010793 +mem-write: 0x80009b14 <- 0xcc10713 +mem-write: 0x80009b18 <- 0x200613 +mem-write: 0x80009b1c <- 0xa8593 +mem-write: 0x80009b20 <- 0xd0513 +mem-write: 0x80009b24 <- 0xbc12823 +mem-write: 0x80009b28 <- 0x5c12023 +mem-write: 0x80009b2c <- 0xbd12a23 +mem-write: 0x80009b30 <- 0x3d12223 +mem-write: 0x80009b34 <- 0xbe12c23 +mem-write: 0x80009b38 <- 0x3e12023 +mem-write: 0x80009b3c <- 0xa612e23 +mem-write: 0x80009b40 <- 0x612e23 +mem-write: 0x80009b44 <- 0xef0fc0ef +mem-write: 0x80009b48 <- 0x1c12303 +mem-write: 0x80009b4c <- 0x2012f03 +mem-write: 0x80009b50 <- 0x2412e83 +mem-write: 0x80009b54 <- 0x4012e03 +mem-write: 0x80009b58 <- 0x4412883 +mem-write: 0x80009b5c <- 0x50b13 +mem-write: 0x80009b60 <- 0x1250933 +mem-write: 0x80009b64 <- 0xa010c93 +mem-write: 0x80009b68 <- 0xc8593 +mem-write: 0x80009b6c <- 0xa8513 +mem-write: 0x80009b70 <- 0x1112e23 +mem-write: 0x80009b74 <- 0xbc12823 +mem-write: 0x80009b78 <- 0xbd12a23 +mem-write: 0x80009b7c <- 0xbe12c23 +mem-write: 0x80009b80 <- 0xa612e23 +mem-write: 0x80009b84 <- 0xa012023 +mem-write: 0x80009b88 <- 0xa012223 +mem-write: 0x80009b8c <- 0xa012423 +mem-write: 0x80009b90 <- 0xa012623 +mem-write: 0x80009b94 <- 0x53d070ef +mem-write: 0x80009b98 <- 0x1c12883 +mem-write: 0x80009b9c <- 0x90713 +mem-write: 0x80009ba0 <- 0x2050263 +mem-write: 0x80009ba4 <- 0xdc12703 +mem-write: 0x80009ba8 <- 0x1277e63 +mem-write: 0x80009bac <- 0x3000693 +mem-write: 0x80009bb0 <- 0x170793 +mem-write: 0x80009bb4 <- 0xcf12e23 +mem-write: 0x80009bb8 <- 0xd70023 +mem-write: 0x80009bbc <- 0xdc12703 +mem-write: 0x80009bc0 <- 0xff2768e3 +mem-write: 0x80009bc4 <- 0x416707b3 +mem-write: 0x80009bc8 <- 0x2f12023 +mem-write: 0x80009bcc <- 0xcc12703 +mem-write: 0x80009bd0 <- 0x4700793 +mem-write: 0x80009bd4 <- 0xe12e23 +mem-write: 0x80009bd8 <- 0x5412703 +mem-write: 0x80009bdc <- 0xf71463 +mem-write: 0x80009be0 <- 0x1150106f +mem-write: 0x80009be4 <- 0x5412703 +mem-write: 0x80009be8 <- 0x4600793 +mem-write: 0x80009bec <- 0xf71463 +mem-write: 0x80009bf0 <- 0x34d0106f +mem-write: 0x80009bf4 <- 0x1c12783 +mem-write: 0x80009bf8 <- 0x5412703 +VXDRV: upload 1023 bytes to 0x80009bfc +mem-write: 0x80009bfc <- 0x4100593 +mem-write: 0x80009c00 <- 0xfff78793 +mem-write: 0x80009c04 <- 0xcf12623 +mem-write: 0x80009c08 <- 0xff4f693 +mem-write: 0x80009c0c <- 0x613 +mem-write: 0x80009c10 <- 0xb71863 +mem-write: 0x80009c14 <- 0xf68693 +mem-write: 0x80009c18 <- 0xff6f693 +mem-write: 0x80009c1c <- 0x100613 +mem-write: 0x80009c20 <- 0xcd10a23 +mem-write: 0x80009c24 <- 0x2b00693 +mem-write: 0x80009c28 <- 0x7da63 +mem-write: 0x80009c2c <- 0x1c12703 +mem-write: 0x80009c30 <- 0x100793 +mem-write: 0x80009c34 <- 0x2d00693 +mem-write: 0x80009c38 <- 0x40e787b3 +mem-write: 0x80009c3c <- 0xcd10aa3 +mem-write: 0x80009c40 <- 0x900693 +mem-write: 0x80009c44 <- 0xf6c463 +mem-write: 0x80009c48 <- 0xf40206f +mem-write: 0x80009c4c <- 0xe310813 +mem-write: 0x80009c50 <- 0x80513 +mem-write: 0x80009c54 <- 0xa00613 +mem-write: 0x80009c58 <- 0x6300e13 +mem-write: 0x80009c5c <- 0x2c7e733 +mem-write: 0x80009c60 <- 0x50593 +mem-write: 0x80009c64 <- 0x78693 +mem-write: 0x80009c68 <- 0xfff50513 +mem-write: 0x80009c6c <- 0x3070713 +mem-write: 0x80009c70 <- 0xfee58fa3 +mem-write: 0x80009c74 <- 0x2c7c7b3 +mem-write: 0x80009c78 <- 0xfede42e3 +mem-write: 0x80009c7c <- 0x3078793 +mem-write: 0x80009c80 <- 0xff7f613 +mem-write: 0x80009c84 <- 0xfec50fa3 +mem-write: 0x80009c88 <- 0xffe58793 +mem-write: 0x80009c8c <- 0x107e463 +mem-write: 0x80009c90 <- 0x3980206f +mem-write: 0x80009c94 <- 0xd610693 +mem-write: 0x80009c98 <- 0x80006f +mem-write: 0x80009c9c <- 0x7c603 +mem-write: 0x80009ca0 <- 0xc68023 +mem-write: 0x80009ca4 <- 0x178793 +mem-write: 0x80009ca8 <- 0x168693 +mem-write: 0x80009cac <- 0xff0798e3 +mem-write: 0x80009cb0 <- 0xe510793 +mem-write: 0x80009cb4 <- 0x40b787b3 +mem-write: 0x80009cb8 <- 0xd610713 +mem-write: 0x80009cbc <- 0xf707b3 +mem-write: 0x80009cc0 <- 0xd410693 +mem-write: 0x80009cc4 <- 0x40d787b3 +mem-write: 0x80009cc8 <- 0x2f12c23 +mem-write: 0x80009ccc <- 0x2012703 +mem-write: 0x80009cd0 <- 0x3812683 +mem-write: 0x80009cd4 <- 0x100793 +mem-write: 0x80009cd8 <- 0xd70cb3 +mem-write: 0x80009cdc <- 0xe7c463 +mem-write: 0x80009ce0 <- 0x2300206f +mem-write: 0x80009ce4 <- 0x2c12783 +mem-write: 0x80009ce8 <- 0xfc8cb3 +mem-write: 0x80009cec <- 0x2812783 +mem-write: 0x80009cf0 <- 0xfffcca93 +mem-write: 0x80009cf4 <- 0x41fada93 +mem-write: 0x80009cf8 <- 0xbff7fa13 +mem-write: 0x80009cfc <- 0x100a6a13 +mem-write: 0x80009d00 <- 0x15cfab3 +mem-write: 0x80009d04 <- 0x2012423 +mem-write: 0x80009d08 <- 0x2012223 +mem-write: 0x80009d0c <- 0x12e23 +mem-write: 0x80009d10 <- 0x5812783 +mem-write: 0x80009d14 <- 0x79463 +mem-write: 0x80009d18 <- 0x510106f +mem-write: 0x80009d1c <- 0x2d00793 +mem-write: 0x80009d20 <- 0xcf103a3 +mem-write: 0x80009d24 <- 0xd93 +mem-write: 0x80009d28 <- 0x1a8a93 +mem-write: 0x80009d2c <- 0xad9ff06f +mem-write: 0x80009d30 <- 0xe410613 +mem-write: 0x80009d34 <- 0xc0593 +mem-write: 0x80009d38 <- 0xd0513 +mem-write: 0x80009d3c <- 0x621040ef +mem-write: 0x80009d40 <- 0x100510e3 +mem-write: 0x80009d44 <- 0xec12783 +mem-write: 0x80009d48 <- 0x10c10893 +mem-write: 0x80009d4c <- 0xb11ff06f +mem-write: 0x80009d50 <- 0xe812683 +mem-write: 0x80009d54 <- 0x178c93 +mem-write: 0x80009d58 <- 0x2012783 +mem-write: 0x80009d5c <- 0x100613 +mem-write: 0x80009d60 <- 0x168a023 +mem-write: 0x80009d64 <- 0x168493 +mem-write: 0x80009d68 <- 0x888913 +mem-write: 0x80009d6c <- 0x36f658e3 +mem-write: 0x80009d70 <- 0x100793 +mem-write: 0x80009d74 <- 0xf8a223 +mem-write: 0x80009d78 <- 0xf912623 +mem-write: 0x80009d7c <- 0xe912423 +mem-write: 0x80009d80 <- 0x700793 +mem-write: 0x80009d84 <- 0x4a97c0e3 +mem-write: 0x80009d88 <- 0x2c12783 +mem-write: 0x80009d8c <- 0x3012703 +mem-write: 0x80009d90 <- 0x148493 +mem-write: 0x80009d94 <- 0xfc8cb3 +mem-write: 0x80009d98 <- 0xf92223 +mem-write: 0x80009d9c <- 0xe92023 +mem-write: 0x80009da0 <- 0xf912623 +mem-write: 0x80009da4 <- 0xe912423 +mem-write: 0x80009da8 <- 0x700793 +mem-write: 0x80009dac <- 0x890913 +mem-write: 0x80009db0 <- 0x4897cce3 +mem-write: 0x80009db4 <- 0xf012783 +mem-write: 0x80009db8 <- 0x148613 +mem-write: 0x80009dbc <- 0xa010593 +mem-write: 0x80009dc0 <- 0xaf12823 +mem-write: 0x80009dc4 <- 0xf412783 +mem-write: 0x80009dc8 <- 0xb010513 +mem-write: 0x80009dcc <- 0xc12e23 +mem-write: 0x80009dd0 <- 0xaf12a23 +mem-write: 0x80009dd4 <- 0xf812783 +mem-write: 0x80009dd8 <- 0xa012023 +mem-write: 0x80009ddc <- 0xa012223 +mem-write: 0x80009de0 <- 0xaf12c23 +mem-write: 0x80009de4 <- 0xfc12783 +mem-write: 0x80009de8 <- 0xa012423 +mem-write: 0x80009dec <- 0xa012623 +mem-write: 0x80009df0 <- 0xaf12e23 +mem-write: 0x80009df4 <- 0x2dd070ef +mem-write: 0x80009df8 <- 0x1c12603 +mem-write: 0x80009dfc <- 0x2012783 +mem-write: 0x80009e00 <- 0x890893 +mem-write: 0x80009e04 <- 0x60693 +mem-write: 0x80009e08 <- 0xfff78d93 +mem-write: 0x80009e0c <- 0x2e050ce3 +mem-write: 0x80009e10 <- 0x1b0713 +mem-write: 0x80009e14 <- 0x1bc8cb3 +mem-write: 0x80009e18 <- 0xe92023 +mem-write: 0x80009e1c <- 0x1b92223 +mem-write: 0x80009e20 <- 0xf912623 +mem-write: 0x80009e24 <- 0xec12423 +mem-write: 0x80009e28 <- 0x700793 +mem-write: 0x80009e2c <- 0xc7d463 +mem-write: 0x80009e30 <- 0x180106f +mem-write: 0x80009e34 <- 0x1090793 +mem-write: 0x80009e38 <- 0x248693 +mem-write: 0x80009e3c <- 0x88913 +mem-write: 0x80009e40 <- 0x78893 +mem-write: 0x80009e44 <- 0x3812603 +mem-write: 0x80009e48 <- 0xd410713 +mem-write: 0x80009e4c <- 0xe92023 +mem-write: 0x80009e50 <- 0x19607b3 +mem-write: 0x80009e54 <- 0xc92223 +mem-write: 0x80009e58 <- 0xef12623 +mem-write: 0x80009e5c <- 0xed12423 +mem-write: 0x80009e60 <- 0x700713 +mem-write: 0x80009e64 <- 0xa6d756e3 +mem-write: 0x80009e68 <- 0xe410613 +mem-write: 0x80009e6c <- 0xc0593 +mem-write: 0x80009e70 <- 0xd0513 +mem-write: 0x80009e74 <- 0x4e9040ef +mem-write: 0x80009e78 <- 0x7c051463 +mem-write: 0x80009e7c <- 0xec12783 +mem-write: 0x80009e80 <- 0x10c10893 +mem-write: 0x80009e84 <- 0xa4dff06f +mem-write: 0x80009e88 <- 0x1000693 +mem-write: 0x80009e8c <- 0xe812703 +mem-write: 0x80009e90 <- 0x96c463 +mem-write: 0x80009e94 <- 0x1210106f +mem-write: 0x80009e98 <- 0x800156b7 +mem-write: 0x80009e9c <- 0x17468e93 +mem-write: 0x80009ea0 <- 0x1000913 +mem-write: 0x80009ea4 <- 0x700a13 +mem-write: 0x80009ea8 <- 0xe8b13 +mem-write: 0x80009eac <- 0xc0006f +mem-write: 0x80009eb0 <- 0xff048493 +mem-write: 0x80009eb4 <- 0x4995663 +mem-write: 0x80009eb8 <- 0x1078793 +mem-write: 0x80009ebc <- 0x170713 +mem-write: 0x80009ec0 <- 0x168a023 +mem-write: 0x80009ec4 <- 0x128a223 +mem-write: 0x80009ec8 <- 0xef12623 +mem-write: 0x80009ecc <- 0xee12423 +mem-write: 0x80009ed0 <- 0x888893 +mem-write: 0x80009ed4 <- 0xfcea5ee3 +mem-write: 0x80009ed8 <- 0xe410613 +mem-write: 0x80009edc <- 0xc0593 +mem-write: 0x80009ee0 <- 0xd0513 +mem-write: 0x80009ee4 <- 0x479040ef +mem-write: 0x80009ee8 <- 0x74051c63 +mem-write: 0x80009eec <- 0xff048493 +mem-write: 0x80009ef0 <- 0xec12783 +mem-write: 0x80009ef4 <- 0xe812703 +mem-write: 0x80009ef8 <- 0x10c10893 +mem-write: 0x80009efc <- 0xfa994ee3 +mem-write: 0x80009f00 <- 0xb0e93 +mem-write: 0x80009f04 <- 0x9787b3 +mem-write: 0x80009f08 <- 0x170713 +mem-write: 0x80009f0c <- 0x1d8a023 +mem-write: 0x80009f10 <- 0x98a223 +mem-write: 0x80009f14 <- 0xef12623 +mem-write: 0x80009f18 <- 0xee12423 +mem-write: 0x80009f1c <- 0x700693 +mem-write: 0x80009f20 <- 0x9ce6d0e3 +mem-write: 0x80009f24 <- 0xe410613 +mem-write: 0x80009f28 <- 0xc0593 +mem-write: 0x80009f2c <- 0xd0513 +mem-write: 0x80009f30 <- 0x42d040ef +mem-write: 0x80009f34 <- 0x70051663 +mem-write: 0x80009f38 <- 0xec12783 +mem-write: 0x80009f3c <- 0x9a5ff06f +mem-write: 0x80009f40 <- 0x1412783 +mem-write: 0x80009f44 <- 0xc0103a3 +mem-write: 0x80009f48 <- 0xc8893 +mem-write: 0x80009f4c <- 0x7ab03 +mem-write: 0x80009f50 <- 0x478913 +mem-write: 0x80009f54 <- 0x4e0b02e3 +mem-write: 0x80009f58 <- 0xfff00793 +mem-write: 0x80009f5c <- 0xfd9463 +mem-write: 0x80009f60 <- 0x1fc0106f +mem-write: 0x80009f64 <- 0xd8613 +mem-write: 0x80009f68 <- 0x593 +mem-write: 0x80009f6c <- 0xb0513 +mem-write: 0x80009f70 <- 0x1912a23 +mem-write: 0x80009f74 <- 0xf5dfd0ef +mem-write: 0x80009f78 <- 0xa12823 +mem-write: 0x80009f7c <- 0x1412883 +mem-write: 0x80009f80 <- 0x51463 +mem-write: 0x80009f84 <- 0x75c0106f +mem-write: 0x80009f88 <- 0x1012783 +mem-write: 0x80009f8c <- 0x1212a23 +mem-write: 0x80009f90 <- 0x12823 +mem-write: 0x80009f94 <- 0x41678cb3 +mem-write: 0x80009f98 <- 0xc714783 +mem-write: 0x80009f9c <- 0xfffcca93 +mem-write: 0x80009fa0 <- 0x41fada93 +mem-write: 0x80009fa4 <- 0x2012423 +mem-write: 0x80009fa8 <- 0x2012223 +mem-write: 0x80009fac <- 0x12e23 +mem-write: 0x80009fb0 <- 0x15cfab3 +mem-write: 0x80009fb4 <- 0xd93 +mem-write: 0x80009fb8 <- 0x840786e3 +mem-write: 0x80009fbc <- 0x1a8a93 +mem-write: 0x80009fc0 <- 0x845ff06f +mem-write: 0x80009fc4 <- 0x1412703 +mem-write: 0x80009fc8 <- 0xc8893 +mem-write: 0x80009fcc <- 0xc0103a3 +mem-write: 0x80009fd0 <- 0x72783 +mem-write: 0x80009fd4 <- 0x470713 +mem-write: 0x80009fd8 <- 0xe12a23 +mem-write: 0x80009fdc <- 0x14f10623 +mem-write: 0x80009fe0 <- 0x100a93 +mem-write: 0x80009fe4 <- 0x100c93 +mem-write: 0x80009fe8 <- 0x14c10b13 +mem-write: 0x80009fec <- 0x805ff06f +mem-write: 0x80009ff0 <- 0x44483 +mem-write: 0x80009ff4 <- 0x4a6a13 +mem-write: 0x80009ff8 <- 0x5cff06f +VXDRV: upload 1024 bytes to 0x80009ffb +mem-write: 0x80009ffb <- 0x412683f8 +mem-write: 0x80009fff <- 0xa779301 +mem-write: 0x8000a003 <- 0xc889302 +mem-write: 0x8000a007 <- 0x6a70300 +mem-write: 0x8000a00b <- 0x46869300 +mem-write: 0x8000a00f <- 0xd12a2300 +mem-write: 0x8000a013 <- 0x790e300 +mem-write: 0x8000a017 <- 0xa779328 +mem-write: 0x8000a01b <- 0x7846301 +mem-write: 0x8000a01f <- 0xc0106f00 +mem-write: 0x8000a023 <- 0xa779312 +mem-write: 0x8000a027 <- 0x7846304 +mem-write: 0x8000a02b <- 0x80106f00 +mem-write: 0x8000a02f <- 0xa7a133d +mem-write: 0x8000a033 <- 0xa146320 +mem-write: 0x8000a037 <- 0x40106f00 +mem-write: 0x8000a03b <- 0xc1278311 +mem-write: 0x8000a03f <- 0x40b1300 +mem-write: 0x8000a043 <- 0xf7002300 +mem-write: 0x8000a047 <- 0x1ff06f00 +mem-write: 0x8000a04b <- 0x444838d +mem-write: 0x8000a04f <- 0xc0079300 +mem-write: 0x8000a053 <- 0xf48ee306 +mem-write: 0x8000a057 <- 0xa6a1338 +mem-write: 0x8000a05b <- 0x8ff06f01 +mem-write: 0x8000a05f <- 0x412703f2 +mem-write: 0x8000a063 <- 0xff87b701 +mem-write: 0x8000a067 <- 0x7c793ff +mem-write: 0x8000a06b <- 0xf1142383 +mem-write: 0x8000a06f <- 0x4707930c +mem-write: 0x8000a073 <- 0xf12a2300 +mem-write: 0x8000a077 <- 0x7290300 +mem-write: 0x8000a07b <- 0x157b700 +mem-write: 0x8000a07f <- 0xc7879380 +mem-write: 0x8000a083 <- 0xc8893a3 +mem-write: 0x8000a087 <- 0xf12a2300 +mem-write: 0x8000a08b <- 0xc9302 +mem-write: 0x8000a08f <- 0x2a6b9300 +mem-write: 0x8000a093 <- 0x20079300 +mem-write: 0x8000a097 <- 0x80049300 +mem-write: 0x8000a09b <- 0x103a307 +mem-write: 0x8000a09f <- 0xf007130c +mem-write: 0x8000a0a3 <- 0xed8663ff +mem-write: 0x8000a0a7 <- 0x99673320 +mem-write: 0x8000a0ab <- 0xfbfa1301 +mem-write: 0x8000a0af <- 0x71e63f7 +mem-write: 0x8000a0b3 <- 0xd94631e +mem-write: 0x8000a0b7 <- 0x7906326 +mem-write: 0x8000a0bb <- 0x1bfc931c +mem-write: 0x8000a0bf <- 0x10b1300 +mem-write: 0x8000a0c3 <- 0xc90e31b +mem-write: 0x8000a0c7 <- 0xc8a931c +mem-write: 0x8000a0cb <- 0xbcd46300 +mem-write: 0x8000a0cf <- 0xd8a9301 +mem-write: 0x8000a0d3 <- 0x71478300 +mem-write: 0x8000a0d7 <- 0x128230c +mem-write: 0x8000a0db <- 0x1242300 +mem-write: 0x8000a0df <- 0x1222302 +mem-write: 0x8000a0e3 <- 0x12e2302 +mem-write: 0x8000a0e7 <- 0x79ae300 +mem-write: 0x8000a0eb <- 0x8ff06fec +mem-write: 0x8000a0ef <- 0xc8893f1 +mem-write: 0x8000a0f3 <- 0xa6a1300 +mem-write: 0x8000a0f7 <- 0xa779301 +mem-write: 0x8000a0fb <- 0x78ce302 +mem-write: 0x8000a0ff <- 0x41278306 +mem-write: 0x8000a103 <- 0x778b1301 +mem-write: 0x8000a107 <- 0x8b7b1300 +mem-write: 0x8000a10b <- 0xb2903ff +mem-write: 0x8000a10f <- 0x4b2c8300 +mem-write: 0x8000a113 <- 0x8b079300 +mem-write: 0x8000a117 <- 0xf12a2300 +mem-write: 0x8000a11b <- 0xfa7b9300 +mem-write: 0x8000a11f <- 0x793bf +mem-write: 0x8000a123 <- 0x9ff06f00 +mem-write: 0x8000a127 <- 0x44483f7 +mem-write: 0x8000a12b <- 0x80079300 +mem-write: 0x8000a12f <- 0xf488e306 +mem-write: 0x8000a133 <- 0xa6a132c +mem-write: 0x8000a137 <- 0xcff06f04 +mem-write: 0x8000a13b <- 0xc8893e4 +mem-write: 0x8000a13f <- 0xa6b9300 +mem-write: 0x8000a143 <- 0xbf79301 +mem-write: 0x8000a147 <- 0x78ce302 +mem-write: 0x8000a14b <- 0x41278304 +mem-write: 0x8000a14f <- 0x778b1301 +mem-write: 0x8000a153 <- 0x8b7b1300 +mem-write: 0x8000a157 <- 0x8b0793ff +mem-write: 0x8000a15b <- 0xf12a2300 +mem-write: 0x8000a15f <- 0xb290300 +mem-write: 0x8000a163 <- 0x4b2c8300 +mem-write: 0x8000a167 <- 0x10079300 +mem-write: 0x8000a16b <- 0x1ff06f00 +mem-write: 0x8000a16f <- 0x44483f3 +mem-write: 0x8000a173 <- 0x8a6a1300 +mem-write: 0x8000a177 <- 0xcff06f00 +mem-write: 0x8000a17b <- 0x412783e0 +mem-write: 0x8000a17f <- 0x4448301 +mem-write: 0x8000a183 <- 0x7a98300 +mem-write: 0x8000a187 <- 0x47879300 +mem-write: 0x8000a18b <- 0xf12a2300 +mem-write: 0x8000a18f <- 0x9da6300 +mem-write: 0x8000a193 <- 0x3009b3de +mem-write: 0x8000a197 <- 0x4a6a1341 +mem-write: 0x8000a19b <- 0x8ff06f00 +mem-write: 0x8000a19f <- 0x44483de +mem-write: 0x8000a1a3 <- 0x1a6a1300 +mem-write: 0x8000a1a7 <- 0xcff06f00 +mem-write: 0x8000a1ab <- 0x714783dd +mem-write: 0x8000a1af <- 0x444830c +mem-write: 0x8000a1b3 <- 0x7986300 +mem-write: 0x8000a1b7 <- 0x793dc +mem-write: 0x8000a1bb <- 0xf103a302 +mem-write: 0x8000a1bf <- 0x4ff06f0c +mem-write: 0x8000a1c3 <- 0x44483dc +mem-write: 0x8000a1c7 <- 0xa6a1300 +mem-write: 0x8000a1cb <- 0x8ff06f08 +mem-write: 0x8000a1cf <- 0x44483db +mem-write: 0x8000a1d3 <- 0x14071300 +mem-write: 0x8000a1d7 <- 0x74946300 +mem-write: 0x8000a1db <- 0x50106f01 +mem-write: 0x8000a1df <- 0x486935f +mem-write: 0x8000a1e3 <- 0x70413fd +mem-write: 0x8000a1e7 <- 0xd9300 +mem-write: 0x8000a1eb <- 0xdaee6300 +mem-write: 0x8000a1ef <- 0x44483d8 +mem-write: 0x8000a1f3 <- 0x2d979300 +mem-write: 0x8000a1f7 <- 0xb787b300 +mem-write: 0x8000a1fb <- 0x17979301 +mem-write: 0x8000a1ff <- 0xd78db300 +mem-write: 0x8000a203 <- 0x4869300 +mem-write: 0x8000a207 <- 0x140413fd +mem-write: 0x8000a20b <- 0xdaf2e300 +mem-write: 0x8000a20f <- 0x8ff06ffe +mem-write: 0x8000a213 <- 0xb00793d7 +mem-write: 0x8000a217 <- 0x4448302 +mem-write: 0x8000a21b <- 0xf103a300 +mem-write: 0x8000a21f <- 0x4ff06f0c +mem-write: 0x8000a223 <- 0xc8893d6 +mem-write: 0x8000a227 <- 0xa6a1300 +mem-write: 0x8000a22b <- 0xa779301 +mem-write: 0x8000a22f <- 0x7806302 +mem-write: 0x8000a233 <- 0x4127837a +mem-write: 0x8000a237 <- 0x778b1301 +mem-write: 0x8000a23b <- 0x8b7b1300 +mem-write: 0x8000a23f <- 0x4b2783ff +mem-write: 0x8000a243 <- 0xb290300 +mem-write: 0x8000a247 <- 0x8b071300 +mem-write: 0x8000a24b <- 0xe12a2300 +mem-write: 0x8000a24f <- 0x78c9300 +mem-write: 0x8000a253 <- 0x7c66300 +mem-write: 0x8000a257 <- 0xf007937a +mem-write: 0x8000a25b <- 0xa0b93ff +mem-write: 0x8000a25f <- 0xfd846300 +mem-write: 0x8000a263 <- 0x9967b302 +mem-write: 0x8000a267 <- 0xfa7b9301 +mem-write: 0x8000a26b <- 0x79e63f7 +mem-write: 0x8000a26f <- 0xd926300 +mem-write: 0x8000a273 <- 0xb8a1302 +mem-write: 0x8000a277 <- 0xd9300 +mem-write: 0x8000a27b <- 0xc9300 +mem-write: 0x8000a27f <- 0x10b1300 +mem-write: 0x8000a283 <- 0x5ff06f1b +mem-write: 0x8000a287 <- 0xc96e3e4 +mem-write: 0x8000a28b <- 0x90079340 +mem-write: 0x8000a28f <- 0x27e2e300 +mem-write: 0x8000a293 <- 0x9091341 +mem-write: 0x8000a297 <- 0x2107a303 +mem-write: 0x8000a29b <- 0xb8a131b +mem-write: 0x8000a29f <- 0x100c9300 +mem-write: 0x8000a2a3 <- 0xf10b1300 +mem-write: 0x8000a2a7 <- 0x1ff06f1a +mem-write: 0x8000a2ab <- 0xa0b93e2 +mem-write: 0x8000a2af <- 0x10071300 +mem-write: 0x8000a2b3 <- 0xe78ae300 +mem-write: 0x8000a2b7 <- 0x200713fc +mem-write: 0x8000a2bb <- 0xe78c6300 +mem-write: 0x8000a2bf <- 0x10b1306 +mem-write: 0x8000a2c3 <- 0xdc97131b +mem-write: 0x8000a2c7 <- 0x79779301 +mem-write: 0x8000a2cb <- 0x39591300 +mem-write: 0x8000a2cf <- 0x7879300 +mem-write: 0x8000a2d3 <- 0x27693303 +mem-write: 0x8000a2d7 <- 0x3cdc9301 +mem-write: 0x8000a2db <- 0xfb0fa300 +mem-write: 0x8000a2df <- 0x996733fe +mem-write: 0x8000a2e3 <- 0xb061301 +mem-write: 0x8000a2e7 <- 0xfb0b1300 +mem-write: 0x8000a2eb <- 0x71ce3ff +mem-write: 0x8000a2ef <- 0x1bf693fc +mem-write: 0x8000a2f3 <- 0x68a6300 +mem-write: 0x8000a2f7 <- 0x69306 +mem-write: 0x8000a2fb <- 0xd7866303 +mem-write: 0x8000a2ff <- 0xe6061306 +mem-write: 0x8000a303 <- 0x10793ff +mem-write: 0x8000a307 <- 0xdb0fa31b +mem-write: 0x8000a30b <- 0xc78cb3fe +mem-write: 0x8000a30f <- 0xb8a1340 +mem-write: 0x8000a313 <- 0x60b1300 +mem-write: 0x8000a317 <- 0x1ff06f00 +mem-write: 0x8000a31b <- 0x100713db +mem-write: 0x8000a31f <- 0xe7946300 +mem-write: 0x8000a323 <- 0x10106f00 +mem-write: 0x8000a327 <- 0x20071317 +mem-write: 0x8000a32b <- 0xa0b9300 +mem-write: 0x8000a32f <- 0xe798e300 +mem-write: 0x8000a333 <- 0x412683f8 +mem-write: 0x8000a337 <- 0x10b1303 +mem-write: 0x8000a33b <- 0xf977931b +mem-write: 0x8000a33f <- 0xf687b300 +mem-write: 0x8000a343 <- 0x7c70300 +mem-write: 0x8000a347 <- 0x49591300 +mem-write: 0x8000a34b <- 0xcc979300 +mem-write: 0x8000a34f <- 0x27e93301 +mem-write: 0x8000a353 <- 0x4cdc9301 +mem-write: 0x8000a357 <- 0xeb0fa300 +mem-write: 0x8000a35b <- 0x9967b3fe +mem-write: 0x8000a35f <- 0xfb0b1301 +mem-write: 0x8000a363 <- 0x79ce3ff +mem-write: 0x8000a367 <- 0x10793fc +mem-write: 0x8000a36b <- 0x678cb31b +mem-write: 0x8000a36f <- 0xb8a1341 +mem-write: 0x8000a373 <- 0x5ff06f00 +mem-write: 0x8000a377 <- 0x500693d5 +mem-write: 0x8000a37b <- 0x96dae306 +mem-write: 0x8000a37f <- 0x126839c +mem-write: 0x8000a383 <- 0x105930f +mem-write: 0x8000a387 <- 0x105130a +mem-write: 0x8000a38b <- 0xd128230b +mem-write: 0x8000a38f <- 0x4126830a +mem-write: 0x8000a393 <- 0x1122230f +mem-write: 0x8000a397 <- 0xf1202305 +mem-write: 0x8000a39b <- 0xd12a2304 +mem-write: 0x8000a39f <- 0x8126830a +mem-write: 0x8000a3a3 <- 0x120230f +mem-write: 0x8000a3a7 <- 0x122230a +mem-write: 0x8000a3ab <- 0xd12c230a +mem-write: 0x8000a3af <- 0xc126830a +mem-write: 0x8000a3b3 <- 0x124230f +mem-write: 0x8000a3b7 <- 0x126230a +mem-write: 0x8000a3bb <- 0xd12e230a +mem-write: 0x8000a3bf <- 0x70ef0a +mem-write: 0x8000a3c3 <- 0x1278351 +mem-write: 0x8000a3c7 <- 0x41288304 +mem-write: 0x8000a3cb <- 0x51c6304 +mem-write: 0x8000a3cf <- 0x81270338 +mem-write: 0x8000a3d3 <- 0x156b70e +mem-write: 0x8000a3d7 <- 0x6869380 +mem-write: 0x8000a3db <- 0xd8a02398 +mem-write: 0x8000a3df <- 0x17879300 +mem-write: 0x8000a3e3 <- 0x10069300 +mem-write: 0x8000a3e7 <- 0x17071300 +mem-write: 0x8000a3eb <- 0xd8a22300 +mem-write: 0x8000a3ef <- 0xf1262300 +mem-write: 0x8000a3f3 <- 0xe124230e +mem-write: 0x8000a3f7 <- 0x7006930e +VXDRV: upload 1024 bytes to 0x8000a3fb +mem-write: 0x8000a3fb <- 0x88889300 +mem-write: 0x8000a3ff <- 0xe6cce300 +mem-write: 0x8000a403 <- 0xc1270344 +mem-write: 0x8000a407 <- 0x126830c +mem-write: 0x8000a40b <- 0xd7506302 +mem-write: 0x8000a40f <- 0x1270366 +mem-write: 0x8000a413 <- 0xc1268303 +mem-write: 0x8000a417 <- 0x88889302 +mem-write: 0x8000a41b <- 0xe8ac2300 +mem-write: 0x8000a41f <- 0x812703fe +mem-write: 0x8000a423 <- 0xd787b30e +mem-write: 0x8000a427 <- 0xd8ae2300 +mem-write: 0x8000a42b <- 0x170713fe +mem-write: 0x8000a42f <- 0xf1262300 +mem-write: 0x8000a433 <- 0xe124230e +mem-write: 0x8000a437 <- 0x7006930e +mem-write: 0x8000a43b <- 0xe6cc6300 +mem-write: 0x8000a43f <- 0x1270376 +mem-write: 0x8000a443 <- 0xf7049302 +mem-write: 0x8000a447 <- 0x905463ff +mem-write: 0x8000a44b <- 0x693c8 +mem-write: 0x8000a44f <- 0x81270301 +mem-write: 0x8000a453 <- 0x96d2e30e +mem-write: 0x8000a457 <- 0x91342 +mem-write: 0x8000a45b <- 0x700c9301 +mem-write: 0x8000a45f <- 0xc0006f00 +mem-write: 0x8000a463 <- 0x4849300 +mem-write: 0x8000a467 <- 0x9958e3ff +mem-write: 0x8000a46b <- 0x81268340 +mem-write: 0x8000a46f <- 0x7879300 +mem-write: 0x8000a473 <- 0x17071301 +mem-write: 0x8000a477 <- 0xd8a02300 +mem-write: 0x8000a47b <- 0x28a22300 +mem-write: 0x8000a47f <- 0xf1262301 +mem-write: 0x8000a483 <- 0xe124230e +mem-write: 0x8000a487 <- 0x8888930e +mem-write: 0x8000a48b <- 0xecdce300 +mem-write: 0x8000a48f <- 0x410613fc +mem-write: 0x8000a493 <- 0xc05930e +mem-write: 0x8000a497 <- 0xd051300 +mem-write: 0x8000a49b <- 0x40ef00 +mem-write: 0x8000a49f <- 0x510636c +mem-write: 0x8000a4a3 <- 0xc127831a +mem-write: 0x8000a4a7 <- 0x8127030e +mem-write: 0x8000a4ab <- 0xc108930e +mem-write: 0x8000a4af <- 0x5ff06f10 +mem-write: 0x8000a4b3 <- 0x598933fb +mem-write: 0x8000a4b7 <- 0x20506341 +mem-write: 0x8000a4bb <- 0x613bf +mem-write: 0x8000a4bf <- 0x81268301 +mem-write: 0x8000a4c3 <- 0x2654630e +mem-write: 0x8000a4c7 <- 0xe1307 +mem-write: 0x8000a4cb <- 0x700b9301 +mem-write: 0x8000a4cf <- 0xc0006f00 +mem-write: 0x8000a4d3 <- 0x9091300 +mem-write: 0x8000a4d7 <- 0x2e5a63ff +mem-write: 0x8000a4db <- 0x81270305 +mem-write: 0x8000a4df <- 0x7879300 +mem-write: 0x8000a4e3 <- 0x16869301 +mem-write: 0x8000a4e7 <- 0xe8a02300 +mem-write: 0x8000a4eb <- 0xc8a22300 +mem-write: 0x8000a4ef <- 0xf1262301 +mem-write: 0x8000a4f3 <- 0xd124230e +mem-write: 0x8000a4f7 <- 0x8888930e +mem-write: 0x8000a4fb <- 0xdbdce300 +mem-write: 0x8000a4ff <- 0x410613fc +mem-write: 0x8000a503 <- 0xc05930e +mem-write: 0x8000a507 <- 0xd051300 +mem-write: 0x8000a50b <- 0x40ef00 +mem-write: 0x8000a50f <- 0x5186365 +mem-write: 0x8000a513 <- 0xe1312 +mem-write: 0x8000a517 <- 0x9091301 +mem-write: 0x8000a51b <- 0xc12783ff +mem-write: 0x8000a51f <- 0x8126830e +mem-write: 0x8000a523 <- 0xc108930e +mem-write: 0x8000a527 <- 0x2e4ae310 +mem-write: 0x8000a52b <- 0x812703fb +mem-write: 0x8000a52f <- 0x2787b300 +mem-write: 0x8000a533 <- 0x16869301 +mem-write: 0x8000a537 <- 0xe8a02300 +mem-write: 0x8000a53b <- 0x28a22300 +mem-write: 0x8000a53f <- 0xf1262301 +mem-write: 0x8000a543 <- 0xd124230e +mem-write: 0x8000a547 <- 0x7006130e +mem-write: 0x8000a54b <- 0x88889300 +mem-write: 0x8000a54f <- 0xd6546300 +mem-write: 0x8000a553 <- 0x410613b4 +mem-write: 0x8000a557 <- 0xc05930e +mem-write: 0x8000a55b <- 0xd051300 +mem-write: 0x8000a55f <- 0xc040ef00 +mem-write: 0x8000a563 <- 0x51e635f +mem-write: 0x8000a567 <- 0x9d8db30c +mem-write: 0x8000a56b <- 0xc1278341 +mem-write: 0x8000a56f <- 0xc108930e +mem-write: 0x8000a573 <- 0xb0566310 +mem-write: 0x8000a577 <- 0x613b3 +mem-write: 0x8000a57b <- 0x81268301 +mem-write: 0x8000a57f <- 0xb652630e +mem-write: 0x8000a583 <- 0xb9307 +mem-write: 0x8000a587 <- 0x70091301 +mem-write: 0x8000a58b <- 0xc0006f00 +mem-write: 0x8000a58f <- 0xd8d9300 +mem-write: 0x8000a593 <- 0xbbd863ff +mem-write: 0x8000a597 <- 0x81270305 +mem-write: 0x8000a59b <- 0x7879300 +mem-write: 0x8000a59f <- 0x16869301 +mem-write: 0x8000a5a3 <- 0xe8a02300 +mem-write: 0x8000a5a7 <- 0x78a22300 +mem-write: 0x8000a5ab <- 0xf1262301 +mem-write: 0x8000a5af <- 0xd124230e +mem-write: 0x8000a5b3 <- 0x8888930e +mem-write: 0x8000a5b7 <- 0xd95ce300 +mem-write: 0x8000a5bb <- 0x410613fc +mem-write: 0x8000a5bf <- 0xc05930e +mem-write: 0x8000a5c3 <- 0xd051300 +mem-write: 0x8000a5c7 <- 0x4040ef00 +mem-write: 0x8000a5cb <- 0x51a6359 +mem-write: 0x8000a5cf <- 0xd8d9306 +mem-write: 0x8000a5d3 <- 0xc12783ff +mem-write: 0x8000a5d7 <- 0x8126830e +mem-write: 0x8000a5db <- 0xc108930e +mem-write: 0x8000a5df <- 0xbbcce310 +mem-write: 0x8000a5e3 <- 0x812703fb +mem-write: 0x8000a5e7 <- 0xb787b300 +mem-write: 0x8000a5eb <- 0x16869301 +mem-write: 0x8000a5ef <- 0xe8a02300 +mem-write: 0x8000a5f3 <- 0xb8a22300 +mem-write: 0x8000a5f7 <- 0xf1262301 +mem-write: 0x8000a5fb <- 0xd124230e +mem-write: 0x8000a5ff <- 0x7006130e +mem-write: 0x8000a603 <- 0x88889300 +mem-write: 0x8000a607 <- 0xd65c6300 +mem-write: 0x8000a60b <- 0x410613a8 +mem-write: 0x8000a60f <- 0xc05930e +mem-write: 0x8000a613 <- 0xd051300 +mem-write: 0x8000a617 <- 0x4040ef00 +mem-write: 0x8000a61b <- 0x5126354 +mem-write: 0x8000a61f <- 0xc1278302 +mem-write: 0x8000a623 <- 0xc108930e +mem-write: 0x8000a627 <- 0x8ff06f10 +mem-write: 0x8000a62b <- 0x410613a7 +mem-write: 0x8000a62f <- 0xc05930e +mem-write: 0x8000a633 <- 0xd051300 +mem-write: 0x8000a637 <- 0x4040ef00 +mem-write: 0x8000a63b <- 0x50e6352 +mem-write: 0x8000a63f <- 0x12b83aa +mem-write: 0x8000a643 <- 0xb846301 +mem-write: 0x8000a647 <- 0xb8593ae +mem-write: 0x8000a64b <- 0xd051300 +mem-write: 0x8000a64f <- 0x5f90ef00 +mem-write: 0x8000a653 <- 0x8ff06ff1 +mem-write: 0x8000a657 <- 0x15737ad +mem-write: 0x8000a65b <- 0x61380 +mem-write: 0x8000a65f <- 0x81268301 +mem-write: 0x8000a663 <- 0x470e930e +mem-write: 0x8000a667 <- 0x65c6317 +mem-write: 0x8000a66b <- 0x81202309 +mem-write: 0x8000a66f <- 0x91222304 +mem-write: 0x8000a673 <- 0xd041304 +mem-write: 0x8000a677 <- 0xc049300 +mem-write: 0x8000a67b <- 0xe1300 +mem-write: 0x8000a67f <- 0x70029301 +mem-write: 0x8000a683 <- 0x80c1300 +mem-write: 0x8000a687 <- 0xe8d1300 +mem-write: 0x8000a68b <- 0xc0006f00 +mem-write: 0x8000a68f <- 0xc0c1300 +mem-write: 0x8000a693 <- 0x8e5a63ff +mem-write: 0x8000a697 <- 0x7879305 +mem-write: 0x8000a69b <- 0x16869301 +mem-write: 0x8000a69f <- 0xa8a02300 +mem-write: 0x8000a6a3 <- 0xc8a22301 +mem-write: 0x8000a6a7 <- 0xf1262301 +mem-write: 0x8000a6ab <- 0xd124230e +mem-write: 0x8000a6af <- 0x8888930e +mem-write: 0x8000a6b3 <- 0xd2dee300 +mem-write: 0x8000a6b7 <- 0x410613fc +mem-write: 0x8000a6bb <- 0x485930e +mem-write: 0x8000a6bf <- 0x4051300 +mem-write: 0x8000a6c3 <- 0x8040ef00 +mem-write: 0x8000a6c7 <- 0x512e349 +mem-write: 0x8000a6cb <- 0xe1316 +mem-write: 0x8000a6cf <- 0xc0c1301 +mem-write: 0x8000a6d3 <- 0xc12783ff +mem-write: 0x8000a6d7 <- 0x8126830e +mem-write: 0x8000a6db <- 0xc108930e +mem-write: 0x8000a6df <- 0x70029310 +mem-write: 0x8000a6e3 <- 0x8e4ae300 +mem-write: 0x8000a6e7 <- 0xc0813fb +mem-write: 0x8000a6eb <- 0xd0e9300 +mem-write: 0x8000a6ef <- 0x48c1300 +mem-write: 0x8000a6f3 <- 0x40d1300 +mem-write: 0x8000a6f7 <- 0x41248300 +mem-write: 0x8000a6fb <- 0x1240304 +mem-write: 0x8000a6ff <- 0x787b304 +mem-write: 0x8000a703 <- 0x16869301 +mem-write: 0x8000a707 <- 0xd8a02300 +mem-write: 0x8000a70b <- 0x8a22301 +mem-write: 0x8000a70f <- 0xf1262301 +mem-write: 0x8000a713 <- 0xd124230e +mem-write: 0x8000a717 <- 0x7006130e +mem-write: 0x8000a71b <- 0x88889300 +mem-write: 0x8000a71f <- 0xd6526300 +mem-write: 0x8000a723 <- 0x41061390 +mem-write: 0x8000a727 <- 0xc05930e +mem-write: 0x8000a72b <- 0xd051300 +mem-write: 0x8000a72f <- 0xc040ef00 +mem-write: 0x8000a733 <- 0x516e342 +mem-write: 0x8000a737 <- 0xc12783f0 +mem-write: 0x8000a73b <- 0xc108930e +mem-write: 0x8000a73f <- 0x4ff06f10 +mem-write: 0x8000a743 <- 0x4106138e +mem-write: 0x8000a747 <- 0xc05930e +mem-write: 0x8000a74b <- 0xd051300 +mem-write: 0x8000a74f <- 0xc040ef00 +mem-write: 0x8000a753 <- 0x516e340 +mem-write: 0x8000a757 <- 0xc12783ee +mem-write: 0x8000a75b <- 0xc108930e +mem-write: 0x8000a75f <- 0xff06f10 +mem-write: 0x8000a763 <- 0xc1258393 +mem-write: 0x8000a767 <- 0xb05c630c +mem-write: 0x8000a76b <- 0xc127037e +mem-write: 0x8000a76f <- 0x1268301 +mem-write: 0x8000a773 <- 0x7049302 +mem-write: 0x8000a777 <- 0xe6c26300 +mem-write: 0x8000a77b <- 0x9056633c +mem-write: 0x8000a77f <- 0x81268302 +mem-write: 0x8000a783 <- 0x9787b30e +mem-write: 0x8000a787 <- 0x68a02300 +mem-write: 0x8000a78b <- 0x16869301 +mem-write: 0x8000a78f <- 0x98a22300 +mem-write: 0x8000a793 <- 0xf1262300 +mem-write: 0x8000a797 <- 0xd124230e +mem-write: 0x8000a79b <- 0x7006130e +mem-write: 0x8000a79f <- 0x88889300 +mem-write: 0x8000a7a3 <- 0xd64ce300 +mem-write: 0x8000a7a7 <- 0xf4c69340 +mem-write: 0x8000a7ab <- 0xc12703ff +mem-write: 0x8000a7af <- 0xf6d69301 +mem-write: 0x8000a7b3 <- 0xd4f4b341 +mem-write: 0x8000a7b7 <- 0x9704b300 +mem-write: 0x8000a7bb <- 0x904e6340 +mem-write: 0x8000a7bf <- 0xc1270348 +mem-write: 0x8000a7c3 <- 0xa769301 +mem-write: 0x8000a7c7 <- 0xeb0db340 +mem-write: 0x8000a7cb <- 0x69a6300 +mem-write: 0x8000a7cf <- 0xc124834e +mem-write: 0x8000a7d3 <- 0x127030c +mem-write: 0x8000a7d7 <- 0xe4c66302 +mem-write: 0x8000a7db <- 0x1a769300 +mem-write: 0x8000a7df <- 0x682e300 +mem-write: 0x8000a7e3 <- 0x1268340 +mem-write: 0x8000a7e7 <- 0xc1270303 +mem-write: 0x8000a7eb <- 0x70061302 +mem-write: 0x8000a7ef <- 0xd8a02300 +mem-write: 0x8000a7f3 <- 0x81268300 +mem-write: 0x8000a7f7 <- 0xe787b30e +VXDRV: upload 1024 bytes to 0x8000a7fb +mem-write: 0x8000a7fb <- 0xe8a22300 +mem-write: 0x8000a7ff <- 0x16869300 +mem-write: 0x8000a803 <- 0xf1262300 +mem-write: 0x8000a807 <- 0xd124230e +mem-write: 0x8000a80b <- 0x8888930e +mem-write: 0x8000a80f <- 0xd644e300 +mem-write: 0x8000a813 <- 0x126836a +mem-write: 0x8000a817 <- 0xdb073302 +mem-write: 0x8000a81b <- 0x9684b300 +mem-write: 0x8000a81f <- 0xb7073340 +mem-write: 0x8000a823 <- 0x4891341 +mem-write: 0x8000a827 <- 0x97546300 +mem-write: 0x8000a82b <- 0x7091300 +mem-write: 0x8000a82f <- 0x20566300 +mem-write: 0x8000a833 <- 0x81270303 +mem-write: 0x8000a837 <- 0x2787b30e +mem-write: 0x8000a83b <- 0xb8a02301 +mem-write: 0x8000a83f <- 0x17071301 +mem-write: 0x8000a843 <- 0x28a22300 +mem-write: 0x8000a847 <- 0xf1262301 +mem-write: 0x8000a84b <- 0xe124230e +mem-write: 0x8000a84f <- 0x7006930e +mem-write: 0x8000a853 <- 0x88889300 +mem-write: 0x8000a857 <- 0xe6cae300 +mem-write: 0x8000a85b <- 0xf947136a +mem-write: 0x8000a85f <- 0xf75713ff +mem-write: 0x8000a863 <- 0xe9773341 +mem-write: 0x8000a867 <- 0xe484b300 +mem-write: 0x8000a86b <- 0x90446340 +mem-write: 0x8000a86f <- 0xff06f00 +mem-write: 0x8000a873 <- 0x69386 +mem-write: 0x8000a877 <- 0x81270301 +mem-write: 0x8000a87b <- 0x96de630e +mem-write: 0x8000a87f <- 0x9137e +mem-write: 0x8000a883 <- 0x700c9301 +mem-write: 0x8000a887 <- 0xc0006f00 +mem-write: 0x8000a88b <- 0x4849300 +mem-write: 0x8000a88f <- 0x995463ff +mem-write: 0x8000a893 <- 0x8126837e +mem-write: 0x8000a897 <- 0x7879300 +mem-write: 0x8000a89b <- 0x17071301 +mem-write: 0x8000a89f <- 0xd8a02300 +mem-write: 0x8000a8a3 <- 0x28a22300 +mem-write: 0x8000a8a7 <- 0xf1262301 +mem-write: 0x8000a8ab <- 0xe124230e +mem-write: 0x8000a8af <- 0x8888930e +mem-write: 0x8000a8b3 <- 0xecdce300 +mem-write: 0x8000a8b7 <- 0x410613fc +mem-write: 0x8000a8bb <- 0xc05930e +mem-write: 0x8000a8bf <- 0xd051300 +mem-write: 0x8000a8c3 <- 0x8040ef00 +mem-write: 0x8000a8c7 <- 0x51ce329 +mem-write: 0x8000a8cb <- 0xc12783d6 +mem-write: 0x8000a8cf <- 0x8127030e +mem-write: 0x8000a8d3 <- 0xc108930e +mem-write: 0x8000a8d7 <- 0x5ff06f10 +mem-write: 0x8000a8db <- 0x1a7793fb +mem-write: 0x8000a8df <- 0x7986300 +mem-write: 0x8000a8e3 <- 0xc8a223c8 +mem-write: 0x8000a8e7 <- 0x91262300 +mem-write: 0x8000a8eb <- 0x9124230f +mem-write: 0x8000a8ef <- 0x7007930e +mem-write: 0x8000a8f3 <- 0x97ca6300 +mem-write: 0x8000a8f7 <- 0x26869354 +mem-write: 0x8000a8fb <- 0x8889300 +mem-write: 0x8000a8ff <- 0x4ff06f01 +mem-write: 0x8000a903 <- 0xb05063d4 +mem-write: 0x8000a907 <- 0x713d5 +mem-write: 0x8000a90b <- 0xb7446301 +mem-write: 0x8000a90f <- 0x80106f01 +mem-write: 0x8000a913 <- 0x700b136b +mem-write: 0x8000a917 <- 0x6049300 +mem-write: 0x8000a91b <- 0x6f00 +mem-write: 0x8000a91f <- 0xd8d9301 +mem-write: 0x8000a923 <- 0xb75ee3ff +mem-write: 0x8000a927 <- 0x14849311 +mem-write: 0x8000a92b <- 0x81278300 +mem-write: 0x8000a92f <- 0xc8c9300 +mem-write: 0x8000a933 <- 0xe9222301 +mem-write: 0x8000a937 <- 0xf9202300 +mem-write: 0x8000a93b <- 0x91262300 +mem-write: 0x8000a93f <- 0x9124230f +mem-write: 0x8000a943 <- 0x8909130e +mem-write: 0x8000a947 <- 0x9b5ce300 +mem-write: 0x8000a94b <- 0x410613fc +mem-write: 0x8000a94f <- 0xc05930e +mem-write: 0x8000a953 <- 0xd051300 +mem-write: 0x8000a957 <- 0x4040ef00 +mem-write: 0x8000a95b <- 0x512e320 +mem-write: 0x8000a95f <- 0xc12c83ce +mem-write: 0x8000a963 <- 0x8124830e +mem-write: 0x8000a967 <- 0xc109130e +mem-write: 0x8000a96b <- 0x71310 +mem-write: 0x8000a96f <- 0x1ff06f01 +mem-write: 0x8000a973 <- 0x412683fb +mem-write: 0x8000a977 <- 0xa779301 +mem-write: 0x8000a97b <- 0x46871301 +mem-write: 0x8000a97f <- 0x7946300 +mem-write: 0x8000a983 <- 0xa779318 +mem-write: 0x8000a987 <- 0x786e304 +mem-write: 0x8000a98b <- 0x41278314 +mem-write: 0x8000a98f <- 0xc9301 +mem-write: 0x8000a993 <- 0xe12a2300 +mem-write: 0x8000a997 <- 0x7d90300 +mem-write: 0x8000a99b <- 0xff06f00 +mem-write: 0x8000a99f <- 0x412683f8 +mem-write: 0x8000a9a3 <- 0xbf79301 +mem-write: 0x8000a9a7 <- 0x46871301 +mem-write: 0x8000a9ab <- 0x7946300 +mem-write: 0x8000a9af <- 0xbf79314 +mem-write: 0x8000a9b3 <- 0x780e304 +mem-write: 0x8000a9b7 <- 0x41278310 +mem-write: 0x8000a9bb <- 0xc9301 +mem-write: 0x8000a9bf <- 0xe12a2300 +mem-write: 0x8000a9c3 <- 0x7d90300 +mem-write: 0x8000a9c7 <- 0x10079300 +mem-write: 0x8000a9cb <- 0xff06f00 +mem-write: 0x8000a9cf <- 0x412683ed +mem-write: 0x8000a9d3 <- 0xa779301 +mem-write: 0x8000a9d7 <- 0x46871301 +mem-write: 0x8000a9db <- 0x7926300 +mem-write: 0x8000a9df <- 0xa779310 +mem-write: 0x8000a9e3 <- 0x788e304 +mem-write: 0x8000a9e7 <- 0x4127830a +mem-write: 0x8000a9eb <- 0xe12a2301 +mem-write: 0x8000a9ef <- 0x7990300 +mem-write: 0x8000a9f3 <- 0xf95c9300 +mem-write: 0x8000a9f7 <- 0xc879341 +mem-write: 0x8000a9fb <- 0x7dee300 +mem-write: 0x8000a9ff <- 0x2037b384 +mem-write: 0x8000aa03 <- 0x900cb301 +mem-write: 0x8000aa07 <- 0xfc8cb341 +mem-write: 0x8000aa0b <- 0xd0079340 +mem-write: 0x8000aa0f <- 0xf103a302 +mem-write: 0x8000aa13 <- 0x2009330c +mem-write: 0x8000aa17 <- 0xa0b9341 +mem-write: 0x8000aa1b <- 0x10079300 +mem-write: 0x8000aa1f <- 0xff06f00 +mem-write: 0x8000aa23 <- 0x410613e8 +mem-write: 0x8000aa27 <- 0xc05930e +mem-write: 0x8000aa2b <- 0xd051300 +mem-write: 0x8000aa2f <- 0xc040ef00 +mem-write: 0x8000aa33 <- 0x516e312 +mem-write: 0x8000aa37 <- 0xc12c83c0 +mem-write: 0x8000aa3b <- 0x8124830e +mem-write: 0x8000aa3f <- 0xc109130e +mem-write: 0x8000aa43 <- 0x4ff06f10 +mem-write: 0x8000aa47 <- 0x410613b4 +mem-write: 0x8000aa4b <- 0xc05930e +mem-write: 0x8000aa4f <- 0xd051300 +mem-write: 0x8000aa53 <- 0x8040ef00 +mem-write: 0x8000aa57 <- 0x514e310 +mem-write: 0x8000aa5b <- 0xc12c83be +mem-write: 0x8000aa5f <- 0x8124830e +mem-write: 0x8000aa63 <- 0xc109130e +mem-write: 0x8000aa67 <- 0xcff06f10 +mem-write: 0x8000aa6b <- 0x1a7713b4 +mem-write: 0x8000aa6f <- 0x7146300 +mem-write: 0x8000aa73 <- 0xdfe06f00 +mem-write: 0x8000aa77 <- 0x9ff06fe5 +mem-write: 0x8000aa7b <- 0xc889399 +mem-write: 0x8000aa7f <- 0xcff06f00 +mem-write: 0x8000aa83 <- 0x793fa +mem-write: 0x8000aa87 <- 0xf107a303 +mem-write: 0x8000aa8b <- 0xf10b131a +mem-write: 0x8000aa8f <- 0x8ff06f1a +mem-write: 0x8000aa93 <- 0xc12683e3 +mem-write: 0x8000aa97 <- 0x40b1300 +mem-write: 0x8000aa9b <- 0xf6d79300 +mem-write: 0x8000aa9f <- 0xd7202341 +mem-write: 0x8000aaa3 <- 0xf7222300 +mem-write: 0x8000aaa7 <- 0x1fe06f00 +mem-write: 0x8000aaab <- 0x412703e7 +mem-write: 0x8000aaaf <- 0x7278301 +mem-write: 0x8000aab3 <- 0x47071300 +mem-write: 0x8000aab7 <- 0xe12a2300 +mem-write: 0x8000aabb <- 0x7a58300 +mem-write: 0x8000aabf <- 0x47a60300 +mem-write: 0x8000aac3 <- 0x87a68300 +mem-write: 0x8000aac7 <- 0xc7a78300 +mem-write: 0x8000aacb <- 0xb1282300 +mem-write: 0x8000aacf <- 0xc12a230e +mem-write: 0x8000aad3 <- 0xd12c230e +mem-write: 0x8000aad7 <- 0xf12e230e +mem-write: 0x8000aadb <- 0x9fe06f0e +mem-write: 0x8000aadf <- 0x6a903f7 +mem-write: 0x8000aae3 <- 0xe12a2300 +mem-write: 0x8000aae7 <- 0xf95c9300 +mem-write: 0x8000aaeb <- 0xc879341 +mem-write: 0x8000aaef <- 0x4ff06f00 +mem-write: 0x8000aaf3 <- 0x6a903f6 +mem-write: 0x8000aaf7 <- 0xc9300 +mem-write: 0x8000aafb <- 0xe12a2300 +mem-write: 0x8000aaff <- 0x10079300 +mem-write: 0x8000ab03 <- 0x8ff06f00 +mem-write: 0x8000ab07 <- 0x6a903d9 +mem-write: 0x8000ab0b <- 0xc9300 +mem-write: 0x8000ab0f <- 0xe12a2300 +mem-write: 0x8000ab13 <- 0x8ff06f00 +mem-write: 0x8000ab17 <- 0xc12783e0 +mem-write: 0x8000ab1b <- 0x4448303 +mem-write: 0x8000ab1f <- 0x7946300 +mem-write: 0x8000ab23 <- 0x1fe06f00 +mem-write: 0x8000ab27 <- 0x7c783c6 +mem-write: 0x8000ab2b <- 0x7946300 +mem-write: 0x8000ab2f <- 0x5fe06f00 +mem-write: 0x8000ab33 <- 0xa6a13c5 +mem-write: 0x8000ab37 <- 0xdfe06f40 +mem-write: 0x8000ab3b <- 0x68493c4 +mem-write: 0x8000ab3f <- 0x9040e300 +mem-write: 0x8000ab43 <- 0x5ff06fc4 +mem-write: 0x8000ab47 <- 0xc8893c6 +mem-write: 0x8000ab4b <- 0xa0b9300 +mem-write: 0x8000ab4f <- 0x4ff06f00 +mem-write: 0x8000ab53 <- 0x157b7df +mem-write: 0x8000ab57 <- 0xc7879380 +mem-write: 0x8000ab5b <- 0xc8893a3 +mem-write: 0x8000ab5f <- 0xf12a2300 +mem-write: 0x8000ab63 <- 0xa779302 +mem-write: 0x8000ab67 <- 0x7806302 +mem-write: 0x8000ab6b <- 0x4127832c +mem-write: 0x8000ab6f <- 0x778b1301 +mem-write: 0x8000ab73 <- 0x8b7b1300 +mem-write: 0x8000ab77 <- 0xb2903ff +mem-write: 0x8000ab7b <- 0x4b2c8300 +mem-write: 0x8000ab7f <- 0x8b079300 +mem-write: 0x8000ab83 <- 0xf12a2300 +mem-write: 0x8000ab87 <- 0x1a779300 +mem-write: 0x8000ab8b <- 0x78e6300 +mem-write: 0x8000ab8f <- 0x9967b300 +mem-write: 0x8000ab93 <- 0x78a6301 +mem-write: 0x8000ab97 <- 0x79300 +mem-write: 0x8000ab9b <- 0xf1042303 +mem-write: 0x8000ab9f <- 0x9104a30c +mem-write: 0x8000aba3 <- 0x2a6a130c +mem-write: 0x8000aba7 <- 0xfa7b9300 +mem-write: 0x8000abab <- 0x200793bf +mem-write: 0x8000abaf <- 0xcff06f00 +mem-write: 0x8000abb3 <- 0x410613ce +mem-write: 0x8000abb7 <- 0xc05930e +mem-write: 0x8000abbb <- 0xd051300 +mem-write: 0x8000abbf <- 0xd030ef00 +mem-write: 0x8000abc3 <- 0x51ee379 +mem-write: 0x8000abc7 <- 0xc12783a6 +mem-write: 0x8000abcb <- 0xc108930e +mem-write: 0x8000abcf <- 0x1ff06f10 +mem-write: 0x8000abd3 <- 0x157b787 +mem-write: 0x8000abd7 <- 0x7879380 +mem-write: 0x8000abdb <- 0xc8893a5 +mem-write: 0x8000abdf <- 0xf12a2300 +mem-write: 0x8000abe3 <- 0x1ff06f02 +mem-write: 0x8000abe7 <- 0xc8893f8 +mem-write: 0x8000abeb <- 0xcff06f00 +mem-write: 0x8000abef <- 0x144483d0 +mem-write: 0x8000abf3 <- 0xa6a1300 +mem-write: 0x8000abf7 <- 0x14041302 +VXDRV: upload 1024 bytes to 0x8000abfb +mem-write: 0x8000abfb <- 0x9fe06f00 +mem-write: 0x8000abff <- 0x144483b8 +mem-write: 0x8000ac03 <- 0xa6a1300 +mem-write: 0x8000ac07 <- 0x14041320 +mem-write: 0x8000ac0b <- 0x9fe06f00 +mem-write: 0x8000ac0f <- 0x593b7 +mem-write: 0x8000ac13 <- 0xd051304 +mem-write: 0x8000ac17 <- 0x1fc0ef00 +mem-write: 0x8000ac1b <- 0xac2023b2 +mem-write: 0x8000ac1f <- 0xac282300 +mem-write: 0x8000ac23 <- 0x5146300 +mem-write: 0x8000ac27 <- 0xc0106f00 +mem-write: 0x8000ac2b <- 0x7133c +mem-write: 0x8000ac2f <- 0xec2a2304 +mem-write: 0x8000ac33 <- 0x5fe06f00 +mem-write: 0x8000ac37 <- 0x600793a7 +mem-write: 0x8000ac3b <- 0xd8c9300 +mem-write: 0x8000ac3f <- 0xb7ee6300 +mem-write: 0x8000ac43 <- 0x1573779 +mem-write: 0x8000ac47 <- 0xc8a9380 +mem-write: 0x8000ac4b <- 0x212a2300 +mem-write: 0x8000ac4f <- 0x470b1301 +mem-write: 0x8000ac53 <- 0xdfe06fa6 +mem-write: 0x8000ac57 <- 0x613b9 +mem-write: 0x8000ac5b <- 0x81268301 +mem-write: 0x8000ac5f <- 0x9658630e +mem-write: 0x8000ac63 <- 0xc936a +mem-write: 0x8000ac67 <- 0x700d9301 +mem-write: 0x8000ac6b <- 0xc0006f00 +mem-write: 0x8000ac6f <- 0x4849300 +mem-write: 0x8000ac73 <- 0x9cde63ff +mem-write: 0x8000ac77 <- 0x81270368 +mem-write: 0x8000ac7b <- 0x7879300 +mem-write: 0x8000ac7f <- 0x16869301 +mem-write: 0x8000ac83 <- 0xe8a02300 +mem-write: 0x8000ac87 <- 0x98a22300 +mem-write: 0x8000ac8b <- 0xf1262301 +mem-write: 0x8000ac8f <- 0xd124230e +mem-write: 0x8000ac93 <- 0x8888930e +mem-write: 0x8000ac97 <- 0xdddce300 +mem-write: 0x8000ac9b <- 0x410613fc +mem-write: 0x8000ac9f <- 0xc05930e +mem-write: 0x8000aca3 <- 0xd051300 +mem-write: 0x8000aca7 <- 0x5030ef00 +mem-write: 0x8000acab <- 0x51ae36b +mem-write: 0x8000acaf <- 0xc1278398 +mem-write: 0x8000acb3 <- 0x8126830e +mem-write: 0x8000acb7 <- 0xc108930e +mem-write: 0x8000acbb <- 0x5ff06f10 +mem-write: 0x8000acbf <- 0x12703fb +mem-write: 0x8000acc3 <- 0x412c8302 +mem-write: 0x8000acc7 <- 0x412e2302 +mem-write: 0x8000accb <- 0x81202301 +mem-write: 0x8000accf <- 0x31222304 +mem-write: 0x8000acd3 <- 0x51222305 +mem-write: 0x8000acd7 <- 0x81298303 +mem-write: 0x8000acdb <- 0x61242302 +mem-write: 0x8000acdf <- 0xeb0bb303 +mem-write: 0x8000ace3 <- 0xc1240300 +mem-write: 0x8000ace7 <- 0x812a0303 +mem-write: 0x8000aceb <- 0xc12a8304 +mem-write: 0x8000acef <- 0x70049304 +mem-write: 0x8000acf3 <- 0x91300 +mem-write: 0x8000acf7 <- 0xc0b1301 +mem-write: 0x8000acfb <- 0xc886300 +mem-write: 0x8000acff <- 0x9986308 +mem-write: 0x8000ad03 <- 0xf4041308 +mem-write: 0x8000ad07 <- 0xfc8c93ff +mem-write: 0x8000ad0b <- 0x812703ff +mem-write: 0x8000ad0f <- 0x4787b30e +mem-write: 0x8000ad13 <- 0x58a02301 +mem-write: 0x8000ad17 <- 0x17071301 +mem-write: 0x8000ad1b <- 0x48a22300 +mem-write: 0x8000ad1f <- 0xf1262301 +mem-write: 0x8000ad23 <- 0xe124230e +mem-write: 0x8000ad27 <- 0x8888930e +mem-write: 0x8000ad2b <- 0xe4c46300 +mem-write: 0x8000ad2f <- 0x4468314 +mem-write: 0x8000ad33 <- 0xbb863300 +mem-write: 0x8000ad37 <- 0x68c1341 +mem-write: 0x8000ad3b <- 0xd6546300 +mem-write: 0x8000ad3f <- 0x60c1300 +mem-write: 0x8000ad43 <- 0x80566300 +mem-write: 0x8000ad47 <- 0x81268303 +mem-write: 0x8000ad4b <- 0x8787b30e +mem-write: 0x8000ad4f <- 0xb8a02301 +mem-write: 0x8000ad53 <- 0x16869301 +mem-write: 0x8000ad57 <- 0x88a22300 +mem-write: 0x8000ad5b <- 0xf1262301 +mem-write: 0x8000ad5f <- 0xd124230e +mem-write: 0x8000ad63 <- 0xd4c0630e +mem-write: 0x8000ad67 <- 0x4468334 +mem-write: 0x8000ad6b <- 0x88889300 +mem-write: 0x8000ad6f <- 0xfc461300 +mem-write: 0x8000ad73 <- 0xf65613ff +mem-write: 0x8000ad77 <- 0xcc773341 +mem-write: 0x8000ad7b <- 0xe68c3300 +mem-write: 0x8000ad7f <- 0x804c6340 +mem-write: 0x8000ad83 <- 0xdd8db301 +mem-write: 0x8000ad87 <- 0xc9ce300 +mem-write: 0x8000ad8b <- 0x98c63f6 +mem-write: 0x8000ad8f <- 0xf9899372 +mem-write: 0x8000ad93 <- 0x9ff06fff +mem-write: 0x8000ad97 <- 0x812683f7 +mem-write: 0x8000ad9b <- 0x8948630e +mem-write: 0x8000ad9f <- 0x80006f01 +mem-write: 0x8000ada3 <- 0xc0c1305 +mem-write: 0x8000ada7 <- 0x895863ff +mem-write: 0x8000adab <- 0x81270305 +mem-write: 0x8000adaf <- 0x7879300 +mem-write: 0x8000adb3 <- 0x16869301 +mem-write: 0x8000adb7 <- 0xe8a02300 +mem-write: 0x8000adbb <- 0x28a22300 +mem-write: 0x8000adbf <- 0xf1262301 +mem-write: 0x8000adc3 <- 0xd124230e +mem-write: 0x8000adc7 <- 0x8888930e +mem-write: 0x8000adcb <- 0xd4dce300 +mem-write: 0x8000adcf <- 0x410613fc +mem-write: 0x8000add3 <- 0xb05930e +mem-write: 0x8000add7 <- 0xd051300 +mem-write: 0x8000addb <- 0x1030ef00 +mem-write: 0x8000addf <- 0x51c6358 +mem-write: 0x8000ade3 <- 0xc0c135a +mem-write: 0x8000ade7 <- 0xc12783ff +mem-write: 0x8000adeb <- 0x8126830e +mem-write: 0x8000adef <- 0xc108930e +mem-write: 0x8000adf3 <- 0x894ce310 +mem-write: 0x8000adf7 <- 0x812703fb +mem-write: 0x8000adfb <- 0x8787b300 +mem-write: 0x8000adff <- 0x16869301 +mem-write: 0x8000ae03 <- 0xe8a02300 +mem-write: 0x8000ae07 <- 0x88a22300 +mem-write: 0x8000ae0b <- 0xf1262301 +mem-write: 0x8000ae0f <- 0xd124230e +mem-write: 0x8000ae13 <- 0xd4c4630e +mem-write: 0x8000ae17 <- 0x4468376 +mem-write: 0x8000ae1b <- 0x88889300 +mem-write: 0x8000ae1f <- 0xdd8db300 +mem-write: 0x8000ae23 <- 0x5ff06f00 +mem-write: 0x8000ae27 <- 0x412683f6 +mem-write: 0x8000ae2b <- 0xa779301 +mem-write: 0x8000ae2f <- 0x46871301 +mem-write: 0x8000ae33 <- 0x7846300 +mem-write: 0x8000ae37 <- 0x6a90320 +mem-write: 0x8000ae3b <- 0xc9300 +mem-write: 0x8000ae3f <- 0xe12a2300 +mem-write: 0x8000ae43 <- 0x5ff06f00 +mem-write: 0x8000ae47 <- 0x410613d4 +mem-write: 0x8000ae4b <- 0xc05930e +mem-write: 0x8000ae4f <- 0xd051300 +mem-write: 0x8000ae53 <- 0x9030ef00 +mem-write: 0x8000ae57 <- 0x5146350 +mem-write: 0x8000ae5b <- 0x812683fe +mem-write: 0x8000ae5f <- 0xc12c830e +mem-write: 0x8000ae63 <- 0x4108930e +mem-write: 0x8000ae67 <- 0x16869311 +mem-write: 0x8000ae6b <- 0xc1091300 +mem-write: 0x8000ae6f <- 0x5fe06f10 +mem-write: 0x8000ae73 <- 0x410613fd +mem-write: 0x8000ae77 <- 0xb05930e +mem-write: 0x8000ae7b <- 0xd051300 +mem-write: 0x8000ae7f <- 0xd030ef00 +mem-write: 0x8000ae83 <- 0x51a634d +mem-write: 0x8000ae87 <- 0xc1278350 +mem-write: 0x8000ae8b <- 0xc108930e +mem-write: 0x8000ae8f <- 0x1ff06f10 +mem-write: 0x8000ae93 <- 0x10b13ea +mem-write: 0x8000ae97 <- 0x7931b +mem-write: 0x8000ae9b <- 0x81282300 +mem-write: 0x8000ae9f <- 0x912e2300 +mem-write: 0x8000aea3 <- 0xb041300 +mem-write: 0x8000aea7 <- 0x31222300 +mem-write: 0x8000aeab <- 0xc0b1303 +mem-write: 0x8000aeaf <- 0x9049300 +mem-write: 0x8000aeb3 <- 0xc899300 +mem-write: 0x8000aeb7 <- 0xbfa1300 +mem-write: 0x8000aebb <- 0xc12c8340 +mem-write: 0x8000aebf <- 0xf00a9303 +mem-write: 0x8000aec3 <- 0x88c130f +mem-write: 0x8000aec7 <- 0x7891300 +mem-write: 0x8000aecb <- 0x40006f00 +mem-write: 0x8000aecf <- 0xa0061302 +mem-write: 0x8000aed3 <- 0x69300 +mem-write: 0x8000aed7 <- 0x4851300 +mem-write: 0x8000aedb <- 0x9859300 +mem-write: 0x8000aedf <- 0x8050ef00 +mem-write: 0x8000aee3 <- 0x9806350 +mem-write: 0x8000aee7 <- 0x504934c +mem-write: 0x8000aeeb <- 0x5899300 +mem-write: 0x8000aeef <- 0xa0061300 +mem-write: 0x8000aef3 <- 0x69300 +mem-write: 0x8000aef7 <- 0x4851300 +mem-write: 0x8000aefb <- 0x9859300 +mem-write: 0x8000aeff <- 0xd050ef00 +mem-write: 0x8000af03 <- 0x5051311 +mem-write: 0x8000af07 <- 0xa40fa303 +mem-write: 0x8000af0b <- 0x190913fe +mem-write: 0x8000af0f <- 0xf4041300 +mem-write: 0x8000af13 <- 0xa0ee3ff +mem-write: 0x8000af17 <- 0xcc683fa +mem-write: 0x8000af1b <- 0xd91ae300 +mem-write: 0x8000af1f <- 0x5908e3fa +mem-write: 0x8000af23 <- 0x99a63fb +mem-write: 0x8000af27 <- 0x90079342 +mem-write: 0x8000af2b <- 0x97e66300 +mem-write: 0x8000af2f <- 0xc089342 +mem-write: 0x8000af33 <- 0x1079300 +mem-write: 0x8000af37 <- 0xb0c131b +mem-write: 0x8000af3b <- 0x40b1300 +mem-write: 0x8000af3f <- 0x912e2300 +mem-write: 0x8000af43 <- 0xc1248303 +mem-write: 0x8000af47 <- 0x41298301 +mem-write: 0x8000af4b <- 0x1240302 +mem-write: 0x8000af4f <- 0x21202301 +mem-write: 0x8000af53 <- 0x678cb303 +mem-write: 0x8000af57 <- 0xb8a1341 +mem-write: 0x8000af5b <- 0xcff06f00 +mem-write: 0x8000af5f <- 0x81268396 +mem-write: 0x8000af63 <- 0x156370e +mem-write: 0x8000af67 <- 0x6061380 +mem-write: 0x8000af6b <- 0xc8a02398 +mem-write: 0x8000af6f <- 0x17879300 +mem-write: 0x8000af73 <- 0x10061300 +mem-write: 0x8000af77 <- 0x16869300 +mem-write: 0x8000af7b <- 0xc8a22300 +mem-write: 0x8000af7f <- 0xf1262300 +mem-write: 0x8000af83 <- 0xd124230e +mem-write: 0x8000af87 <- 0x7006130e +mem-write: 0x8000af8b <- 0x88889300 +mem-write: 0x8000af8f <- 0xd64c6300 +mem-write: 0x8000af93 <- 0x5986306 +mem-write: 0x8000af97 <- 0x1270320 +mem-write: 0x8000af9b <- 0x1a769302 +mem-write: 0x8000af9f <- 0xe6e6b300 +mem-write: 0x8000afa3 <- 0x6946300 +mem-write: 0x8000afa7 <- 0x9fe06f00 +mem-write: 0x8000afab <- 0x1268392 +mem-write: 0x8000afaf <- 0xc1270303 +mem-write: 0x8000afb3 <- 0x70061302 +mem-write: 0x8000afb7 <- 0xd8a02300 +mem-write: 0x8000afbb <- 0x81268300 +mem-write: 0x8000afbf <- 0xe787b30e +mem-write: 0x8000afc3 <- 0xe8a22300 +mem-write: 0x8000afc7 <- 0x16869300 +mem-write: 0x8000afcb <- 0xf1262300 +mem-write: 0x8000afcf <- 0xd124230e +mem-write: 0x8000afd3 <- 0xd64e630e +mem-write: 0x8000afd7 <- 0x8888934a +mem-write: 0x8000afdb <- 0x1270300 +mem-write: 0x8000afdf <- 0x16869302 +mem-write: 0x8000afe3 <- 0x68a02300 +mem-write: 0x8000afe7 <- 0xe787b301 +mem-write: 0x8000afeb <- 0xe8a22300 +mem-write: 0x8000afef <- 0xf1262300 +mem-write: 0x8000aff3 <- 0xd124230e +mem-write: 0x8000aff7 <- 0x7007130e +VXDRV: upload 1024 bytes to 0x8000affb +mem-write: 0x8000affb <- 0xd7446300 +mem-write: 0x8000afff <- 0xdfe06f00 +mem-write: 0x8000b003 <- 0x5fe06f8c +mem-write: 0x8000b007 <- 0x410613e6 +mem-write: 0x8000b00b <- 0xc05930e +mem-write: 0x8000b00f <- 0xd051300 +mem-write: 0x8000b013 <- 0x9030ef00 +mem-write: 0x8000b017 <- 0x5146334 +mem-write: 0x8000b01b <- 0xc12583e2 +mem-write: 0x8000b01f <- 0xc127830c +mem-write: 0x8000b023 <- 0xc108930e +mem-write: 0x8000b027 <- 0xdff06f10 +mem-write: 0x8000b02b <- 0x12b83f6 +mem-write: 0x8000b02f <- 0x40d1301 +mem-write: 0x8000b033 <- 0x48c1300 +mem-write: 0x8000b037 <- 0xcff06f00 +mem-write: 0x8000b03b <- 0xa7793e0 +mem-write: 0x8000b03f <- 0x78c6304 +mem-write: 0x8000b043 <- 0x41278322 +mem-write: 0x8000b047 <- 0xc9301 +mem-write: 0x8000b04b <- 0xe12a2300 +mem-write: 0x8000b04f <- 0x7d90300 +mem-write: 0x8000b053 <- 0x5ff06f00 +mem-write: 0x8000b057 <- 0x410613b3 +mem-write: 0x8000b05b <- 0xc05930e +mem-write: 0x8000b05f <- 0xd051300 +mem-write: 0x8000b063 <- 0x9030ef00 +mem-write: 0x8000b067 <- 0x51c632f +mem-write: 0x8000b06b <- 0xc12783dc +mem-write: 0x8000b06f <- 0xc108930e +mem-write: 0x8000b073 <- 0xff06f10 +mem-write: 0x8000b077 <- 0x812683b9 +mem-write: 0x8000b07b <- 0x9787b300 +mem-write: 0x8000b07f <- 0x98a22300 +mem-write: 0x8000b083 <- 0xd8a02300 +mem-write: 0x8000b087 <- 0x17071300 +mem-write: 0x8000b08b <- 0xf1262300 +mem-write: 0x8000b08f <- 0xe124230e +mem-write: 0x8000b093 <- 0x7006930e +mem-write: 0x8000b097 <- 0xe6c46300 +mem-write: 0x8000b09b <- 0x1fe06f00 +mem-write: 0x8000b09f <- 0x9fe06f83 +mem-write: 0x8000b0a3 <- 0x410613dc +mem-write: 0x8000b0a7 <- 0xb05930e +mem-write: 0x8000b0ab <- 0xd051300 +mem-write: 0x8000b0af <- 0xd030ef00 +mem-write: 0x8000b0b3 <- 0x512632a +mem-write: 0x8000b0b7 <- 0x446832e +mem-write: 0x8000b0bb <- 0xc1278300 +mem-write: 0x8000b0bf <- 0xc108930e +mem-write: 0x8000b0c3 <- 0xdff06f10 +mem-write: 0x8000b0c7 <- 0x12783ca +mem-write: 0x8000b0cb <- 0x105930f +mem-write: 0x8000b0cf <- 0x105130a +mem-write: 0x8000b0d3 <- 0xf128230b +mem-write: 0x8000b0d7 <- 0x4127830a +mem-write: 0x8000b0db <- 0x120230f +mem-write: 0x8000b0df <- 0x122230a +mem-write: 0x8000b0e3 <- 0xf12a230a +mem-write: 0x8000b0e7 <- 0x8127830a +mem-write: 0x8000b0eb <- 0x124230f +mem-write: 0x8000b0ef <- 0x126230a +mem-write: 0x8000b0f3 <- 0xf12c230a +mem-write: 0x8000b0f7 <- 0xc127830a +mem-write: 0x8000b0fb <- 0xf12e230f +mem-write: 0x8000b0ff <- 0x1060ef0a +mem-write: 0x8000b103 <- 0x128831e +mem-write: 0x8000b107 <- 0x5426301 +mem-write: 0x8000b10b <- 0x71478352 +mem-write: 0x8000b10f <- 0x7007130c +mem-write: 0x8000b113 <- 0x975e6304 +mem-write: 0x8000b117 <- 0x1573728 +mem-write: 0x8000b11b <- 0x70b1380 +mem-write: 0x8000b11f <- 0x12823a3 +mem-write: 0x8000b123 <- 0x1242300 +mem-write: 0x8000b127 <- 0x1222302 +mem-write: 0x8000b12b <- 0x12e2302 +mem-write: 0x8000b12f <- 0xfa7a1300 +mem-write: 0x8000b133 <- 0x300a93f7 +mem-write: 0x8000b137 <- 0x300c9300 +mem-write: 0x8000b13b <- 0xd9300 +mem-write: 0x8000b13f <- 0x7846300 +mem-write: 0x8000b143 <- 0x9fe06f00 +mem-write: 0x8000b147 <- 0xcfe06fe7 +mem-write: 0x8000b14b <- 0xc12783eb +mem-write: 0x8000b14f <- 0x40b1300 +mem-write: 0x8000b153 <- 0xf7202300 +mem-write: 0x8000b157 <- 0xfe06f00 +mem-write: 0x8000b15b <- 0xb0513fc +mem-write: 0x8000b15f <- 0x91202300 +mem-write: 0x8000b163 <- 0x8fe0ef05 +mem-write: 0x8000b167 <- 0x714783b8 +mem-write: 0x8000b16b <- 0xf54a930c +mem-write: 0x8000b16f <- 0xfada93ff +mem-write: 0x8000b173 <- 0x212a2341 +mem-write: 0x8000b177 <- 0x1282301 +mem-write: 0x8000b17b <- 0x1242300 +mem-write: 0x8000b17f <- 0x1222302 +mem-write: 0x8000b183 <- 0x12e2302 +mem-write: 0x8000b187 <- 0x1288300 +mem-write: 0x8000b18b <- 0x50c9304 +mem-write: 0x8000b18f <- 0x557ab300 +mem-write: 0x8000b193 <- 0xd9301 +mem-write: 0x8000b197 <- 0x7846300 +mem-write: 0x8000b19b <- 0x1fe06f00 +mem-write: 0x8000b19f <- 0x4fe06fe2 +mem-write: 0x8000b1a3 <- 0x12683e6 +mem-write: 0x8000b1a7 <- 0xc1270303 +mem-write: 0x8000b1ab <- 0x70061302 +mem-write: 0x8000b1af <- 0xd8a02300 +mem-write: 0x8000b1b3 <- 0x81268300 +mem-write: 0x8000b1b7 <- 0xe787b30e +mem-write: 0x8000b1bb <- 0xe8a22300 +mem-write: 0x8000b1bf <- 0x16869300 +mem-write: 0x8000b1c3 <- 0xf1262300 +mem-write: 0x8000b1c7 <- 0xd124230e +mem-write: 0x8000b1cb <- 0x8888930e +mem-write: 0x8000b1cf <- 0xd6406300 +mem-write: 0x8000b1d3 <- 0x5d4e32c +mem-write: 0x8000b1d7 <- 0x613e0 +mem-write: 0x8000b1db <- 0xb004b3ff +mem-write: 0x8000b1df <- 0xc5d4e340 +mem-write: 0x8000b1e3 <- 0x91326 +mem-write: 0x8000b1e7 <- 0x700c9301 +mem-write: 0x8000b1eb <- 0xc0006f00 +mem-write: 0x8000b1ef <- 0x4849300 +mem-write: 0x8000b1f3 <- 0x995ae3ff +mem-write: 0x8000b1f7 <- 0x81270324 +mem-write: 0x8000b1fb <- 0x7879300 +mem-write: 0x8000b1ff <- 0x16869301 +mem-write: 0x8000b203 <- 0xe8a02300 +mem-write: 0x8000b207 <- 0x28a22300 +mem-write: 0x8000b20b <- 0xf1262301 +mem-write: 0x8000b20f <- 0xd124230e +mem-write: 0x8000b213 <- 0x8888930e +mem-write: 0x8000b217 <- 0xdcdce300 +mem-write: 0x8000b21b <- 0x410613fc +mem-write: 0x8000b21f <- 0xc05930e +mem-write: 0x8000b223 <- 0xd051300 +mem-write: 0x8000b227 <- 0x5030ef00 +mem-write: 0x8000b22b <- 0x51a6313 +mem-write: 0x8000b22f <- 0xc12783c0 +mem-write: 0x8000b233 <- 0x8126830e +mem-write: 0x8000b237 <- 0xc108930e +mem-write: 0x8000b23b <- 0x5ff06f10 +mem-write: 0x8000b23f <- 0x148693fb +mem-write: 0x8000b243 <- 0x89071300 +mem-write: 0x8000b247 <- 0x81278300 +mem-write: 0x8000b24b <- 0xbc8cb300 +mem-write: 0x8000b24f <- 0xb9222301 +mem-write: 0x8000b253 <- 0xf9202301 +mem-write: 0x8000b257 <- 0x91262300 +mem-write: 0x8000b25b <- 0xd124230f +mem-write: 0x8000b25f <- 0x7007930e +mem-write: 0x8000b263 <- 0xd7c2e300 +mem-write: 0x8000b267 <- 0x168693be +mem-write: 0x8000b26b <- 0x87089300 +mem-write: 0x8000b26f <- 0x7091300 +mem-write: 0x8000b273 <- 0x1fe06f00 +mem-write: 0x8000b277 <- 0xa7793bd +mem-write: 0x8000b27b <- 0x78e6320 +mem-write: 0x8000b27f <- 0x4127831c +mem-write: 0x8000b283 <- 0xc9301 +mem-write: 0x8000b287 <- 0xe12a2300 +mem-write: 0x8000b28b <- 0x7c90300 +mem-write: 0x8000b28f <- 0x9ff06f00 +mem-write: 0x8000b293 <- 0xa77938f +mem-write: 0x8000b297 <- 0x7846320 +mem-write: 0x8000b29b <- 0x4127831a +mem-write: 0x8000b29f <- 0xe12a2301 +mem-write: 0x8000b2a3 <- 0x7890300 +mem-write: 0x8000b2a7 <- 0xf95c9300 +mem-write: 0x8000b2ab <- 0xc879341 +mem-write: 0x8000b2af <- 0x5fe06f00 +mem-write: 0x8000b2b3 <- 0xbf793fa +mem-write: 0x8000b2b7 <- 0x7886320 +mem-write: 0x8000b2bb <- 0x41278316 +mem-write: 0x8000b2bf <- 0xc9301 +mem-write: 0x8000b2c3 <- 0xe12a2300 +mem-write: 0x8000b2c7 <- 0x7c90300 +mem-write: 0x8000b2cb <- 0x10079300 +mem-write: 0x8000b2cf <- 0xdfe06f00 +mem-write: 0x8000b2d3 <- 0xa7793dc +mem-write: 0x8000b2d7 <- 0x78e6320 +mem-write: 0x8000b2db <- 0x41278312 +mem-write: 0x8000b2df <- 0xc9301 +mem-write: 0x8000b2e3 <- 0xe12a2300 +mem-write: 0x8000b2e7 <- 0x7c90300 +mem-write: 0x8000b2eb <- 0x1fe06f00 +mem-write: 0x8000b2ef <- 0xc12783e3 +mem-write: 0x8000b2f3 <- 0x7c8630f +mem-write: 0x8000b2f7 <- 0x71478318 +mem-write: 0x8000b2fb <- 0x7007130c +mem-write: 0x8000b2ff <- 0x975c6304 +mem-write: 0x8000b303 <- 0x1573746 +mem-write: 0x8000b307 <- 0x870b1380 +mem-write: 0x8000b30b <- 0x5ff06fa3 +mem-write: 0x8000b30f <- 0x812703e1 +mem-write: 0x8000b313 <- 0x9787b300 +mem-write: 0x8000b317 <- 0x16869300 +mem-write: 0x8000b31b <- 0xe8a02300 +mem-write: 0x8000b31f <- 0x98a22300 +mem-write: 0x8000b323 <- 0xf1262300 +mem-write: 0x8000b327 <- 0xd124230e +mem-write: 0x8000b32b <- 0x7006130e +mem-write: 0x8000b32f <- 0x88889300 +mem-write: 0x8000b333 <- 0xd6566300 +mem-write: 0x8000b337 <- 0x410613c8 +mem-write: 0x8000b33b <- 0xc05930e +mem-write: 0x8000b33f <- 0xd051300 +mem-write: 0x8000b343 <- 0x9030ef00 +mem-write: 0x8000b347 <- 0x51c6301 +mem-write: 0x8000b34b <- 0xc12783ae +mem-write: 0x8000b34f <- 0xc108930e +mem-write: 0x8000b353 <- 0xcff06f10 +mem-write: 0x8000b357 <- 0x812783c6 +mem-write: 0x8000b35b <- 0xc1258304 +mem-write: 0x8000b35f <- 0x91304 +mem-write: 0x8000b363 <- 0xf4043300 +mem-write: 0x8000b367 <- 0x7861340 +mem-write: 0x8000b36b <- 0x4051300 +mem-write: 0x8000b36f <- 0x8fe0ef00 +mem-write: 0x8000b373 <- 0x1cc583a0 +mem-write: 0x8000b377 <- 0xa0061300 +mem-write: 0x8000b37b <- 0x69300 +mem-write: 0x8000b37f <- 0xb0383300 +mem-write: 0x8000b383 <- 0x4851300 +mem-write: 0x8000b387 <- 0x9859300 +mem-write: 0x8000b38b <- 0xc8cb300 +mem-write: 0x8000b38f <- 0x8050ef01 +mem-write: 0x8000b393 <- 0x5ff06f05 +mem-write: 0x8000b397 <- 0x12b83b5 +mem-write: 0x8000b39b <- 0xb0c1301 +mem-write: 0x8000b39f <- 0x4ff06f00 +mem-write: 0x8000b3a3 <- 0x900793aa +mem-write: 0x8000b3a7 <- 0x97e0e300 +mem-write: 0x8000b3ab <- 0x5ff06fb4 +mem-write: 0x8000b3af <- 0x15737b8 +mem-write: 0x8000b3b3 <- 0xc70b1380 +mem-write: 0x8000b3b7 <- 0x9ff06fa2 +mem-write: 0x8000b3bb <- 0x410613d6 +mem-write: 0x8000b3bf <- 0xc05930e +mem-write: 0x8000b3c3 <- 0xd051300 +mem-write: 0x8000b3c7 <- 0x4030ef00 +mem-write: 0x8000b3cb <- 0x51a6379 +mem-write: 0x8000b3cf <- 0xc12783a6 +mem-write: 0x8000b3d3 <- 0xc108930e +mem-write: 0x8000b3d7 <- 0xff06f10 +mem-write: 0x8000b3db <- 0x600c93bd +mem-write: 0x8000b3df <- 0x5ff06f00 +mem-write: 0x8000b3e3 <- 0x1268386 +mem-write: 0x8000b3e7 <- 0xdb073302 +mem-write: 0x8000b3eb <- 0x9684b300 +mem-write: 0x8000b3ef <- 0xb7083340 +mem-write: 0x8000b3f3 <- 0x4891341 +mem-write: 0x8000b3f7 <- 0x98526300 +VXDRV: upload 1024 bytes to 0x8000b3fb +mem-write: 0x8000b3fb <- 0x80913c6 +mem-write: 0x8000b3ff <- 0xcff06f00 +mem-write: 0x8000b403 <- 0xc12783c5 +mem-write: 0x8000b407 <- 0x40b1300 +mem-write: 0x8000b40b <- 0xf7102300 +mem-write: 0x8000b40f <- 0x8fe06f00 +mem-write: 0x8000b413 <- 0x412783d0 +mem-write: 0x8000b417 <- 0xc9301 +mem-write: 0x8000b41b <- 0xe12a2300 +mem-write: 0x8000b41f <- 0x7a90300 +mem-write: 0x8000b423 <- 0x9fe06f00 +mem-write: 0x8000b427 <- 0x412783cf +mem-write: 0x8000b42b <- 0xc9301 +mem-write: 0x8000b42f <- 0xe12a2300 +mem-write: 0x8000b433 <- 0x7a90300 +mem-write: 0x8000b437 <- 0x10079300 +mem-write: 0x8000b43b <- 0x1fe06f00 +mem-write: 0x8000b43f <- 0x412783c6 +mem-write: 0x8000b443 <- 0xe12a2301 +mem-write: 0x8000b447 <- 0x7a90300 +mem-write: 0x8000b44b <- 0xf95c9300 +mem-write: 0x8000b44f <- 0xc879341 +mem-write: 0x8000b453 <- 0x1fe06f00 +mem-write: 0x8000b457 <- 0x412783e0 +mem-write: 0x8000b45b <- 0xc9301 +mem-write: 0x8000b45f <- 0xe12a2300 +mem-write: 0x8000b463 <- 0x7a90300 +mem-write: 0x8000b467 <- 0xff06f00 +mem-write: 0x8000b46b <- 0x410613f2 +mem-write: 0x8000b46f <- 0xc05930e +mem-write: 0x8000b473 <- 0xd051300 +mem-write: 0x8000b477 <- 0x4030ef00 +mem-write: 0x8000b47b <- 0xcc57036e +mem-write: 0x8000b47f <- 0xfe06f00 +mem-write: 0x8000b483 <- 0xd00793cb +mem-write: 0x8000b487 <- 0xf103a302 +mem-write: 0x8000b48b <- 0x1ff06f0c +mem-write: 0x8000b48f <- 0x410613e7 +mem-write: 0x8000b493 <- 0xc05930e +mem-write: 0x8000b497 <- 0xd051300 +mem-write: 0x8000b49b <- 0x30ef00 +mem-write: 0x8000b49f <- 0x510636c +mem-write: 0x8000b4a3 <- 0xc125839a +mem-write: 0x8000b4a7 <- 0xc127830c +mem-write: 0x8000b4ab <- 0x8126830e +mem-write: 0x8000b4af <- 0xc108930e +mem-write: 0x8000b4b3 <- 0x5d4e310 +mem-write: 0x8000b4b7 <- 0x1ff06fb2 +mem-write: 0x8000b4bb <- 0x600d93d2 +mem-write: 0x8000b4bf <- 0x8fe06f00 +mem-write: 0x8000b4c3 <- 0x12703df +mem-write: 0x8000b4c7 <- 0xb0c1302 +mem-write: 0x8000b4cb <- 0x812b0300 +mem-write: 0x8000b4cf <- 0x812e2302 +mem-write: 0x8000b4d3 <- 0xc12a0302 +mem-write: 0x8000b4d7 <- 0xeb06b301 +mem-write: 0x8000b4db <- 0x1240300 +mem-write: 0x8000b4df <- 0x41298304 +mem-write: 0x8000b4e3 <- 0x412a8304 +mem-write: 0x8000b4e7 <- 0xb6f46302 +mem-write: 0x8000b4eb <- 0x68d93af +mem-write: 0x8000b4ef <- 0xff06f00 +mem-write: 0x8000b4f3 <- 0xc12703ae +mem-write: 0x8000b4f7 <- 0xd0079301 +mem-write: 0x8000b4fb <- 0xf74463ff +mem-write: 0x8000b4ff <- 0xedda6300 +mem-write: 0x8000b503 <- 0xe4849300 +mem-write: 0x8000b507 <- 0xf4f793ff +mem-write: 0x8000b50b <- 0xf12a23fd +mem-write: 0x8000b50f <- 0x4fe06f04 +mem-write: 0x8000b513 <- 0x12783ee +mem-write: 0x8000b517 <- 0xc1270302 +mem-write: 0x8000b51b <- 0xf7446301 +mem-write: 0x8000b51f <- 0x81278326 +mem-write: 0x8000b523 <- 0x70c9302 +mem-write: 0x8000b527 <- 0x17f79300 +mem-write: 0x8000b52b <- 0x7866300 +mem-write: 0x8000b52f <- 0xc1278300 +mem-write: 0x8000b533 <- 0xf70cb302 +mem-write: 0x8000b537 <- 0x81278300 +mem-write: 0x8000b53b <- 0x7f79302 +mem-write: 0x8000b53f <- 0x7866340 +mem-write: 0x8000b543 <- 0xc1278300 +mem-write: 0x8000b547 <- 0xf04ae301 +mem-write: 0x8000b54b <- 0xfcca9312 +mem-write: 0x8000b54f <- 0xfada93ff +mem-write: 0x8000b553 <- 0x5cfab341 +mem-write: 0x8000b557 <- 0x70049301 +mem-write: 0x8000b55b <- 0x1242306 +mem-write: 0x8000b55f <- 0x1222302 +mem-write: 0x8000b563 <- 0xcfe06f02 +mem-write: 0x8000b567 <- 0x714783fa +mem-write: 0x8000b56b <- 0xd930c +mem-write: 0x8000b56f <- 0x7846300 +mem-write: 0x8000b573 <- 0x9fe06f00 +mem-write: 0x8000b577 <- 0xcfe06fa4 +mem-write: 0x8000b57b <- 0x410613a8 +mem-write: 0x8000b57f <- 0xb05930e +mem-write: 0x8000b583 <- 0xd051300 +mem-write: 0x8000b587 <- 0x4030ef00 +mem-write: 0x8000b58b <- 0x516e35d +mem-write: 0x8000b58f <- 0x44683e0 +mem-write: 0x8000b593 <- 0xc1278300 +mem-write: 0x8000b597 <- 0xc108930e +mem-write: 0x8000b59b <- 0xdd8db310 +mem-write: 0x8000b59f <- 0x8ff06f00 +mem-write: 0x8000b5a3 <- 0x10a93fe +mem-write: 0x8000b5a7 <- 0xc108130b +mem-write: 0x8000b5ab <- 0x107930d +mem-write: 0x8000b5af <- 0xc107130d +mem-write: 0x8000b5b3 <- 0xd86930c +mem-write: 0x8000b5b7 <- 0x30061300 +mem-write: 0x8000b5bb <- 0xa859300 +mem-write: 0x8000b5bf <- 0xd051300 +mem-write: 0x8000b5c3 <- 0x11222300 +mem-write: 0x8000b5c7 <- 0xc1282305 +mem-write: 0x8000b5cb <- 0xc120230b +mem-write: 0x8000b5cf <- 0xd12a2305 +mem-write: 0x8000b5d3 <- 0xd122230b +mem-write: 0x8000b5d7 <- 0xe12c2303 +mem-write: 0x8000b5db <- 0xe120230b +mem-write: 0x8000b5df <- 0x612e2303 +mem-write: 0x8000b5e3 <- 0x612e230a +mem-write: 0x8000b5e7 <- 0xdfa0ef00 +mem-write: 0x8000b5eb <- 0xc12303c4 +mem-write: 0x8000b5ef <- 0x12f0301 +mem-write: 0x8000b5f3 <- 0x412e8302 +mem-write: 0x8000b5f7 <- 0x12e0302 +mem-write: 0x8000b5fb <- 0x41288304 +mem-write: 0x8000b5ff <- 0x50b1304 +mem-write: 0x8000b603 <- 0x60079300 +mem-write: 0x8000b607 <- 0xbb093304 +mem-write: 0x8000b60b <- 0xfb9a6301 +mem-write: 0x8000b60f <- 0xb468362 +mem-write: 0x8000b613 <- 0x79300 +mem-write: 0x8000b617 <- 0xf686e303 +mem-write: 0x8000b61b <- 0x10c9310 +mem-write: 0x8000b61f <- 0xc127830a +mem-write: 0x8000b623 <- 0xf909330c +mem-write: 0x8000b627 <- 0xfe06f00 +mem-write: 0x8000b62b <- 0xd00793d4 +mem-write: 0x8000b62f <- 0xf103a302 +mem-write: 0x8000b633 <- 0xdff06f0c +mem-write: 0x8000b637 <- 0x10a93ad +mem-write: 0x8000b63b <- 0x107930b +mem-write: 0x8000b63f <- 0xc108130d +mem-write: 0x8000b643 <- 0xc107130d +mem-write: 0x8000b647 <- 0xd86930c +mem-write: 0x8000b64b <- 0x20061300 +mem-write: 0x8000b64f <- 0xa859300 +mem-write: 0x8000b653 <- 0xd051300 +mem-write: 0x8000b657 <- 0xc1282300 +mem-write: 0x8000b65b <- 0xc120230b +mem-write: 0x8000b65f <- 0xd12a2305 +mem-write: 0x8000b663 <- 0xd122230b +mem-write: 0x8000b667 <- 0xe12c2303 +mem-write: 0x8000b66b <- 0xe120230b +mem-write: 0x8000b66f <- 0x612e2303 +mem-write: 0x8000b673 <- 0x612e230a +mem-write: 0x8000b677 <- 0xdfa0ef00 +mem-write: 0x8000b67b <- 0x700793bb +mem-write: 0x8000b67f <- 0xc1230304 +mem-write: 0x8000b683 <- 0x12f0301 +mem-write: 0x8000b687 <- 0x412e8302 +mem-write: 0x8000b68b <- 0x12e0302 +mem-write: 0x8000b68f <- 0x41288304 +mem-write: 0x8000b693 <- 0x50b1304 +mem-write: 0x8000b697 <- 0xfb96e300 +mem-write: 0x8000b69b <- 0x812783f6 +mem-write: 0x8000b69f <- 0x17f79302 +mem-write: 0x8000b6a3 <- 0x7986300 +mem-write: 0x8000b6a7 <- 0x70079358 +mem-write: 0x8000b6ab <- 0xc1270304 +mem-write: 0x8000b6af <- 0xf12a230d +mem-write: 0x8000b6b3 <- 0xfe06f04 +mem-write: 0x8000b6b7 <- 0x410613d1 +mem-write: 0x8000b6bb <- 0xc05930e +mem-write: 0x8000b6bf <- 0xd051300 +mem-write: 0x8000b6c3 <- 0x8030ef00 +mem-write: 0x8000b6c7 <- 0x5046349 +mem-write: 0x8000b6cb <- 0x5fe06f00 +mem-write: 0x8000b6cf <- 0xc12483f7 +mem-write: 0x8000b6d3 <- 0xc127830c +mem-write: 0x8000b6d7 <- 0xc108930e +mem-write: 0x8000b6db <- 0x8ff06f10 +mem-write: 0x8000b6df <- 0x71478393 +mem-write: 0x8000b6e3 <- 0x212a230c +mem-write: 0x8000b6e7 <- 0x1242301 +mem-write: 0x8000b6eb <- 0x1222302 +mem-write: 0x8000b6ef <- 0x12e2302 +mem-write: 0x8000b6f3 <- 0xd8a9300 +mem-write: 0x8000b6f7 <- 0xd8c9300 +mem-write: 0x8000b6fb <- 0xd9300 +mem-write: 0x8000b6ff <- 0x7846300 +mem-write: 0x8000b703 <- 0x9fe06f00 +mem-write: 0x8000b707 <- 0xcfe06f8b +mem-write: 0x8000b70b <- 0x4106138f +mem-write: 0x8000b70f <- 0xc05930e +mem-write: 0x8000b713 <- 0xd051300 +mem-write: 0x8000b717 <- 0x4030ef00 +mem-write: 0x8000b71b <- 0x5046344 +mem-write: 0x8000b71f <- 0x1fe06f00 +mem-write: 0x8000b723 <- 0xc12483f2 +mem-write: 0x8000b727 <- 0x127030c +mem-write: 0x8000b72b <- 0xc1278302 +mem-write: 0x8000b72f <- 0xc108930e +mem-write: 0x8000b733 <- 0x9704b310 +mem-write: 0x8000b737 <- 0x4ff06f40 +mem-write: 0x8000b73b <- 0x81278392 +mem-write: 0x8000b73f <- 0xc1270302 +mem-write: 0x8000b743 <- 0x17f79301 +mem-write: 0x8000b747 <- 0xb7e7b300 +mem-write: 0x8000b74b <- 0xe054e301 +mem-write: 0x8000b74f <- 0x7966304 +mem-write: 0x8000b753 <- 0xc12c837a +mem-write: 0x8000b757 <- 0x60049301 +mem-write: 0x8000b75b <- 0x81278306 +mem-write: 0x8000b75f <- 0x7f79302 +mem-write: 0x8000b763 <- 0x79e6340 +mem-write: 0x8000b767 <- 0xfcca9370 +mem-write: 0x8000b76b <- 0xfada93ff +mem-write: 0x8000b76f <- 0x5cfab341 +mem-write: 0x8000b773 <- 0x9ff06f01 +mem-write: 0x8000b777 <- 0x15737de +mem-write: 0x8000b77b <- 0x470b1380 +mem-write: 0x8000b77f <- 0x1ff06fa3 +mem-write: 0x8000b783 <- 0x127839a +mem-write: 0x8000b787 <- 0xc1270302 +mem-write: 0x8000b78b <- 0x70049302 +mem-write: 0x8000b78f <- 0xe78cb306 +mem-write: 0x8000b793 <- 0xc1278300 +mem-write: 0x8000b797 <- 0xf042e301 +mem-write: 0x8000b79b <- 0xfc8cb3fc +mem-write: 0x8000b79f <- 0x1c8c9340 +mem-write: 0x8000b7a3 <- 0xfcca9300 +mem-write: 0x8000b7a7 <- 0xfada93ff +mem-write: 0x8000b7ab <- 0x5cfab341 +mem-write: 0x8000b7af <- 0xdff06f01 +mem-write: 0x8000b7b3 <- 0x156b7da +mem-write: 0x8000b7b7 <- 0x468e9380 +mem-write: 0x8000b7bb <- 0x8fe06f17 +mem-write: 0x8000b7bf <- 0x793f4 +mem-write: 0x8000b7c3 <- 0xf1042303 +mem-write: 0x8000b7c7 <- 0x8007930c +mem-write: 0x8000b7cb <- 0x2a671305 +mem-write: 0x8000b7cf <- 0xf104a300 +mem-write: 0x8000b7d3 <- 0xe124230c +mem-write: 0x8000b7d7 <- 0x30079302 +mem-write: 0x8000b7db <- 0x1282306 +mem-write: 0x8000b7df <- 0xc10b1300 +mem-write: 0x8000b7e3 <- 0xb7ce6314 +mem-write: 0x8000b7e7 <- 0xc1230341 +mem-write: 0x8000b7eb <- 0xf4fb930f +mem-write: 0x8000b7ef <- 0x712a23fd +mem-write: 0x8000b7f3 <- 0x12c2305 +mem-write: 0x8000b7f7 <- 0x12e0304 +VXDRV: upload 1024 bytes to 0x8000b7fb +mem-write: 0x8000b7fb <- 0x412e830f +mem-write: 0x8000b7ff <- 0x812f030f +mem-write: 0x8000b803 <- 0x2a6a130f +mem-write: 0x8000b807 <- 0x3426310 +mem-write: 0x8000b80b <- 0x10079338 +mem-write: 0x8000b80f <- 0xf48e6306 +mem-write: 0x8000b813 <- 0x10079354 +mem-write: 0x8000b817 <- 0xf4846304 +mem-write: 0x8000b81b <- 0x8fe06f00 +mem-write: 0x8000b81f <- 0x10a93ac +mem-write: 0x8000b823 <- 0xa85130b +mem-write: 0x8000b827 <- 0x11282300 +mem-write: 0x8000b82b <- 0xc1282305 +mem-write: 0x8000b82f <- 0xd12a230b +mem-write: 0x8000b833 <- 0xe12c230b +mem-write: 0x8000b837 <- 0x612e230b +mem-write: 0x8000b83b <- 0x5080ef0a +mem-write: 0x8000b83f <- 0xc1061356 +mem-write: 0x8000b843 <- 0x5fd0ef0c +mem-write: 0x8000b847 <- 0x586138b +mem-write: 0x8000b84b <- 0x5059300 +mem-write: 0x8000b84f <- 0xa851300 +mem-write: 0x8000b853 <- 0x9080ef00 +mem-write: 0x8000b857 <- 0x1278335 +mem-write: 0x8000b85b <- 0x10c930b +mem-write: 0x8000b85f <- 0x109130a +mem-write: 0x8000b863 <- 0xf1282309 +mem-write: 0x8000b867 <- 0x41278308 +mem-write: 0x8000b86b <- 0x106130b +mem-write: 0x8000b86f <- 0x9059308 +mem-write: 0x8000b873 <- 0xf12a2300 +mem-write: 0x8000b877 <- 0x81278308 +mem-write: 0x8000b87b <- 0xc85130b +mem-write: 0x8000b87f <- 0xc1202300 +mem-write: 0x8000b883 <- 0xf12c2304 +mem-write: 0x8000b887 <- 0xc1278308 +mem-write: 0x8000b88b <- 0x120230b +mem-write: 0x8000b88f <- 0x1222308 +mem-write: 0x8000b893 <- 0xf12e2308 +mem-write: 0x8000b897 <- 0xfc07b708 +mem-write: 0x8000b89b <- 0xf126233f +mem-write: 0x8000b89f <- 0x1242308 +mem-write: 0x8000b8a3 <- 0x60ef08 +mem-write: 0x8000b8a7 <- 0x1280338 +mem-write: 0x8000b8ab <- 0x412e030a +mem-write: 0x8000b8af <- 0x812e830a +mem-write: 0x8000b8b3 <- 0xc12f030a +mem-write: 0x8000b8b7 <- 0xc85930a +mem-write: 0x8000b8bb <- 0xa851300 +mem-write: 0x8000b8bf <- 0x1282300 +mem-write: 0x8000b8c3 <- 0x122230b +mem-write: 0x8000b8c7 <- 0xc12a2305 +mem-write: 0x8000b8cb <- 0xc122230b +mem-write: 0x8000b8cf <- 0xd12c2303 +mem-write: 0x8000b8d3 <- 0xd120230b +mem-write: 0x8000b8d7 <- 0xe12e2303 +mem-write: 0x8000b8db <- 0xe12e230b +mem-write: 0x8000b8df <- 0x1202301 +mem-write: 0x8000b8e3 <- 0x122230a +mem-write: 0x8000b8e7 <- 0x124230a +mem-write: 0x8000b8eb <- 0x126230a +mem-write: 0x8000b8ef <- 0x1050ef0a +mem-write: 0x8000b8f3 <- 0xc12f037e +mem-write: 0x8000b8f7 <- 0x12e8301 +mem-write: 0x8000b8fb <- 0x412e0302 +mem-write: 0x8000b8ff <- 0x41280302 +mem-write: 0x8000b903 <- 0x1288304 +mem-write: 0x8000b907 <- 0x5166305 +mem-write: 0x8000b90b <- 0x10079300 +mem-write: 0x8000b90f <- 0xf1262300 +mem-write: 0x8000b913 <- 0x157b70c +mem-write: 0x8000b917 <- 0x7879380 +mem-write: 0x8000b91b <- 0xf12223a5 +mem-write: 0x8000b91f <- 0xfd869302 +mem-write: 0x8000b923 <- 0x412e23ff +mem-write: 0x8000b927 <- 0x91222305 +mem-write: 0x8000b92b <- 0xb1262306 +mem-write: 0x8000b92f <- 0xa12a2307 +mem-write: 0x8000b933 <- 0x812c2307 +mem-write: 0x8000b937 <- 0xb0b9307 +mem-write: 0x8000b93b <- 0x81202300 +mem-write: 0x8000b93f <- 0x31242306 +mem-write: 0x8000b943 <- 0x11282307 +mem-write: 0x8000b947 <- 0x68c1307 +mem-write: 0x8000b94b <- 0x612e2300 +mem-write: 0x8000b94f <- 0x80d1307 +mem-write: 0x8000b953 <- 0xe0d9300 +mem-write: 0x8000b957 <- 0xe849300 +mem-write: 0x8000b95b <- 0xf0a1300 +mem-write: 0x8000b95f <- 0x80006f00 +mem-write: 0x8000b963 <- 0xc859304 +mem-write: 0x8000b967 <- 0xa851300 +mem-write: 0x8000b96b <- 0xc1202300 +mem-write: 0x8000b96f <- 0xf12e2302 +mem-write: 0x8000b973 <- 0xf12c2301 +mem-write: 0x8000b977 <- 0xc12e230b +mem-write: 0x8000b97b <- 0x6128230a +mem-write: 0x8000b97f <- 0x312a230b +mem-write: 0x8000b983 <- 0x120230b +mem-write: 0x8000b987 <- 0x122230a +mem-write: 0x8000b98b <- 0x124230a +mem-write: 0x8000b98f <- 0x126230a +mem-write: 0x8000b993 <- 0xd050ef0a +mem-write: 0x8000b997 <- 0xc12f8373 +mem-write: 0x8000b99b <- 0x1260301 +mem-write: 0x8000b99f <- 0xfc0c1302 +mem-write: 0x8000b9a3 <- 0x50263ff +mem-write: 0x8000b9a7 <- 0x307b70e +mem-write: 0x8000b9ab <- 0x9061340 +mem-write: 0x8000b9af <- 0xc859300 +mem-write: 0x8000b9b3 <- 0xa851300 +mem-write: 0x8000b9b7 <- 0xf12e2300 +mem-write: 0x8000b9bb <- 0xa1202308 +mem-write: 0x8000b9bf <- 0xb122230b +mem-write: 0x8000b9c3 <- 0x9124230b +mem-write: 0x8000b9c7 <- 0x4126230a +mem-write: 0x8000b9cb <- 0x128230b +mem-write: 0x8000b9cf <- 0x12a2308 +mem-write: 0x8000b9d3 <- 0x12c2308 +mem-write: 0x8000b9d7 <- 0xc060ef08 +mem-write: 0x8000b9db <- 0xa851324 +mem-write: 0x8000b9df <- 0x8080ef00 +mem-write: 0x8000b9e3 <- 0x5059376 +mem-write: 0x8000b9e7 <- 0x5041300 +mem-write: 0x8000b9eb <- 0xa851300 +mem-write: 0x8000b9ef <- 0x1298300 +mem-write: 0x8000b9f3 <- 0x4124830b +mem-write: 0x8000b9f7 <- 0x812b030b +mem-write: 0x8000b9fb <- 0xc12a030b +mem-write: 0x8000b9ff <- 0xd080ef0b +mem-write: 0x8000ba03 <- 0x1270305 +mem-write: 0x8000ba07 <- 0x126030b +mem-write: 0x8000ba0b <- 0x9059304 +mem-write: 0x8000ba0f <- 0xe1202300 +mem-write: 0x8000ba13 <- 0x41270308 +mem-write: 0x8000ba17 <- 0xc85130b +mem-write: 0x8000ba1b <- 0x31282300 +mem-write: 0x8000ba1f <- 0xe1222309 +mem-write: 0x8000ba23 <- 0x81270308 +mem-write: 0x8000ba27 <- 0x912a230b +mem-write: 0x8000ba2b <- 0x612c2308 +mem-write: 0x8000ba2f <- 0xe1242309 +mem-write: 0x8000ba33 <- 0xc1270308 +mem-write: 0x8000ba37 <- 0x412e230b +mem-write: 0x8000ba3b <- 0xe1262309 +mem-write: 0x8000ba3f <- 0x8070ef08 +mem-write: 0x8000ba43 <- 0x4127831e +mem-write: 0x8000ba47 <- 0x12b0302 +mem-write: 0x8000ba4b <- 0x4129830a +mem-write: 0x8000ba4f <- 0x8787330a +mem-write: 0x8000ba53 <- 0x7470300 +mem-write: 0x8000ba57 <- 0x812f8300 +mem-write: 0x8000ba5b <- 0xc126030a +mem-write: 0x8000ba5f <- 0x7128230a +mem-write: 0x8000ba63 <- 0xeb802305 +mem-write: 0x8000ba67 <- 0x81222300 +mem-write: 0x8000ba6b <- 0xf0079305 +mem-write: 0x8000ba6f <- 0x1b8b93ff +mem-write: 0x8000ba73 <- 0xb0d1300 +mem-write: 0x8000ba77 <- 0x98d9300 +mem-write: 0x8000ba7b <- 0xf849300 +mem-write: 0x8000ba7f <- 0x60a1300 +mem-write: 0x8000ba83 <- 0xfc10e300 +mem-write: 0x8000ba87 <- 0x12883ee +mem-write: 0x8000ba8b <- 0xb039307 +mem-write: 0x8000ba8f <- 0x9829300 +mem-write: 0x8000ba93 <- 0xfe093700 +mem-write: 0x8000ba97 <- 0xc85933f +mem-write: 0x8000ba9b <- 0xa851300 +mem-write: 0x8000ba9f <- 0x11202300 +mem-write: 0x8000baa3 <- 0x812e2303 +mem-write: 0x8000baa7 <- 0xc12a0300 +mem-write: 0x8000baab <- 0x41248305 +mem-write: 0x8000baaf <- 0x1240306 +mem-write: 0x8000bab3 <- 0x71282306 +mem-write: 0x8000bab7 <- 0x7122230a +mem-write: 0x8000babb <- 0x512a2306 +mem-write: 0x8000babf <- 0x5120230a +mem-write: 0x8000bac3 <- 0xf12c2306 +mem-write: 0x8000bac7 <- 0xf12e230b +mem-write: 0x8000bacb <- 0xc12e2305 +mem-write: 0x8000bacf <- 0xc120230a +mem-write: 0x8000bad3 <- 0x1202304 +mem-write: 0x8000bad7 <- 0x122230a +mem-write: 0x8000badb <- 0x124230a +mem-write: 0x8000badf <- 0x2126230a +mem-write: 0x8000bae3 <- 0x9050ef0b +mem-write: 0x8000bae7 <- 0xc12d836b +mem-write: 0x8000baeb <- 0x412d0306 +mem-write: 0x8000baef <- 0x812c0307 +mem-write: 0x8000baf3 <- 0xc12b0307 +mem-write: 0x8000baf7 <- 0x81298307 +mem-write: 0x8000bafb <- 0x1288306 +mem-write: 0x8000baff <- 0xa0406302 +mem-write: 0x8000bb03 <- 0x4123830a +mem-write: 0x8000bb07 <- 0x1228306 +mem-write: 0x8000bb0b <- 0xc12f8306 +mem-write: 0x8000bb0f <- 0x1260305 +mem-write: 0x8000bb13 <- 0xc859304 +mem-write: 0x8000bb17 <- 0xa851300 +mem-write: 0x8000bb1b <- 0x71282300 +mem-write: 0x8000bb1f <- 0x512a230a +mem-write: 0x8000bb23 <- 0xf12c230a +mem-write: 0x8000bb27 <- 0xc12e230b +mem-write: 0x8000bb2b <- 0x120230a +mem-write: 0x8000bb2f <- 0x122230a +mem-write: 0x8000bb33 <- 0x124230a +mem-write: 0x8000bb37 <- 0x2126230a +mem-write: 0x8000bb3b <- 0x5050ef0b +mem-write: 0x8000bb3f <- 0x1288359 +mem-write: 0x8000bb43 <- 0x5186302 +mem-write: 0x8000bb47 <- 0xc1278300 +mem-write: 0x8000bb4b <- 0x17fc9301 +mem-write: 0x8000bb4f <- 0xc986300 +mem-write: 0x8000bb53 <- 0x41278304 +mem-write: 0x8000bb57 <- 0x61304 +mem-write: 0x8000bb5b <- 0x17869303 +mem-write: 0x8000bb5f <- 0xdb86b300 +mem-write: 0x8000bb63 <- 0x7c86300 +mem-write: 0x8000bb67 <- 0x1b8b9300 +mem-write: 0x8000bb6b <- 0xcb8fa300 +mem-write: 0x8000bb6f <- 0xdb9ce3fe +mem-write: 0x8000bb73 <- 0x6b87b3fe +mem-write: 0x8000bb77 <- 0xf1202341 +mem-write: 0x8000bb7b <- 0xfe06f02 +mem-write: 0x8000bb7f <- 0x41242385 +mem-write: 0x8000bb83 <- 0x1282303 +mem-write: 0x8000bb87 <- 0x90a1300 +mem-write: 0x8000bb8b <- 0x7b700 +mem-write: 0x8000bb8f <- 0x67c33380 +mem-write: 0x8000bb93 <- 0xd0079300 +mem-write: 0x8000bb97 <- 0xf12c2302 +mem-write: 0x8000bb9b <- 0x1ff06f04 +mem-write: 0x8000bb9f <- 0x12783c7 +mem-write: 0x8000bba3 <- 0xb869305 +mem-write: 0x8000bba7 <- 0xf12e2300 +mem-write: 0x8000bbab <- 0x4127830c +mem-write: 0x8000bbaf <- 0xfbc60302 +mem-write: 0x8000bbb3 <- 0xf7c583ff +mem-write: 0x8000bbb7 <- 0xb6106300 +mem-write: 0x8000bbbb <- 0x51302 +mem-write: 0x8000bbbf <- 0xa68fa303 +mem-write: 0x8000bbc3 <- 0xc12683fe +mem-write: 0x8000bbc7 <- 0xf687930d +mem-write: 0x8000bbcb <- 0xf12e23ff +mem-write: 0x8000bbcf <- 0xf6c6030c +mem-write: 0x8000bbd3 <- 0xc586e3ff +mem-write: 0x8000bbd7 <- 0x160593fe +mem-write: 0x8000bbdb <- 0x90051300 +mem-write: 0x8000bbdf <- 0xf5f59303 +mem-write: 0x8000bbe3 <- 0xa606630f +mem-write: 0x8000bbe7 <- 0xb68fa300 +mem-write: 0x8000bbeb <- 0x9ff06ffe +mem-write: 0x8000bbef <- 0x412783f8 +mem-write: 0x8000bbf3 <- 0xa7c58302 +mem-write: 0x8000bbf7 <- 0xb68fa300 +VXDRV: upload 1023 bytes to 0x8000bbfb +mem-write: 0x8000bbfb <- 0x9ff06ffe +mem-write: 0x8000bbff <- 0x1d8593f7 +mem-write: 0x8000bc03 <- 0xd051300 +mem-write: 0x8000bc07 <- 0x11282300 +mem-write: 0x8000bc0b <- 0xdfb0ef01 +mem-write: 0x8000bc0f <- 0x12883b2 +mem-write: 0x8000bc13 <- 0x50b1301 +mem-write: 0x8000bc17 <- 0x5086300 +mem-write: 0x8000bc1b <- 0xa128233e +mem-write: 0x8000bc1f <- 0x9ff06f00 +mem-write: 0x8000bc23 <- 0x793bc +mem-write: 0x8000bc27 <- 0xf1042303 +mem-write: 0x8000bc2b <- 0x8007930c +mem-write: 0x8000bc2f <- 0xdff06f07 +mem-write: 0x8000bc33 <- 0x700793b9 +mem-write: 0x8000bc37 <- 0xbb093304 +mem-write: 0x8000bc3b <- 0xf12a2301 +mem-write: 0x8000bc3f <- 0x10c9304 +mem-write: 0x8000bc43 <- 0x5fd06f0a +mem-write: 0x8000bc47 <- 0x812703f2 +mem-write: 0x8000bc4b <- 0x9787b300 +mem-write: 0x8000bc4f <- 0x16869300 +mem-write: 0x8000bc53 <- 0xe8a02300 +mem-write: 0x8000bc57 <- 0x98a22300 +mem-write: 0x8000bc5b <- 0xf1262300 +mem-write: 0x8000bc5f <- 0xd124230e +mem-write: 0x8000bc63 <- 0x7006130e +mem-write: 0x8000bc67 <- 0xd6586300 +mem-write: 0x8000bc6b <- 0x410613b6 +mem-write: 0x8000bc6f <- 0xc05930e +mem-write: 0x8000bc73 <- 0xd051300 +mem-write: 0x8000bc77 <- 0x5020ef00 +mem-write: 0x8000bc7b <- 0x504636e +mem-write: 0x8000bc7f <- 0x1fe06f00 +mem-write: 0x8000bc83 <- 0xc127839c +mem-write: 0x8000bc87 <- 0x8126830e +mem-write: 0x8000bc8b <- 0xc108930e +mem-write: 0x8000bc8f <- 0xcff06f10 +mem-write: 0x8000bc93 <- 0xa0b93b4 +mem-write: 0x8000bc97 <- 0xcfe06f00 +mem-write: 0x8000bc9b <- 0xd9463df +mem-write: 0x8000bc9f <- 0x100d9300 +mem-write: 0x8000bca3 <- 0xc1230300 +mem-write: 0x8000bca7 <- 0x12e030f +mem-write: 0x8000bcab <- 0x412e830f +mem-write: 0x8000bcaf <- 0x812f030f +mem-write: 0x8000bcb3 <- 0xa69130f +mem-write: 0x8000bcb7 <- 0x344e310 +mem-write: 0x8000bcbb <- 0x10a93ec +mem-write: 0x8000bcbf <- 0xc108130b +mem-write: 0x8000bcc3 <- 0x107930d +mem-write: 0x8000bcc7 <- 0xc107130d +mem-write: 0x8000bccb <- 0xd86930c +mem-write: 0x8000bccf <- 0x20061300 +mem-write: 0x8000bcd3 <- 0xa859300 +mem-write: 0x8000bcd7 <- 0xd051300 +mem-write: 0x8000bcdb <- 0x11222300 +mem-write: 0x8000bcdf <- 0xc1282305 +mem-write: 0x8000bce3 <- 0xc120230b +mem-write: 0x8000bce7 <- 0xd12a2305 +mem-write: 0x8000bceb <- 0xd122230b +mem-write: 0x8000bcef <- 0xe12c2303 +mem-write: 0x8000bcf3 <- 0xe120230b +mem-write: 0x8000bcf7 <- 0x612e2303 +mem-write: 0x8000bcfb <- 0x612e230a +mem-write: 0x8000bcff <- 0x4fa0ef00 +mem-write: 0x8000bd03 <- 0xc12303d3 +mem-write: 0x8000bd07 <- 0x41242301 +mem-write: 0x8000bd0b <- 0x12f0303 +mem-write: 0x8000bd0f <- 0x412e8302 +mem-write: 0x8000bd13 <- 0x12e0302 +mem-write: 0x8000bd17 <- 0x41288304 +mem-write: 0x8000bd1b <- 0x50b1304 +mem-write: 0x8000bd1f <- 0x90a1300 +mem-write: 0x8000bd23 <- 0x1282300 +mem-write: 0x8000bd27 <- 0x12c2300 +mem-write: 0x8000bd2b <- 0x1ff06f04 +mem-write: 0x8000bd2f <- 0xf0079397 +mem-write: 0x8000bd33 <- 0xf12623ff +mem-write: 0x8000bd37 <- 0x5fd06f00 +mem-write: 0x8000bd3b <- 0x610693c0 +mem-write: 0x8000bd3f <- 0x618630d +mem-write: 0x8000bd43 <- 0x69300 +mem-write: 0x8000bd47 <- 0xd10b2303 +mem-write: 0x8000bd4b <- 0x7106930c +mem-write: 0x8000bd4f <- 0x107130d +mem-write: 0x8000bd53 <- 0x787931b +mem-write: 0x8000bd57 <- 0xe6863303 +mem-write: 0x8000bd5b <- 0xf6802340 +mem-write: 0x8000bd5f <- 0xd6079300 +mem-write: 0x8000bd63 <- 0xf12c230d +mem-write: 0x8000bd67 <- 0x5fd06f02 +mem-write: 0x8000bd6b <- 0x10a93f6 +mem-write: 0x8000bd6f <- 0xa85130b +mem-write: 0x8000bd73 <- 0x11282300 +mem-write: 0x8000bd77 <- 0xc1282305 +mem-write: 0x8000bd7b <- 0xd12a230b +mem-write: 0x8000bd7f <- 0xe12c230b +mem-write: 0x8000bd83 <- 0x612e230b +mem-write: 0x8000bd87 <- 0x9080ef0a +mem-write: 0x8000bd8b <- 0xc1061301 +mem-write: 0x8000bd8f <- 0x8fd0ef0c +mem-write: 0x8000bd93 <- 0x58613b6 +mem-write: 0x8000bd97 <- 0x5059300 +mem-write: 0x8000bd9b <- 0xa851300 +mem-write: 0x8000bd9f <- 0xc080ef00 +mem-write: 0x8000bda3 <- 0x1278360 +mem-write: 0x8000bda7 <- 0x10c930b +mem-write: 0x8000bdab <- 0x109130a +mem-write: 0x8000bdaf <- 0xf1282309 +mem-write: 0x8000bdb3 <- 0x41278308 +mem-write: 0x8000bdb7 <- 0x106130b +mem-write: 0x8000bdbb <- 0x9059308 +mem-write: 0x8000bdbf <- 0xf12a2300 +mem-write: 0x8000bdc3 <- 0x81278308 +mem-write: 0x8000bdc7 <- 0xc85130b +mem-write: 0x8000bdcb <- 0xc1202300 +mem-write: 0x8000bdcf <- 0xf12c2304 +mem-write: 0x8000bdd3 <- 0xc1278308 +mem-write: 0x8000bdd7 <- 0x120230b +mem-write: 0x8000bddb <- 0x1222308 +mem-write: 0x8000bddf <- 0xf12e2308 +mem-write: 0x8000bde3 <- 0xfc07b708 +mem-write: 0x8000bde7 <- 0xf126233f +mem-write: 0x8000bdeb <- 0x1242308 +mem-write: 0x8000bdef <- 0x5050ef08 +mem-write: 0x8000bdf3 <- 0x1280363 +mem-write: 0x8000bdf7 <- 0x412e030a +mem-write: 0x8000bdfb <- 0x812e830a +mem-write: 0x8000bdff <- 0xc12f030a +mem-write: 0x8000be03 <- 0xc85930a +mem-write: 0x8000be07 <- 0xa851300 +mem-write: 0x8000be0b <- 0x1282300 +mem-write: 0x8000be0f <- 0x122230b +mem-write: 0x8000be13 <- 0xc12a2305 +mem-write: 0x8000be17 <- 0xc122230b +mem-write: 0x8000be1b <- 0xd12c2303 +mem-write: 0x8000be1f <- 0xd120230b +mem-write: 0x8000be23 <- 0xe12e2303 +mem-write: 0x8000be27 <- 0xe12e230b +mem-write: 0x8000be2b <- 0x1202301 +mem-write: 0x8000be2f <- 0x122230a +mem-write: 0x8000be33 <- 0x124230a +mem-write: 0x8000be37 <- 0x126230a +mem-write: 0x8000be3b <- 0x5050ef0a +mem-write: 0x8000be3f <- 0xc12f0329 +mem-write: 0x8000be43 <- 0x12e8301 +mem-write: 0x8000be47 <- 0x412e0302 +mem-write: 0x8000be4b <- 0x41280302 +mem-write: 0x8000be4f <- 0x1288304 +mem-write: 0x8000be53 <- 0x5166305 +mem-write: 0x8000be57 <- 0x10079300 +mem-write: 0x8000be5b <- 0xf1262300 +mem-write: 0x8000be5f <- 0x157b70c +mem-write: 0x8000be63 <- 0xc7879380 +mem-write: 0x8000be67 <- 0xf12223a3 +mem-write: 0x8000be6b <- 0x5ff06f02 +mem-write: 0x8000be6f <- 0x12823ab +mem-write: 0x8000be73 <- 0x78a1300 +mem-write: 0x8000be77 <- 0x5ff06f00 +mem-write: 0x8000be7b <- 0x700493d1 +mem-write: 0x8000be7f <- 0xc1260306 +mem-write: 0x8000be83 <- 0xf0069303 +mem-write: 0x8000be87 <- 0x647830f +mem-write: 0x8000be8b <- 0xd7886300 +mem-write: 0x8000be8f <- 0xc1270318 +mem-write: 0x8000be93 <- 0x51301 +mem-write: 0x8000be97 <- 0x59300 +mem-write: 0x8000be9b <- 0xe7de6300 +mem-write: 0x8000be9f <- 0xf7073300 +mem-write: 0x8000bea3 <- 0x16478340 +mem-write: 0x8000bea7 <- 0x7846300 +mem-write: 0x8000beab <- 0x15859304 +mem-write: 0x8000beaf <- 0x16061300 +mem-write: 0x8000beb3 <- 0xd794e300 +mem-write: 0x8000beb7 <- 0xc12e23fe +mem-write: 0x8000bebb <- 0xe12e2302 +mem-write: 0x8000bebf <- 0xb1222300 +mem-write: 0x8000bec3 <- 0xa1242302 +mem-write: 0x8000bec7 <- 0x81270302 +mem-write: 0x8000becb <- 0x41278302 +mem-write: 0x8000becf <- 0xe787b302 +mem-write: 0x8000bed3 <- 0x81270300 +mem-write: 0x8000bed7 <- 0xe787b304 +mem-write: 0x8000bedb <- 0x978cb302 +mem-write: 0x8000bedf <- 0xfcca9301 +mem-write: 0x8000bee3 <- 0xfada93ff +mem-write: 0x8000bee7 <- 0x5cfab341 +mem-write: 0x8000beeb <- 0x5fd06f01 +mem-write: 0x8000beef <- 0x64783e2 +mem-write: 0x8000bef3 <- 0x15051300 +mem-write: 0x8000bef7 <- 0xdff06f00 +mem-write: 0x8000befb <- 0xc12783fb +mem-write: 0x8000beff <- 0x60049302 +mem-write: 0x8000bf03 <- 0xf70cb306 +mem-write: 0x8000bf07 <- 0xbc8cb300 +mem-write: 0x8000bf0b <- 0x1ff06f01 +mem-write: 0x8000bf0f <- 0x81278385 +mem-write: 0x8000bf13 <- 0x17f79302 +mem-write: 0x8000bf17 <- 0x7946300 +mem-write: 0x8000bf1b <- 0x1fd06f00 +mem-write: 0x8000bf1f <- 0x5fd06fdd +mem-write: 0x8000bf23 <- 0x10c93dc +mem-write: 0x8000bf27 <- 0xc85930a +mem-write: 0x8000bf2b <- 0xa851300 +mem-write: 0x8000bf2f <- 0x11222300 +mem-write: 0x8000bf33 <- 0xc1282305 +mem-write: 0x8000bf37 <- 0xc120230b +mem-write: 0x8000bf3b <- 0xd12a2305 +mem-write: 0x8000bf3f <- 0xd122230b +mem-write: 0x8000bf43 <- 0xe12c2303 +mem-write: 0x8000bf47 <- 0xe120230b +mem-write: 0x8000bf4b <- 0x612e2303 +mem-write: 0x8000bf4f <- 0x612e230a +mem-write: 0x8000bf53 <- 0x1202300 +mem-write: 0x8000bf57 <- 0x122230a +mem-write: 0x8000bf5b <- 0x124230a +mem-write: 0x8000bf5f <- 0x126230a +mem-write: 0x8000bf63 <- 0xd050ef0a +mem-write: 0x8000bf67 <- 0xc1230316 +mem-write: 0x8000bf6b <- 0x12f0301 +mem-write: 0x8000bf6f <- 0x412e8302 +mem-write: 0x8000bf73 <- 0x12e0302 +mem-write: 0x8000bf77 <- 0x41288304 +mem-write: 0x8000bf7b <- 0x5026304 +mem-write: 0x8000bf7f <- 0x100793ea +mem-write: 0x8000bf83 <- 0xb787b300 +mem-write: 0x8000bf87 <- 0xf1262341 +mem-write: 0x8000bf8b <- 0xf909330c +mem-write: 0x8000bf8f <- 0x9fd06f00 +mem-write: 0x8000bf93 <- 0x79a63bd +mem-write: 0x8000bf97 <- 0x100a9300 +mem-write: 0x8000bf9b <- 0x60049300 +mem-write: 0x8000bf9f <- 0x100c9306 +mem-write: 0x8000bfa3 <- 0x8ff06f00 +mem-write: 0x8000bfa7 <- 0xc12783db +mem-write: 0x8000bfab <- 0x60049302 +mem-write: 0x8000bfaf <- 0x178c9306 +mem-write: 0x8000bfb3 <- 0xbc8cb300 +mem-write: 0x8000bfb7 <- 0xfcca9301 +mem-write: 0x8000bfbb <- 0xfada93ff +mem-write: 0x8000bfbf <- 0x5cfab341 +mem-write: 0x8000bfc3 <- 0x8ff06f01 +mem-write: 0x8000bfc7 <- 0x88713d9 +mem-write: 0x8000bfcb <- 0xcff06f00 +mem-write: 0x8000bfcf <- 0x412783a7 +mem-write: 0x8000bfd3 <- 0x7ad8301 +mem-write: 0x8000bfd7 <- 0x47879300 +mem-write: 0x8000bfdb <- 0xdd46300 +mem-write: 0x8000bfdf <- 0xf00d9300 +mem-write: 0x8000bfe3 <- 0x144483ff +mem-write: 0x8000bfe7 <- 0xf12a2300 +mem-write: 0x8000bfeb <- 0x7041300 +mem-write: 0x8000bfef <- 0x4fd06f00 +mem-write: 0x8000bff3 <- 0xc00793f9 +mem-write: 0x8000bff7 <- 0xb6202300 +VXDRV: upload 1024 bytes to 0x8000bffa +mem-write: 0x8000bffa <- 0x79300fd +mem-write: 0x8000bffe <- 0x2623fff0 +mem-write: 0x8000c002 <- 0xd06f00f1 +mem-write: 0x8000c006 <- 0x5703939f +mem-write: 0x8000c00a <- 0x679300cc +mem-write: 0x8000c00e <- 0x87130407 +mem-write: 0x8000c012 <- 0x16230007 +mem-write: 0x8000c016 <- 0xd06f00fc +mem-write: 0x8000c01a <- 0x2423919f +mem-write: 0x8000c01e <- 0x22230201 +mem-write: 0x8000c022 <- 0xf06f0201 +mem-write: 0x8000c026 <- 0x793ea5f +mem-write: 0x8000c02a <- 0x2c230020 +mem-write: 0x8000c02e <- 0xd06f02f1 +mem-write: 0x8000c032 <- 0xa783c9df +mem-write: 0x8000c036 <- 0x1130645 +mem-write: 0x8000c03a <- 0x2823fd01 +mem-write: 0x8000c03e <- 0x26230161 +mem-write: 0x8000c042 <- 0x24230211 +mem-write: 0x8000c046 <- 0x22230281 +mem-write: 0x8000c04a <- 0x20230291 +mem-write: 0x8000c04e <- 0x2e230321 +mem-write: 0x8000c052 <- 0x2c230131 +mem-write: 0x8000c056 <- 0x2a230141 +mem-write: 0x8000c05a <- 0x26230151 +mem-write: 0x8000c05e <- 0x24230171 +mem-write: 0x8000c062 <- 0x97130181 +mem-write: 0x8000c066 <- 0xb130127 +mem-write: 0x8000c06a <- 0x58630006 +mem-write: 0x8000c06e <- 0x27830a07 +mem-write: 0x8000c072 <- 0x2b830086 +mem-write: 0x8000c076 <- 0x89130006 +mem-write: 0x8000c07a <- 0x9930005 +mem-write: 0x8000c07e <- 0xa930005 +mem-write: 0x8000c082 <- 0x8863fff0 +mem-write: 0x8000c086 <- 0xac030807 +mem-write: 0x8000c08a <- 0xa403004b +mem-write: 0x8000c08e <- 0x5a13000b +mem-write: 0x8000c092 <- 0x663002c +mem-write: 0x8000c096 <- 0x493060a +mem-write: 0x8000c09a <- 0x6f0000 +mem-write: 0x8000c09e <- 0x41300c0 +mem-write: 0x8000c0a2 <- 0xc630044 +mem-write: 0x8000c0a6 <- 0x2583049a +mem-write: 0x8000c0aa <- 0x6130004 +mem-write: 0x8000c0ae <- 0x85130009 +mem-write: 0x8000c0b2 <- 0x10ef0009 +mem-write: 0x8000c0b6 <- 0x849304d0 +mem-write: 0x8000c0ba <- 0x12e30014 +mem-write: 0x8000c0be <- 0x513ff55 +mem-write: 0x8000c0c2 <- 0x2083fff0 +mem-write: 0x8000c0c6 <- 0x240302c1 +mem-write: 0x8000c0ca <- 0x24230281 +mem-write: 0x8000c0ce <- 0x2223000b +mem-write: 0x8000c0d2 <- 0x2483000b +mem-write: 0x8000c0d6 <- 0x29030241 +mem-write: 0x8000c0da <- 0x29830201 +mem-write: 0x8000c0de <- 0x2a0301c1 +mem-write: 0x8000c0e2 <- 0x2a830181 +mem-write: 0x8000c0e6 <- 0x2b030141 +mem-write: 0x8000c0ea <- 0x2b830101 +mem-write: 0x8000c0ee <- 0x2c0300c1 +mem-write: 0x8000c0f2 <- 0x1130081 +mem-write: 0x8000c0f6 <- 0x80670301 +mem-write: 0x8000c0fa <- 0x27830000 +mem-write: 0x8000c0fe <- 0x7c13008b +mem-write: 0x8000c102 <- 0x87b3ffcc +mem-write: 0x8000c106 <- 0x24234187 +mem-write: 0x8000c10a <- 0x8b9300fb +mem-write: 0x8000c10e <- 0x9ce3008b +mem-write: 0x8000c112 <- 0x513f607 +mem-write: 0x8000c116 <- 0xf06f0000 +mem-write: 0x8000c11a <- 0x10effadf +mem-write: 0x8000c11e <- 0xf06f0f10 +mem-write: 0x8000c122 <- 0x2703fa5f +mem-write: 0x8000c126 <- 0x4630086 +mem-write: 0x8000c12a <- 0xf06f0007 +mem-write: 0x8000c12e <- 0x2223f09f +mem-write: 0x8000c132 <- 0x5130006 +mem-write: 0x8000c136 <- 0x80670000 +mem-write: 0x8000c13a <- 0x1130000 +mem-write: 0x8000c13e <- 0x2e23ed01 +mem-write: 0x8000c142 <- 0x2c231131 +mem-write: 0x8000c146 <- 0x26231141 +mem-write: 0x8000c14a <- 0x26231171 +mem-write: 0x8000c14e <- 0x24231211 +mem-write: 0x8000c152 <- 0x22231281 +mem-write: 0x8000c156 <- 0x20231291 +mem-write: 0x8000c15a <- 0x2a231321 +mem-write: 0x8000c15e <- 0x28231151 +mem-write: 0x8000c162 <- 0x24231161 +mem-write: 0x8000c166 <- 0x22231181 +mem-write: 0x8000c16a <- 0x20231191 +mem-write: 0x8000c16e <- 0x2e2311a1 +mem-write: 0x8000c172 <- 0x28230fb1 +mem-write: 0x8000c176 <- 0xa1300d1 +mem-write: 0x8000c17a <- 0x89930005 +mem-write: 0x8000c17e <- 0xb930005 +mem-write: 0x8000c182 <- 0x6630006 +mem-write: 0x8000c186 <- 0x27830005 +mem-write: 0x8000c18a <- 0x8e630385 +mem-write: 0x8000c18e <- 0x97035e07 +mem-write: 0x8000c192 <- 0x179300c9 +mem-write: 0x8000c196 <- 0x16930107 +mem-write: 0x8000c19a <- 0xd7930127 +mem-write: 0x8000c19e <- 0xca630107 +mem-write: 0x8000c1a2 <- 0x27b70206 +mem-write: 0x8000c1a6 <- 0xa6830000 +mem-write: 0x8000c1aa <- 0x67b30649 +mem-write: 0x8000c1ae <- 0x979300f7 +mem-write: 0x8000c1b2 <- 0xe7370107 +mem-write: 0x8000c1b6 <- 0xd793ffff +mem-write: 0x8000c1ba <- 0x7134107 +mem-write: 0x8000c1be <- 0xf733fff7 +mem-write: 0x8000c1c2 <- 0x962300e6 +mem-write: 0x8000c1c6 <- 0x979300f9 +mem-write: 0x8000c1ca <- 0xa2230107 +mem-write: 0x8000c1ce <- 0xd79306e9 +mem-write: 0x8000c1d2 <- 0xf7130107 +mem-write: 0x8000c1d6 <- 0x630087 +mem-write: 0x8000c1da <- 0xa7034007 +mem-write: 0x8000c1de <- 0xc630109 +mem-write: 0x8000c1e2 <- 0xf7933e07 +mem-write: 0x8000c1e6 <- 0x71301a7 +mem-write: 0x8000c1ea <- 0x866300a0 +mem-write: 0x8000c1ee <- 0x57b740e7 +mem-write: 0x8000c1f2 <- 0x87938001 +mem-write: 0x8000c1f6 <- 0x5b371947 +mem-write: 0x8000c1fa <- 0x4938001 +mem-write: 0x8000c1fe <- 0x2a2304c1 +mem-write: 0x8000c202 <- 0x593700f1 +mem-write: 0x8000c206 <- 0x7938001 +mem-write: 0x8000c20a <- 0x8c13300b +mem-write: 0x8000c20e <- 0x2023000b +mem-write: 0x8000c212 <- 0x24230491 +mem-write: 0x8000c216 <- 0x22230401 +mem-write: 0x8000c21a <- 0x2c230401 +mem-write: 0x8000c21e <- 0x2e230001 +mem-write: 0x8000c222 <- 0x22230001 +mem-write: 0x8000c226 <- 0x20230201 +mem-write: 0x8000c22a <- 0x26230201 +mem-write: 0x8000c22e <- 0x24230001 +mem-write: 0x8000c232 <- 0x91300f1 +mem-write: 0x8000c236 <- 0x8b933109 +mem-write: 0x8000c23a <- 0x47830004 +mem-write: 0x8000c23e <- 0x8863000c +mem-write: 0x8000c242 <- 0x4132607 +mem-write: 0x8000c246 <- 0x713000c +mem-write: 0x8000c24a <- 0x8e630250 +mem-write: 0x8000c24e <- 0x478342e7 +mem-write: 0x8000c252 <- 0x4130014 +mem-write: 0x8000c256 <- 0x9ae30014 +mem-write: 0x8000c25a <- 0xcb3fe07 +mem-write: 0x8000c25e <- 0x8634184 +mem-write: 0x8000c262 <- 0x27032584 +mem-write: 0x8000c266 <- 0x27830481 +mem-write: 0x8000c26a <- 0xa0230441 +mem-write: 0x8000c26e <- 0x8733018b +mem-write: 0x8000c272 <- 0x879300ec +mem-write: 0x8000c276 <- 0xa2230017 +mem-write: 0x8000c27a <- 0x2423019b +mem-write: 0x8000c27e <- 0x222304e1 +mem-write: 0x8000c282 <- 0x69304f1 +mem-write: 0x8000c286 <- 0x8b930070 +mem-write: 0x8000c28a <- 0xd063008b +mem-write: 0x8000c28e <- 0xae302f6 +mem-write: 0x8000c292 <- 0x6133a07 +mem-write: 0x8000c296 <- 0x85930401 +mem-write: 0x8000c29a <- 0x5130009 +mem-write: 0x8000c29e <- 0xf0ef000a +mem-write: 0x8000c2a2 <- 0x1a63d95f +mem-write: 0x8000c2a6 <- 0x8b932005 +mem-write: 0x8000c2aa <- 0x27030004 +mem-write: 0x8000c2ae <- 0x478300c1 +mem-write: 0x8000c2b2 <- 0x7330004 +mem-write: 0x8000c2b6 <- 0x26230197 +mem-write: 0x8000c2ba <- 0x8a6300e1 +mem-write: 0x8000c2be <- 0x47031e07 +mem-write: 0x8000c2c2 <- 0xc130014 +mem-write: 0x8000c2c6 <- 0xda30014 +mem-write: 0x8000c2ca <- 0xa930201 +mem-write: 0x8000c2ce <- 0x2223fff0 +mem-write: 0x8000c2d2 <- 0xb130001 +mem-write: 0x8000c2d6 <- 0xc930000 +mem-write: 0x8000c2da <- 0xd1305a0 +mem-write: 0x8000c2de <- 0x6130090 +mem-write: 0x8000c2e2 <- 0xc1302a0 +mem-write: 0x8000c2e6 <- 0x793001c +mem-write: 0x8000c2ea <- 0xe863fe07 +mem-write: 0x8000c2ee <- 0x268304fc +mem-write: 0x8000c2f2 <- 0x97930141 +mem-write: 0x8000c2f6 <- 0x87b30027 +mem-write: 0x8000c2fa <- 0xa78300d7 +mem-write: 0x8000c2fe <- 0x80670007 +mem-write: 0x8000c302 <- 0x22230007 +mem-write: 0x8000c306 <- 0x7930001 +mem-write: 0x8000c30a <- 0x2583fd07 +mem-write: 0x8000c30e <- 0x47030041 +mem-write: 0x8000c312 <- 0xc13000c +mem-write: 0x8000c316 <- 0x9693001c +mem-write: 0x8000c31a <- 0x86b30025 +mem-write: 0x8000c31e <- 0x969300b6 +mem-write: 0x8000c322 <- 0x87b30016 +mem-write: 0x8000c326 <- 0x222300d7 +mem-write: 0x8000c32a <- 0x79300f1 +mem-write: 0x8000c32e <- 0x7ee3fd07 +mem-write: 0x8000c332 <- 0x793fcfd +mem-write: 0x8000c336 <- 0xfce3fe07 +mem-write: 0x8000c33a <- 0xa63fafc +mem-write: 0x8000c33e <- 0x6231607 +mem-write: 0x8000c342 <- 0xda308e1 +mem-write: 0x8000c346 <- 0xc930201 +mem-write: 0x8000c34a <- 0xd130010 +mem-write: 0x8000c34e <- 0x4130010 +mem-write: 0x8000c352 <- 0xa9308c1 +mem-write: 0x8000c356 <- 0x7f930000 +mem-write: 0x8000c35a <- 0x8463002b +mem-write: 0x8000c35e <- 0x8c93000f +mem-write: 0x8000c362 <- 0x2703002c +mem-write: 0x8000c366 <- 0x7f130441 +mem-write: 0x8000c36a <- 0x2783084b +mem-write: 0x8000c36e <- 0x6930481 +mem-write: 0x8000c372 <- 0x86130017 +mem-write: 0x8000c376 <- 0x18630006 +mem-write: 0x8000c37a <- 0x2583000f +mem-write: 0x8000c37e <- 0x8db30041 +mem-write: 0x8000c382 <- 0x42e34195 +mem-write: 0x8000c386 <- 0x458309b0 +mem-write: 0x8000c38a <- 0x869303b1 +mem-write: 0x8000c38e <- 0x8c63008b +mem-write: 0x8000c392 <- 0x7130205 +mem-write: 0x8000c396 <- 0x879303b1 +mem-write: 0x8000c39a <- 0xa0230017 +mem-write: 0x8000c39e <- 0x71300eb +mem-write: 0x8000c3a2 <- 0xa2230010 +mem-write: 0x8000c3a6 <- 0x242300eb +mem-write: 0x8000c3aa <- 0x222304f1 +mem-write: 0x8000c3ae <- 0x71304c1 +mem-write: 0x8000c3b2 <- 0x40630070 +mem-write: 0x8000c3b6 <- 0x7137ec7 +mem-write: 0x8000c3ba <- 0x8b930006 +mem-write: 0x8000c3be <- 0x6130006 +mem-write: 0x8000c3c2 <- 0x86930016 +mem-write: 0x8000c3c6 <- 0x8e630086 +mem-write: 0x8000c3ca <- 0x713040f +mem-write: 0x8000c3ce <- 0x879303c1 +mem-write: 0x8000c3d2 <- 0xa0230027 +mem-write: 0x8000c3d6 <- 0x71300eb +mem-write: 0x8000c3da <- 0xa2230020 +mem-write: 0x8000c3de <- 0x242300eb +mem-write: 0x8000c3e2 <- 0x222304f1 +mem-write: 0x8000c3e6 <- 0x71304c1 +mem-write: 0x8000c3ea <- 0x50e30070 +mem-write: 0x8000c3ee <- 0x84e300c7 +mem-write: 0x8000c3f2 <- 0x6132a07 +mem-write: 0x8000c3f6 <- 0x85930401 +VXDRV: upload 1024 bytes to 0x8000c3fa +mem-write: 0x8000c3fa <- 0x5130009 +mem-write: 0x8000c3fe <- 0x2423000a +mem-write: 0x8000c402 <- 0xf0ef03e1 +mem-write: 0x8000c406 <- 0x1863c31f +mem-write: 0x8000c40a <- 0x27030a05 +mem-write: 0x8000c40e <- 0x27830441 +mem-write: 0x8000c412 <- 0x2f030481 +mem-write: 0x8000c416 <- 0x6930281 +mem-write: 0x8000c41a <- 0x6130541 +mem-write: 0x8000c41e <- 0x8b930017 +mem-write: 0x8000c422 <- 0x5930004 +mem-write: 0x8000c426 <- 0x6630800 +mem-write: 0x8000c42a <- 0x8ab35abf +mem-write: 0x8000c42e <- 0x426341aa +mem-write: 0x8000c432 <- 0x7b36950 +mem-write: 0x8000c436 <- 0xa02300fd +mem-write: 0x8000c43a <- 0xa223008b +mem-write: 0x8000c43e <- 0x242301ab +mem-write: 0x8000c442 <- 0x222304f1 +mem-write: 0x8000c446 <- 0x71304c1 +mem-write: 0x8000c44a <- 0x52630070 +mem-write: 0x8000c44e <- 0x8ee302c7 +mem-write: 0x8000c452 <- 0x6130a07 +mem-write: 0x8000c456 <- 0x85930401 +mem-write: 0x8000c45a <- 0x5130009 +mem-write: 0x8000c45e <- 0xf0ef000a +mem-write: 0x8000c462 <- 0x1a63bd5f +mem-write: 0x8000c466 <- 0x27830405 +mem-write: 0x8000c46a <- 0x86930481 +mem-write: 0x8000c46e <- 0x73130004 +mem-write: 0x8000c472 <- 0x863004b +mem-write: 0x8000c476 <- 0x27030003 +mem-write: 0x8000c47a <- 0xbb30041 +mem-write: 0x8000c47e <- 0x44e34197 +mem-write: 0x8000c482 <- 0x24030b70 +mem-write: 0x8000c486 <- 0x54630041 +mem-write: 0x8000c48a <- 0x84130194 +mem-write: 0x8000c48e <- 0x2703000c +mem-write: 0x8000c492 <- 0x73300c1 +mem-write: 0x8000c496 <- 0x26230087 +mem-write: 0x8000c49a <- 0x986300e1 +mem-write: 0x8000c49e <- 0x47836c07 +mem-write: 0x8000c4a2 <- 0x2223000c +mem-write: 0x8000c4a6 <- 0x8b930401 +mem-write: 0x8000c4aa <- 0x9ce30004 +mem-write: 0x8000c4ae <- 0x2783d807 +mem-write: 0x8000c4b2 <- 0x9ae30481 +mem-write: 0x8000c4b6 <- 0xd7836407 +mem-write: 0x8000c4ba <- 0xf79300c9 +mem-write: 0x8000c4be <- 0x92e30407 +mem-write: 0x8000c4c2 <- 0x20836807 +mem-write: 0x8000c4c6 <- 0x240312c1 +mem-write: 0x8000c4ca <- 0x25031281 +mem-write: 0x8000c4ce <- 0x248300c1 +mem-write: 0x8000c4d2 <- 0x29031241 +mem-write: 0x8000c4d6 <- 0x29831201 +mem-write: 0x8000c4da <- 0x2a0311c1 +mem-write: 0x8000c4de <- 0x2a831181 +mem-write: 0x8000c4e2 <- 0x2b031141 +mem-write: 0x8000c4e6 <- 0x2b831101 +mem-write: 0x8000c4ea <- 0x2c0310c1 +mem-write: 0x8000c4ee <- 0x2c831081 +mem-write: 0x8000c4f2 <- 0x2d031041 +mem-write: 0x8000c4f6 <- 0x2d831001 +mem-write: 0x8000c4fa <- 0x1130fc1 +mem-write: 0x8000c4fe <- 0x80671301 +mem-write: 0x8000c502 <- 0x5130000 +mem-write: 0x8000c506 <- 0xb0ef000a +mem-write: 0x8000c50a <- 0x2783830f +mem-write: 0x8000c50e <- 0x85130045 +mem-write: 0x8000c512 <- 0x20230007 +mem-write: 0x8000c516 <- 0xc0ef02f1 +mem-write: 0x8000c51a <- 0x793fd5f +mem-write: 0x8000c51e <- 0x5130005 +mem-write: 0x8000c522 <- 0x8413000a +mem-write: 0x8000c526 <- 0x22230007 +mem-write: 0x8000c52a <- 0xb0ef02f1 +mem-write: 0x8000c52e <- 0x278380cf +mem-write: 0x8000c532 <- 0x6130085 +mem-write: 0x8000c536 <- 0x2e2302a0 +mem-write: 0x8000c53a <- 0x18e300f1 +mem-write: 0x8000c53e <- 0x47032a04 +mem-write: 0x8000c542 <- 0xf06f000c +mem-write: 0x8000c546 <- 0x4703da1f +mem-write: 0x8000c54a <- 0x6b13000c +mem-write: 0x8000c54e <- 0xf06f020b +mem-write: 0x8000c552 <- 0x6b13d95f +mem-write: 0x8000c556 <- 0x7793010b +mem-write: 0x8000c55a <- 0x8463020b +mem-write: 0x8000c55e <- 0x27831607 +mem-write: 0x8000c562 <- 0x87930101 +mem-write: 0x8000c566 <- 0xf7930077 +mem-write: 0x8000c56a <- 0xa703ff87 +mem-write: 0x8000c56e <- 0xad030047 +mem-write: 0x8000c572 <- 0x87930007 +mem-write: 0x8000c576 <- 0x28230087 +mem-write: 0x8000c57a <- 0xc9300f1 +mem-write: 0x8000c57e <- 0x4a630007 +mem-write: 0x8000c582 <- 0x7131607 +mem-write: 0x8000c586 <- 0xd93fff0 +mem-write: 0x8000c58a <- 0x8863000b +mem-write: 0x8000c58e <- 0x673300ea +mem-write: 0x8000c592 <- 0x7d93019d +mem-write: 0x8000c596 <- 0xce3f7fb +mem-write: 0x8000c59a <- 0x96e30a07 +mem-write: 0x8000c59e <- 0x713160c +mem-write: 0x8000c5a2 <- 0x62e30090 +mem-write: 0x8000c5a6 <- 0x79317a7 +mem-write: 0x8000c5aa <- 0x7a3030d +mem-write: 0x8000c5ae <- 0x8b130ef1 +mem-write: 0x8000c5b2 <- 0xd13000d +mem-write: 0x8000c5b6 <- 0x4130010 +mem-write: 0x8000c5ba <- 0x8c930ef1 +mem-write: 0x8000c5be <- 0xd463000a +mem-write: 0x8000c5c2 <- 0xc9301aa +mem-write: 0x8000c5c6 <- 0x4783000d +mem-write: 0x8000c5ca <- 0x37b303b1 +mem-write: 0x8000c5ce <- 0x8cb300f0 +mem-write: 0x8000c5d2 <- 0xf06f00fc +mem-write: 0x8000c5d6 <- 0x8593d85f +mem-write: 0x8000c5da <- 0x5130009 +mem-write: 0x8000c5de <- 0x70ef000a +mem-write: 0x8000c5e2 <- 0x10e3d08f +mem-write: 0x8000c5e6 <- 0xd7835605 +mem-write: 0x8000c5ea <- 0x71300c9 +mem-write: 0x8000c5ee <- 0xf79300a0 +mem-write: 0x8000c5f2 <- 0x9ee301a7 +mem-write: 0x8000c5f6 <- 0x9783bee7 +mem-write: 0x8000c5fa <- 0xcae300e9 +mem-write: 0x8000c5fe <- 0x2683be07 +mem-write: 0x8000c602 <- 0x86130101 +mem-write: 0x8000c606 <- 0x8593000b +mem-write: 0x8000c60a <- 0x5130009 +mem-write: 0x8000c60e <- 0xef000a +mem-write: 0x8000c612 <- 0x26235890 +mem-write: 0x8000c616 <- 0xf06f00a1 +mem-write: 0x8000c61a <- 0x6b13eadf +mem-write: 0x8000c61e <- 0x7793010b +mem-write: 0x8000c622 <- 0x8a63020b +mem-write: 0x8000c626 <- 0x27830607 +mem-write: 0x8000c62a <- 0x87930101 +mem-write: 0x8000c62e <- 0xf7930077 +mem-write: 0x8000c632 <- 0xad03ff87 +mem-write: 0x8000c636 <- 0xac830007 +mem-write: 0x8000c63a <- 0x87930047 +mem-write: 0x8000c63e <- 0x28230087 +mem-write: 0x8000c642 <- 0x7d9300f1 +mem-write: 0x8000c646 <- 0x713bffb +mem-write: 0x8000c64a <- 0xda30000 +mem-write: 0x8000c64e <- 0x6930201 +mem-write: 0x8000c652 <- 0x8463fff0 +mem-write: 0x8000c656 <- 0x66b30cda +mem-write: 0x8000c65a <- 0xfb13019d +mem-write: 0x8000c65e <- 0x9063f7fd +mem-write: 0x8000c662 <- 0x9c635a06 +mem-write: 0x8000c666 <- 0x1863300a +mem-write: 0x8000c66a <- 0xfd137e07 +mem-write: 0x8000c66e <- 0x413001d +mem-write: 0x8000c672 <- 0x4e30f01 +mem-write: 0x8000c676 <- 0x793f40d +mem-write: 0x8000c67a <- 0x7a30300 +mem-write: 0x8000c67e <- 0x4130ef1 +mem-write: 0x8000c682 <- 0xf06f0ef1 +mem-write: 0x8000c686 <- 0xcb3f39f +mem-write: 0x8000c68a <- 0x1ce34184 +mem-write: 0x8000c68e <- 0x4783bd84 +mem-write: 0x8000c692 <- 0xf06f0004 +mem-write: 0x8000c696 <- 0x2683c29f +mem-write: 0x8000c69a <- 0x77930101 +mem-write: 0x8000c69e <- 0x8713010b +mem-write: 0x8000c6a2 <- 0x9ce30046 +mem-write: 0x8000c6a6 <- 0x77931607 +mem-write: 0x8000c6aa <- 0x88e3040b +mem-write: 0x8000c6ae <- 0x27833807 +mem-write: 0x8000c6b2 <- 0xc930101 +mem-write: 0x8000c6b6 <- 0x28230000 +mem-write: 0x8000c6ba <- 0xdd0300e1 +mem-write: 0x8000c6be <- 0xf06f0007 +mem-write: 0x8000c6c2 <- 0x2683f85f +mem-write: 0x8000c6c6 <- 0x77930101 +mem-write: 0x8000c6ca <- 0x8713010b +mem-write: 0x8000c6ce <- 0x94e30046 +mem-write: 0x8000c6d2 <- 0x77931007 +mem-write: 0x8000c6d6 <- 0x82e3040b +mem-write: 0x8000c6da <- 0x27833207 +mem-write: 0x8000c6de <- 0x28230101 +mem-write: 0x8000c6e2 <- 0x9d0300e1 +mem-write: 0x8000c6e6 <- 0x5c930007 +mem-write: 0x8000c6ea <- 0x871341fd +mem-write: 0x8000c6ee <- 0x5ae3000c +mem-write: 0x8000c6f2 <- 0x3733e807 +mem-write: 0x8000c6f6 <- 0xeb301a0 +mem-write: 0x8000c6fa <- 0x8cb34190 +mem-write: 0x8000c6fe <- 0x71340ee +mem-write: 0x8000c702 <- 0xda302d0 +mem-write: 0x8000c706 <- 0x69302e1 +mem-write: 0x8000c70a <- 0xd33fff0 +mem-write: 0x8000c70e <- 0xd9341a0 +mem-write: 0x8000c712 <- 0x713000b +mem-write: 0x8000c716 <- 0x90e30010 +mem-write: 0x8000c71a <- 0x693f4da +mem-write: 0x8000c71e <- 0xee30010 +mem-write: 0x8000c722 <- 0x693e6d7 +mem-write: 0x8000c726 <- 0x4630020 +mem-write: 0x8000c72a <- 0x41326d7 +mem-write: 0x8000c72e <- 0x97930f01 +mem-write: 0x8000c732 <- 0x771301dc +mem-write: 0x8000c736 <- 0x5d13007d +mem-write: 0x8000c73a <- 0x713003d +mem-write: 0x8000c73e <- 0xed330307 +mem-write: 0x8000c742 <- 0xdc9301a7 +mem-write: 0x8000c746 <- 0xfa3003c +mem-write: 0x8000c74a <- 0x67b3fee4 +mem-write: 0x8000c74e <- 0x613019d +mem-write: 0x8000c752 <- 0x4130004 +mem-write: 0x8000c756 <- 0x9ce3fff4 +mem-write: 0x8000c75a <- 0xf793fc07 +mem-write: 0x8000c75e <- 0x8263001d +mem-write: 0x8000c762 <- 0x7932607 +mem-write: 0x8000c766 <- 0xe630300 +mem-write: 0x8000c76a <- 0x61324f7 +mem-write: 0x8000c76e <- 0xfa3ffe6 +mem-write: 0x8000c772 <- 0x793fef4 +mem-write: 0x8000c776 <- 0x8d330f01 +mem-write: 0x8000c77a <- 0x8b1340c7 +mem-write: 0x8000c77e <- 0x413000d +mem-write: 0x8000c782 <- 0xf06f0006 +mem-write: 0x8000c786 <- 0x70efe39f +mem-write: 0x8000c78a <- 0xf06fc6df +mem-write: 0x8000c78e <- 0x2783a05f +mem-write: 0x8000c792 <- 0xda30101 +mem-write: 0x8000c796 <- 0xa4030201 +mem-write: 0x8000c79a <- 0x8d930007 +mem-write: 0x8000c79e <- 0xe30047 +mem-write: 0x8000c7a2 <- 0x7932204 +mem-write: 0x8000c7a6 <- 0x88e3fff0 +mem-write: 0x8000c7aa <- 0x861312fa +mem-write: 0x8000c7ae <- 0x593000a +mem-write: 0x8000c7b2 <- 0x5130000 +mem-write: 0x8000c7b6 <- 0xb0ef0004 +mem-write: 0x8000c7ba <- 0xe3f18f +mem-write: 0x8000c7be <- 0xd333605 +mem-write: 0x8000c7c2 <- 0x28234085 +mem-write: 0x8000c7c6 <- 0xa9301b1 +mem-write: 0x8000c7ca <- 0xf06f0000 +mem-write: 0x8000c7ce <- 0x2703df1f +mem-write: 0x8000c7d2 <- 0xda30101 +mem-write: 0x8000c7d6 <- 0xc930201 +mem-write: 0x8000c7da <- 0x27830010 +mem-write: 0x8000c7de <- 0x7130007 +mem-write: 0x8000c7e2 <- 0x28230047 +mem-write: 0x8000c7e6 <- 0x62300e1 +mem-write: 0x8000c7ea <- 0xd1308f1 +mem-write: 0x8000c7ee <- 0x4130010 +mem-write: 0x8000c7f2 <- 0xf06f08c1 +mem-write: 0x8000c7f6 <- 0x2783b61f +VXDRV: upload 1024 bytes to 0x8000c7fa +mem-write: 0x8000c7fa <- 0x87370101 +mem-write: 0x8000c7fe <- 0x4713ffff +mem-write: 0x8000c802 <- 0xad038307 +mem-write: 0x8000c806 <- 0x87930007 +mem-write: 0x8000c80a <- 0x28230047 +mem-write: 0x8000c80e <- 0x57b700f1 +mem-write: 0x8000c812 <- 0x87938001 +mem-write: 0x8000c816 <- 0x1e23a3c7 +mem-write: 0x8000c81a <- 0xc9302e1 +mem-write: 0x8000c81e <- 0x6d930000 +mem-write: 0x8000c822 <- 0x2c23002b +mem-write: 0x8000c826 <- 0x71300f1 +mem-write: 0x8000c82a <- 0xf06f0020 +mem-write: 0x8000c82e <- 0x4703e21f +mem-write: 0x8000c832 <- 0x793000c +mem-write: 0x8000c836 <- 0x6e306c0 +mem-write: 0x8000c83a <- 0x6b1316f7 +mem-write: 0x8000c83e <- 0xf06f010b +mem-write: 0x8000c842 <- 0x4703aa5f +mem-write: 0x8000c846 <- 0x793000c +mem-write: 0x8000c84a <- 0x4e30680 +mem-write: 0x8000c84e <- 0x6b1314f7 +mem-write: 0x8000c852 <- 0xf06f040b +mem-write: 0x8000c856 <- 0x6d93a91f +mem-write: 0x8000c85a <- 0xf793010b +mem-write: 0x8000c85e <- 0x8463020d +mem-write: 0x8000c862 <- 0x27836007 +mem-write: 0x8000c866 <- 0x7130101 +mem-write: 0x8000c86a <- 0x87930010 +mem-write: 0x8000c86e <- 0xf7930077 +mem-write: 0x8000c872 <- 0xad03ff87 +mem-write: 0x8000c876 <- 0xac830007 +mem-write: 0x8000c87a <- 0x87930047 +mem-write: 0x8000c87e <- 0x28230087 +mem-write: 0x8000c882 <- 0xf06f00f1 +mem-write: 0x8000c886 <- 0x4703dc9f +mem-write: 0x8000c88a <- 0x6b13000c +mem-write: 0x8000c88e <- 0xf06f080b +mem-write: 0x8000c892 <- 0x2683a55f +mem-write: 0x8000c896 <- 0x47030101 +mem-write: 0x8000c89a <- 0xa783000c +mem-write: 0x8000c89e <- 0x86930006 +mem-write: 0x8000c8a2 <- 0x28230046 +mem-write: 0x8000c8a6 <- 0x222300d1 +mem-write: 0x8000c8aa <- 0xdce300f1 +mem-write: 0x8000c8ae <- 0x7b3a207 +mem-write: 0x8000c8b2 <- 0x222340f0 +mem-write: 0x8000c8b6 <- 0x6b1300f1 +mem-write: 0x8000c8ba <- 0xf06f004b +mem-write: 0x8000c8be <- 0x4703a29f +mem-write: 0x8000c8c2 <- 0x6b13000c +mem-write: 0x8000c8c6 <- 0xf06f001b +mem-write: 0x8000c8ca <- 0x4783a1df +mem-write: 0x8000c8ce <- 0x470303b1 +mem-write: 0x8000c8d2 <- 0x98e3000c +mem-write: 0x8000c8d6 <- 0x793a007 +mem-write: 0x8000c8da <- 0xda30200 +mem-write: 0x8000c8de <- 0xf06f02f1 +mem-write: 0x8000c8e2 <- 0x4703a05f +mem-write: 0x8000c8e6 <- 0x6b13000c +mem-write: 0x8000c8ea <- 0xf06f004b +mem-write: 0x8000c8ee <- 0x7939f9f +mem-write: 0x8000c8f2 <- 0x470302b0 +mem-write: 0x8000c8f6 <- 0xda3000c +mem-write: 0x8000c8fa <- 0xf06f02f1 +mem-write: 0x8000c8fe <- 0x47039e9f +mem-write: 0x8000c902 <- 0x693000c +mem-write: 0x8000c906 <- 0xae3001c +mem-write: 0x8000c90a <- 0x79324c7 +mem-write: 0x8000c90e <- 0x8c13fd07 +mem-write: 0x8000c912 <- 0xa930006 +mem-write: 0x8000c916 <- 0x68e30000 +mem-write: 0x8000c91a <- 0x47039cfd +mem-write: 0x8000c91e <- 0x9693000c +mem-write: 0x8000c922 <- 0x8ab3002a +mem-write: 0x8000c926 <- 0x9a930156 +mem-write: 0x8000c92a <- 0x8ab3001a +mem-write: 0x8000c92e <- 0x79300fa +mem-write: 0x8000c932 <- 0xc13fd07 +mem-write: 0x8000c936 <- 0x72e3001c +mem-write: 0x8000c93a <- 0xf06ffefd +mem-write: 0x8000c93e <- 0x26839adf +mem-write: 0x8000c942 <- 0x77930101 +mem-write: 0x8000c946 <- 0xa703020b +mem-write: 0x8000c94a <- 0x86930006 +mem-write: 0x8000c94e <- 0x28230046 +mem-write: 0x8000c952 <- 0x9a6300d1 +mem-write: 0x8000c956 <- 0x77936a07 +mem-write: 0x8000c95a <- 0x9ce3010b +mem-write: 0x8000c95e <- 0x77930407 +mem-write: 0x8000c962 <- 0x90e3040b +mem-write: 0x8000c966 <- 0x73131407 +mem-write: 0x8000c96a <- 0x4e3200b +mem-write: 0x8000c96e <- 0x27830403 +mem-write: 0x8000c972 <- 0x2300c1 +mem-write: 0x8000c976 <- 0xf06f00f7 +mem-write: 0x8000c97a <- 0x6938c5f +mem-write: 0x8000c97e <- 0xee30010 +mem-write: 0x8000c982 <- 0x6931ad7 +mem-write: 0x8000c986 <- 0xd930020 +mem-write: 0x8000c98a <- 0x10e3000b +mem-write: 0x8000c98e <- 0x2683dad7 +mem-write: 0x8000c992 <- 0x4130181 +mem-write: 0x8000c996 <- 0x77930f01 +mem-write: 0x8000c99a <- 0x87b300fd +mem-write: 0x8000c99e <- 0xc70300f6 +mem-write: 0x8000c9a2 <- 0x5d130007 +mem-write: 0x8000c9a6 <- 0x9793004d +mem-write: 0x8000c9aa <- 0xed3301cc +mem-write: 0x8000c9ae <- 0xdc9301a7 +mem-write: 0x8000c9b2 <- 0xfa3004c +mem-write: 0x8000c9b6 <- 0x67b3fee4 +mem-write: 0x8000c9ba <- 0x413019d +mem-write: 0x8000c9be <- 0x9ce3fff4 +mem-write: 0x8000c9c2 <- 0x793fc07 +mem-write: 0x8000c9c6 <- 0x8d330f01 +mem-write: 0x8000c9ca <- 0x8b134087 +mem-write: 0x8000c9ce <- 0xf06f000d +mem-write: 0x8000c9d2 <- 0x2583bedf +mem-write: 0x8000c9d6 <- 0x8db30041 +mem-write: 0x8000c9da <- 0x58e34195 +mem-write: 0x8000c9de <- 0x593a5b0 +mem-write: 0x8000c9e2 <- 0xd6e30100 +mem-write: 0x8000c9e6 <- 0xe9317b5 +mem-write: 0x8000c9ea <- 0xf130100 +mem-write: 0x8000c9ee <- 0x6f0070 +mem-write: 0x8000c9f2 <- 0x6130180 +mem-write: 0x8000c9f6 <- 0x8b930027 +mem-write: 0x8000c9fa <- 0x8713008b +mem-write: 0x8000c9fe <- 0x8d930006 +mem-write: 0x8000ca02 <- 0xdc63ff0d +mem-write: 0x8000ca06 <- 0x879305be +mem-write: 0x8000ca0a <- 0x6930107 +mem-write: 0x8000ca0e <- 0xa0230017 +mem-write: 0x8000ca12 <- 0xa223012b +mem-write: 0x8000ca16 <- 0x242301db +mem-write: 0x8000ca1a <- 0x222304f1 +mem-write: 0x8000ca1e <- 0x5ae304d1 +mem-write: 0x8000ca22 <- 0x8063fcdf +mem-write: 0x8000ca26 <- 0x6131607 +mem-write: 0x8000ca2a <- 0x85930401 +mem-write: 0x8000ca2e <- 0x5130009 +mem-write: 0x8000ca32 <- 0xf0ef000a +mem-write: 0x8000ca36 <- 0x10e3e00f +mem-write: 0x8000ca3a <- 0x2703a805 +mem-write: 0x8000ca3e <- 0xe930441 +mem-write: 0x8000ca42 <- 0x8d930100 +mem-write: 0x8000ca46 <- 0x2783ff0d +mem-write: 0x8000ca4a <- 0x8b930481 +mem-write: 0x8000ca4e <- 0x6130004 +mem-write: 0x8000ca52 <- 0xf130017 +mem-write: 0x8000ca56 <- 0xc8e30070 +mem-write: 0x8000ca5a <- 0x593fbbe +mem-write: 0x8000ca5e <- 0x85130006 +mem-write: 0x8000ca62 <- 0x87b3008b +mem-write: 0x8000ca66 <- 0xa02301b7 +mem-write: 0x8000ca6a <- 0xa223012b +mem-write: 0x8000ca6e <- 0x242301bb +mem-write: 0x8000ca72 <- 0x222304f1 +mem-write: 0x8000ca76 <- 0x71304b1 +mem-write: 0x8000ca7a <- 0x5a630070 +mem-write: 0x8000ca7e <- 0x866352b7 +mem-write: 0x8000ca82 <- 0x6137e07 +mem-write: 0x8000ca86 <- 0x85930401 +mem-write: 0x8000ca8a <- 0x5130009 +mem-write: 0x8000ca8e <- 0xf0ef000a +mem-write: 0x8000ca92 <- 0x12e3da4f +mem-write: 0x8000ca96 <- 0x2703a205 +mem-write: 0x8000ca9a <- 0x8ab30441 +mem-write: 0x8000ca9e <- 0x278341aa +mem-write: 0x8000caa2 <- 0x6930481 +mem-write: 0x8000caa6 <- 0x6130541 +mem-write: 0x8000caaa <- 0x8b930017 +mem-write: 0x8000caae <- 0x52e30004 +mem-write: 0x8000cab2 <- 0x5939950 +mem-write: 0x8000cab6 <- 0xd0630100 +mem-write: 0x8000caba <- 0x8937b55 +mem-write: 0x8000cabe <- 0xd930100 +mem-write: 0x8000cac2 <- 0x6f0070 +mem-write: 0x8000cac6 <- 0x6130180 +mem-write: 0x8000caca <- 0x8b930027 +mem-write: 0x8000cace <- 0x8713008b +mem-write: 0x8000cad2 <- 0x8a930006 +mem-write: 0x8000cad6 <- 0xda63ff0a +mem-write: 0x8000cada <- 0x87930558 +mem-write: 0x8000cade <- 0x6930107 +mem-write: 0x8000cae2 <- 0xa0230017 +mem-write: 0x8000cae6 <- 0xa223012b +mem-write: 0x8000caea <- 0x2423011b +mem-write: 0x8000caee <- 0x222304f1 +mem-write: 0x8000caf2 <- 0xdae304d1 +mem-write: 0x8000caf6 <- 0x8263fcdd +mem-write: 0x8000cafa <- 0x6130607 +mem-write: 0x8000cafe <- 0x85930401 +mem-write: 0x8000cb02 <- 0x5130009 +mem-write: 0x8000cb06 <- 0xf0ef000a +mem-write: 0x8000cb0a <- 0x16e3d2cf +mem-write: 0x8000cb0e <- 0x27039a05 +mem-write: 0x8000cb12 <- 0x8930441 +mem-write: 0x8000cb16 <- 0x8a930100 +mem-write: 0x8000cb1a <- 0x2783ff0a +mem-write: 0x8000cb1e <- 0x8b930481 +mem-write: 0x8000cb22 <- 0x6130004 +mem-write: 0x8000cb26 <- 0xcae30017 +mem-write: 0x8000cb2a <- 0x8593fb58 +mem-write: 0x8000cb2e <- 0x87b3008b +mem-write: 0x8000cb32 <- 0xa0230157 +mem-write: 0x8000cb36 <- 0xa223012b +mem-write: 0x8000cb3a <- 0x2423015b +mem-write: 0x8000cb3e <- 0x222304f1 +mem-write: 0x8000cb42 <- 0x71304c1 +mem-write: 0x8000cb46 <- 0x46630070 +mem-write: 0x8000cb4a <- 0x6132cc7 +mem-write: 0x8000cb4e <- 0x86930016 +mem-write: 0x8000cb52 <- 0x8b930085 +mem-write: 0x8000cb56 <- 0xf06f0005 +mem-write: 0x8000cb5a <- 0x6138ddf +mem-write: 0x8000cb5e <- 0x7130010 +mem-write: 0x8000cb62 <- 0x8b930000 +mem-write: 0x8000cb66 <- 0xf06f0004 +mem-write: 0x8000cb6a <- 0x613f6df +mem-write: 0x8000cb6e <- 0x85930401 +mem-write: 0x8000cb72 <- 0x5130009 +mem-write: 0x8000cb76 <- 0xf0ef000a +mem-write: 0x8000cb7a <- 0x2e3cbcf +mem-write: 0x8000cb7e <- 0xf06f9205 +mem-write: 0x8000cb82 <- 0x613939f +mem-write: 0x8000cb86 <- 0x7130010 +mem-write: 0x8000cb8a <- 0x8b930000 +mem-write: 0x8000cb8e <- 0xf06f0004 +mem-write: 0x8000cb92 <- 0x8c63e71f +mem-write: 0x8000cb96 <- 0x6133007 +mem-write: 0x8000cb9a <- 0x85930401 +mem-write: 0x8000cb9e <- 0x5130009 +mem-write: 0x8000cba2 <- 0x2623000a +mem-write: 0x8000cba6 <- 0x242303e1 +mem-write: 0x8000cbaa <- 0xf0ef03f1 +mem-write: 0x8000cbae <- 0x14e3c88f +mem-write: 0x8000cbb2 <- 0x27039005 +mem-write: 0x8000cbb6 <- 0x27830441 +mem-write: 0x8000cbba <- 0x2f030481 +mem-write: 0x8000cbbe <- 0x2f8302c1 +mem-write: 0x8000cbc2 <- 0x6930281 +mem-write: 0x8000cbc6 <- 0x6130541 +mem-write: 0x8000cbca <- 0x8b930017 +mem-write: 0x8000cbce <- 0xf06f0004 +mem-write: 0x8000cbd2 <- 0x793ff8f +mem-write: 0x8000cbd6 <- 0x262303c1 +mem-write: 0x8000cbda <- 0x79304f1 +mem-write: 0x8000cbde <- 0x28230020 +mem-write: 0x8000cbe2 <- 0x61304f1 +mem-write: 0x8000cbe6 <- 0x6930010 +mem-write: 0x8000cbea <- 0x7130541 +mem-write: 0x8000cbee <- 0x8b930006 +mem-write: 0x8000cbf2 <- 0x6130006 +mem-write: 0x8000cbf6 <- 0x86930017 +VXDRV: upload 1024 bytes to 0x8000cbfa +mem-write: 0x8000cbfa <- 0xf06f008b +mem-write: 0x8000cbfe <- 0xd93829f +mem-write: 0x8000cc02 <- 0xf06f000b +mem-write: 0x8000cc06 <- 0x613b19f +mem-write: 0x8000cc0a <- 0x50630100 +mem-write: 0x8000cc0e <- 0x861373b6 +mem-write: 0x8000cc12 <- 0xe93000b +mem-write: 0x8000cc16 <- 0xb930100 +mem-write: 0x8000cc1a <- 0x2930004 +mem-write: 0x8000cc1e <- 0x84130070 +mem-write: 0x8000cc22 <- 0x24230009 +mem-write: 0x8000cc26 <- 0x899303f1 +mem-write: 0x8000cc2a <- 0xd93000d +mem-write: 0x8000cc2e <- 0x8c13000c +mem-write: 0x8000cc32 <- 0xa93000a +mem-write: 0x8000cc36 <- 0x6f000f +mem-write: 0x8000cc3a <- 0x51301c0 +mem-write: 0x8000cc3e <- 0x6130027 +mem-write: 0x8000cc42 <- 0x87130086 +mem-write: 0x8000cc46 <- 0x89930006 +mem-write: 0x8000cc4a <- 0xde63ff09 +mem-write: 0x8000cc4e <- 0x693053e +mem-write: 0x8000cc52 <- 0x25830017 +mem-write: 0x8000cc56 <- 0x87930081 +mem-write: 0x8000cc5a <- 0x22230107 +mem-write: 0x8000cc5e <- 0x202301d6 +mem-write: 0x8000cc62 <- 0x242300b6 +mem-write: 0x8000cc66 <- 0x222304f1 +mem-write: 0x8000cc6a <- 0xd8e304d1 +mem-write: 0x8000cc6e <- 0x8663fcd2 +mem-write: 0x8000cc72 <- 0x6130807 +mem-write: 0x8000cc76 <- 0x5930401 +mem-write: 0x8000cc7a <- 0x5130004 +mem-write: 0x8000cc7e <- 0xf0ef000a +mem-write: 0x8000cc82 <- 0x1663bb4f +mem-write: 0x8000cc86 <- 0x27034c05 +mem-write: 0x8000cc8a <- 0xe930441 +mem-write: 0x8000cc8e <- 0x89930100 +mem-write: 0x8000cc92 <- 0x2783ff09 +mem-write: 0x8000cc96 <- 0x86130481 +mem-write: 0x8000cc9a <- 0x5130004 +mem-write: 0x8000cc9e <- 0x2930017 +mem-write: 0x8000cca2 <- 0xc6e30070 +mem-write: 0x8000cca6 <- 0x2f83fb3e +mem-write: 0x8000ccaa <- 0x8f130281 +mem-write: 0x8000ccae <- 0x593000a +mem-write: 0x8000ccb2 <- 0xa930005 +mem-write: 0x8000ccb6 <- 0x8c13000c +mem-write: 0x8000ccba <- 0x8d93000d +mem-write: 0x8000ccbe <- 0x9930009 +mem-write: 0x8000ccc2 <- 0x84130004 +mem-write: 0x8000ccc6 <- 0xb93000b +mem-write: 0x8000ccca <- 0x27030006 +mem-write: 0x8000ccce <- 0x87b30081 +mem-write: 0x8000ccd2 <- 0xa22301b7 +mem-write: 0x8000ccd6 <- 0xa02301bb +mem-write: 0x8000ccda <- 0x242300eb +mem-write: 0x8000ccde <- 0x222304f1 +mem-write: 0x8000cce2 <- 0x71304b1 +mem-write: 0x8000cce6 <- 0x42630070 +mem-write: 0x8000ccea <- 0x8b931eb7 +mem-write: 0x8000ccee <- 0x8613008b +mem-write: 0x8000ccf2 <- 0x87130015 +mem-write: 0x8000ccf6 <- 0xf06f0005 +mem-write: 0x8000ccfa <- 0x713e90f +mem-write: 0x8000ccfe <- 0x5130000 +mem-write: 0x8000cd02 <- 0x86130010 +mem-write: 0x8000cd06 <- 0xf06f0004 +mem-write: 0x8000cd0a <- 0x2223f41f +mem-write: 0x8000cd0e <- 0x73130401 +mem-write: 0x8000cd12 <- 0x263004b +mem-write: 0x8000cd16 <- 0x27030e03 +mem-write: 0x8000cd1a <- 0xbb30041 +mem-write: 0x8000cd1e <- 0x5c634197 +mem-write: 0x8000cd22 <- 0x86930d70 +mem-write: 0x8000cd26 <- 0x7130004 +mem-write: 0x8000cd2a <- 0x26030100 +mem-write: 0x8000cd2e <- 0x52630441 +mem-write: 0x8000cd32 <- 0xd136177 +mem-write: 0x8000cd36 <- 0xd930100 +mem-write: 0x8000cd3a <- 0x6f0070 +mem-write: 0x8000cd3e <- 0x5130180 +mem-write: 0x8000cd42 <- 0x86930026 +mem-write: 0x8000cd46 <- 0x6130086 +mem-write: 0x8000cd4a <- 0x8b930007 +mem-write: 0x8000cd4e <- 0x5a63ff0b +mem-write: 0x8000cd52 <- 0x2583057d +mem-write: 0x8000cd56 <- 0x87930081 +mem-write: 0x8000cd5a <- 0x7130107 +mem-write: 0x8000cd5e <- 0xa0230016 +mem-write: 0x8000cd62 <- 0xa22300b6 +mem-write: 0x8000cd66 <- 0x242301a6 +mem-write: 0x8000cd6a <- 0x222304f1 +mem-write: 0x8000cd6e <- 0xd8e304e1 +mem-write: 0x8000cd72 <- 0x8a63fced +mem-write: 0x8000cd76 <- 0x6130607 +mem-write: 0x8000cd7a <- 0x85930401 +mem-write: 0x8000cd7e <- 0x5130009 +mem-write: 0x8000cd82 <- 0xf0ef000a +mem-write: 0x8000cd86 <- 0x1863ab0f +mem-write: 0x8000cd8a <- 0x2603f205 +mem-write: 0x8000cd8e <- 0x8b930441 +mem-write: 0x8000cd92 <- 0x2783ff0b +mem-write: 0x8000cd96 <- 0x86930481 +mem-write: 0x8000cd9a <- 0x5130004 +mem-write: 0x8000cd9e <- 0x4ae30016 +mem-write: 0x8000cda2 <- 0x593fb7d +mem-write: 0x8000cda6 <- 0x27030005 +mem-write: 0x8000cdaa <- 0x87b30081 +mem-write: 0x8000cdae <- 0xa2230177 +mem-write: 0x8000cdb2 <- 0xa0230176 +mem-write: 0x8000cdb6 <- 0x242300e6 +mem-write: 0x8000cdba <- 0x222304f1 +mem-write: 0x8000cdbe <- 0x71304b1 +mem-write: 0x8000cdc2 <- 0x50630070 +mem-write: 0x8000cdc6 <- 0x8863ecb7 +mem-write: 0x8000cdca <- 0x6130207 +mem-write: 0x8000cdce <- 0x85930401 +mem-write: 0x8000cdd2 <- 0x5130009 +mem-write: 0x8000cdd6 <- 0xf0ef000a +mem-write: 0x8000cdda <- 0x1e63a5cf +mem-write: 0x8000cdde <- 0x2783ec05 +mem-write: 0x8000cde2 <- 0xf06f0481 +mem-write: 0x8000cde6 <- 0x513ea0f +mem-write: 0x8000cdea <- 0x6130010 +mem-write: 0x8000cdee <- 0x86930000 +mem-write: 0x8000cdf2 <- 0xf06f0004 +mem-write: 0x8000cdf6 <- 0x2403f59f +mem-write: 0x8000cdfa <- 0x54630041 +mem-write: 0x8000cdfe <- 0x84130194 +mem-write: 0x8000ce02 <- 0x2783000c +mem-write: 0x8000ce06 <- 0x87b300c1 +mem-write: 0x8000ce0a <- 0x26230087 +mem-write: 0x8000ce0e <- 0xf06f00f1 +mem-write: 0x8000ce12 <- 0x8263e90f +mem-write: 0x8000ce16 <- 0x6133407 +mem-write: 0x8000ce1a <- 0x85930401 +mem-write: 0x8000ce1e <- 0x5130009 +mem-write: 0x8000ce22 <- 0xf0ef000a +mem-write: 0x8000ce26 <- 0x1863a10f +mem-write: 0x8000ce2a <- 0x2603e805 +mem-write: 0x8000ce2e <- 0x27830441 +mem-write: 0x8000ce32 <- 0x6930481 +mem-write: 0x8000ce36 <- 0x6130541 +mem-write: 0x8000ce3a <- 0x8b930016 +mem-write: 0x8000ce3e <- 0xf06f0004 +mem-write: 0x8000ce42 <- 0x2223df4f +mem-write: 0x8000ce46 <- 0x8b930401 +mem-write: 0x8000ce4a <- 0xf06f0004 +mem-write: 0x8000ce4e <- 0x9c63c60f +mem-write: 0x8000ce52 <- 0x8b13f40a +mem-write: 0x8000ce56 <- 0xa93000d +mem-write: 0x8000ce5a <- 0xd130000 +mem-write: 0x8000ce5e <- 0x4130000 +mem-write: 0x8000ce62 <- 0xf06f0f01 +mem-write: 0x8000ce66 <- 0x2683f58f +mem-write: 0x8000ce6a <- 0xf7930101 +mem-write: 0x8000ce6e <- 0x8713010d +mem-write: 0x8000ce72 <- 0x98630046 +mem-write: 0x8000ce76 <- 0xf7931407 +mem-write: 0x8000ce7a <- 0x8063040d +mem-write: 0x8000ce7e <- 0x27833a07 +mem-write: 0x8000ce82 <- 0xc930101 +mem-write: 0x8000ce86 <- 0x28230000 +mem-write: 0x8000ce8a <- 0xdd0300e1 +mem-write: 0x8000ce8e <- 0x7130007 +mem-write: 0x8000ce92 <- 0xf06f0010 +mem-write: 0x8000ce96 <- 0x693fb8f +mem-write: 0x8000ce9a <- 0x6130541 +mem-write: 0x8000ce9e <- 0x7130010 +mem-write: 0x8000cea2 <- 0x8b930000 +mem-write: 0x8000cea6 <- 0xf06f0004 +mem-write: 0x8000ceaa <- 0x8063d7cf +mem-write: 0x8000ceae <- 0x793180f +mem-write: 0x8000ceb2 <- 0x262303c1 +mem-write: 0x8000ceb6 <- 0x79304f1 +mem-write: 0x8000ceba <- 0x28230020 +mem-write: 0x8000cebe <- 0x71304f1 +mem-write: 0x8000cec2 <- 0xb930010 +mem-write: 0x8000cec6 <- 0xf06f0541 +mem-write: 0x8000ceca <- 0x8263d2df +mem-write: 0x8000cece <- 0x6132207 +mem-write: 0x8000ced2 <- 0x85930401 +mem-write: 0x8000ced6 <- 0x5130009 +mem-write: 0x8000ceda <- 0x2623000a +mem-write: 0x8000cede <- 0x242303e1 +mem-write: 0x8000cee2 <- 0xf0ef03f1 +mem-write: 0x8000cee6 <- 0x1863950f +mem-write: 0x8000ceea <- 0x2703dc05 +mem-write: 0x8000ceee <- 0x27830441 +mem-write: 0x8000cef2 <- 0x2f030481 +mem-write: 0x8000cef6 <- 0x2f8302c1 +mem-write: 0x8000cefa <- 0x8b930281 +mem-write: 0x8000cefe <- 0x6130004 +mem-write: 0x8000cf02 <- 0xf06f0017 +mem-write: 0x8000cf06 <- 0xf793c84f +mem-write: 0x8000cf0a <- 0x2423400d +mem-write: 0x8000cf0e <- 0x26230341 +mem-write: 0x8000cf12 <- 0x8a130331 +mem-write: 0x8000cf16 <- 0x993000c +mem-write: 0x8000cf1a <- 0xb13000d +mem-write: 0x8000cf1e <- 0x2d030000 +mem-write: 0x8000cf22 <- 0x41301c1 +mem-write: 0x8000cf26 <- 0x8c930f01 +mem-write: 0x8000cf2a <- 0x6f0007 +mem-write: 0x8000cf2e <- 0x6130240 +mem-write: 0x8000cf32 <- 0x69300a0 +mem-write: 0x8000cf36 <- 0x85130000 +mem-write: 0x8000cf3a <- 0x5930009 +mem-write: 0x8000cf3e <- 0x30ef000a +mem-write: 0x8000cf42 <- 0xe634a80 +mem-write: 0x8000cf46 <- 0x993300a +mem-write: 0x8000cf4a <- 0x8a130005 +mem-write: 0x8000cf4e <- 0x6130005 +mem-write: 0x8000cf52 <- 0x69300a0 +mem-write: 0x8000cf56 <- 0x85130000 +mem-write: 0x8000cf5a <- 0x5930009 +mem-write: 0x8000cf5e <- 0x30ef000a +mem-write: 0x8000cf62 <- 0x5130bd0 +mem-write: 0x8000cf66 <- 0xfa30305 +mem-write: 0x8000cf6a <- 0xb13fea4 +mem-write: 0x8000cf6e <- 0x413001b +mem-write: 0x8000cf72 <- 0x8ee3fff4 +mem-write: 0x8000cf76 <- 0x4683fa0c +mem-write: 0x8000cf7a <- 0x9ae3000d +mem-write: 0x8000cf7e <- 0x793fb66 +mem-write: 0x8000cf82 <- 0x6e30ff0 +mem-write: 0x8000cf86 <- 0x1463fafb +mem-write: 0x8000cf8a <- 0x793180a +mem-write: 0x8000cf8e <- 0xe0630090 +mem-write: 0x8000cf92 <- 0x7931937 +mem-write: 0x8000cf96 <- 0x2e230f01 +mem-write: 0x8000cf9a <- 0x2a0301a1 +mem-write: 0x8000cf9e <- 0x29830281 +mem-write: 0x8000cfa2 <- 0x8d3302c1 +mem-write: 0x8000cfa6 <- 0x8b134087 +mem-write: 0x8000cfaa <- 0xf06f000d +mem-write: 0x8000cfae <- 0x8613e10f +mem-write: 0x8000cfb2 <- 0x6930015 +mem-write: 0x8000cfb6 <- 0x87130085 +mem-write: 0x8000cfba <- 0xb930005 +mem-write: 0x8000cfbe <- 0xf06f0005 +mem-write: 0x8000cfc2 <- 0x2823c6cf +mem-write: 0x8000cfc6 <- 0xad0300e1 +mem-write: 0x8000cfca <- 0xc930006 +mem-write: 0x8000cfce <- 0x7130000 +mem-write: 0x8000cfd2 <- 0xf06f0010 +mem-write: 0x8000cfd6 <- 0xad03e78f +mem-write: 0x8000cfda <- 0x28230006 +mem-write: 0x8000cfde <- 0x5c9300e1 +mem-write: 0x8000cfe2 <- 0x871341fd +mem-write: 0x8000cfe6 <- 0xf06f000c +mem-write: 0x8000cfea <- 0x2783d98f +mem-write: 0x8000cfee <- 0x470301c1 +mem-write: 0x8000cff2 <- 0x8863000c +mem-write: 0x8000cff6 <- 0xc783ae07 +VXDRV: upload 1024 bytes to 0x8000cffa +mem-write: 0x8000cffa <- 0x84630007 +mem-write: 0x8000cffe <- 0x6b13ae07 +mem-write: 0x8000d002 <- 0xf06f400b +mem-write: 0x8000d006 <- 0x2683ae0f +mem-write: 0x8000d00a <- 0xd79300c1 +mem-write: 0x8000d00e <- 0x202341f6 +mem-write: 0x8000d012 <- 0x222300d7 +mem-write: 0x8000d016 <- 0xf06f00f7 +mem-write: 0x8000d01a <- 0xad03a24f +mem-write: 0x8000d01e <- 0xc930006 +mem-write: 0x8000d022 <- 0x28230000 +mem-write: 0x8000d026 <- 0xf06f00e1 +mem-write: 0x8000d02a <- 0x713e1cf +mem-write: 0x8000d02e <- 0x6930000 +mem-write: 0x8000d032 <- 0x6130541 +mem-write: 0x8000d036 <- 0x8b930010 +mem-write: 0x8000d03a <- 0xf06f0004 +mem-write: 0x8000d03e <- 0xd93be8f +mem-write: 0x8000d042 <- 0xf06f000b +mem-write: 0x8000d046 <- 0x57b7819f +mem-write: 0x8000d04a <- 0x87938001 +mem-write: 0x8000d04e <- 0x2c23a507 +mem-write: 0x8000d052 <- 0x779300f1 +mem-write: 0x8000d056 <- 0x8063020b +mem-write: 0x8000d05a <- 0x27830607 +mem-write: 0x8000d05e <- 0x87930101 +mem-write: 0x8000d062 <- 0xf7930077 +mem-write: 0x8000d066 <- 0xad03ff87 +mem-write: 0x8000d06a <- 0xac830007 +mem-write: 0x8000d06e <- 0x87930047 +mem-write: 0x8000d072 <- 0x28230087 +mem-write: 0x8000d076 <- 0x769300f1 +mem-write: 0x8000d07a <- 0x8e63001b +mem-write: 0x8000d07e <- 0x66b30006 +mem-write: 0x8000d082 <- 0x8a63019d +mem-write: 0x8000d086 <- 0x6930006 +mem-write: 0x8000d08a <- 0xe230300 +mem-write: 0x8000d08e <- 0xea302d1 +mem-write: 0x8000d092 <- 0x6b1302e1 +mem-write: 0x8000d096 <- 0x7d93002b +mem-write: 0x8000d09a <- 0x713bffb +mem-write: 0x8000d09e <- 0xf06f0020 +mem-write: 0x8000d0a2 <- 0x57b7dacf +mem-write: 0x8000d0a6 <- 0x87938001 +mem-write: 0x8000d0aa <- 0x2c23a3c7 +mem-write: 0x8000d0ae <- 0x779300f1 +mem-write: 0x8000d0b2 <- 0x94e3020b +mem-write: 0x8000d0b6 <- 0x2603fa07 +mem-write: 0x8000d0ba <- 0x77930101 +mem-write: 0x8000d0be <- 0x693010b +mem-write: 0x8000d0c2 <- 0x8a630046 +mem-write: 0x8000d0c6 <- 0x2d030a07 +mem-write: 0x8000d0ca <- 0xc930006 +mem-write: 0x8000d0ce <- 0x28230000 +mem-write: 0x8000d0d2 <- 0xf06f00d1 +mem-write: 0x8000d0d6 <- 0x513fa5f +mem-write: 0x8000d0da <- 0xc0ef0004 +mem-write: 0x8000d0de <- 0xd13c10f +mem-write: 0x8000d0e2 <- 0x28230005 +mem-write: 0x8000d0e6 <- 0xa9301b1 +mem-write: 0x8000d0ea <- 0xf06f0000 +mem-write: 0x8000d0ee <- 0x4703cd0f +mem-write: 0x8000d0f2 <- 0x1a6303b1 +mem-write: 0x8000d0f6 <- 0x9ee31807 +mem-write: 0x8000d0fa <- 0x713ac0f +mem-write: 0x8000d0fe <- 0x6130000 +mem-write: 0x8000d102 <- 0x6930010 +mem-write: 0x8000d106 <- 0x8b930541 +mem-write: 0x8000d10a <- 0xf06f0004 +mem-write: 0x8000d10e <- 0x2783b18f +mem-write: 0x8000d112 <- 0x25830241 +mem-write: 0x8000d116 <- 0xb130201 +mem-write: 0x8000d11a <- 0x4330000 +mem-write: 0x8000d11e <- 0x861340f4 +mem-write: 0x8000d122 <- 0x5130007 +mem-write: 0x8000d126 <- 0xc0ef0004 +mem-write: 0x8000d12a <- 0x4583c50f +mem-write: 0x8000d12e <- 0x613001d +mem-write: 0x8000d132 <- 0x69300a0 +mem-write: 0x8000d136 <- 0x37330000 +mem-write: 0x8000d13a <- 0x851300b0 +mem-write: 0x8000d13e <- 0x5930009 +mem-write: 0x8000d142 <- 0xd33000a +mem-write: 0x8000d146 <- 0x30ef00ed +mem-write: 0x8000d14a <- 0xf06f2a00 +mem-write: 0x8000d14e <- 0x993dfdf +mem-write: 0x8000d152 <- 0xf06f0004 +mem-write: 0x8000d156 <- 0x713b64f +mem-write: 0x8000d15a <- 0x7930010 +mem-write: 0x8000d15e <- 0x2623000d +mem-write: 0x8000d162 <- 0x28230481 +mem-write: 0x8000d166 <- 0x242305a1 +mem-write: 0x8000d16a <- 0x222305a1 +mem-write: 0x8000d16e <- 0x69304e1 +mem-write: 0x8000d172 <- 0xf06f0541 +mem-write: 0x8000d176 <- 0x7793afcf +mem-write: 0x8000d17a <- 0x8263040b +mem-write: 0x8000d17e <- 0x27830607 +mem-write: 0x8000d182 <- 0xc930101 +mem-write: 0x8000d186 <- 0x28230000 +mem-write: 0x8000d18a <- 0xdd0300d1 +mem-write: 0x8000d18e <- 0xf06f0007 +mem-write: 0x8000d192 <- 0x4703ee9f +mem-write: 0x8000d196 <- 0x6b13001c +mem-write: 0x8000d19a <- 0xc13200b +mem-write: 0x8000d19e <- 0xf06f001c +mem-write: 0x8000d1a2 <- 0x4703944f +mem-write: 0x8000d1a6 <- 0x6b13001c +mem-write: 0x8000d1aa <- 0xc13020b +mem-write: 0x8000d1ae <- 0xf06f001c +mem-write: 0x8000d1b2 <- 0x2783934f +mem-write: 0x8000d1b6 <- 0x202300c1 +mem-write: 0x8000d1ba <- 0xf06f00f7 +mem-write: 0x8000d1be <- 0x793880f +mem-write: 0x8000d1c2 <- 0x8d130060 +mem-write: 0x8000d1c6 <- 0xec63000a +mem-write: 0x8000d1ca <- 0x5e370b57 +mem-write: 0x8000d1ce <- 0xc938001 +mem-write: 0x8000d1d2 <- 0x2823000d +mem-write: 0x8000d1d6 <- 0x41301b1 +mem-write: 0x8000d1da <- 0xf06fa64e +mem-write: 0x8000d1de <- 0x7793978f +mem-write: 0x8000d1e2 <- 0x8863200b +mem-write: 0x8000d1e6 <- 0x27831007 +mem-write: 0x8000d1ea <- 0xc930101 +mem-write: 0x8000d1ee <- 0x28230000 +mem-write: 0x8000d1f2 <- 0xcd0300d1 +mem-write: 0x8000d1f6 <- 0xf06f0007 +mem-write: 0x8000d1fa <- 0x7793e81f +mem-write: 0x8000d1fe <- 0x8e63200b +mem-write: 0x8000d202 <- 0x27830c07 +mem-write: 0x8000d206 <- 0x28230101 +mem-write: 0x8000d20a <- 0x8d0300e1 +mem-write: 0x8000d20e <- 0x5c930007 +mem-write: 0x8000d212 <- 0x871341fd +mem-write: 0x8000d216 <- 0xf06f000c +mem-write: 0x8000d21a <- 0xf793b68f +mem-write: 0x8000d21e <- 0x8263200d +mem-write: 0x8000d222 <- 0x27830a07 +mem-write: 0x8000d226 <- 0xc930101 +mem-write: 0x8000d22a <- 0x28230000 +mem-write: 0x8000d22e <- 0xcd0300e1 +mem-write: 0x8000d232 <- 0x7130007 +mem-write: 0x8000d236 <- 0xf06f0010 +mem-write: 0x8000d23a <- 0x7793c14f +mem-write: 0x8000d23e <- 0x8863200b +mem-write: 0x8000d242 <- 0x27830607 +mem-write: 0x8000d246 <- 0xc930101 +mem-write: 0x8000d24a <- 0x28230000 +mem-write: 0x8000d24e <- 0xcd0300e1 +mem-write: 0x8000d252 <- 0xf06f0007 +mem-write: 0x8000d256 <- 0x8593bf0f +mem-write: 0x8000d25a <- 0xf06f0006 +mem-write: 0x8000d25e <- 0x7938d5f +mem-write: 0x8000d262 <- 0xe2e30090 +mem-write: 0x8000d266 <- 0xf06fcf37 +mem-write: 0x8000d26a <- 0x693d2df +mem-write: 0x8000d26e <- 0x6130541 +mem-write: 0x8000d272 <- 0x7130010 +mem-write: 0x8000d276 <- 0x8b930000 +mem-write: 0x8000d27a <- 0xf06f0004 +mem-write: 0x8000d27e <- 0xd139b0f +mem-write: 0x8000d282 <- 0xf06f0060 +mem-write: 0x8000d286 <- 0x793f49f +mem-write: 0x8000d28a <- 0x262303b1 +mem-write: 0x8000d28e <- 0x79304f1 +mem-write: 0x8000d292 <- 0x28230010 +mem-write: 0x8000d296 <- 0x61304f1 +mem-write: 0x8000d29a <- 0x6930010 +mem-write: 0x8000d29e <- 0xf06f0541 +mem-write: 0x8000d2a2 <- 0x2783918f +mem-write: 0x8000d2a6 <- 0x102300c1 +mem-write: 0x8000d2aa <- 0xe06f00f7 +mem-write: 0x8000d2ae <- 0x2783f91f +mem-write: 0x8000d2b2 <- 0xc930101 +mem-write: 0x8000d2b6 <- 0x28230000 +mem-write: 0x8000d2ba <- 0xad0300e1 +mem-write: 0x8000d2be <- 0xf06f0007 +mem-write: 0x8000d2c2 <- 0x2783b84f +mem-write: 0x8000d2c6 <- 0xc930101 +mem-write: 0x8000d2ca <- 0x28230000 +mem-write: 0x8000d2ce <- 0xad0300e1 +mem-write: 0x8000d2d2 <- 0x7130007 +mem-write: 0x8000d2d6 <- 0xf06f0010 +mem-write: 0x8000d2da <- 0x2783b74f +mem-write: 0x8000d2de <- 0x28230101 +mem-write: 0x8000d2e2 <- 0xad0300e1 +mem-write: 0x8000d2e6 <- 0x5c930007 +mem-write: 0x8000d2ea <- 0x871341fd +mem-write: 0x8000d2ee <- 0xf06f000c +mem-write: 0x8000d2f2 <- 0x2783a90f +mem-write: 0x8000d2f6 <- 0xc930101 +mem-write: 0x8000d2fa <- 0x28230000 +mem-write: 0x8000d2fe <- 0xad0300d1 +mem-write: 0x8000d302 <- 0xf06f0007 +mem-write: 0x8000d306 <- 0x613d75f +mem-write: 0x8000d30a <- 0x85930401 +mem-write: 0x8000d30e <- 0x5130009 +mem-write: 0x8000d312 <- 0xe0ef000a +mem-write: 0x8000d316 <- 0xf06fd21f +mem-write: 0x8000d31a <- 0x8d139a0f +mem-write: 0x8000d31e <- 0x2823000a +mem-write: 0x8000d322 <- 0xa9301b1 +mem-write: 0x8000d326 <- 0xf06f0000 +mem-write: 0x8000d32a <- 0x8593a94f +mem-write: 0x8000d32e <- 0xf06f0006 +mem-write: 0x8000d332 <- 0x59399df +mem-write: 0x8000d336 <- 0xf06f0016 +mem-write: 0x8000d33a <- 0xd93a71f +mem-write: 0x8000d33e <- 0xf06f000b +mem-write: 0x8000d342 <- 0x793a68f +mem-write: 0x8000d346 <- 0x2623fff0 +mem-write: 0x8000d34a <- 0xf06f00f1 +mem-write: 0x8000d34e <- 0x8513978f +mem-write: 0x8000d352 <- 0x5930006 +mem-write: 0x8000d356 <- 0xf06f0006 +mem-write: 0x8000d35a <- 0x2783f0cf +mem-write: 0x8000d35e <- 0xaa830101 +mem-write: 0x8000d362 <- 0x87930007 +mem-write: 0x8000d366 <- 0xd4630047 +mem-write: 0x8000d36a <- 0xa93000a +mem-write: 0x8000d36e <- 0x4703fff0 +mem-write: 0x8000d372 <- 0x2823001c +mem-write: 0x8000d376 <- 0x8c1300f1 +mem-write: 0x8000d37a <- 0xe06f0006 +mem-write: 0x8000d37e <- 0x793f69f +mem-write: 0x8000d382 <- 0xa5030005 +mem-write: 0x8000d386 <- 0x6931d81 +mem-write: 0x8000d38a <- 0x86130006 +mem-write: 0x8000d38e <- 0x85930005 +mem-write: 0x8000d392 <- 0xe06f0007 +mem-write: 0x8000d396 <- 0xd783da9f +mem-write: 0x8000d39a <- 0xae0300c5 +mem-write: 0x8000d39e <- 0xd3030645 +mem-write: 0x8000d3a2 <- 0xa88300e5 +mem-write: 0x8000d3a6 <- 0xa80301c5 +mem-write: 0x8000d3aa <- 0x1130245 +mem-write: 0x8000d3ae <- 0xf793b801 +mem-write: 0x8000d3b2 <- 0x713ffd7 +mem-write: 0x8000d3b6 <- 0x2c234000 +mem-write: 0x8000d3ba <- 0x1a234681 +mem-write: 0x8000d3be <- 0x841300f1 +mem-write: 0x8000d3c2 <- 0x7930005 +mem-write: 0x8000d3c6 <- 0x5930701 +mem-write: 0x8000d3ca <- 0x2a230081 +mem-write: 0x8000d3ce <- 0x28234691 +mem-write: 0x8000d3d2 <- 0x2e234721 +mem-write: 0x8000d3d6 <- 0x9134611 +mem-write: 0x8000d3da <- 0x26230005 +mem-write: 0x8000d3de <- 0x1b2307c1 +mem-write: 0x8000d3e2 <- 0x22230061 +mem-write: 0x8000d3e6 <- 0x26230311 +mem-write: 0x8000d3ea <- 0x24230301 +mem-write: 0x8000d3ee <- 0x2c2300f1 +mem-write: 0x8000d3f2 <- 0x282300f1 +mem-write: 0x8000d3f6 <- 0x2e2300e1 +VXDRV: upload 1024 bytes to 0x8000d3fa +mem-write: 0x8000d3fa <- 0x202300e1 +mem-write: 0x8000d3fe <- 0xe0ef0201 +mem-write: 0x8000d402 <- 0x493d3df +mem-write: 0x8000d406 <- 0x5c630005 +mem-write: 0x8000d40a <- 0x57830205 +mem-write: 0x8000d40e <- 0xf7930141 +mem-write: 0x8000d412 <- 0x88630407 +mem-write: 0x8000d416 <- 0x57830007 +mem-write: 0x8000d41a <- 0xe79300c4 +mem-write: 0x8000d41e <- 0x16230407 +mem-write: 0x8000d422 <- 0x208300f4 +mem-write: 0x8000d426 <- 0x240347c1 +mem-write: 0x8000d42a <- 0x29034781 +mem-write: 0x8000d42e <- 0x85134701 +mem-write: 0x8000d432 <- 0x24830004 +mem-write: 0x8000d436 <- 0x1134741 +mem-write: 0x8000d43a <- 0x80674801 +mem-write: 0x8000d43e <- 0x5930000 +mem-write: 0x8000d442 <- 0x5130081 +mem-write: 0x8000d446 <- 0x60ef0009 +mem-write: 0x8000d44a <- 0xe3c11f +mem-write: 0x8000d44e <- 0x493fc05 +mem-write: 0x8000d452 <- 0xf06ffff0 +mem-write: 0x8000d456 <- 0x113fb9f +mem-write: 0x8000d45a <- 0x8713ff01 +mem-write: 0x8000d45e <- 0x24230005 +mem-write: 0x8000d462 <- 0x22230081 +mem-write: 0x8000d466 <- 0x5930091 +mem-write: 0x8000d46a <- 0x4130006 +mem-write: 0x8000d46e <- 0x86130005 +mem-write: 0x8000d472 <- 0x5130006 +mem-write: 0x8000d476 <- 0x26230007 +mem-write: 0x8000d47a <- 0xa4230011 +mem-write: 0x8000d47e <- 0x30ef2401 +mem-write: 0x8000d482 <- 0x793858f +mem-write: 0x8000d486 <- 0xc63fff0 +mem-write: 0x8000d48a <- 0x208300f5 +mem-write: 0x8000d48e <- 0x240300c1 +mem-write: 0x8000d492 <- 0x24830081 +mem-write: 0x8000d496 <- 0x1130041 +mem-write: 0x8000d49a <- 0x80670101 +mem-write: 0x8000d49e <- 0xa7830000 +mem-write: 0x8000d4a2 <- 0x84e32481 +mem-write: 0x8000d4a6 <- 0x2083fe07 +mem-write: 0x8000d4aa <- 0x202300c1 +mem-write: 0x8000d4ae <- 0x240300f4 +mem-write: 0x8000d4b2 <- 0x24830081 +mem-write: 0x8000d4b6 <- 0x1130041 +mem-write: 0x8000d4ba <- 0x80670101 +mem-write: 0x8000d4be <- 0xa7030000 +mem-write: 0x8000d4c2 <- 0x1131d81 +mem-write: 0x8000d4c6 <- 0x8793ff01 +mem-write: 0x8000d4ca <- 0x8130006 +mem-write: 0x8000d4ce <- 0x26230006 +mem-write: 0x8000d4d2 <- 0x28830011 +mem-write: 0x8000d4d6 <- 0x69300c7 +mem-write: 0x8000d4da <- 0x87130005 +mem-write: 0x8000d4de <- 0x86130005 +mem-write: 0x8000d4e2 <- 0x630007 +mem-write: 0x8000d4e6 <- 0x57b70208 +mem-write: 0x8000d4ea <- 0x87938001 +mem-write: 0x8000d4ee <- 0x55b73207 +mem-write: 0x8000d4f2 <- 0x85938001 +mem-write: 0x8000d4f6 <- 0x85133305 +mem-write: 0x8000d4fa <- 0xef0008 +mem-write: 0x8000d4fe <- 0x20ef28c0 +mem-write: 0x8000d502 <- 0x58373a50 +mem-write: 0x8000d506 <- 0x7938001 +mem-write: 0x8000d50a <- 0x813a188 +mem-write: 0x8000d50e <- 0xf06fa188 +mem-write: 0x8000d512 <- 0x113fe1f +mem-write: 0x8000d516 <- 0x693ff01 +mem-write: 0x8000d51a <- 0x6130006 +mem-write: 0x8000d51e <- 0x26230000 +mem-write: 0x8000d522 <- 0xf0ef0011 +mem-write: 0x8000d526 <- 0x85b3f9df +mem-write: 0x8000d52a <- 0x11302c5 +mem-write: 0x8000d52e <- 0x2423ff01 +mem-write: 0x8000d532 <- 0x26230081 +mem-write: 0x8000d536 <- 0xa0ef0011 +mem-write: 0x8000d53a <- 0x413a00f +mem-write: 0x8000d53e <- 0x8630005 +mem-write: 0x8000d542 <- 0x26030205 +mem-write: 0x8000d546 <- 0x713ffc5 +mem-write: 0x8000d54a <- 0x76130240 +mem-write: 0x8000d54e <- 0x613ffc6 +mem-write: 0x8000d552 <- 0x6063ffc6 +mem-write: 0x8000d556 <- 0x69306c7 +mem-write: 0x8000d55a <- 0x7930130 +mem-write: 0x8000d55e <- 0xe2630005 +mem-write: 0x8000d562 <- 0xa02302c6 +mem-write: 0x8000d566 <- 0xa2230007 +mem-write: 0x8000d56a <- 0xa4230007 +mem-write: 0x8000d56e <- 0x20830007 +mem-write: 0x8000d572 <- 0x51300c1 +mem-write: 0x8000d576 <- 0x24030004 +mem-write: 0x8000d57a <- 0x1130081 +mem-write: 0x8000d57e <- 0x80670101 +mem-write: 0x8000d582 <- 0x20230000 +mem-write: 0x8000d586 <- 0x22230005 +mem-write: 0x8000d58a <- 0x7930005 +mem-write: 0x8000d58e <- 0xf06301b0 +mem-write: 0x8000d592 <- 0x242304c7 +mem-write: 0x8000d596 <- 0x26230005 +mem-write: 0x8000d59a <- 0x7930005 +mem-write: 0x8000d59e <- 0x12e30105 +mem-write: 0x8000d5a2 <- 0x2823fce6 +mem-write: 0x8000d5a6 <- 0x7930005 +mem-write: 0x8000d5aa <- 0x2a230185 +mem-write: 0x8000d5ae <- 0xf06f0005 +mem-write: 0x8000d5b2 <- 0x593fb5f +mem-write: 0x8000d5b6 <- 0x30ef0000 +mem-write: 0x8000d5ba <- 0x2083861f +mem-write: 0x8000d5be <- 0x51300c1 +mem-write: 0x8000d5c2 <- 0x24030004 +mem-write: 0x8000d5c6 <- 0x1130081 +mem-write: 0x8000d5ca <- 0x80670101 +mem-write: 0x8000d5ce <- 0x7930000 +mem-write: 0x8000d5d2 <- 0xf06f0085 +mem-write: 0x8000d5d6 <- 0x113f91f +mem-write: 0x8000d5da <- 0x2423ff01 +mem-write: 0x8000d5de <- 0x22230081 +mem-write: 0x8000d5e2 <- 0x4130091 +mem-write: 0x8000d5e6 <- 0x85130005 +mem-write: 0x8000d5ea <- 0x26230005 +mem-write: 0x8000d5ee <- 0xa4230011 +mem-write: 0x8000d5f2 <- 0x20ef2401 +mem-write: 0x8000d5f6 <- 0x793d31f +mem-write: 0x8000d5fa <- 0xc63fff0 +mem-write: 0x8000d5fe <- 0x208300f5 +mem-write: 0x8000d602 <- 0x240300c1 +mem-write: 0x8000d606 <- 0x24830081 +mem-write: 0x8000d60a <- 0x1130041 +mem-write: 0x8000d60e <- 0x80670101 +mem-write: 0x8000d612 <- 0xa7830000 +mem-write: 0x8000d616 <- 0x84e32481 +mem-write: 0x8000d61a <- 0x2083fe07 +mem-write: 0x8000d61e <- 0x202300c1 +mem-write: 0x8000d622 <- 0x240300f4 +mem-write: 0x8000d626 <- 0x24830081 +mem-write: 0x8000d62a <- 0x1130041 +mem-write: 0x8000d62e <- 0x80670101 +mem-write: 0x8000d632 <- 0x1130000 +mem-write: 0x8000d636 <- 0x2623ff01 +mem-write: 0x8000d63a <- 0x24230011 +mem-write: 0x8000d63e <- 0x22230081 +mem-write: 0x8000d642 <- 0x20230091 +mem-write: 0x8000d646 <- 0x80630121 +mem-write: 0x8000d64a <- 0x84130205 +mem-write: 0x8000d64e <- 0x4930005 +mem-write: 0x8000d652 <- 0x6630005 +mem-write: 0x8000d656 <- 0x27830005 +mem-write: 0x8000d65a <- 0x8c630385 +mem-write: 0x8000d65e <- 0x17830a07 +mem-write: 0x8000d662 <- 0x926300c4 +mem-write: 0x8000d666 <- 0x20830207 +mem-write: 0x8000d66a <- 0x240300c1 +mem-write: 0x8000d66e <- 0x9130081 +mem-write: 0x8000d672 <- 0x24830000 +mem-write: 0x8000d676 <- 0x5130041 +mem-write: 0x8000d67a <- 0x29030009 +mem-write: 0x8000d67e <- 0x1130001 +mem-write: 0x8000d682 <- 0x80670101 +mem-write: 0x8000d686 <- 0x5930000 +mem-write: 0x8000d68a <- 0x85130004 +mem-write: 0x8000d68e <- 0x60ef0004 +mem-write: 0x8000d692 <- 0x2783f6cf +mem-write: 0x8000d696 <- 0x91302c4 +mem-write: 0x8000d69a <- 0x8a630005 +mem-write: 0x8000d69e <- 0x25830007 +mem-write: 0x8000d6a2 <- 0x851301c4 +mem-write: 0x8000d6a6 <- 0x80e70004 +mem-write: 0x8000d6aa <- 0x4c630007 +mem-write: 0x8000d6ae <- 0x57830605 +mem-write: 0x8000d6b2 <- 0xf79300c4 +mem-write: 0x8000d6b6 <- 0x9e630807 +mem-write: 0x8000d6ba <- 0x25830607 +mem-write: 0x8000d6be <- 0x8c630304 +mem-write: 0x8000d6c2 <- 0x7930005 +mem-write: 0x8000d6c6 <- 0x86630404 +mem-write: 0x8000d6ca <- 0x851300f5 +mem-write: 0x8000d6ce <- 0x60ef0004 +mem-write: 0x8000d6d2 <- 0x2823e95f +mem-write: 0x8000d6d6 <- 0x25830204 +mem-write: 0x8000d6da <- 0x88630444 +mem-write: 0x8000d6de <- 0x85130005 +mem-write: 0x8000d6e2 <- 0x60ef0004 +mem-write: 0x8000d6e6 <- 0x2223e81f +mem-write: 0x8000d6ea <- 0x60ef0404 +mem-write: 0x8000d6ee <- 0x1623d19f +mem-write: 0x8000d6f2 <- 0x60ef0004 +mem-write: 0x8000d6f6 <- 0x2083d15f +mem-write: 0x8000d6fa <- 0x240300c1 +mem-write: 0x8000d6fe <- 0x24830081 +mem-write: 0x8000d702 <- 0x5130041 +mem-write: 0x8000d706 <- 0x29030009 +mem-write: 0x8000d70a <- 0x1130001 +mem-write: 0x8000d70e <- 0x80670101 +mem-write: 0x8000d712 <- 0x60ef0000 +mem-write: 0x8000d716 <- 0x1783ce1f +mem-write: 0x8000d71a <- 0x86e300c4 +mem-write: 0x8000d71e <- 0xf06ff407 +mem-write: 0x8000d722 <- 0x5783f69f +mem-write: 0x8000d726 <- 0x91300c4 +mem-write: 0x8000d72a <- 0xf793fff0 +mem-write: 0x8000d72e <- 0x86e30807 +mem-write: 0x8000d732 <- 0x2583f807 +mem-write: 0x8000d736 <- 0x85130104 +mem-write: 0x8000d73a <- 0x60ef0004 +mem-write: 0x8000d73e <- 0xf06fe29f +mem-write: 0x8000d742 <- 0x593f7df +mem-write: 0x8000d746 <- 0xa5030005 +mem-write: 0x8000d74a <- 0xf06f1d81 +mem-write: 0x8000d74e <- 0x113ee9f +mem-write: 0x8000d752 <- 0x313fc01 +mem-write: 0x8000d756 <- 0x262302c1 +mem-write: 0x8000d75a <- 0x69302d1 +mem-write: 0x8000d75e <- 0x2e230003 +mem-write: 0x8000d762 <- 0x28230011 +mem-write: 0x8000d766 <- 0x2a2302e1 +mem-write: 0x8000d76a <- 0x2c2302f1 +mem-write: 0x8000d76e <- 0x2e230301 +mem-write: 0x8000d772 <- 0x26230311 +mem-write: 0x8000d776 <- 0xe0ef0061 +mem-write: 0x8000d77a <- 0x20839c5f +mem-write: 0x8000d77e <- 0x11301c1 +mem-write: 0x8000d782 <- 0x80670401 +mem-write: 0x8000d786 <- 0xe130000 +mem-write: 0x8000d78a <- 0x1130005 +mem-write: 0x8000d78e <- 0xa503fc01 +mem-write: 0x8000d792 <- 0x3131d81 +mem-write: 0x8000d796 <- 0x24230281 +mem-write: 0x8000d79a <- 0x262302c1 +mem-write: 0x8000d79e <- 0x861302d1 +mem-write: 0x8000d7a2 <- 0x6930005 +mem-write: 0x8000d7a6 <- 0x5930003 +mem-write: 0x8000d7aa <- 0x2e23000e +mem-write: 0x8000d7ae <- 0x28230011 +mem-write: 0x8000d7b2 <- 0x2a2302e1 +mem-write: 0x8000d7b6 <- 0x2c2302f1 +mem-write: 0x8000d7ba <- 0x2e230301 +mem-write: 0x8000d7be <- 0x26230311 +mem-write: 0x8000d7c2 <- 0xe0ef0061 +mem-write: 0x8000d7c6 <- 0x2083979f +mem-write: 0x8000d7ca <- 0x11301c1 +mem-write: 0x8000d7ce <- 0x80670401 +mem-write: 0x8000d7d2 <- 0x1130000 +mem-write: 0x8000d7d6 <- 0x2423fd01 +mem-write: 0x8000d7da <- 0x2e230281 +mem-write: 0x8000d7de <- 0x28230131 +mem-write: 0x8000d7e2 <- 0x26230161 +mem-write: 0x8000d7e6 <- 0x22230211 +mem-write: 0x8000d7ea <- 0x20230291 +mem-write: 0x8000d7ee <- 0x2c230321 +mem-write: 0x8000d7f2 <- 0x2a230141 +mem-write: 0x8000d7f6 <- 0xb130151 +VXDRV: upload 1024 bytes to 0x8000d7fa +mem-write: 0x8000d7fa <- 0x89930005 +mem-write: 0x8000d7fe <- 0x4130005 +mem-write: 0x8000d802 <- 0xef0006 +mem-write: 0x8000d806 <- 0x7937880 +mem-write: 0x8000d80a <- 0x10630010 +mem-write: 0x8000d80e <- 0x879302f5 +mem-write: 0x8000d812 <- 0x713fff9 +mem-write: 0x8000d816 <- 0x6a630fe0 +mem-write: 0x8000d81a <- 0xf71300f7 +mem-write: 0x8000d81e <- 0x6230ff9 +mem-write: 0x8000d822 <- 0x91300e1 +mem-write: 0x8000d826 <- 0x6f0010 +mem-write: 0x8000d82a <- 0x69302c0 +mem-write: 0x8000d82e <- 0x861305c4 +mem-write: 0x8000d832 <- 0x5930009 +mem-write: 0x8000d836 <- 0x51300c1 +mem-write: 0x8000d83a <- 0x20ef000b +mem-write: 0x8000d83e <- 0x7937300 +mem-write: 0x8000d842 <- 0x913fff0 +mem-write: 0x8000d846 <- 0x4630005 +mem-write: 0x8000d84a <- 0xe630af5 +mem-write: 0x8000d84e <- 0x47030805 +mem-write: 0x8000d852 <- 0x49300c1 +mem-write: 0x8000d856 <- 0xa130000 +mem-write: 0x8000d85a <- 0xa93fff0 +mem-write: 0x8000d85e <- 0x6f00a0 +mem-write: 0x8000d862 <- 0x27830280 +mem-write: 0x8000d866 <- 0x86930004 +mem-write: 0x8000d86a <- 0x20230017 +mem-write: 0x8000d86e <- 0x802300d4 +mem-write: 0x8000d872 <- 0x849300e7 +mem-write: 0x8000d876 <- 0x7930014 +mem-write: 0x8000d87a <- 0x87b300c1 +mem-write: 0x8000d87e <- 0xf4630097 +mem-write: 0x8000d882 <- 0xc7030724 +mem-write: 0x8000d886 <- 0x27830007 +mem-write: 0x8000d88a <- 0x87930084 +mem-write: 0x8000d88e <- 0x2423fff7 +mem-write: 0x8000d892 <- 0xd8e300f4 +mem-write: 0x8000d896 <- 0x2683fc07 +mem-write: 0x8000d89a <- 0x5930184 +mem-write: 0x8000d89e <- 0x6130007 +mem-write: 0x8000d8a2 <- 0x5130004 +mem-write: 0x8000d8a6 <- 0xc463000b +mem-write: 0x8000d8aa <- 0x1ce300d7 +mem-write: 0x8000d8ae <- 0x20effb57 +mem-write: 0x8000d8b2 <- 0x10e35240 +mem-write: 0x8000d8b6 <- 0x913fd45 +mem-write: 0x8000d8ba <- 0x2083fff0 +mem-write: 0x8000d8be <- 0x240302c1 +mem-write: 0x8000d8c2 <- 0x24830281 +mem-write: 0x8000d8c6 <- 0x29830241 +mem-write: 0x8000d8ca <- 0x2a0301c1 +mem-write: 0x8000d8ce <- 0x2a830181 +mem-write: 0x8000d8d2 <- 0x2b030141 +mem-write: 0x8000d8d6 <- 0x5130101 +mem-write: 0x8000d8da <- 0x29030009 +mem-write: 0x8000d8de <- 0x1130201 +mem-write: 0x8000d8e2 <- 0x80670301 +mem-write: 0x8000d8e6 <- 0x89130000 +mem-write: 0x8000d8ea <- 0xf06f0009 +mem-write: 0x8000d8ee <- 0x5783fd1f +mem-write: 0x8000d8f2 <- 0xe79300c4 +mem-write: 0x8000d8f6 <- 0x16230407 +mem-write: 0x8000d8fa <- 0xf06f00f4 +mem-write: 0x8000d8fe <- 0x1783fc1f +mem-write: 0x8000d902 <- 0x971300c6 +mem-write: 0x8000d906 <- 0x40630127 +mem-write: 0x8000d90a <- 0x27030207 +mem-write: 0x8000d90e <- 0x26b70646 +mem-write: 0x8000d912 <- 0xe7b30000 +mem-write: 0x8000d916 <- 0x26b700d7 +mem-write: 0x8000d91a <- 0x67330000 +mem-write: 0x8000d91e <- 0x162300d7 +mem-write: 0x8000d922 <- 0x222300f6 +mem-write: 0x8000d926 <- 0xf06f06e6 +mem-write: 0x8000d92a <- 0x113eadf +mem-write: 0x8000d92e <- 0x2c23fe01 +mem-write: 0x8000d932 <- 0xa4030081 +mem-write: 0x8000d936 <- 0x2e231d81 +mem-write: 0x8000d93a <- 0x86130011 +mem-write: 0x8000d93e <- 0x5930005 +mem-write: 0x8000d942 <- 0x6630005 +mem-write: 0x8000d946 <- 0x27830004 +mem-write: 0x8000d94a <- 0x80630384 +mem-write: 0x8000d94e <- 0x17830407 +mem-write: 0x8000d952 <- 0x971300c6 +mem-write: 0x8000d956 <- 0x40630127 +mem-write: 0x8000d95a <- 0x27030207 +mem-write: 0x8000d95e <- 0x26b70646 +mem-write: 0x8000d962 <- 0xe7b30000 +mem-write: 0x8000d966 <- 0x26b700d7 +mem-write: 0x8000d96a <- 0x67330000 +mem-write: 0x8000d96e <- 0x162300d7 +mem-write: 0x8000d972 <- 0x222300f6 +mem-write: 0x8000d976 <- 0x51306e6 +mem-write: 0x8000d97a <- 0x24030004 +mem-write: 0x8000d97e <- 0x20830181 +mem-write: 0x8000d982 <- 0x11301c1 +mem-write: 0x8000d986 <- 0xf06f0201 +mem-write: 0x8000d98a <- 0x2423e4df +mem-write: 0x8000d98e <- 0x51300a1 +mem-write: 0x8000d992 <- 0x26230004 +mem-write: 0x8000d996 <- 0x60ef00c1 +mem-write: 0x8000d99a <- 0x2603a5df +mem-write: 0x8000d99e <- 0x258300c1 +mem-write: 0x8000d9a2 <- 0xf06f0081 +mem-write: 0x8000d9a6 <- 0x113fadf +mem-write: 0x8000d9aa <- 0x8713ff01 +mem-write: 0x8000d9ae <- 0x24230005 +mem-write: 0x8000d9b2 <- 0x22230081 +mem-write: 0x8000d9b6 <- 0x4130091 +mem-write: 0x8000d9ba <- 0x5930005 +mem-write: 0x8000d9be <- 0x5130006 +mem-write: 0x8000d9c2 <- 0x26230007 +mem-write: 0x8000d9c6 <- 0xa4230011 +mem-write: 0x8000d9ca <- 0x20ef2401 +mem-write: 0x8000d9ce <- 0x79395df +mem-write: 0x8000d9d2 <- 0xc63fff0 +mem-write: 0x8000d9d6 <- 0x208300f5 +mem-write: 0x8000d9da <- 0x240300c1 +mem-write: 0x8000d9de <- 0x24830081 +mem-write: 0x8000d9e2 <- 0x1130041 +mem-write: 0x8000d9e6 <- 0x80670101 +mem-write: 0x8000d9ea <- 0xa7830000 +mem-write: 0x8000d9ee <- 0x84e32481 +mem-write: 0x8000d9f2 <- 0x2083fe07 +mem-write: 0x8000d9f6 <- 0x202300c1 +mem-write: 0x8000d9fa <- 0x240300f4 +mem-write: 0x8000d9fe <- 0x24830081 +mem-write: 0x8000da02 <- 0x1130041 +mem-write: 0x8000da06 <- 0x80670101 +mem-write: 0x8000da0a <- 0x27830000 +mem-write: 0x8000da0e <- 0x8e630086 +mem-write: 0x8000da12 <- 0xd7833207 +mem-write: 0x8000da16 <- 0x11300c5 +mem-write: 0x8000da1a <- 0x2423fd01 +mem-write: 0x8000da1e <- 0x2c230281 +mem-write: 0x8000da22 <- 0x2a230141 +mem-write: 0x8000da26 <- 0x26230151 +mem-write: 0x8000da2a <- 0x22230211 +mem-write: 0x8000da2e <- 0x20230291 +mem-write: 0x8000da32 <- 0x2e230321 +mem-write: 0x8000da36 <- 0x28230131 +mem-write: 0x8000da3a <- 0x26230161 +mem-write: 0x8000da3e <- 0x24230171 +mem-write: 0x8000da42 <- 0x22230181 +mem-write: 0x8000da46 <- 0x20230191 +mem-write: 0x8000da4a <- 0xf71301a1 +mem-write: 0x8000da4e <- 0xa130087 +mem-write: 0x8000da52 <- 0xa930006 +mem-write: 0x8000da56 <- 0x84130005 +mem-write: 0x8000da5a <- 0x6630005 +mem-write: 0x8000da5e <- 0xa7030807 +mem-write: 0x8000da62 <- 0x2630105 +mem-write: 0x8000da66 <- 0xf7130807 +mem-write: 0x8000da6a <- 0x24830027 +mem-write: 0x8000da6e <- 0xc63000a +mem-write: 0x8000da72 <- 0x27830807 +mem-write: 0x8000da76 <- 0x25830244 +mem-write: 0x8000da7a <- 0xb3701c4 +mem-write: 0x8000da7e <- 0x9938000 +mem-write: 0x8000da82 <- 0x9130000 +mem-write: 0x8000da86 <- 0x4b130000 +mem-write: 0x8000da8a <- 0x8613c00b +mem-write: 0x8000da8e <- 0x85130009 +mem-write: 0x8000da92 <- 0x263000a +mem-write: 0x8000da96 <- 0x6930409 +mem-write: 0x8000da9a <- 0x74630009 +mem-write: 0x8000da9e <- 0x693012b +mem-write: 0x8000daa2 <- 0x80e7000b +mem-write: 0x8000daa6 <- 0x58630007 +mem-write: 0x8000daaa <- 0x278328a0 +mem-write: 0x8000daae <- 0x89b3008a +mem-write: 0x8000dab2 <- 0x93300a9 +mem-write: 0x8000dab6 <- 0x853340a9 +mem-write: 0x8000daba <- 0x242340a7 +mem-write: 0x8000dabe <- 0xa6300aa +mem-write: 0x8000dac2 <- 0x27832005 +mem-write: 0x8000dac6 <- 0x25830244 +mem-write: 0x8000daca <- 0x861301c4 +mem-write: 0x8000dace <- 0x85130009 +mem-write: 0x8000dad2 <- 0x12e3000a +mem-write: 0x8000dad6 <- 0xa983fc09 +mem-write: 0x8000dada <- 0xa9030004 +mem-write: 0x8000dade <- 0x84930044 +mem-write: 0x8000dae2 <- 0xf06f0084 +mem-write: 0x8000dae6 <- 0x593fa9f +mem-write: 0x8000daea <- 0x85130004 +mem-write: 0x8000daee <- 0x50ef000a +mem-write: 0x8000daf2 <- 0x1c63ff9f +mem-write: 0x8000daf6 <- 0x57833a05 +mem-write: 0x8000dafa <- 0x248300c4 +mem-write: 0x8000dafe <- 0xf713000a +mem-write: 0x8000db02 <- 0x18e30027 +mem-write: 0x8000db06 <- 0xf713f607 +mem-write: 0x8000db0a <- 0x14630017 +mem-write: 0x8000db0e <- 0x2c832407 +mem-write: 0x8000db12 <- 0x25030084 +mem-write: 0x8000db16 <- 0xb370004 +mem-write: 0x8000db1a <- 0x4b938000 +mem-write: 0x8000db1e <- 0xc13ffeb +mem-write: 0x8000db22 <- 0x9130000 +mem-write: 0x8000db26 <- 0x4b130000 +mem-write: 0x8000db2a <- 0xe63fffb +mem-write: 0x8000db2e <- 0xf7130e09 +mem-write: 0x8000db32 <- 0xc632007 +mem-write: 0x8000db36 <- 0x8d132407 +mem-write: 0x8000db3a <- 0x6263000c +mem-write: 0x8000db3e <- 0xf7132f99 +mem-write: 0x8000db42 <- 0xa634807 +mem-write: 0x8000db46 <- 0x29830807 +mem-write: 0x8000db4a <- 0x25830144 +mem-write: 0x8000db4e <- 0x7130104 +mem-write: 0x8000db52 <- 0x96930019 +mem-write: 0x8000db56 <- 0x86b30019 +mem-write: 0x8000db5a <- 0xd9930136 +mem-write: 0x8000db5e <- 0xd3301f6 +mem-write: 0x8000db62 <- 0x89b340b5 +mem-write: 0x8000db66 <- 0xd99300d9 +mem-write: 0x8000db6a <- 0x7334019 +mem-write: 0x8000db6e <- 0x861301a7 +mem-write: 0x8000db72 <- 0xf6630009 +mem-write: 0x8000db76 <- 0x99300e9 +mem-write: 0x8000db7a <- 0x6130007 +mem-write: 0x8000db7e <- 0xf7930007 +mem-write: 0x8000db82 <- 0x84634007 +mem-write: 0x8000db86 <- 0x5932e07 +mem-write: 0x8000db8a <- 0x85130006 +mem-write: 0x8000db8e <- 0x90ef000a +mem-write: 0x8000db92 <- 0xc93ba9f +mem-write: 0x8000db96 <- 0x2630005 +mem-write: 0x8000db9a <- 0x25833005 +mem-write: 0x8000db9e <- 0x6130104 +mem-write: 0x8000dba2 <- 0xef000d +mem-write: 0x8000dba6 <- 0x57834dc0 +mem-write: 0x8000dbaa <- 0xf79300c4 +mem-write: 0x8000dbae <- 0xe793b7f7 +mem-write: 0x8000dbb2 <- 0x16230807 +mem-write: 0x8000dbb6 <- 0x853300f4 +mem-write: 0x8000dbba <- 0x87b301ac +mem-write: 0x8000dbbe <- 0x282341a9 +mem-write: 0x8000dbc2 <- 0x20230194 +mem-write: 0x8000dbc6 <- 0x2a2300a4 +mem-write: 0x8000dbca <- 0xc930134 +mem-write: 0x8000dbce <- 0x24230009 +mem-write: 0x8000dbd2 <- 0xd1300f4 +mem-write: 0x8000dbd6 <- 0x6130009 +mem-write: 0x8000dbda <- 0x593000d +mem-write: 0x8000dbde <- 0xef000c +mem-write: 0x8000dbe2 <- 0x27035bc0 +mem-write: 0x8000dbe6 <- 0x27830084 +mem-write: 0x8000dbea <- 0x9930004 +mem-write: 0x8000dbee <- 0xcb30009 +mem-write: 0x8000dbf2 <- 0x87b34197 +mem-write: 0x8000dbf6 <- 0x242301a7 +VXDRV: upload 1023 bytes to 0x8000dbfa +mem-write: 0x8000dbfa <- 0x20230194 +mem-write: 0x8000dbfe <- 0x91300f4 +mem-write: 0x8000dc02 <- 0x26030000 +mem-write: 0x8000dc06 <- 0xc33008a +mem-write: 0x8000dc0a <- 0x9b3013c +mem-write: 0x8000dc0e <- 0x24234136 +mem-write: 0x8000dc12 <- 0x8063013a +mem-write: 0x8000dc16 <- 0x2c830c09 +mem-write: 0x8000dc1a <- 0x25030084 +mem-write: 0x8000dc1e <- 0x57830004 +mem-write: 0x8000dc22 <- 0x16e300c4 +mem-write: 0x8000dc26 <- 0xac03f009 +mem-write: 0x8000dc2a <- 0xa9030004 +mem-write: 0x8000dc2e <- 0x84930044 +mem-write: 0x8000dc32 <- 0xf06f0084 +mem-write: 0x8000dc36 <- 0xa983ef9f +mem-write: 0x8000dc3a <- 0xac030044 +mem-write: 0x8000dc3e <- 0x84930004 +mem-write: 0x8000dc42 <- 0x8ae30084 +mem-write: 0x8000dc46 <- 0x8613fe09 +mem-write: 0x8000dc4a <- 0x5930009 +mem-write: 0x8000dc4e <- 0x51300a0 +mem-write: 0x8000dc52 <- 0xa0ef000c +mem-write: 0x8000dc56 <- 0x463a7cf +mem-write: 0x8000dc5a <- 0x5131205 +mem-write: 0x8000dc5e <- 0xb330015 +mem-write: 0x8000dc62 <- 0x7934185 +mem-write: 0x8000dc66 <- 0x8b93000b +mem-write: 0x8000dc6a <- 0xf4630009 +mem-write: 0x8000dc6e <- 0x8b930137 +mem-write: 0x8000dc72 <- 0x25030007 +mem-write: 0x8000dc76 <- 0x27830004 +mem-write: 0x8000dc7a <- 0x26830104 +mem-write: 0x8000dc7e <- 0xf8630144 +mem-write: 0x8000dc82 <- 0x290300a7 +mem-write: 0x8000dc86 <- 0x89330084 +mem-write: 0x8000dc8a <- 0x42630126 +mem-write: 0x8000dc8e <- 0xc8630979 +mem-write: 0x8000dc92 <- 0x27831adb +mem-write: 0x8000dc96 <- 0x25830244 +mem-write: 0x8000dc9a <- 0x61301c4 +mem-write: 0x8000dc9e <- 0x8513000c +mem-write: 0x8000dca2 <- 0x80e7000a +mem-write: 0x8000dca6 <- 0x9130007 +mem-write: 0x8000dcaa <- 0x56630005 +mem-write: 0x8000dcae <- 0xb3308a0 +mem-write: 0x8000dcb2 <- 0x513412b +mem-write: 0x8000dcb6 <- 0xa630010 +mem-write: 0x8000dcba <- 0x2603160b +mem-write: 0x8000dcbe <- 0xc33008a +mem-write: 0x8000dcc2 <- 0x89b3012c +mem-write: 0x8000dcc6 <- 0x9334129 +mem-write: 0x8000dcca <- 0x24234126 +mem-write: 0x8000dcce <- 0x1a63012a +mem-write: 0x8000dcd2 <- 0x5130809 +mem-write: 0x8000dcd6 <- 0x20830000 +mem-write: 0x8000dcda <- 0x240302c1 +mem-write: 0x8000dcde <- 0x24830281 +mem-write: 0x8000dce2 <- 0x29030241 +mem-write: 0x8000dce6 <- 0x29830201 +mem-write: 0x8000dcea <- 0x2a0301c1 +mem-write: 0x8000dcee <- 0x2a830181 +mem-write: 0x8000dcf2 <- 0x2b030141 +mem-write: 0x8000dcf6 <- 0x2b830101 +mem-write: 0x8000dcfa <- 0x2c0300c1 +mem-write: 0x8000dcfe <- 0x2c830081 +mem-write: 0x8000dd02 <- 0x2d030041 +mem-write: 0x8000dd06 <- 0x1130001 +mem-write: 0x8000dd0a <- 0x80670301 +mem-write: 0x8000dd0e <- 0x5930000 +mem-write: 0x8000dd12 <- 0x613000c +mem-write: 0x8000dd16 <- 0xef0009 +mem-write: 0x8000dd1a <- 0x27834840 +mem-write: 0x8000dd1e <- 0x5930004 +mem-write: 0x8000dd22 <- 0x85130004 +mem-write: 0x8000dd26 <- 0x87b3000a +mem-write: 0x8000dd2a <- 0x20230127 +mem-write: 0x8000dd2e <- 0x60ef00f4 +mem-write: 0x8000dd32 <- 0xee3b28f +mem-write: 0x8000dd36 <- 0x1783f605 +mem-write: 0x8000dd3a <- 0xe79300c4 +mem-write: 0x8000dd3e <- 0x16230407 +mem-write: 0x8000dd42 <- 0x51300f4 +mem-write: 0x8000dd46 <- 0xf06ffff0 +mem-write: 0x8000dd4a <- 0x513f91f +mem-write: 0x8000dd4e <- 0x80670000 +mem-write: 0x8000dd52 <- 0xb130000 +mem-write: 0x8000dd56 <- 0x5130000 +mem-write: 0x8000dd5a <- 0xc130000 +mem-write: 0x8000dd5e <- 0x9930000 +mem-write: 0x8000dd62 <- 0x8ae30000 +mem-write: 0x8000dd66 <- 0x1ee3ec09 +mem-write: 0x8000dd6a <- 0x8613ee05 +mem-write: 0x8000dd6e <- 0x5930009 +mem-write: 0x8000dd72 <- 0x51300a0 +mem-write: 0x8000dd76 <- 0xa0ef000c +mem-write: 0x8000dd7a <- 0x10e3958f +mem-write: 0x8000dd7e <- 0x8793ee05 +mem-write: 0x8000dd82 <- 0x8b130019 +mem-write: 0x8000dd86 <- 0xf06f0007 +mem-write: 0x8000dd8a <- 0x2783ee1f +mem-write: 0x8000dd8e <- 0xe2630104 +mem-write: 0x8000dd92 <- 0x278304a7 +mem-write: 0x8000dd96 <- 0x6e630144 +mem-write: 0x8000dd9a <- 0x69302f9 +mem-write: 0x8000dd9e <- 0xf4630009 +mem-write: 0x8000dda2 <- 0x693012b +mem-write: 0x8000dda6 <- 0xc6b3000b +mem-write: 0x8000ddaa <- 0x270302f6 +mem-write: 0x8000ddae <- 0x25830244 +mem-write: 0x8000ddb2 <- 0x61301c4 +mem-write: 0x8000ddb6 <- 0x8513000c +mem-write: 0x8000ddba <- 0x86b3000a +mem-write: 0x8000ddbe <- 0xe702f6 +mem-write: 0x8000ddc2 <- 0x9930007 +mem-write: 0x8000ddc6 <- 0x58e30005 +mem-write: 0x8000ddca <- 0x933f6a0 +mem-write: 0x8000ddce <- 0xf06f4139 +mem-write: 0x8000ddd2 <- 0x8993e35f +mem-write: 0x8000ddd6 <- 0x7463000c +mem-write: 0x8000ddda <- 0x9930199 +mem-write: 0x8000ddde <- 0x86130009 +mem-write: 0x8000dde2 <- 0x5930009 +mem-write: 0x8000dde6 <- 0xef000c +mem-write: 0x8000ddea <- 0x27833b40 +mem-write: 0x8000ddee <- 0x27030084 +mem-write: 0x8000ddf2 <- 0x87b30004 +mem-write: 0x8000ddf6 <- 0x7334137 +mem-write: 0x8000ddfa <- 0x24230137 +mem-write: 0x8000ddfe <- 0x202300f4 +mem-write: 0x8000de02 <- 0x94e300e4 +mem-write: 0x8000de06 <- 0x593fc07 +mem-write: 0x8000de0a <- 0x85130004 +mem-write: 0x8000de0e <- 0x60ef000a +mem-write: 0x8000de12 <- 0x12e3a48f +mem-write: 0x8000de16 <- 0x933f205 +mem-write: 0x8000de1a <- 0xf06f4139 +mem-write: 0x8000de1e <- 0xc93de9f +mem-write: 0x8000de22 <- 0xd130009 +mem-write: 0x8000de26 <- 0xf06f0009 +mem-write: 0x8000de2a <- 0x593db1f +mem-write: 0x8000de2e <- 0x85130004 +mem-write: 0x8000de32 <- 0x60ef000a +mem-write: 0x8000de36 <- 0x2e3a24f +mem-write: 0x8000de3a <- 0xf06fe805 +mem-write: 0x8000de3e <- 0x8613efdf +mem-write: 0x8000de42 <- 0x593000b +mem-write: 0x8000de46 <- 0xef000c +mem-write: 0x8000de4a <- 0x27833540 +mem-write: 0x8000de4e <- 0x26030084 +mem-write: 0x8000de52 <- 0x89130004 +mem-write: 0x8000de56 <- 0x87b3000b +mem-write: 0x8000de5a <- 0x6334177 +mem-write: 0x8000de5e <- 0x24230176 +mem-write: 0x8000de62 <- 0x202300f4 +mem-write: 0x8000de66 <- 0xf06f00c4 +mem-write: 0x8000de6a <- 0x8513e49f +mem-write: 0x8000de6e <- 0xef000a +mem-write: 0x8000de72 <- 0xc934b40 +mem-write: 0x8000de76 <- 0x10e30005 +mem-write: 0x8000de7a <- 0x2583d405 +mem-write: 0x8000de7e <- 0x85130104 +mem-write: 0x8000de82 <- 0x60ef000a +mem-write: 0x8000de86 <- 0x1783ee0f +mem-write: 0x8000de8a <- 0x71300c4 +mem-write: 0x8000de8e <- 0xa02300c0 +mem-write: 0x8000de92 <- 0xf79300ea +mem-write: 0x8000de96 <- 0xf06ff7f7 +mem-write: 0x8000de9a <- 0x713ea5f +mem-write: 0x8000de9e <- 0x178300c0 +mem-write: 0x8000dea2 <- 0xa02300c4 +mem-write: 0x8000dea6 <- 0xf06f00ea +mem-write: 0x8000deaa <- 0x513e95f +mem-write: 0x8000deae <- 0xf06ffff0 +mem-write: 0x8000deb2 <- 0x113e29f +mem-write: 0x8000deb6 <- 0x2423ff01 +mem-write: 0x8000deba <- 0x22230081 +mem-write: 0x8000debe <- 0x4130091 +mem-write: 0x8000dec2 <- 0x85130005 +mem-write: 0x8000dec6 <- 0x26230005 +mem-write: 0x8000deca <- 0xa4230011 +mem-write: 0x8000dece <- 0x20ef2401 +mem-write: 0x8000ded2 <- 0x793c68f +mem-write: 0x8000ded6 <- 0xc63fff0 +mem-write: 0x8000deda <- 0x208300f5 +mem-write: 0x8000dede <- 0x240300c1 +mem-write: 0x8000dee2 <- 0x24830081 +mem-write: 0x8000dee6 <- 0x1130041 +mem-write: 0x8000deea <- 0x80670101 +mem-write: 0x8000deee <- 0xa7830000 +mem-write: 0x8000def2 <- 0x84e32481 +mem-write: 0x8000def6 <- 0x2083fe07 +mem-write: 0x8000defa <- 0x202300c1 +mem-write: 0x8000defe <- 0x240300f4 +mem-write: 0x8000df02 <- 0x24830081 +mem-write: 0x8000df06 <- 0x1130041 +mem-write: 0x8000df0a <- 0x80670101 +mem-write: 0x8000df0e <- 0x1130000 +mem-write: 0x8000df12 <- 0x2623ff01 +mem-write: 0x8000df16 <- 0x24230011 +mem-write: 0x8000df1a <- 0x22230081 +mem-write: 0x8000df1e <- 0xc630091 +mem-write: 0x8000df22 <- 0x55b70206 +mem-write: 0x8000df26 <- 0x85938001 +mem-write: 0x8000df2a <- 0x5133645 +mem-write: 0x8000df2e <- 0x4130006 +mem-write: 0x8000df32 <- 0xef0006 +mem-write: 0x8000df36 <- 0x54b72ad0 +mem-write: 0x8000df3a <- 0x12638001 +mem-write: 0x8000df3e <- 0x85130205 +mem-write: 0x8000df42 <- 0x20833604 +mem-write: 0x8000df46 <- 0x240300c1 +mem-write: 0x8000df4a <- 0x24830081 +mem-write: 0x8000df4e <- 0x1130041 +mem-write: 0x8000df52 <- 0x80670101 +mem-write: 0x8000df56 <- 0x54b70000 +mem-write: 0x8000df5a <- 0xf06f8001 +mem-write: 0x8000df5e <- 0x8593fe5f +mem-write: 0x8000df62 <- 0x5133604 +mem-write: 0x8000df66 <- 0xef0004 +mem-write: 0x8000df6a <- 0xae32790 +mem-write: 0x8000df6e <- 0x55b7fc05 +mem-write: 0x8000df72 <- 0x85938001 +mem-write: 0x8000df76 <- 0x513a185 +mem-write: 0x8000df7a <- 0xef0004 +mem-write: 0x8000df7e <- 0xe32650 +mem-write: 0x8000df82 <- 0x513fc05 +mem-write: 0x8000df86 <- 0xf06f0000 +mem-write: 0x8000df8a <- 0xc503fbdf +mem-write: 0x8000df8e <- 0x80671601 +mem-write: 0x8000df92 <- 0x7930000 +mem-write: 0x8000df96 <- 0xa5030005 +mem-write: 0x8000df9a <- 0x86131d81 +mem-write: 0x8000df9e <- 0x85930005 +mem-write: 0x8000dfa2 <- 0xf06f0007 +mem-write: 0x8000dfa6 <- 0x113f6df +mem-write: 0x8000dfaa <- 0x8713ff01 +mem-write: 0x8000dfae <- 0x24230005 +mem-write: 0x8000dfb2 <- 0x22230081 +mem-write: 0x8000dfb6 <- 0x5930091 +mem-write: 0x8000dfba <- 0x4130006 +mem-write: 0x8000dfbe <- 0x86130005 +mem-write: 0x8000dfc2 <- 0x5130006 +mem-write: 0x8000dfc6 <- 0x26230007 +mem-write: 0x8000dfca <- 0xa4230011 +mem-write: 0x8000dfce <- 0x20ef2401 +mem-write: 0x8000dfd2 <- 0x793b70f +mem-write: 0x8000dfd6 <- 0xc63fff0 +mem-write: 0x8000dfda <- 0x208300f5 +mem-write: 0x8000dfde <- 0x240300c1 +mem-write: 0x8000dfe2 <- 0x24830081 +mem-write: 0x8000dfe6 <- 0x1130041 +mem-write: 0x8000dfea <- 0x80670101 +mem-write: 0x8000dfee <- 0xa7830000 +mem-write: 0x8000dff2 <- 0x84e32481 +mem-write: 0x8000dff6 <- 0x2483fe07 +VXDRV: upload 1024 bytes to 0x8000dff9 +mem-write: 0x8000dff9 <- 0x2300c120 +mem-write: 0x8000dffd <- 0x300f420 +mem-write: 0x8000e001 <- 0x83008124 +mem-write: 0x8000e005 <- 0x13004124 +mem-write: 0x8000e009 <- 0x67010101 +mem-write: 0x8000e00d <- 0x3000080 +mem-write: 0x8000e011 <- 0x6711c1a3 +mem-write: 0x8000e015 <- 0x63000300 +mem-write: 0x8000e019 <- 0x63020580 +mem-write: 0x8000e01d <- 0x63040602 +mem-write: 0x8000e021 <- 0x83040688 +mem-write: 0x8000e025 <- 0x23000647 +mem-write: 0x8000e029 <- 0x300f5a0 +mem-write: 0x8000e02d <- 0x33000645 +mem-write: 0x8000e031 <- 0x6700a035 +mem-write: 0x8000e035 <- 0x13000080 +mem-write: 0x8000e039 <- 0x93ff0101 +mem-write: 0x8000e03d <- 0x6300c105 +mem-write: 0x8000e041 <- 0x63020604 +mem-write: 0x8000e045 <- 0x8302068a +mem-write: 0x8000e049 <- 0x23000647 +mem-write: 0x8000e04d <- 0x300f5a0 +mem-write: 0x8000e051 <- 0x33000645 +mem-write: 0x8000e055 <- 0x1300a035 +mem-write: 0x8000e059 <- 0x67010101 +mem-write: 0x8000e05d <- 0x13000080 +mem-write: 0x8000e061 <- 0x67000005 +mem-write: 0x8000e065 <- 0x13000080 +mem-write: 0x8000e069 <- 0x6f000005 +mem-write: 0x8000e06d <- 0x13fedff0 +mem-write: 0x8000e071 <- 0x67ffe005 +mem-write: 0x8000e075 <- 0x13000080 +mem-write: 0x8000e079 <- 0x6fffe005 +mem-write: 0x8000e07d <- 0xb3fddff0 +mem-write: 0x8000e081 <- 0x9300a5c7 +mem-write: 0x8000e085 <- 0xb30037f7 +mem-write: 0x8000e089 <- 0x6300c508 +mem-write: 0x8000e08d <- 0x93060792 +mem-write: 0x8000e091 <- 0x63003007 +mem-write: 0x8000e095 <- 0x9304c7fe +mem-write: 0x8000e099 <- 0x13003577 +mem-write: 0x8000e09d <- 0x63000507 +mem-write: 0x8000e0a1 <- 0x13060798 +mem-write: 0x8000e0a5 <- 0x93ffc8f6 +mem-write: 0x8000e0a9 <- 0x63fe0607 +mem-write: 0x8000e0ad <- 0x6308f76c +mem-write: 0x8000e0b1 <- 0x9302c77c +mem-write: 0x8000e0b5 <- 0x93000586 +mem-write: 0x8000e0b9 <- 0x3000707 +mem-write: 0x8000e0bd <- 0x930006a8 +mem-write: 0x8000e0c1 <- 0x93004787 +mem-write: 0x8000e0c5 <- 0x23004686 +mem-write: 0x8000e0c9 <- 0xe3ff07ae +mem-write: 0x8000e0cd <- 0x93fec7e8 +mem-write: 0x8000e0d1 <- 0xb3fff607 +mem-write: 0x8000e0d5 <- 0x9340e787 +mem-write: 0x8000e0d9 <- 0x93ffc7f7 +mem-write: 0x8000e0dd <- 0x33004787 +mem-write: 0x8000e0e1 <- 0xb300f707 +mem-write: 0x8000e0e5 <- 0x6300f585 +mem-write: 0x8000e0e9 <- 0x67011768 +mem-write: 0x8000e0ed <- 0x13000080 +mem-write: 0x8000e0f1 <- 0xe3000507 +mem-write: 0x8000e0f5 <- 0x83ff157c +mem-write: 0x8000e0f9 <- 0x130005c7 +mem-write: 0x8000e0fd <- 0x93001707 +mem-write: 0x8000e101 <- 0xa3001585 +mem-write: 0x8000e105 <- 0xe3fef70f +mem-write: 0x8000e109 <- 0x67ff1768 +mem-write: 0x8000e10d <- 0x83000080 +mem-write: 0x8000e111 <- 0x130005c6 +mem-write: 0x8000e115 <- 0x93001707 +mem-write: 0x8000e119 <- 0xa3003777 +mem-write: 0x8000e11d <- 0x93fed70f +mem-write: 0x8000e121 <- 0xe3001585 +mem-write: 0x8000e125 <- 0x83f80780 +mem-write: 0x8000e129 <- 0x130005c6 +mem-write: 0x8000e12d <- 0x93001707 +mem-write: 0x8000e131 <- 0xa3003777 +mem-write: 0x8000e135 <- 0x93fed70f +mem-write: 0x8000e139 <- 0xe3001585 +mem-write: 0x8000e13d <- 0x6ffc079a +mem-write: 0x8000e141 <- 0x83f65ff0 +mem-write: 0x8000e145 <- 0x830045a6 +mem-write: 0x8000e149 <- 0x830005a2 +mem-write: 0x8000e14d <- 0x30085af +mem-write: 0x8000e151 <- 0x8300c5af +mem-write: 0x8000e155 <- 0x30105ae +mem-write: 0x8000e159 <- 0x30145ae +mem-write: 0x8000e15d <- 0x30185a3 +mem-write: 0x8000e161 <- 0x2301c5a8 +mem-write: 0x8000e165 <- 0x8300d722 +mem-write: 0x8000e169 <- 0x230205a6 +mem-write: 0x8000e16d <- 0x23005720 +mem-write: 0x8000e171 <- 0x2301f724 +mem-write: 0x8000e175 <- 0x2301e726 +mem-write: 0x8000e179 <- 0x2301d728 +mem-write: 0x8000e17d <- 0x2301c72a +mem-write: 0x8000e181 <- 0x2300672c +mem-write: 0x8000e185 <- 0x2301072e +mem-write: 0x8000e189 <- 0x1302d720 +mem-write: 0x8000e18d <- 0x93024707 +mem-write: 0x8000e191 <- 0xe3024585 +mem-write: 0x8000e195 <- 0x6ffaf768 +mem-write: 0x8000e199 <- 0x63f19ff0 +mem-write: 0x8000e19d <- 0xb302a5f6 +mem-write: 0x8000e1a1 <- 0x6300c587 +mem-write: 0x8000e1a5 <- 0x3302f572 +mem-write: 0x8000e1a9 <- 0x6300c507 +mem-write: 0x8000e1ad <- 0x830e060a +mem-write: 0x8000e1b1 <- 0x93fff7c6 +mem-write: 0x8000e1b5 <- 0x13fff787 +mem-write: 0x8000e1b9 <- 0x23fff707 +mem-write: 0x8000e1bd <- 0xe300d700 +mem-write: 0x8000e1c1 <- 0x67fef598 +mem-write: 0x8000e1c5 <- 0x93000080 +mem-write: 0x8000e1c9 <- 0x6300f007 +mem-write: 0x8000e1cd <- 0x9302c7e8 +mem-write: 0x8000e1d1 <- 0x93000507 +mem-write: 0x8000e1d5 <- 0x63fff606 +mem-write: 0x8000e1d9 <- 0x930c060c +mem-write: 0x8000e1dd <- 0xb3001686 +mem-write: 0x8000e1e1 <- 0x300d786 +mem-write: 0x8000e1e5 <- 0x930005c7 +mem-write: 0x8000e1e9 <- 0x93001787 +mem-write: 0x8000e1ed <- 0xa3001585 +mem-write: 0x8000e1f1 <- 0xe3fee78f +mem-write: 0x8000e1f5 <- 0x67fed798 +mem-write: 0x8000e1f9 <- 0xb3000080 +mem-write: 0x8000e1fd <- 0x9300a5e7 +mem-write: 0x8000e201 <- 0x630037f7 +mem-write: 0x8000e205 <- 0x930a0790 +mem-write: 0x8000e209 <- 0x93ff0608 +mem-write: 0x8000e20d <- 0x93ff08f8 +mem-write: 0x8000e211 <- 0x33010888 +mem-write: 0x8000e215 <- 0x13011508 +mem-write: 0x8000e219 <- 0x93000587 +mem-write: 0x8000e21d <- 0x83000507 +mem-write: 0x8000e221 <- 0x13000726 +mem-write: 0x8000e225 <- 0x93010707 +mem-write: 0x8000e229 <- 0x23010787 +mem-write: 0x8000e22d <- 0x83fed7a8 +mem-write: 0x8000e231 <- 0x23ff4726 +mem-write: 0x8000e235 <- 0x83fed7aa +mem-write: 0x8000e239 <- 0x23ff8726 +mem-write: 0x8000e23d <- 0x83fed7ac +mem-write: 0x8000e241 <- 0x23ffc726 +mem-write: 0x8000e245 <- 0xe3fed7ae +mem-write: 0x8000e249 <- 0x13fcf81c +mem-write: 0x8000e24d <- 0xb300c677 +mem-write: 0x8000e251 <- 0x13011585 +mem-write: 0x8000e255 <- 0x6300f678 +mem-write: 0x8000e259 <- 0x1304070e +mem-write: 0x8000e25d <- 0x93000587 +mem-write: 0x8000e261 <- 0x13000788 +mem-write: 0x8000e265 <- 0x300300e +mem-write: 0x8000e269 <- 0x13000723 +mem-write: 0x8000e26d <- 0xb3004707 +mem-write: 0x8000e271 <- 0x2340e806 +mem-write: 0x8000e275 <- 0xb30068a0 +mem-write: 0x8000e279 <- 0x9300d586 +mem-write: 0x8000e27d <- 0xe3004888 +mem-write: 0x8000e281 <- 0x13fede64 +mem-write: 0x8000e285 <- 0x13ffc807 +mem-write: 0x8000e289 <- 0x13ffc777 +mem-write: 0x8000e28d <- 0x13004707 +mem-write: 0x8000e291 <- 0xb3003676 +mem-write: 0x8000e295 <- 0xb300e787 +mem-write: 0x8000e299 <- 0x6f00e585 +mem-write: 0x8000e29d <- 0x67f39ff0 +mem-write: 0x8000e2a1 <- 0x93000080 +mem-write: 0x8000e2a5 <- 0x93fff606 +mem-write: 0x8000e2a9 <- 0x6f000507 +mem-write: 0x8000e2ad <- 0x67f31ff0 +mem-write: 0x8000e2b1 <- 0x13000080 +mem-write: 0x8000e2b5 <- 0x6f000806 +mem-write: 0x8000e2b9 <- 0x13f1dff0 +mem-write: 0x8000e2bd <- 0x13ff0101 +mem-write: 0x8000e2c1 <- 0x23000587 +mem-write: 0x8000e2c5 <- 0x23008124 +mem-write: 0x8000e2c9 <- 0x93009122 +mem-write: 0x8000e2cd <- 0x13000605 +mem-write: 0x8000e2d1 <- 0x13000504 +mem-write: 0x8000e2d5 <- 0x13000686 +mem-write: 0x8000e2d9 <- 0x23000705 +mem-write: 0x8000e2dd <- 0x23001126 +mem-write: 0x8000e2e1 <- 0xef2401a4 +mem-write: 0x8000e2e5 <- 0x93950f20 +mem-write: 0x8000e2e9 <- 0x63fff007 +mem-write: 0x8000e2ed <- 0x8300f50c +mem-write: 0x8000e2f1 <- 0x300c120 +mem-write: 0x8000e2f5 <- 0x83008124 +mem-write: 0x8000e2f9 <- 0x13004124 +mem-write: 0x8000e2fd <- 0x67010101 +mem-write: 0x8000e301 <- 0x83000080 +mem-write: 0x8000e305 <- 0xe32481a7 +mem-write: 0x8000e309 <- 0x83fe0784 +mem-write: 0x8000e30d <- 0x2300c120 +mem-write: 0x8000e311 <- 0x300f420 +mem-write: 0x8000e315 <- 0x83008124 +mem-write: 0x8000e319 <- 0x13004124 +mem-write: 0x8000e31d <- 0x67010101 +mem-write: 0x8000e321 <- 0x13000080 +mem-write: 0x8000e325 <- 0x23fd0101 +mem-write: 0x8000e329 <- 0x23032120 +mem-write: 0x8000e32d <- 0x23021126 +mem-write: 0x8000e331 <- 0x23028124 +mem-write: 0x8000e335 <- 0x23029122 +mem-write: 0x8000e339 <- 0x2301312e +mem-write: 0x8000e33d <- 0x2301412c +mem-write: 0x8000e341 <- 0x2301512a +mem-write: 0x8000e345 <- 0x23016128 +mem-write: 0x8000e349 <- 0x23017126 +mem-write: 0x8000e34d <- 0x13018124 +mem-write: 0x8000e351 <- 0x63000609 +mem-write: 0x8000e355 <- 0x13220582 +mem-write: 0x8000e359 <- 0x93000584 +mem-write: 0x8000e35d <- 0xef000509 +mem-write: 0x8000e361 <- 0x93c45f90 +mem-write: 0x8000e365 <- 0x9300b904 +mem-write: 0x8000e369 <- 0x63016007 +mem-write: 0x8000e36d <- 0x930e97fc +mem-write: 0x8000e371 <- 0x13ff84f4 +mem-write: 0x8000e375 <- 0x63000487 +mem-write: 0x8000e379 <- 0x630e04cc +mem-write: 0x8000e37d <- 0x830f24ea +mem-write: 0x8000e381 <- 0x93ffc427 +mem-write: 0x8000e385 <- 0x13ff840a +mem-write: 0x8000e389 <- 0x33ffc7fa +mem-write: 0x8000e38d <- 0x63014a8b +mem-write: 0x8000e391 <- 0x9318ea5a +mem-write: 0x8000e395 <- 0x3c3018b +mem-write: 0x8000e399 <- 0x83008ba6 +mem-write: 0x8000e39d <- 0x63004b26 +mem-write: 0x8000e3a1 <- 0x1323660e +mem-write: 0x8000e3a5 <- 0x33ffe6f6 +mem-write: 0x8000e3a9 <- 0x300cb06 +mem-write: 0x8000e3ad <- 0x13004626 +mem-write: 0x8000e3b1 <- 0x63001676 +mem-write: 0x8000e3b5 <- 0x931a0614 +mem-write: 0x8000e3b9 <- 0x33ffc6f6 +mem-write: 0x8000e3bd <- 0x6300da06 +mem-write: 0x8000e3c1 <- 0x9332e65e +mem-write: 0x8000e3c5 <- 0x630017f7 +mem-write: 0x8000e3c9 <- 0x3020794 +mem-write: 0x8000e3cd <- 0x33ff842c +mem-write: 0x8000e3d1 <- 0x83418a8c +mem-write: 0x8000e3d5 <- 0x93004c27 +mem-write: 0x8000e3d9 <- 0xb3ffc7f7 +mem-write: 0x8000e3dd <- 0xb300d786 +mem-write: 0x8000e3e1 <- 0x6301468b +mem-write: 0x8000e3e5 <- 0xb334ebda +mem-write: 0x8000e3e9 <- 0x6300fa0b +mem-write: 0x8000e3ed <- 0x930cebd2 +mem-write: 0x8000e3f1 <- 0x13000905 +mem-write: 0x8000e3f5 <- 0xef000985 +VXDRV: upload 1024 bytes to 0x8000e3f9 +mem-write: 0x8000e3f9 <- 0x13b40f90 +mem-write: 0x8000e3fd <- 0x63000509 +mem-write: 0x8000e401 <- 0x8304050c +mem-write: 0x8000e405 <- 0x13ffc427 +mem-write: 0x8000e409 <- 0x93ff8507 +mem-write: 0x8000e40d <- 0xb3ffe7f7 +mem-write: 0x8000e411 <- 0x6300fa87 +mem-write: 0x8000e415 <- 0x1330e782 +mem-write: 0x8000e419 <- 0x93ffca06 +mem-write: 0x8000e41d <- 0x63024007 +mem-write: 0x8000e421 <- 0x1330c7e6 +mem-write: 0x8000e425 <- 0x83013007 +mem-write: 0x8000e429 <- 0x63000426 +mem-write: 0x8000e42d <- 0x9326c76c +mem-write: 0x8000e431 <- 0x13000507 +mem-write: 0x8000e435 <- 0x23000407 +mem-write: 0x8000e439 <- 0x8300d7a0 +mem-write: 0x8000e43d <- 0x23004726 +mem-write: 0x8000e441 <- 0x300d7a2 +mem-write: 0x8000e445 <- 0x23008727 +mem-write: 0x8000e449 <- 0x9300e7a4 +mem-write: 0x8000e44d <- 0x13000405 +mem-write: 0x8000e451 <- 0xef000985 +mem-write: 0x8000e455 <- 0x13910f60 +mem-write: 0x8000e459 <- 0xef000985 +mem-write: 0x8000e45d <- 0x6fb4df90 +mem-write: 0x8000e461 <- 0x9301c000 +mem-write: 0x8000e465 <- 0x13010004 +mem-write: 0x8000e469 <- 0xe3010007 +mem-write: 0x8000e46d <- 0x93f124fa +mem-write: 0x8000e471 <- 0x2300c007 +mem-write: 0x8000e475 <- 0x1300f9a0 +mem-write: 0x8000e479 <- 0x83000009 +mem-write: 0x8000e47d <- 0x302c120 +mem-write: 0x8000e481 <- 0x83028124 +mem-write: 0x8000e485 <- 0x83024124 +mem-write: 0x8000e489 <- 0x301c129 +mem-write: 0x8000e48d <- 0x8301812a +mem-write: 0x8000e491 <- 0x301412a +mem-write: 0x8000e495 <- 0x8301012b +mem-write: 0x8000e499 <- 0x300c12b +mem-write: 0x8000e49d <- 0x1300812c +mem-write: 0x8000e4a1 <- 0x3000905 +mem-write: 0x8000e4a5 <- 0x13020129 +mem-write: 0x8000e4a9 <- 0x67030101 +mem-write: 0x8000e4ad <- 0x83000080 +mem-write: 0x8000e4b1 <- 0x300cc27 +mem-write: 0x8000e4b5 <- 0x13008c27 +mem-write: 0x8000e4b9 <- 0x93ffca06 +mem-write: 0x8000e4bd <- 0x23024006 +mem-write: 0x8000e4c1 <- 0x2300f726 +mem-write: 0x8000e4c5 <- 0x1300e7a4 +mem-write: 0x8000e4c9 <- 0x33008c09 +mem-write: 0x8000e4cd <- 0x63017c0b +mem-write: 0x8000e4d1 <- 0x932ec6e4 +mem-write: 0x8000e4d5 <- 0x3013005 +mem-write: 0x8000e4d9 <- 0x93000427 +mem-write: 0x8000e4dd <- 0x63000907 +mem-write: 0x8000e4e1 <- 0x2302c5f2 +mem-write: 0x8000e4e5 <- 0x300ec24 +mem-write: 0x8000e4e9 <- 0x93004427 +mem-write: 0x8000e4ed <- 0x2301b007 +mem-write: 0x8000e4f1 <- 0x6300ec26 +mem-write: 0x8000e4f5 <- 0x330c7e2 +mem-write: 0x8000e4f9 <- 0x93008427 +mem-write: 0x8000e4fd <- 0x13010c07 +mem-write: 0x8000e501 <- 0x23008404 +mem-write: 0x8000e505 <- 0x300e7a0 +mem-write: 0x8000e509 <- 0x13004427 +mem-write: 0x8000e50d <- 0x93000b8a +mem-write: 0x8000e511 <- 0x23000c0a +mem-write: 0x8000e515 <- 0x300e7a2 +mem-write: 0x8000e519 <- 0x13008427 +mem-write: 0x8000e51d <- 0x23000904 +mem-write: 0x8000e521 <- 0x8300e7a4 +mem-write: 0x8000e525 <- 0x33004aa7 +mem-write: 0x8000e529 <- 0x93409a07 +mem-write: 0x8000e52d <- 0x9300f006 +mem-write: 0x8000e531 <- 0x630017f7 +mem-write: 0x8000e535 <- 0xb306e6ec +mem-write: 0x8000e539 <- 0x2300fa67 +mem-write: 0x8000e53d <- 0x8300faa2 +mem-write: 0x8000e541 <- 0x93004b27 +mem-write: 0x8000e545 <- 0x230017e7 +mem-write: 0x8000e549 <- 0x1300fb22 +mem-write: 0x8000e54d <- 0xef000985 +mem-write: 0x8000e551 <- 0x13a59f90 +mem-write: 0x8000e555 <- 0x6f000409 +mem-write: 0x8000e559 <- 0x93f25ff0 +mem-write: 0x8000e55d <- 0xe30017f7 +mem-write: 0x8000e561 <- 0x3e80798 +mem-write: 0x8000e565 <- 0x33ff842c +mem-write: 0x8000e569 <- 0x83418a8c +mem-write: 0x8000e56d <- 0x93004c27 +mem-write: 0x8000e571 <- 0x6fffc7f7 +mem-write: 0x8000e575 <- 0x3e75ff0 +mem-write: 0x8000e579 <- 0x83028124 +mem-write: 0x8000e57d <- 0x8302c120 +mem-write: 0x8000e581 <- 0x3024124 +mem-write: 0x8000e585 <- 0x83020129 +mem-write: 0x8000e589 <- 0x301c129 +mem-write: 0x8000e58d <- 0x8301812a +mem-write: 0x8000e591 <- 0x301412a +mem-write: 0x8000e595 <- 0x8301012b +mem-write: 0x8000e599 <- 0x300c12b +mem-write: 0x8000e59d <- 0x9300812c +mem-write: 0x8000e5a1 <- 0x13000605 +mem-write: 0x8000e5a5 <- 0x6f030101 +mem-write: 0x8000e5a9 <- 0xb3990f90 +mem-write: 0x8000e5ad <- 0x230097e7 +mem-write: 0x8000e5b1 <- 0xb300faa2 +mem-write: 0x8000e5b5 <- 0x13009a85 +mem-write: 0x8000e5b9 <- 0x23001767 +mem-write: 0x8000e5bd <- 0x8300e5a2 +mem-write: 0x8000e5c1 <- 0x93004b27 +mem-write: 0x8000e5c5 <- 0x13008585 +mem-write: 0x8000e5c9 <- 0x93000985 +mem-write: 0x8000e5cd <- 0x230017e7 +mem-write: 0x8000e5d1 <- 0xef00fb22 +mem-write: 0x8000e5d5 <- 0x6ff91f50 +mem-write: 0x8000e5d9 <- 0x93f75ff0 +mem-write: 0x8000e5dd <- 0x33ffc6f6 +mem-write: 0x8000e5e1 <- 0x9300da06 +mem-write: 0x8000e5e5 <- 0x63010485 +mem-write: 0x8000e5e9 <- 0x930eb650 +mem-write: 0x8000e5ed <- 0xe30017f7 +mem-write: 0x8000e5f1 <- 0x3e00790 +mem-write: 0x8000e5f5 <- 0x33ff842c +mem-write: 0x8000e5f9 <- 0x83418a8c +mem-write: 0x8000e5fd <- 0x93004c27 +mem-write: 0x8000e601 <- 0xb3ffc7f7 +mem-write: 0x8000e605 <- 0x3300d786 +mem-write: 0x8000e609 <- 0xe301468b +mem-write: 0x8000e60d <- 0x83dcbb4e +mem-write: 0x8000e611 <- 0x300cc27 +mem-write: 0x8000e615 <- 0x13008c27 +mem-write: 0x8000e619 <- 0x93ffca06 +mem-write: 0x8000e61d <- 0x23024006 +mem-write: 0x8000e621 <- 0x2300f726 +mem-write: 0x8000e625 <- 0x1300e7a4 +mem-write: 0x8000e629 <- 0x63008c09 +mem-write: 0x8000e62d <- 0x9320c6ee +mem-write: 0x8000e631 <- 0x3013005 +mem-write: 0x8000e635 <- 0x93000427 +mem-write: 0x8000e639 <- 0x63000907 +mem-write: 0x8000e63d <- 0x2302c5f2 +mem-write: 0x8000e641 <- 0x300ec24 +mem-write: 0x8000e645 <- 0x93004427 +mem-write: 0x8000e649 <- 0x2301b007 +mem-write: 0x8000e64d <- 0x6300ec26 +mem-write: 0x8000e651 <- 0x320c7e4 +mem-write: 0x8000e655 <- 0x93008427 +mem-write: 0x8000e659 <- 0x13010c07 +mem-write: 0x8000e65d <- 0x23008404 +mem-write: 0x8000e661 <- 0x300e7a0 +mem-write: 0x8000e665 <- 0x23004427 +mem-write: 0x8000e669 <- 0x300e7a2 +mem-write: 0x8000e66d <- 0x23008427 +mem-write: 0x8000e671 <- 0x3300e7a4 +mem-write: 0x8000e675 <- 0xb3009c07 +mem-write: 0x8000e679 <- 0x23409b07 +mem-write: 0x8000e67d <- 0x9300eba4 +mem-write: 0x8000e681 <- 0x230017e7 +mem-write: 0x8000e685 <- 0x8300f722 +mem-write: 0x8000e689 <- 0x13004c27 +mem-write: 0x8000e68d <- 0x93000985 +mem-write: 0x8000e691 <- 0xb30017f7 +mem-write: 0x8000e695 <- 0x230097e4 +mem-write: 0x8000e699 <- 0xef009c22 +mem-write: 0x8000e69d <- 0x6f90df90 +mem-write: 0x8000e6a1 <- 0x23dddff0 +mem-write: 0x8000e6a5 <- 0x8300d520 +mem-write: 0x8000e6a9 <- 0x13004426 +mem-write: 0x8000e6ad <- 0x2301b007 +mem-write: 0x8000e6b1 <- 0x6300d522 +mem-write: 0x8000e6b5 <- 0x8312c760 +mem-write: 0x8000e6b9 <- 0x13008426 +mem-write: 0x8000e6bd <- 0x93008407 +mem-write: 0x8000e6c1 <- 0x6f008507 +mem-write: 0x8000e6c5 <- 0xb3d75ff0 +mem-write: 0x8000e6c9 <- 0xb3009a8a +mem-write: 0x8000e6cd <- 0x23409607 +mem-write: 0x8000e6d1 <- 0x93015ba4 +mem-write: 0x8000e6d5 <- 0x230017e7 +mem-write: 0x8000e6d9 <- 0x8300faa2 +mem-write: 0x8000e6dd <- 0x13ffc427 +mem-write: 0x8000e6e1 <- 0x13000985 +mem-write: 0x8000e6e5 <- 0x93000409 +mem-write: 0x8000e6e9 <- 0xb30017f7 +mem-write: 0x8000e6ed <- 0x230097e4 +mem-write: 0x8000e6f1 <- 0xeffe942e +mem-write: 0x8000e6f5 <- 0x6f8b5f90 +mem-write: 0x8000e6f9 <- 0x83d85ff0 +mem-write: 0x8000e6fd <- 0x300cb27 +mem-write: 0x8000e701 <- 0x13008b27 +mem-write: 0x8000e705 <- 0x3300060a +mem-write: 0x8000e709 <- 0x2300ca8b +mem-write: 0x8000e70d <- 0x2300f726 +mem-write: 0x8000e711 <- 0x6f00e7a4 +mem-write: 0x8000e715 <- 0x83e11ff0 +mem-write: 0x8000e719 <- 0x93ffc527 +mem-write: 0x8000e71d <- 0x33ffc7f7 +mem-write: 0x8000e721 <- 0x3300fa0a +mem-write: 0x8000e725 <- 0x6f014a8b +mem-write: 0x8000e729 <- 0x93dfdff0 +mem-write: 0x8000e72d <- 0xef000405 +mem-write: 0x8000e731 <- 0x6fa6dff0 +mem-write: 0x8000e735 <- 0x83d19ff0 +mem-write: 0x8000e739 <- 0x300cb27 +mem-write: 0x8000e73d <- 0x13008b27 +mem-write: 0x8000e741 <- 0x93ffca06 +mem-write: 0x8000e745 <- 0x23024006 +mem-write: 0x8000e749 <- 0x2300f726 +mem-write: 0x8000e74d <- 0x300e7a4 +mem-write: 0x8000e751 <- 0x83008c27 +mem-write: 0x8000e755 <- 0x1300cc27 +mem-write: 0x8000e759 <- 0x33008c09 +mem-write: 0x8000e75d <- 0x23017c0b +mem-write: 0x8000e761 <- 0x2300f726 +mem-write: 0x8000e765 <- 0x6300e7a4 +mem-write: 0x8000e769 <- 0x9304c6e8 +mem-write: 0x8000e76d <- 0x3013006 +mem-write: 0x8000e771 <- 0x93000427 +mem-write: 0x8000e775 <- 0xe3000907 +mem-write: 0x8000e779 <- 0x23d8c6f6 +mem-write: 0x8000e77d <- 0x300ec24 +mem-write: 0x8000e781 <- 0x93004427 +mem-write: 0x8000e785 <- 0x2301b007 +mem-write: 0x8000e789 <- 0x300ec26 +mem-write: 0x8000e78d <- 0xe3008427 +mem-write: 0x8000e791 <- 0x23d6c7f6 +mem-write: 0x8000e795 <- 0x300ec28 +mem-write: 0x8000e799 <- 0x9300c427 +mem-write: 0x8000e79d <- 0x23024007 +mem-write: 0x8000e7a1 <- 0x300ec2a +mem-write: 0x8000e7a5 <- 0x63010427 +mem-write: 0x8000e7a9 <- 0x9306f604 +mem-write: 0x8000e7ad <- 0x13018c07 +mem-write: 0x8000e7b1 <- 0x6f010404 +mem-write: 0x8000e7b5 <- 0x93d51ff0 +mem-write: 0x8000e7b9 <- 0x13000405 +mem-write: 0x8000e7bd <- 0xef000905 +mem-write: 0x8000e7c1 <- 0x139ddff0 +mem-write: 0x8000e7c5 <- 0x13000904 +mem-write: 0x8000e7c9 <- 0x93000b8a +mem-write: 0x8000e7cd <- 0x6f000c0a +mem-write: 0x8000e7d1 <- 0x3d55ff0 +mem-write: 0x8000e7d5 <- 0x23008427 +mem-write: 0x8000e7d9 <- 0x300e524 +mem-write: 0x8000e7dd <- 0x2300c427 +mem-write: 0x8000e7e1 <- 0x8300e526 +mem-write: 0x8000e7e5 <- 0x63010426 +mem-write: 0x8000e7e9 <- 0x1304f602 +mem-write: 0x8000e7ed <- 0x93010407 +mem-write: 0x8000e7f1 <- 0x6f010507 +mem-write: 0x8000e7f5 <- 0x83c45ff0 +VXDRV: upload 1024 bytes to 0x8000e7f9 +mem-write: 0x8000e7f9 <- 0x23008427 +mem-write: 0x8000e7fd <- 0x8300fc28 +mem-write: 0x8000e801 <- 0x2300c427 +mem-write: 0x8000e805 <- 0x300fc2a +mem-write: 0x8000e809 <- 0xe3010427 +mem-write: 0x8000e80d <- 0x23fad610 +mem-write: 0x8000e811 <- 0x300ec2c +mem-write: 0x8000e815 <- 0x93014427 +mem-write: 0x8000e819 <- 0x13020c07 +mem-write: 0x8000e81d <- 0x23018404 +mem-write: 0x8000e821 <- 0x300ec2e +mem-write: 0x8000e825 <- 0x6f000427 +mem-write: 0x8000e829 <- 0x23cddff0 +mem-write: 0x8000e82d <- 0x8300d528 +mem-write: 0x8000e831 <- 0x13014426 +mem-write: 0x8000e835 <- 0x93018407 +mem-write: 0x8000e839 <- 0x23018507 +mem-write: 0x8000e83d <- 0x8300d52a +mem-write: 0x8000e841 <- 0x6f018426 +mem-write: 0x8000e845 <- 0x93bf5ff0 +mem-write: 0x8000e849 <- 0x13000405 +mem-write: 0x8000e84d <- 0xef000905 +mem-write: 0x8000e851 <- 0x6f94dff0 +mem-write: 0x8000e855 <- 0x83e21ff0 +mem-write: 0x8000e859 <- 0x23008427 +mem-write: 0x8000e85d <- 0x8300fc28 +mem-write: 0x8000e861 <- 0x2300c427 +mem-write: 0x8000e865 <- 0x300fc2a +mem-write: 0x8000e869 <- 0x63010427 +mem-write: 0x8000e86d <- 0x9300d608 +mem-write: 0x8000e871 <- 0x13018c07 +mem-write: 0x8000e875 <- 0x6f010404 +mem-write: 0x8000e879 <- 0x23de9ff0 +mem-write: 0x8000e87d <- 0x300ec2c +mem-write: 0x8000e881 <- 0x93014427 +mem-write: 0x8000e885 <- 0x13020c07 +mem-write: 0x8000e889 <- 0x23018404 +mem-write: 0x8000e88d <- 0x300ec2e +mem-write: 0x8000e891 <- 0x6f000427 +mem-write: 0x8000e895 <- 0x13dcdff0 +mem-write: 0x8000e899 <- 0x23ff0101 +mem-write: 0x8000e89d <- 0x13008124 +mem-write: 0x8000e8a1 <- 0x83000584 +mem-write: 0x8000e8a5 <- 0x230005a5 +mem-write: 0x8000e8a9 <- 0x23009122 +mem-write: 0x8000e8ad <- 0x93001126 +mem-write: 0x8000e8b1 <- 0x63000504 +mem-write: 0x8000e8b5 <- 0xef000584 +mem-write: 0x8000e8b9 <- 0x93fe1ff0 +mem-write: 0x8000e8bd <- 0x3000405 +mem-write: 0x8000e8c1 <- 0x83008124 +mem-write: 0x8000e8c5 <- 0x1300c120 +mem-write: 0x8000e8c9 <- 0x83000485 +mem-write: 0x8000e8cd <- 0x13004124 +mem-write: 0x8000e8d1 <- 0x6f010101 +mem-write: 0x8000e8d5 <- 0x83c91f50 +mem-write: 0x8000e8d9 <- 0x631d81a7 +mem-write: 0x8000e8dd <- 0x8310a780 +mem-write: 0x8000e8e1 <- 0x1304c525 +mem-write: 0x8000e8e5 <- 0x23fe0101 +mem-write: 0x8000e8e9 <- 0x2300912a +mem-write: 0x8000e8ed <- 0x2300112e +mem-write: 0x8000e8f1 <- 0x2300812c +mem-write: 0x8000e8f5 <- 0x23012128 +mem-write: 0x8000e8f9 <- 0x93013126 +mem-write: 0x8000e8fd <- 0x63000504 +mem-write: 0x8000e901 <- 0x13040580 +mem-write: 0x8000e905 <- 0x93000009 +mem-write: 0x8000e909 <- 0xb3080009 +mem-write: 0x8000e90d <- 0x3012587 +mem-write: 0x8000e911 <- 0x630007a4 +mem-write: 0x8000e915 <- 0x9300040e +mem-write: 0x8000e919 <- 0x3000405 +mem-write: 0x8000e91d <- 0x13000424 +mem-write: 0x8000e921 <- 0xef000485 +mem-write: 0x8000e925 <- 0xe3c41f50 +mem-write: 0x8000e929 <- 0x83fe0418 +mem-write: 0x8000e92d <- 0x1304c4a5 +mem-write: 0x8000e931 <- 0xe3004909 +mem-write: 0x8000e935 <- 0x13fd391c +mem-write: 0x8000e939 <- 0xef000485 +mem-write: 0x8000e93d <- 0x83c29f50 +mem-write: 0x8000e941 <- 0x630404a5 +mem-write: 0x8000e945 <- 0x13000586 +mem-write: 0x8000e949 <- 0xef000485 +mem-write: 0x8000e94d <- 0x3c19f50 +mem-write: 0x8000e951 <- 0x631484a4 +mem-write: 0x8000e955 <- 0x13020400 +mem-write: 0x8000e959 <- 0x6314c489 +mem-write: 0x8000e95d <- 0x9301240c +mem-write: 0x8000e961 <- 0x3000405 +mem-write: 0x8000e965 <- 0x13000424 +mem-write: 0x8000e969 <- 0xef000485 +mem-write: 0x8000e96d <- 0xe3bf9f50 +mem-write: 0x8000e971 <- 0x83fe8918 +mem-write: 0x8000e975 <- 0x630544a5 +mem-write: 0x8000e979 <- 0x13000586 +mem-write: 0x8000e97d <- 0xef000485 +mem-write: 0x8000e981 <- 0x83be5f50 +mem-write: 0x8000e985 <- 0x630384a7 +mem-write: 0x8000e989 <- 0x8302078c +mem-write: 0x8000e98d <- 0x1303c4a7 +mem-write: 0x8000e991 <- 0xe7000485 +mem-write: 0x8000e995 <- 0x83000780 +mem-write: 0x8000e999 <- 0x632e04a5 +mem-write: 0x8000e99d <- 0x3020582 +mem-write: 0x8000e9a1 <- 0x83018124 +mem-write: 0x8000e9a5 <- 0x301c120 +mem-write: 0x8000e9a9 <- 0x83010129 +mem-write: 0x8000e9ad <- 0x1300c129 +mem-write: 0x8000e9b1 <- 0x83000485 +mem-write: 0x8000e9b5 <- 0x13014124 +mem-write: 0x8000e9b9 <- 0x6f020101 +mem-write: 0x8000e9bd <- 0x83eddff0 +mem-write: 0x8000e9c1 <- 0x301c120 +mem-write: 0x8000e9c5 <- 0x83018124 +mem-write: 0x8000e9c9 <- 0x3014124 +mem-write: 0x8000e9cd <- 0x83010129 +mem-write: 0x8000e9d1 <- 0x1300c129 +mem-write: 0x8000e9d5 <- 0x67020101 +mem-write: 0x8000e9d9 <- 0x67000080 +mem-write: 0x8000e9dd <- 0x33000080 +mem-write: 0x8000e9e1 <- 0x9300b567 +mem-write: 0x8000e9e5 <- 0x13fff003 +mem-write: 0x8000e9e9 <- 0x63003777 +mem-write: 0x8000e9ed <- 0xb7100710 +mem-write: 0x8000e9f1 <- 0x937f7f87 +mem-write: 0x8000e9f5 <- 0x3f7f787 +mem-write: 0x8000e9f9 <- 0x83000526 +mem-write: 0x8000e9fd <- 0xb30005a6 +mem-write: 0x8000ea01 <- 0x3300f672 +mem-write: 0x8000ea05 <- 0xb300f663 +mem-write: 0x8000ea09 <- 0xb300f282 +mem-write: 0x8000ea0d <- 0x630062e2 +mem-write: 0x8000ea11 <- 0x63107292 +mem-write: 0x8000ea15 <- 0x308d616 +mem-write: 0x8000ea19 <- 0x83004526 +mem-write: 0x8000ea1d <- 0xb30045a6 +mem-write: 0x8000ea21 <- 0x3300f672 +mem-write: 0x8000ea25 <- 0xb300f663 +mem-write: 0x8000ea29 <- 0xb300f282 +mem-write: 0x8000ea2d <- 0x630062e2 +mem-write: 0x8000ea31 <- 0x630c729e +mem-write: 0x8000ea35 <- 0x306d616 +mem-write: 0x8000ea39 <- 0x83008526 +mem-write: 0x8000ea3d <- 0xb30085a6 +mem-write: 0x8000ea41 <- 0x3300f672 +mem-write: 0x8000ea45 <- 0xb300f663 +mem-write: 0x8000ea49 <- 0xb300f282 +mem-write: 0x8000ea4d <- 0x630062e2 +mem-write: 0x8000ea51 <- 0x630c7298 +mem-write: 0x8000ea55 <- 0x304d616 +mem-write: 0x8000ea59 <- 0x8300c526 +mem-write: 0x8000ea5d <- 0xb300c5a6 +mem-write: 0x8000ea61 <- 0x3300f672 +mem-write: 0x8000ea65 <- 0xb300f663 +mem-write: 0x8000ea69 <- 0xb300f282 +mem-write: 0x8000ea6d <- 0x630062e2 +mem-write: 0x8000ea71 <- 0x630c7292 +mem-write: 0x8000ea75 <- 0x302d616 +mem-write: 0x8000ea79 <- 0x83010526 +mem-write: 0x8000ea7d <- 0xb30105a6 +mem-write: 0x8000ea81 <- 0x3300f672 +mem-write: 0x8000ea85 <- 0xb300f663 +mem-write: 0x8000ea89 <- 0xb300f282 +mem-write: 0x8000ea8d <- 0x630062e2 +mem-write: 0x8000ea91 <- 0x130a729c +mem-write: 0x8000ea95 <- 0x93014505 +mem-write: 0x8000ea99 <- 0xe3014585 +mem-write: 0x8000ea9d <- 0x13f4d60e +mem-write: 0x8000eaa1 <- 0x93010617 +mem-write: 0x8000eaa5 <- 0x63010697 +mem-write: 0x8000eaa9 <- 0x1300f71e +mem-write: 0x8000eaad <- 0x93010657 +mem-write: 0x8000eab1 <- 0x330106d7 +mem-write: 0x8000eab5 <- 0x9340f705 +mem-write: 0x8000eab9 <- 0x630ff575 +mem-write: 0x8000eabd <- 0x67020590 +mem-write: 0x8000eac1 <- 0x13000080 +mem-write: 0x8000eac5 <- 0x93010757 +mem-write: 0x8000eac9 <- 0x330107d7 +mem-write: 0x8000eacd <- 0x9340f705 +mem-write: 0x8000ead1 <- 0x630ff575 +mem-write: 0x8000ead5 <- 0x67000594 +mem-write: 0x8000ead9 <- 0x13000080 +mem-write: 0x8000eadd <- 0x930ff777 +mem-write: 0x8000eae1 <- 0x330ff7f7 +mem-write: 0x8000eae5 <- 0x6740f705 +mem-write: 0x8000eae9 <- 0x3000080 +mem-write: 0x8000eaed <- 0x83000546 +mem-write: 0x8000eaf1 <- 0x130005c6 +mem-write: 0x8000eaf5 <- 0x93001505 +mem-write: 0x8000eaf9 <- 0x63001585 +mem-write: 0x8000eafd <- 0xe300d614 +mem-write: 0x8000eb01 <- 0x33fe0616 +mem-write: 0x8000eb05 <- 0x6740d605 +mem-write: 0x8000eb09 <- 0x13000080 +mem-write: 0x8000eb0d <- 0x93004505 +mem-write: 0x8000eb11 <- 0xe3004585 +mem-write: 0x8000eb15 <- 0x13fcd61c +mem-write: 0x8000eb19 <- 0x67000005 +mem-write: 0x8000eb1d <- 0x13000080 +mem-write: 0x8000eb21 <- 0x93008505 +mem-write: 0x8000eb25 <- 0xe3008585 +mem-write: 0x8000eb29 <- 0x13fcd612 +mem-write: 0x8000eb2d <- 0x67000005 +mem-write: 0x8000eb31 <- 0x13000080 +mem-write: 0x8000eb35 <- 0x9300c505 +mem-write: 0x8000eb39 <- 0xe300c585 +mem-write: 0x8000eb3d <- 0x13fad618 +mem-write: 0x8000eb41 <- 0x67000005 +mem-write: 0x8000eb45 <- 0x13000080 +mem-write: 0x8000eb49 <- 0x93010505 +mem-write: 0x8000eb4d <- 0xe3010585 +mem-write: 0x8000eb51 <- 0x13f8d61e +mem-write: 0x8000eb55 <- 0x67000005 +mem-write: 0x8000eb59 <- 0x83000080 +mem-write: 0x8000eb5d <- 0x13008627 +mem-write: 0x8000eb61 <- 0x23fd0101 +mem-write: 0x8000eb65 <- 0x2301512a +mem-write: 0x8000eb69 <- 0x23021126 +mem-write: 0x8000eb6d <- 0x23028124 +mem-write: 0x8000eb71 <- 0x23029122 +mem-write: 0x8000eb75 <- 0x23032120 +mem-write: 0x8000eb79 <- 0x2301312e +mem-write: 0x8000eb7d <- 0x2301412c +mem-write: 0x8000eb81 <- 0x23016128 +mem-write: 0x8000eb85 <- 0x23017126 +mem-write: 0x8000eb89 <- 0x93018124 +mem-write: 0x8000eb8d <- 0x6300060a +mem-write: 0x8000eb91 <- 0x13140788 +mem-write: 0x8000eb95 <- 0x8300050b +mem-write: 0x8000eb99 <- 0x3000629 +mem-write: 0x8000eb9d <- 0x830005a5 +mem-write: 0x8000eba1 <- 0x130085a4 +mem-write: 0x8000eba5 <- 0x6f000584 +mem-write: 0x8000eba9 <- 0x830d4000 +mem-write: 0x8000ebad <- 0x1300c457 +mem-write: 0x8000ebb1 <- 0x634807f7 +mem-write: 0x8000ebb5 <- 0x8308070a +mem-write: 0x8000ebb9 <- 0x83014426 +mem-write: 0x8000ebbd <- 0x13010425 +mem-write: 0x8000ebc1 <- 0x93001907 +mem-write: 0x8000ebc5 <- 0xb3001694 +mem-write: 0x8000ebc9 <- 0x9300d486 +mem-write: 0x8000ebcd <- 0x3301f6d4 +mem-write: 0x8000ebd1 <- 0xb340b50a +mem-write: 0x8000ebd5 <- 0x9300d484 +mem-write: 0x8000ebd9 <- 0x334014d4 +mem-write: 0x8000ebdd <- 0x13014707 +mem-write: 0x8000ebe1 <- 0x63000486 +mem-write: 0x8000ebe5 <- 0x9300e4f6 +mem-write: 0x8000ebe9 <- 0x13000704 +mem-write: 0x8000ebed <- 0x93000706 +mem-write: 0x8000ebf1 <- 0x634007f7 +mem-write: 0x8000ebf5 <- 0x930a0786 +VXDRV: upload 1024 bytes to 0x8000ebf9 +mem-write: 0x8000ebf9 <- 0x13000605 +mem-write: 0x8000ebfd <- 0xef000b05 +mem-write: 0x8000ec01 <- 0x13b39f80 +mem-write: 0x8000ec05 <- 0x6300050c +mem-write: 0x8000ec09 <- 0x830a050a +mem-write: 0x8000ec0d <- 0x13010425 +mem-write: 0x8000ec11 <- 0xef000a06 +mem-write: 0x8000ec15 <- 0x83c6cff0 +mem-write: 0x8000ec19 <- 0x9300c457 +mem-write: 0x8000ec1d <- 0x93b7f7f7 +mem-write: 0x8000ec21 <- 0x230807e7 +mem-write: 0x8000ec25 <- 0x3300f416 +mem-write: 0x8000ec29 <- 0x33014c05 +mem-write: 0x8000ec2d <- 0x2341448a +mem-write: 0x8000ec31 <- 0x2300942a +mem-write: 0x8000ec35 <- 0x23014424 +mem-write: 0x8000ec39 <- 0x23018428 +mem-write: 0x8000ec3d <- 0x9300a420 +mem-write: 0x8000ec41 <- 0x13000904 +mem-write: 0x8000ec45 <- 0x1300090a +mem-write: 0x8000ec49 <- 0x93000a06 +mem-write: 0x8000ec4d <- 0xef000b85 +mem-write: 0x8000ec51 <- 0x3d4cff0 +mem-write: 0x8000ec55 <- 0x3008427 +mem-write: 0x8000ec59 <- 0x83000425 +mem-write: 0x8000ec5d <- 0xb3008aa7 +mem-write: 0x8000ec61 <- 0x33409704 +mem-write: 0x8000ec65 <- 0x23014505 +mem-write: 0x8000ec69 <- 0x23009424 +mem-write: 0x8000ec6d <- 0x3300a420 +mem-write: 0x8000ec71 <- 0x23412789 +mem-write: 0x8000ec75 <- 0x63012aa4 +mem-write: 0x8000ec79 <- 0x3060904 +mem-write: 0x8000ec7d <- 0x830049a9 +mem-write: 0x8000ec81 <- 0x130009ab +mem-write: 0x8000ec85 <- 0x9300048a +mem-write: 0x8000ec89 <- 0xe3008989 +mem-write: 0x8000ec8d <- 0xe3fe0908 +mem-write: 0x8000ec91 <- 0x93f0997e +mem-write: 0x8000ec95 <- 0x13000904 +mem-write: 0x8000ec99 <- 0x6f00090a +mem-write: 0x8000ec9d <- 0x13fadff0 +mem-write: 0x8000eca1 <- 0xef000b05 +mem-write: 0x8000eca5 <- 0x13e80ff0 +mem-write: 0x8000eca9 <- 0xe300050c +mem-write: 0x8000ecad <- 0x83f6051e +mem-write: 0x8000ecb1 <- 0x13010425 +mem-write: 0x8000ecb5 <- 0xef000b05 +mem-write: 0x8000ecb9 <- 0x938adf50 +mem-write: 0x8000ecbd <- 0x2300c007 +mem-write: 0x8000ecc1 <- 0x8300fb20 +mem-write: 0x8000ecc5 <- 0x1300c457 +mem-write: 0x8000ecc9 <- 0x93fff005 +mem-write: 0x8000eccd <- 0x230407e7 +mem-write: 0x8000ecd1 <- 0x2300f416 +mem-write: 0x8000ecd5 <- 0x23000aa4 +mem-write: 0x8000ecd9 <- 0x6f000aa2 +mem-write: 0x8000ecdd <- 0x2300c000 +mem-write: 0x8000ece1 <- 0x13000aa2 +mem-write: 0x8000ece5 <- 0x83000005 +mem-write: 0x8000ece9 <- 0x302c120 +mem-write: 0x8000eced <- 0x83028124 +mem-write: 0x8000ecf1 <- 0x3024124 +mem-write: 0x8000ecf5 <- 0x83020129 +mem-write: 0x8000ecf9 <- 0x301c129 +mem-write: 0x8000ecfd <- 0x8301812a +mem-write: 0x8000ed01 <- 0x301412a +mem-write: 0x8000ed05 <- 0x8301012b +mem-write: 0x8000ed09 <- 0x300c12b +mem-write: 0x8000ed0d <- 0x1300812c +mem-write: 0x8000ed11 <- 0x67030101 +mem-write: 0x8000ed15 <- 0x83000080 +mem-write: 0x8000ed19 <- 0x1300c5d7 +mem-write: 0x8000ed1d <- 0x23ed0101 +mem-write: 0x8000ed21 <- 0x2311412c +mem-write: 0x8000ed25 <- 0x23116128 +mem-write: 0x8000ed29 <- 0x2311a120 +mem-write: 0x8000ed2d <- 0x23121126 +mem-write: 0x8000ed31 <- 0x23128124 +mem-write: 0x8000ed35 <- 0x23129122 +mem-write: 0x8000ed39 <- 0x23132120 +mem-write: 0x8000ed3d <- 0x2311312e +mem-write: 0x8000ed41 <- 0x2311512a +mem-write: 0x8000ed45 <- 0x23117126 +mem-write: 0x8000ed49 <- 0x23118124 +mem-write: 0x8000ed4d <- 0x23119122 +mem-write: 0x8000ed51 <- 0x930fb12e +mem-write: 0x8000ed55 <- 0x230807f7 +mem-write: 0x8000ed59 <- 0x1300d126 +mem-write: 0x8000ed5d <- 0x1300058a +mem-write: 0x8000ed61 <- 0x1300050b +mem-write: 0x8000ed65 <- 0x6300060d +mem-write: 0x8000ed69 <- 0x83000786 +mem-write: 0x8000ed6d <- 0xe30105a7 +mem-write: 0x8000ed71 <- 0xb75e0784 +mem-write: 0x8000ed75 <- 0x93800157 +mem-write: 0x8000ed79 <- 0x9304c10a +mem-write: 0x8000ed7d <- 0xb7370787 +mem-write: 0x8000ed81 <- 0xb780015b +mem-write: 0x8000ed85 <- 0x93800154 +mem-write: 0x8000ed89 <- 0x23000d09 +mem-write: 0x8000ed8d <- 0x23055120 +mem-write: 0x8000ed91 <- 0x23040124 +mem-write: 0x8000ed95 <- 0x23040122 +mem-write: 0x8000ed99 <- 0x2300012a +mem-write: 0x8000ed9d <- 0x2300012c +mem-write: 0x8000eda1 <- 0x23020120 +mem-write: 0x8000eda5 <- 0x2300012e +mem-write: 0x8000eda9 <- 0x23000124 +mem-write: 0x8000edad <- 0x9300f128 +mem-write: 0x8000edb1 <- 0x934dcb8b +mem-write: 0x8000edb5 <- 0x134ec484 +mem-write: 0x8000edb9 <- 0x83000a8d +mem-write: 0x8000edbd <- 0x630009c7 +mem-write: 0x8000edc1 <- 0x1320078c +mem-write: 0x8000edc5 <- 0x93000984 +mem-write: 0x8000edc9 <- 0x63025006 +mem-write: 0x8000edcd <- 0x832ad788 +mem-write: 0x8000edd1 <- 0x13001447 +mem-write: 0x8000edd5 <- 0xe3001404 +mem-write: 0x8000edd9 <- 0x33fe079a +mem-write: 0x8000eddd <- 0x6341340c +mem-write: 0x8000ede1 <- 0x831f340c +mem-write: 0x8000ede5 <- 0x83048126 +mem-write: 0x8000ede9 <- 0x23044127 +mem-write: 0x8000eded <- 0xb3013d20 +mem-write: 0x8000edf1 <- 0x93018686 +mem-write: 0x8000edf5 <- 0x23001787 +mem-write: 0x8000edf9 <- 0x23018d22 +mem-write: 0x8000edfd <- 0x2304d124 +mem-write: 0x8000ee01 <- 0x9304f122 +mem-write: 0x8000ee05 <- 0x13007006 +mem-write: 0x8000ee09 <- 0x63008d0d +mem-write: 0x8000ee0d <- 0x328f6c0 +mem-write: 0x8000ee11 <- 0x83008127 +mem-write: 0x8000ee15 <- 0x33000447 +mem-write: 0x8000ee19 <- 0x23018707 +mem-write: 0x8000ee1d <- 0x6300e124 +mem-write: 0x8000ee21 <- 0x931a078c +mem-write: 0x8000ee25 <- 0x83fff008 +mem-write: 0x8000ee29 <- 0x93001446 +mem-write: 0x8000ee2d <- 0xa3001409 +mem-write: 0x8000ee31 <- 0x2302010d +mem-write: 0x8000ee35 <- 0x13000122 +mem-write: 0x8000ee39 <- 0x13000009 +mem-write: 0x8000ee3d <- 0x9305a00c +mem-write: 0x8000ee41 <- 0x9300900c +mem-write: 0x8000ee45 <- 0x1302a005 +mem-write: 0x8000ee49 <- 0x93000884 +mem-write: 0x8000ee4d <- 0x93001989 +mem-write: 0x8000ee51 <- 0x63fe0687 +mem-write: 0x8000ee55 <- 0x304fc68 +mem-write: 0x8000ee59 <- 0x93010127 +mem-write: 0x8000ee5d <- 0xb3002797 +mem-write: 0x8000ee61 <- 0x8300e787 +mem-write: 0x8000ee65 <- 0x670007a7 +mem-write: 0x8000ee69 <- 0x23000780 +mem-write: 0x8000ee6d <- 0x93000122 +mem-write: 0x8000ee71 <- 0x3fd0687 +mem-write: 0x8000ee75 <- 0x83004126 +mem-write: 0x8000ee79 <- 0x930009c6 +mem-write: 0x8000ee7d <- 0x13001989 +mem-write: 0x8000ee81 <- 0x33002617 +mem-write: 0x8000ee85 <- 0x1300c707 +mem-write: 0x8000ee89 <- 0xb3001717 +mem-write: 0x8000ee8d <- 0x2300e787 +mem-write: 0x8000ee91 <- 0x9300f122 +mem-write: 0x8000ee95 <- 0xe3fd0687 +mem-write: 0x8000ee99 <- 0x93fcfcfe +mem-write: 0x8000ee9d <- 0xe3fe0687 +mem-write: 0x8000eea1 <- 0x63fafc7c +mem-write: 0x8000eea5 <- 0x2312068a +mem-write: 0x8000eea9 <- 0xa308d106 +mem-write: 0x8000eead <- 0x1302010d +mem-write: 0x8000eeb1 <- 0x9300100c +mem-write: 0x8000eeb5 <- 0x1300100c +mem-write: 0x8000eeb9 <- 0x9308c104 +mem-write: 0x8000eebd <- 0x13000008 +mem-write: 0x8000eec1 <- 0x6300297f +mem-write: 0x8000eec5 <- 0x13000f04 +mem-write: 0x8000eec9 <- 0x93002c0c +mem-write: 0x8000eecd <- 0x8308497e +mem-write: 0x8000eed1 <- 0x3048127 +mem-write: 0x8000eed5 <- 0x63044126 +mem-write: 0x8000eed9 <- 0x3000e98 +mem-write: 0x8000eedd <- 0xb3004127 +mem-write: 0x8000eee1 <- 0x6341870d +mem-write: 0x8000eee5 <- 0x37bb04a +mem-write: 0x8000eee9 <- 0x9303b145 +mem-write: 0x8000eeed <- 0x93001605 +mem-write: 0x8000eef1 <- 0x63008d06 +mem-write: 0x8000eef5 <- 0x13040500 +mem-write: 0x8000eef9 <- 0x9303b105 +mem-write: 0x8000eefd <- 0x23001787 +mem-write: 0x8000ef01 <- 0x1300ad20 +mem-write: 0x8000ef05 <- 0x23001005 +mem-write: 0x8000ef09 <- 0x2300ad22 +mem-write: 0x8000ef0d <- 0x2304f124 +mem-write: 0x8000ef11 <- 0x1304b122 +mem-write: 0x8000ef15 <- 0xe3007005 +mem-write: 0x8000ef19 <- 0x930ab540 +mem-write: 0x8000ef1d <- 0x1300260f +mem-write: 0x8000ef21 <- 0x13010d05 +mem-write: 0x8000ef25 <- 0x13000586 +mem-write: 0x8000ef29 <- 0x9300068d +mem-write: 0x8000ef2d <- 0x93000f85 +mem-write: 0x8000ef31 <- 0x63000506 +mem-write: 0x8000ef35 <- 0x13020f0c +mem-write: 0x8000ef39 <- 0x9303c106 +mem-write: 0x8000ef3d <- 0x23002787 +mem-write: 0x8000ef41 <- 0x1300cd20 +mem-write: 0x8000ef45 <- 0x23002006 +mem-write: 0x8000ef49 <- 0x2300cd22 +mem-write: 0x8000ef4d <- 0x2304f124 +mem-write: 0x8000ef51 <- 0x1304b122 +mem-write: 0x8000ef55 <- 0xe3007007 +mem-write: 0x8000ef59 <- 0x130ab742 +mem-write: 0x8000ef5d <- 0x13000586 +mem-write: 0x8000ef61 <- 0x9300068d +mem-write: 0x8000ef65 <- 0x93001585 +mem-write: 0x8000ef69 <- 0x13008686 +mem-write: 0x8000ef6d <- 0x63080005 +mem-write: 0x8000ef71 <- 0xb354ae8c +mem-write: 0x8000ef75 <- 0x6341988d +mem-write: 0x8000ef79 <- 0xb363b044 +mem-write: 0x8000ef7d <- 0x2300fc87 +mem-write: 0x8000ef81 <- 0x23008d20 +mem-write: 0x8000ef85 <- 0x23019d22 +mem-write: 0x8000ef89 <- 0x2304f124 +mem-write: 0x8000ef8d <- 0x1304b122 +mem-write: 0x8000ef91 <- 0x63007007 +mem-write: 0x8000ef95 <- 0x136cb742 +mem-write: 0x8000ef99 <- 0x63004973 +mem-write: 0x8000ef9d <- 0x3000308 +mem-write: 0x8000efa1 <- 0xb3004127 +mem-write: 0x8000efa5 <- 0xe341870c +mem-write: 0x8000efa9 <- 0x3099048 +mem-write: 0x8000efad <- 0x63004124 +mem-write: 0x8000efb1 <- 0x13018454 +mem-write: 0x8000efb5 <- 0x3000c04 +mem-write: 0x8000efb9 <- 0x33008127 +mem-write: 0x8000efbd <- 0x23008707 +mem-write: 0x8000efc1 <- 0x6300e124 +mem-write: 0x8000efc5 <- 0x836a079a +mem-write: 0x8000efc9 <- 0x230009c7 +mem-write: 0x8000efcd <- 0x13040122 +mem-write: 0x8000efd1 <- 0xe3000a8d +mem-write: 0x8000efd5 <- 0x83de0798 +mem-write: 0x8000efd9 <- 0xe3048127 +mem-write: 0x8000efdd <- 0x8356079e +mem-write: 0x8000efe1 <- 0x9300ca57 +mem-write: 0x8000efe5 <- 0xe30407f7 +mem-write: 0x8000efe9 <- 0x835a0794 +mem-write: 0x8000efed <- 0x312c120 +mem-write: 0x8000eff1 <- 0x3128124 +mem-write: 0x8000eff5 <- 0x83008125 +VXDRV: upload 1024 bytes to 0x8000eff9 +mem-write: 0x8000eff9 <- 0x3124124 +mem-write: 0x8000effd <- 0x83120129 +mem-write: 0x8000f001 <- 0x311c129 +mem-write: 0x8000f005 <- 0x8311812a +mem-write: 0x8000f009 <- 0x311412a +mem-write: 0x8000f00d <- 0x8311012b +mem-write: 0x8000f011 <- 0x310c12b +mem-write: 0x8000f015 <- 0x8310812c +mem-write: 0x8000f019 <- 0x310412c +mem-write: 0x8000f01d <- 0x8310012d +mem-write: 0x8000f021 <- 0x130fc12d +mem-write: 0x8000f025 <- 0x67130101 +mem-write: 0x8000f029 <- 0x13000080 +mem-write: 0x8000f02d <- 0xef000b05 +mem-write: 0x8000f031 <- 0x83d08f80 +mem-write: 0x8000f035 <- 0x13004527 +mem-write: 0x8000f039 <- 0x23000785 +mem-write: 0x8000f03d <- 0xef00f12e +mem-write: 0x8000f041 <- 0x93cacfa0 +mem-write: 0x8000f045 <- 0x13000507 +mem-write: 0x8000f049 <- 0x93000b05 +mem-write: 0x8000f04d <- 0x2300078d +mem-write: 0x8000f051 <- 0xef02f120 +mem-write: 0x8000f055 <- 0x83ce4f80 +mem-write: 0x8000f059 <- 0x93008527 +mem-write: 0x8000f05d <- 0x2302a005 +mem-write: 0x8000f061 <- 0xe300f12c +mem-write: 0x8000f065 <- 0x831e0d98 +mem-write: 0x8000f069 <- 0x6f0009c6 +mem-write: 0x8000f06d <- 0x83de1ff0 +mem-write: 0x8000f071 <- 0x130009c6 +mem-write: 0x8000f075 <- 0x6f020969 +mem-write: 0x8000f079 <- 0x33dd5ff0 +mem-write: 0x8000f07d <- 0xe341340c +mem-write: 0x8000f081 <- 0x83d73412 +mem-write: 0x8000f085 <- 0x6f000447 +mem-write: 0x8000f089 <- 0x13d99ff0 +mem-write: 0x8000f08d <- 0x93040106 +mem-write: 0x8000f091 <- 0x13000a05 +mem-write: 0x8000f095 <- 0xef000b05 +mem-write: 0x8000f099 <- 0xe3ac5ff0 +mem-write: 0x8000f09d <- 0x13f40512 +mem-write: 0x8000f0a1 <- 0x6f000a8d +mem-write: 0x8000f0a5 <- 0x83d6dff0 +mem-write: 0x8000f0a9 <- 0x9300c127 +mem-write: 0x8000f0ad <- 0xa3000408 +mem-write: 0x8000f0b1 <- 0x302010d +mem-write: 0x8000f0b5 <- 0x930007a4 +mem-write: 0x8000f0b9 <- 0xe300478d +mem-write: 0x8000f0bd <- 0x93380404 +mem-write: 0x8000f0c1 <- 0xe3fff007 +mem-write: 0x8000f0c5 <- 0x1326f88e +mem-write: 0x8000f0c9 <- 0x93000886 +mem-write: 0x8000f0cd <- 0x13000005 +mem-write: 0x8000f0d1 <- 0x23000405 +mem-write: 0x8000f0d5 <- 0xef011126 +mem-write: 0x8000f0d9 <- 0x83df9f80 +mem-write: 0x8000f0dd <- 0xe300c128 +mem-write: 0x8000f0e1 <- 0xb3480506 +mem-write: 0x8000f0e5 <- 0x2340850c +mem-write: 0x8000f0e9 <- 0x9301b126 +mem-write: 0x8000f0ed <- 0x6f000008 +mem-write: 0x8000f0f1 <- 0x3094000 +mem-write: 0x8000f0f5 <- 0xa300c127 +mem-write: 0x8000f0f9 <- 0x1302010d +mem-write: 0x8000f0fd <- 0x8300100c +mem-write: 0x8000f101 <- 0x13000727 +mem-write: 0x8000f105 <- 0x23004707 +mem-write: 0x8000f109 <- 0x2300e126 +mem-write: 0x8000f10d <- 0x9308f106 +mem-write: 0x8000f111 <- 0x1300100c +mem-write: 0x8000f115 <- 0x6f08c104 +mem-write: 0x8000f119 <- 0x93da5ff0 +mem-write: 0x8000f11d <- 0x93020977 +mem-write: 0x8000f121 <- 0x63000408 +mem-write: 0x8000f125 <- 0x830e078e +mem-write: 0x8000f129 <- 0x9300c127 +mem-write: 0x8000f12d <- 0x93007787 +mem-write: 0x8000f131 <- 0x83ff87f7 +mem-write: 0x8000f135 <- 0x830047a6 +mem-write: 0x8000f139 <- 0x930007ac +mem-write: 0x8000f13d <- 0x23008787 +mem-write: 0x8000f141 <- 0x1300f126 +mem-write: 0x8000f145 <- 0x6300068c +mem-write: 0x8000f149 <- 0x931006c4 +mem-write: 0x8000f14d <- 0x93fff006 +mem-write: 0x8000f151 <- 0x6300090d +mem-write: 0x8000f155 <- 0xb300d888 +mem-write: 0x8000f159 <- 0x93018ce6 +mem-write: 0x8000f15d <- 0x63f7f97d +mem-write: 0x8000f161 <- 0xe376068e +mem-write: 0x8000f165 <- 0x93000c1c +mem-write: 0x8000f169 <- 0xe3009006 +mem-write: 0x8000f16d <- 0x930196e8 +mem-write: 0x8000f171 <- 0xa3030c87 +mem-write: 0x8000f175 <- 0x130ef107 +mem-write: 0x8000f179 <- 0x93000d89 +mem-write: 0x8000f17d <- 0x1300100c +mem-write: 0x8000f181 <- 0x130ef104 +mem-write: 0x8000f185 <- 0x6300088c +mem-write: 0x8000f189 <- 0x130198d4 +mem-write: 0x8000f18d <- 0x83000c8c +mem-write: 0x8000f191 <- 0xb303b147 +mem-write: 0x8000f195 <- 0x3300f037 +mem-write: 0x8000f199 <- 0x6f00fc0c +mem-write: 0x8000f19d <- 0x93d25ff0 +mem-write: 0x8000f1a1 <- 0x13000408 +mem-write: 0x8000f1a5 <- 0x93010969 +mem-write: 0x8000f1a9 <- 0x63020977 +mem-write: 0x8000f1ad <- 0x83740784 +mem-write: 0x8000f1b1 <- 0x9300c127 +mem-write: 0x8000f1b5 <- 0x93007787 +mem-write: 0x8000f1b9 <- 0x83ff87f7 +mem-write: 0x8000f1bd <- 0x30007ac +mem-write: 0x8000f1c1 <- 0x930047ac +mem-write: 0x8000f1c5 <- 0x23008787 +mem-write: 0x8000f1c9 <- 0x9300f126 +mem-write: 0x8000f1cd <- 0x93bff97d +mem-write: 0x8000f1d1 <- 0xa3000006 +mem-write: 0x8000f1d5 <- 0x1302010d +mem-write: 0x8000f1d9 <- 0x63fff006 +mem-write: 0x8000f1dd <- 0x3308c88e +mem-write: 0x8000f1e1 <- 0x13018ce6 +mem-write: 0x8000f1e5 <- 0x63f7fdf9 +mem-write: 0x8000f1e9 <- 0x634a0614 +mem-write: 0x8000f1ed <- 0x63280892 +mem-write: 0x8000f1f1 <- 0x936e069a +mem-write: 0x8000f1f5 <- 0x13001dfc +mem-write: 0x8000f1f9 <- 0xe30f0104 +mem-write: 0x8000f1fd <- 0x93f80c84 +mem-write: 0x8000f201 <- 0xa3030007 +mem-write: 0x8000f205 <- 0x130ef107 +mem-write: 0x8000f209 <- 0x6f0ef104 +mem-write: 0x8000f20d <- 0x13f79ff0 +mem-write: 0x8000f211 <- 0x93010969 +mem-write: 0x8000f215 <- 0x93020977 +mem-write: 0x8000f219 <- 0xe3000408 +mem-write: 0x8000f21d <- 0x3f00796 +mem-write: 0x8000f221 <- 0x9300c127 +mem-write: 0x8000f225 <- 0x93010977 +mem-write: 0x8000f229 <- 0xe3004706 +mem-write: 0x8000f22d <- 0x93040792 +mem-write: 0x8000f231 <- 0xe3040977 +mem-write: 0x8000f235 <- 0x83260786 +mem-write: 0x8000f239 <- 0x2300c127 +mem-write: 0x8000f23d <- 0x8300d126 +mem-write: 0x8000f241 <- 0x1300079c +mem-write: 0x8000f245 <- 0x9341fcdc +mem-write: 0x8000f249 <- 0xe3000c06 +mem-write: 0x8000f24d <- 0xb3f006d0 +mem-write: 0x8000f251 <- 0xb3019036 +mem-write: 0x8000f255 <- 0x3341800e +mem-write: 0x8000f259 <- 0x9340de8c +mem-write: 0x8000f25d <- 0xa302d006 +mem-write: 0x8000f261 <- 0x1302d10d +mem-write: 0x8000f265 <- 0xb3fff006 +mem-write: 0x8000f269 <- 0x9341900c +mem-write: 0x8000f26d <- 0x9300090d +mem-write: 0x8000f271 <- 0xe3001006 +mem-write: 0x8000f275 <- 0x13f6c896 +mem-write: 0x8000f279 <- 0xe3001006 +mem-write: 0x8000f27d <- 0x13eec684 +mem-write: 0x8000f281 <- 0x63002006 +mem-write: 0x8000f285 <- 0x1320c680 +mem-write: 0x8000f289 <- 0x930f0104 +mem-write: 0x8000f28d <- 0x9301dc17 +mem-write: 0x8000f291 <- 0x93007cf6 +mem-write: 0x8000f295 <- 0x93003cdc +mem-write: 0x8000f299 <- 0xb3030686 +mem-write: 0x8000f29d <- 0x130197ec +mem-write: 0x8000f2a1 <- 0xa3003c5c +mem-write: 0x8000f2a5 <- 0xb3fed40f +mem-write: 0x8000f2a9 <- 0x93018ce7 +mem-write: 0x8000f2ad <- 0x13000405 +mem-write: 0x8000f2b1 <- 0xe3fff404 +mem-write: 0x8000f2b5 <- 0x93fc079c +mem-write: 0x8000f2b9 <- 0x63001df7 +mem-write: 0x8000f2bd <- 0x931e078e +mem-write: 0x8000f2c1 <- 0x63030007 +mem-write: 0x8000f2c5 <- 0x931ef68a +mem-write: 0x8000f2c9 <- 0xa3ffe585 +mem-write: 0x8000f2cd <- 0x93fef40f +mem-write: 0x8000f2d1 <- 0xb30f0107 +mem-write: 0x8000f2d5 <- 0x1340b78c +mem-write: 0x8000f2d9 <- 0x13000d89 +mem-write: 0x8000f2dd <- 0x6f000584 +mem-write: 0x8000f2e1 <- 0x93ea5ff0 +mem-write: 0x8000f2e5 <- 0x93000408 +mem-write: 0x8000f2e9 <- 0x9301096d +mem-write: 0x8000f2ed <- 0x63020df7 +mem-write: 0x8000f2f1 <- 0x83620788 +mem-write: 0x8000f2f5 <- 0x9300c127 +mem-write: 0x8000f2f9 <- 0x13001006 +mem-write: 0x8000f2fd <- 0x13007789 +mem-write: 0x8000f301 <- 0x93ff8979 +mem-write: 0x8000f305 <- 0x83008907 +mem-write: 0x8000f309 <- 0x300092c +mem-write: 0x8000f30d <- 0x2300492c +mem-write: 0x8000f311 <- 0x6f00f126 +mem-write: 0x8000f315 <- 0x83ec1ff0 +mem-write: 0x8000f319 <- 0xb700c127 +mem-write: 0x8000f31d <- 0x93ffff86 +mem-write: 0x8000f321 <- 0x838306c6 +mem-write: 0x8000f325 <- 0x930007ac +mem-write: 0x8000f329 <- 0x23004787 +mem-write: 0x8000f32d <- 0xb700f126 +mem-write: 0x8000f331 <- 0x93800157 +mem-write: 0x8000f335 <- 0x23a3c787 +mem-write: 0x8000f339 <- 0x9302d11e +mem-write: 0x8000f33d <- 0x13000408 +mem-write: 0x8000f341 <- 0x9300000c +mem-write: 0x8000f345 <- 0x2300296d +mem-write: 0x8000f349 <- 0x9300f12a +mem-write: 0x8000f34d <- 0x6f002006 +mem-write: 0x8000f351 <- 0x83e85ff0 +mem-write: 0x8000f355 <- 0x130009c6 +mem-write: 0x8000f359 <- 0x6f080969 +mem-write: 0x8000f35d <- 0x83af1ff0 +mem-write: 0x8000f361 <- 0x130009c6 +mem-write: 0x8000f365 <- 0xe3001987 +mem-write: 0x8000f369 <- 0x9322b68a +mem-write: 0x8000f36d <- 0x93fd0687 +mem-write: 0x8000f371 <- 0x13000709 +mem-write: 0x8000f375 <- 0xe3000004 +mem-write: 0x8000f379 <- 0x83acfcec +mem-write: 0x8000f37d <- 0x130009c6 +mem-write: 0x8000f381 <- 0xb3002417 +mem-write: 0x8000f385 <- 0x93008708 +mem-write: 0x8000f389 <- 0x33001898 +mem-write: 0x8000f38d <- 0x9300f884 +mem-write: 0x8000f391 <- 0x93fd0687 +mem-write: 0x8000f395 <- 0xe3001989 +mem-write: 0x8000f399 <- 0x6ffefcf2 +mem-write: 0x8000f39d <- 0x83ab5ff0 +mem-write: 0x8000f3a1 <- 0x130009c6 +mem-write: 0x8000f3a5 <- 0x6f004969 +mem-write: 0x8000f3a9 <- 0x93aa5ff0 +mem-write: 0x8000f3ad <- 0x8302b007 +mem-write: 0x8000f3b1 <- 0xa30009c6 +mem-write: 0x8000f3b5 <- 0x6f02f10d +mem-write: 0x8000f3b9 <- 0x3a95ff0 +mem-write: 0x8000f3bd <- 0x8300c127 +mem-write: 0x8000f3c1 <- 0x830009c6 +mem-write: 0x8000f3c5 <- 0x13000727 +mem-write: 0x8000f3c9 <- 0x23004707 +mem-write: 0x8000f3cd <- 0x2300e126 +mem-write: 0x8000f3d1 <- 0xe300f122 +mem-write: 0x8000f3d5 <- 0xb3a607dc +mem-write: 0x8000f3d9 <- 0x2340f007 +mem-write: 0x8000f3dd <- 0x1300f122 +mem-write: 0x8000f3e1 <- 0x6f004969 +mem-write: 0x8000f3e5 <- 0x83a69ff0 +mem-write: 0x8000f3e9 <- 0x130009c6 +mem-write: 0x8000f3ed <- 0x6f001969 +mem-write: 0x8000f3f1 <- 0x83a5dff0 +mem-write: 0x8000f3f5 <- 0x8303b147 +VXDRV: upload 1024 bytes to 0x8000f3f9 +mem-write: 0x8000f3f9 <- 0xe30009c6 +mem-write: 0x8000f3fd <- 0x93a40798 +mem-write: 0x8000f401 <- 0xa3020007 +mem-write: 0x8000f405 <- 0x6f02f10d +mem-write: 0x8000f409 <- 0x83a45ff0 +mem-write: 0x8000f40d <- 0x930009c6 +mem-write: 0x8000f411 <- 0x63068007 +mem-write: 0x8000f415 <- 0x137ef68e +mem-write: 0x8000f419 <- 0x6f040969 +mem-write: 0x8000f41d <- 0x3a31ff0 +mem-write: 0x8000f421 <- 0x9300c127 +mem-write: 0x8000f425 <- 0x83020977 +mem-write: 0x8000f429 <- 0x13000726 +mem-write: 0x8000f42d <- 0x23004707 +mem-write: 0x8000f431 <- 0x6300e126 +mem-write: 0x8000f435 <- 0x935e079c +mem-write: 0x8000f439 <- 0x63010977 +mem-write: 0x8000f43d <- 0x937e079e +mem-write: 0x8000f441 <- 0xe3040977 +mem-write: 0x8000f445 <- 0x13100794 +mem-write: 0x8000f449 <- 0x63200973 +mem-write: 0x8000f44d <- 0x837e0306 +mem-write: 0x8000f451 <- 0x23008127 +mem-write: 0x8000f455 <- 0x6f00f680 +mem-write: 0x8000f459 <- 0x83965ff0 +mem-write: 0x8000f45d <- 0x930009c6 +mem-write: 0x8000f461 <- 0x6306c007 +mem-write: 0x8000f465 <- 0x137af68e +mem-write: 0x8000f469 <- 0x6f010969 +mem-write: 0x8000f46d <- 0x139e1ff0 +mem-write: 0x8000f471 <- 0xe3001006 +mem-write: 0x8000f475 <- 0x1310c684 +mem-write: 0x8000f479 <- 0x93002006 +mem-write: 0x8000f47d <- 0xe300090d +mem-write: 0x8000f481 <- 0x83e0c694 +mem-write: 0x8000f485 <- 0x13014126 +mem-write: 0x8000f489 <- 0x930f0104 +mem-write: 0x8000f48d <- 0xb300fcf7 +mem-write: 0x8000f491 <- 0x300f687 +mem-write: 0x8000f495 <- 0x930007c7 +mem-write: 0x8000f499 <- 0x93004cdc +mem-write: 0x8000f49d <- 0xb301cc17 +mem-write: 0x8000f4a1 <- 0x130197ec +mem-write: 0x8000f4a5 <- 0xa3004c5c +mem-write: 0x8000f4a9 <- 0xb3fee40f +mem-write: 0x8000f4ad <- 0x13018ce7 +mem-write: 0x8000f4b1 <- 0xe3fff404 +mem-write: 0x8000f4b5 <- 0x93fc079c +mem-write: 0x8000f4b9 <- 0xb30f0107 +mem-write: 0x8000f4bd <- 0x1340878c +mem-write: 0x8000f4c1 <- 0x6f000d89 +mem-write: 0x8000f4c5 <- 0x3cc1ff0 +mem-write: 0x8000f4c9 <- 0xb3004127 +mem-write: 0x8000f4cd <- 0xe341870d +mem-write: 0x8000f4d1 <- 0x13abb052 +mem-write: 0x8000f4d5 <- 0xe3010005 +mem-write: 0x8000f4d9 <- 0x230bb556 +mem-write: 0x8000f4dd <- 0x93028122 +mem-write: 0x8000f4e1 <- 0x13010006 +mem-write: 0x8000f4e5 <- 0x93000a04 +mem-write: 0x8000f4e9 <- 0x1300700e +mem-write: 0x8000f4ed <- 0x93000d8a +mem-write: 0x8000f4f1 <- 0x9300098d +mem-write: 0x8000f4f5 <- 0x6f000889 +mem-write: 0x8000f4f9 <- 0x1300c000 +mem-write: 0x8000f4fd <- 0x63ff0a0a +mem-write: 0x8000f501 <- 0x930546da +mem-write: 0x8000f505 <- 0x13010787 +mem-write: 0x8000f509 <- 0x23001606 +mem-write: 0x8000f50d <- 0x23009d20 +mem-write: 0x8000f511 <- 0x2300dd22 +mem-write: 0x8000f515 <- 0x2304f124 +mem-write: 0x8000f519 <- 0x1304c122 +mem-write: 0x8000f51d <- 0xe3008d0d +mem-write: 0x8000f521 <- 0x13fccede +mem-write: 0x8000f525 <- 0x93040106 +mem-write: 0x8000f529 <- 0x13000405 +mem-write: 0x8000f52d <- 0xef000b05 +mem-write: 0x8000f531 <- 0x63e2cff0 +mem-write: 0x8000f535 <- 0x936e051e +mem-write: 0x8000f539 <- 0x13010006 +mem-write: 0x8000f53d <- 0x83ff0a0a +mem-write: 0x8000f541 <- 0x3048127 +mem-write: 0x8000f545 <- 0x13044126 +mem-write: 0x8000f549 <- 0x93000a8d +mem-write: 0x8000f54d <- 0xe300700e +mem-write: 0x8000f551 <- 0x93fb46ca +mem-write: 0x8000f555 <- 0x93000988 +mem-write: 0x8000f559 <- 0x93000d89 +mem-write: 0x8000f55d <- 0x13000a0d +mem-write: 0x8000f561 <- 0x300040a +mem-write: 0x8000f565 <- 0x13024124 +mem-write: 0x8000f569 <- 0x13001606 +mem-write: 0x8000f56d <- 0xb3008d05 +mem-write: 0x8000f571 <- 0x2301b787 +mem-write: 0x8000f575 <- 0x23009d20 +mem-write: 0x8000f579 <- 0x2301bd22 +mem-write: 0x8000f57d <- 0x2304f124 +mem-write: 0x8000f581 <- 0x1304c122 +mem-write: 0x8000f585 <- 0x63007007 +mem-write: 0x8000f589 <- 0xb364c74a +mem-write: 0x8000f58d <- 0x9341988d +mem-write: 0x8000f591 <- 0x93001605 +mem-write: 0x8000f595 <- 0x13008506 +mem-write: 0x8000f599 <- 0xe300050d +mem-write: 0x8000f59d <- 0x139fb050 +mem-write: 0x8000f5a1 <- 0x63010005 +mem-write: 0x8000f5a5 <- 0x9373b55e +mem-write: 0x8000f5a9 <- 0x93010006 +mem-write: 0x8000f5ad <- 0x6f007008 +mem-write: 0x8000f5b1 <- 0x9300c000 +mem-write: 0x8000f5b5 <- 0x63ff0d8d +mem-write: 0x8000f5b9 <- 0x9305b6da +mem-write: 0x8000f5bd <- 0x13010787 +mem-write: 0x8000f5c1 <- 0x23001606 +mem-write: 0x8000f5c5 <- 0x23009d20 +mem-write: 0x8000f5c9 <- 0x2300dd22 +mem-write: 0x8000f5cd <- 0x2304f124 +mem-write: 0x8000f5d1 <- 0x1304c122 +mem-write: 0x8000f5d5 <- 0xe3008d0d +mem-write: 0x8000f5d9 <- 0x13fcc8de +mem-write: 0x8000f5dd <- 0x93040106 +mem-write: 0x8000f5e1 <- 0x13000a05 +mem-write: 0x8000f5e5 <- 0xef000b05 +mem-write: 0x8000f5e9 <- 0xe3d74ff0 +mem-write: 0x8000f5ed <- 0x939e051a +mem-write: 0x8000f5f1 <- 0x93010006 +mem-write: 0x8000f5f5 <- 0x83ff0d8d +mem-write: 0x8000f5f9 <- 0x3048127 +mem-write: 0x8000f5fd <- 0x13044126 +mem-write: 0x8000f601 <- 0x93000a8d +mem-write: 0x8000f605 <- 0xe3007008 +mem-write: 0x8000f609 <- 0x93fbb6ca +mem-write: 0x8000f60d <- 0x13001605 +mem-write: 0x8000f611 <- 0xb3008d06 +mem-write: 0x8000f615 <- 0x2301b787 +mem-write: 0x8000f619 <- 0x23009d20 +mem-write: 0x8000f61d <- 0x2301bd22 +mem-write: 0x8000f621 <- 0x2304f124 +mem-write: 0x8000f625 <- 0x1304b122 +mem-write: 0x8000f629 <- 0x63007007 +mem-write: 0x8000f62d <- 0x1332b742 +mem-write: 0x8000f631 <- 0x9300060d +mem-write: 0x8000f635 <- 0xb3001585 +mem-write: 0x8000f639 <- 0x2300fc87 +mem-write: 0x8000f63d <- 0x23008d20 +mem-write: 0x8000f641 <- 0x23019d22 +mem-write: 0x8000f645 <- 0x2304f124 +mem-write: 0x8000f649 <- 0x1304b122 +mem-write: 0x8000f64d <- 0x93007007 +mem-write: 0x8000f651 <- 0xe3008606 +mem-write: 0x8000f655 <- 0x1394b752 +mem-write: 0x8000f659 <- 0x93040106 +mem-write: 0x8000f65d <- 0x13000a05 +mem-write: 0x8000f661 <- 0xef000b05 +mem-write: 0x8000f665 <- 0xe3cf8ff0 +mem-write: 0x8000f669 <- 0x8396051c +mem-write: 0x8000f66d <- 0x93048127 +mem-write: 0x8000f671 <- 0x6f000a86 +mem-write: 0x8000f675 <- 0x13925ff0 +mem-write: 0x8000f679 <- 0x93040106 +mem-write: 0x8000f67d <- 0x13000a05 +mem-write: 0x8000f681 <- 0xef000b05 +mem-write: 0x8000f685 <- 0xe3cd8ff0 +mem-write: 0x8000f689 <- 0x6f940500 +mem-write: 0x8000f68d <- 0x93955ff0 +mem-write: 0x8000f691 <- 0x6f00090d +mem-write: 0x8000f695 <- 0x93be5ff0 +mem-write: 0x8000f699 <- 0x63010006 +mem-write: 0x8000f69d <- 0x130bb6de +mem-write: 0x8000f6a1 <- 0x93000d07 +mem-write: 0x8000f6a5 <- 0x1300700f +mem-write: 0x8000f6a9 <- 0x23000c0d +mem-write: 0x8000f6ad <- 0x1303e122 +mem-write: 0x8000f6b1 <- 0x2300090c +mem-write: 0x8000f6b5 <- 0x1303d124 +mem-write: 0x8000f6b9 <- 0x13000a09 +mem-write: 0x8000f6bd <- 0x9300098a +mem-write: 0x8000f6c1 <- 0x13000409 +mem-write: 0x8000f6c5 <- 0x93000d84 +mem-write: 0x8000f6c9 <- 0x93000c8d +mem-write: 0x8000f6cd <- 0x6f00088c +mem-write: 0x8000f6d1 <- 0x1300c000 +mem-write: 0x8000f6d5 <- 0x63ff0404 +mem-write: 0x8000f6d9 <- 0x930486da +mem-write: 0x8000f6dd <- 0x13010787 +mem-write: 0x8000f6e1 <- 0x23001606 +mem-write: 0x8000f6e5 <- 0x23017720 +mem-write: 0x8000f6e9 <- 0x2300d722 +mem-write: 0x8000f6ed <- 0x2304f124 +mem-write: 0x8000f6f1 <- 0x1304c122 +mem-write: 0x8000f6f5 <- 0xe3008707 +mem-write: 0x8000f6f9 <- 0x13fccfde +mem-write: 0x8000f6fd <- 0x93040106 +mem-write: 0x8000f701 <- 0x13000905 +mem-write: 0x8000f705 <- 0xef000b05 +mem-write: 0x8000f709 <- 0x63c54ff0 +mem-write: 0x8000f70d <- 0x934a0516 +mem-write: 0x8000f711 <- 0x13010006 +mem-write: 0x8000f715 <- 0x83ff0404 +mem-write: 0x8000f719 <- 0x3048127 +mem-write: 0x8000f71d <- 0x13044126 +mem-write: 0x8000f721 <- 0x93000a87 +mem-write: 0x8000f725 <- 0xe300700f +mem-write: 0x8000f729 <- 0x3fa86ca +mem-write: 0x8000f72d <- 0x8302412f +mem-write: 0x8000f731 <- 0x9302812e +mem-write: 0x8000f735 <- 0x93000c88 +mem-write: 0x8000f739 <- 0x93000d8c +mem-write: 0x8000f73d <- 0x1300040d +mem-write: 0x8000f741 <- 0x93000984 +mem-write: 0x8000f745 <- 0x13000a09 +mem-write: 0x8000f749 <- 0x1300090a +mem-write: 0x8000f74d <- 0x13000c09 +mem-write: 0x8000f751 <- 0x13000d0c +mem-write: 0x8000f755 <- 0xb300070d +mem-write: 0x8000f759 <- 0x1301b787 +mem-write: 0x8000f75d <- 0x23001606 +mem-write: 0x8000f761 <- 0x23017d20 +mem-write: 0x8000f765 <- 0x2301bd22 +mem-write: 0x8000f769 <- 0x2304f124 +mem-write: 0x8000f76d <- 0x9304c122 +mem-write: 0x8000f771 <- 0x13007006 +mem-write: 0x8000f775 <- 0x63008d0d +mem-write: 0x8000f779 <- 0x13f6c6d8 +mem-write: 0x8000f77d <- 0x93040106 +mem-write: 0x8000f781 <- 0x13000a05 +mem-write: 0x8000f785 <- 0x23000b05 +mem-write: 0x8000f789 <- 0x23031126 +mem-write: 0x8000f78d <- 0x2303d124 +mem-write: 0x8000f791 <- 0xef03e122 +mem-write: 0x8000f795 <- 0xe3bc8ff0 +mem-write: 0x8000f799 <- 0x83840514 +mem-write: 0x8000f79d <- 0x3048127 +mem-write: 0x8000f7a1 <- 0x83044126 +mem-write: 0x8000f7a5 <- 0x8302c128 +mem-write: 0x8000f7a9 <- 0x302812e +mem-write: 0x8000f7ad <- 0x1302412f +mem-write: 0x8000f7b1 <- 0x6f000a8d +mem-write: 0x8000f7b5 <- 0x13f34ff0 +mem-write: 0x8000f7b9 <- 0x93040106 +mem-write: 0x8000f7bd <- 0x13000a05 +mem-write: 0x8000f7c1 <- 0x23000b05 +mem-write: 0x8000f7c5 <- 0x23031126 +mem-write: 0x8000f7c9 <- 0x2303d124 +mem-write: 0x8000f7cd <- 0xef03e122 +mem-write: 0x8000f7d1 <- 0xe3b8cff0 +mem-write: 0x8000f7d5 <- 0x3800516 +mem-write: 0x8000f7d9 <- 0x83044126 +mem-write: 0x8000f7dd <- 0x83048127 +mem-write: 0x8000f7e1 <- 0x8302c128 +mem-write: 0x8000f7e5 <- 0x302812e +mem-write: 0x8000f7e9 <- 0x9302412f +mem-write: 0x8000f7ed <- 0x93054106 +mem-write: 0x8000f7f1 <- 0x13001605 +mem-write: 0x8000f7f5 <- 0x6f000a8d +VXDRV: upload 1024 bytes to 0x8000f7f9 +mem-write: 0x8000f7f9 <- 0x13f3cff0 +mem-write: 0x8000f7fd <- 0x93040106 +mem-write: 0x8000f801 <- 0x13000a05 +mem-write: 0x8000f805 <- 0x23000b05 +mem-write: 0x8000f809 <- 0x23031124 +mem-write: 0x8000f80d <- 0xef03d122 +mem-write: 0x8000f811 <- 0x63b4cff0 +mem-write: 0x8000f815 <- 0x3fc0516 +mem-write: 0x8000f819 <- 0x83044126 +mem-write: 0x8000f81d <- 0x83048127 +mem-write: 0x8000f821 <- 0x83028128 +mem-write: 0x8000f825 <- 0x9302412e +mem-write: 0x8000f829 <- 0x93054106 +mem-write: 0x8000f82d <- 0x13001605 +mem-write: 0x8000f831 <- 0x6f000a8d +mem-write: 0x8000f835 <- 0x13f38ff0 +mem-write: 0x8000f839 <- 0x3010006 +mem-write: 0x8000f83d <- 0x63044127 +mem-write: 0x8000f841 <- 0x93079650 +mem-write: 0x8000f845 <- 0x1301000d +mem-write: 0x8000f849 <- 0x6f007004 +mem-write: 0x8000f84d <- 0x9300c000 +mem-write: 0x8000f851 <- 0x63ff0c8c +mem-write: 0x8000f855 <- 0x93059dd6 +mem-write: 0x8000f859 <- 0x13010787 +mem-write: 0x8000f85d <- 0x23001707 +mem-write: 0x8000f861 <- 0x230176a0 +mem-write: 0x8000f865 <- 0x2301b6a2 +mem-write: 0x8000f869 <- 0x2304f124 +mem-write: 0x8000f86d <- 0x9304e122 +mem-write: 0x8000f871 <- 0xe3008686 +mem-write: 0x8000f875 <- 0x13fce45e +mem-write: 0x8000f879 <- 0x93040106 +mem-write: 0x8000f87d <- 0x13000a05 +mem-write: 0x8000f881 <- 0xef000b05 +mem-write: 0x8000f885 <- 0x63ad8ff0 +mem-write: 0x8000f889 <- 0x93f4051c +mem-write: 0x8000f88d <- 0x83ff0c8c +mem-write: 0x8000f891 <- 0x3048127 +mem-write: 0x8000f895 <- 0x93044127 +mem-write: 0x8000f899 <- 0xe3000a86 +mem-write: 0x8000f89d <- 0xb3fb9dce +mem-write: 0x8000f8a1 <- 0x13019787 +mem-write: 0x8000f8a5 <- 0x23001707 +mem-write: 0x8000f8a9 <- 0x230176a0 +mem-write: 0x8000f8ad <- 0x230196a2 +mem-write: 0x8000f8b1 <- 0x2304f124 +mem-write: 0x8000f8b5 <- 0x9304e122 +mem-write: 0x8000f8b9 <- 0x63007006 +mem-write: 0x8000f8bd <- 0x13eee6d8 +mem-write: 0x8000f8c1 <- 0x93040106 +mem-write: 0x8000f8c5 <- 0x13000a05 +mem-write: 0x8000f8c9 <- 0xef000b05 +mem-write: 0x8000f8cd <- 0x63a90ff0 +mem-write: 0x8000f8d1 <- 0x83f00518 +mem-write: 0x8000f8d5 <- 0x6f048127 +mem-write: 0x8000f8d9 <- 0xe3ed4ff0 +mem-write: 0x8000f8dd <- 0x1388089a +mem-write: 0x8000f8e1 <- 0x93000d89 +mem-write: 0x8000f8e5 <- 0x93000008 +mem-write: 0x8000f8e9 <- 0x1300000c +mem-write: 0x8000f8ed <- 0x6f0f0104 +mem-write: 0x8000f8f1 <- 0x3895ff0 +mem-write: 0x8000f8f5 <- 0x9300c127 +mem-write: 0x8000f8f9 <- 0x93010977 +mem-write: 0x8000f8fd <- 0x63004706 +mem-write: 0x8000f901 <- 0x93180792 +mem-write: 0x8000f905 <- 0x63040977 +mem-write: 0x8000f909 <- 0x8336078e +mem-write: 0x8000f90d <- 0x1300c127 +mem-write: 0x8000f911 <- 0x2300000c +mem-write: 0x8000f915 <- 0x8300d126 +mem-write: 0x8000f919 <- 0x6f0007dc +mem-write: 0x8000f91d <- 0x38b1ff0 +mem-write: 0x8000f921 <- 0x9300c127 +mem-write: 0x8000f925 <- 0x93010df7 +mem-write: 0x8000f929 <- 0x63004706 +mem-write: 0x8000f92d <- 0x9310079a +mem-write: 0x8000f931 <- 0x63040df7 +mem-write: 0x8000f935 <- 0x83380786 +mem-write: 0x8000f939 <- 0x1300c127 +mem-write: 0x8000f93d <- 0x2300000c +mem-write: 0x8000f941 <- 0x8300d126 +mem-write: 0x8000f945 <- 0x930007dc +mem-write: 0x8000f949 <- 0x6f001006 +mem-write: 0x8000f94d <- 0x13889ff0 +mem-write: 0x8000f951 <- 0x93040106 +mem-write: 0x8000f955 <- 0x13000a05 +mem-write: 0x8000f959 <- 0xef000b05 +mem-write: 0x8000f95d <- 0x63a00ff0 +mem-write: 0x8000f961 <- 0x83e80510 +mem-write: 0x8000f965 <- 0x83044125 +mem-write: 0x8000f969 <- 0x93048127 +mem-write: 0x8000f96d <- 0x93054106 +mem-write: 0x8000f971 <- 0x13001585 +mem-write: 0x8000f975 <- 0x6f000a8d +mem-write: 0x8000f979 <- 0x93e04ff0 +mem-write: 0x8000f97d <- 0x23400df7 +mem-write: 0x8000f981 <- 0x23034124 +mem-write: 0x8000f985 <- 0x13033126 +mem-write: 0x8000f989 <- 0x93000c0a +mem-write: 0x8000f98d <- 0x13000c89 +mem-write: 0x8000f991 <- 0x83000009 +mem-write: 0x8000f995 <- 0x1301812c +mem-write: 0x8000f999 <- 0x230f0104 +mem-write: 0x8000f99d <- 0x13031122 +mem-write: 0x8000f9a1 <- 0x6f00078c +mem-write: 0x8000f9a5 <- 0x13024000 +mem-write: 0x8000f9a9 <- 0x9300a006 +mem-write: 0x8000f9ad <- 0x13000006 +mem-write: 0x8000f9b1 <- 0x93000985 +mem-write: 0x8000f9b5 <- 0xef000a05 +mem-write: 0x8000f9b9 <- 0x63231000 +mem-write: 0x8000f9bd <- 0x93320a06 +mem-write: 0x8000f9c1 <- 0x13000509 +mem-write: 0x8000f9c5 <- 0x1300058a +mem-write: 0x8000f9c9 <- 0x9300a006 +mem-write: 0x8000f9cd <- 0x13000006 +mem-write: 0x8000f9d1 <- 0x93000985 +mem-write: 0x8000f9d5 <- 0xef000a05 +mem-write: 0x8000f9d9 <- 0x13645000 +mem-write: 0x8000f9dd <- 0xa3030505 +mem-write: 0x8000f9e1 <- 0x13fea40f +mem-write: 0x8000f9e5 <- 0x13001909 +mem-write: 0x8000f9e9 <- 0xe3fff404 +mem-write: 0x8000f9ed <- 0x83fa0c0e +mem-write: 0x8000f9f1 <- 0xe3000cc6 +mem-write: 0x8000f9f5 <- 0x93fb269a +mem-write: 0x8000f9f9 <- 0xe30ff007 +mem-write: 0x8000f9fd <- 0x63faf906 +mem-write: 0x8000fa01 <- 0x93160a1c +mem-write: 0x8000fa05 <- 0x63009007 +mem-write: 0x8000fa09 <- 0x931737e8 +mem-write: 0x8000fa0d <- 0x230f0107 +mem-write: 0x8000fa11 <- 0x8301912c +mem-write: 0x8000fa15 <- 0x3024128 +mem-write: 0x8000fa19 <- 0x8302812a +mem-write: 0x8000fa1d <- 0xb302c129 +mem-write: 0x8000fa21 <- 0x1340878c +mem-write: 0x8000fa25 <- 0x6f000d89 +mem-write: 0x8000fa29 <- 0x3f5cff0 +mem-write: 0x8000fa2d <- 0x93008127 +mem-write: 0x8000fa31 <- 0x2341f757 +mem-write: 0x8000fa35 <- 0x2300e6a0 +mem-write: 0x8000fa39 <- 0x6f00f6a2 +mem-write: 0x8000fa3d <- 0x23b80ff0 +mem-write: 0x8000fa41 <- 0x8300d126 +mem-write: 0x8000fa45 <- 0x1300072c +mem-write: 0x8000fa49 <- 0x9300000c +mem-write: 0x8000fa4d <- 0x6f001006 +mem-write: 0x8000fa51 <- 0x83f84ff0 +mem-write: 0x8000fa55 <- 0x83018127 +mem-write: 0x8000fa59 <- 0x630009c6 +mem-write: 0x8000fa5d <- 0x83be0788 +mem-write: 0x8000fa61 <- 0x630007c7 +mem-write: 0x8000fa65 <- 0x13be0784 +mem-write: 0x8000fa69 <- 0x6f400969 +mem-write: 0x8000fa6d <- 0x83be0ff0 +mem-write: 0x8000fa71 <- 0x2300072c +mem-write: 0x8000fa75 <- 0x1300d126 +mem-write: 0x8000fa79 <- 0x9341fcdc +mem-write: 0x8000fa7d <- 0x6f000c06 +mem-write: 0x8000fa81 <- 0x83ec8ff0 +mem-write: 0x8000fa85 <- 0x1300072c +mem-write: 0x8000fa89 <- 0x2300000c +mem-write: 0x8000fa8d <- 0x6f00d126 +mem-write: 0x8000fa91 <- 0xb7f3cff0 +mem-write: 0x8000fa95 <- 0x93800157 +mem-write: 0x8000fa99 <- 0x23a50787 +mem-write: 0x8000fa9d <- 0x9300f12a +mem-write: 0x8000faa1 <- 0x93020977 +mem-write: 0x8000faa5 <- 0x63000408 +mem-write: 0x8000faa9 <- 0x8306078c +mem-write: 0x8000faad <- 0x9300c127 +mem-write: 0x8000fab1 <- 0x93007787 +mem-write: 0x8000fab5 <- 0x83ff87f7 +mem-write: 0x8000fab9 <- 0x30007ac +mem-write: 0x8000fabd <- 0x930047ac +mem-write: 0x8000fac1 <- 0x23008787 +mem-write: 0x8000fac5 <- 0x1300f126 +mem-write: 0x8000fac9 <- 0x63001976 +mem-write: 0x8000facd <- 0x3300060e +mem-write: 0x8000fad1 <- 0x63018ce6 +mem-write: 0x8000fad5 <- 0x1300060a +mem-write: 0x8000fad9 <- 0x23030006 +mem-write: 0x8000fadd <- 0xa302c10e +mem-write: 0x8000fae1 <- 0x1302d10e +mem-write: 0x8000fae5 <- 0x93002969 +mem-write: 0x8000fae9 <- 0x93bff97d +mem-write: 0x8000faed <- 0x6f002006 +mem-write: 0x8000faf1 <- 0x93ee4ff0 +mem-write: 0x8000faf5 <- 0x93000408 +mem-write: 0x8000faf9 <- 0x6f00090d +mem-write: 0x8000fafd <- 0x93ff0ff0 +mem-write: 0x8000fb01 <- 0x6f000408 +mem-write: 0x8000fb05 <- 0xb7ea4ff0 +mem-write: 0x8000fb09 <- 0x93800157 +mem-write: 0x8000fb0d <- 0x23a3c787 +mem-write: 0x8000fb11 <- 0x9300f12a +mem-write: 0x8000fb15 <- 0x93020977 +mem-write: 0x8000fb19 <- 0xe3000408 +mem-write: 0x8000fb1d <- 0x3f80798 +mem-write: 0x8000fb21 <- 0x9300c127 +mem-write: 0x8000fb25 <- 0x13010977 +mem-write: 0x8000fb29 <- 0x63004706 +mem-write: 0x8000fb2d <- 0x8308078a +mem-write: 0x8000fb31 <- 0x1300072c +mem-write: 0x8000fb35 <- 0x2300000c +mem-write: 0x8000fb39 <- 0x6f00c126 +mem-write: 0x8000fb3d <- 0x13f8dff0 +mem-write: 0x8000fb41 <- 0xef000405 +mem-write: 0x8000fb45 <- 0x939a9f90 +mem-write: 0x8000fb49 <- 0x2300050c +mem-write: 0x8000fb4d <- 0x9301b126 +mem-write: 0x8000fb51 <- 0x6f000008 +mem-write: 0x8000fb55 <- 0x93e30ff0 +mem-write: 0x8000fb59 <- 0xef040005 +mem-write: 0x8000fb5d <- 0x23bddf70 +mem-write: 0x8000fb61 <- 0x2300aa20 +mem-write: 0x8000fb65 <- 0x6300aa28 +mem-write: 0x8000fb69 <- 0x9324050c +mem-write: 0x8000fb6d <- 0x23040007 +mem-write: 0x8000fb71 <- 0x6f00fa2a +mem-write: 0x8000fb75 <- 0x83a00ff0 +mem-write: 0x8000fb79 <- 0x83020127 +mem-write: 0x8000fb7d <- 0x1301c125 +mem-write: 0x8000fb81 <- 0x33000009 +mem-write: 0x8000fb85 <- 0x1340f404 +mem-write: 0x8000fb89 <- 0x13000786 +mem-write: 0x8000fb8d <- 0xef000405 +mem-write: 0x8000fb91 <- 0x839e9f90 +mem-write: 0x8000fb95 <- 0x13001cc5 +mem-write: 0x8000fb99 <- 0x9300a006 +mem-write: 0x8000fb9d <- 0x33000006 +mem-write: 0x8000fba1 <- 0x1300b038 +mem-write: 0x8000fba5 <- 0x93000985 +mem-write: 0x8000fba9 <- 0xb3000a05 +mem-write: 0x8000fbad <- 0xef010c8c +mem-write: 0x8000fbb1 <- 0x6f039000 +mem-write: 0x8000fbb5 <- 0x13e0dff0 +mem-write: 0x8000fbb9 <- 0x6f00090a +mem-write: 0x8000fbbd <- 0x93c24ff0 +mem-write: 0x8000fbc1 <- 0x63040977 +mem-write: 0x8000fbc5 <- 0x830a0782 +mem-write: 0x8000fbc9 <- 0x1300c127 +mem-write: 0x8000fbcd <- 0x2300000c +mem-write: 0x8000fbd1 <- 0x8300c126 +mem-write: 0x8000fbd5 <- 0x6f0007dc +mem-write: 0x8000fbd9 <- 0x13ef1ff0 +mem-write: 0x8000fbdd <- 0x93040106 +mem-write: 0x8000fbe1 <- 0x13000a05 +mem-write: 0x8000fbe5 <- 0x23000b05 +mem-write: 0x8000fbe9 <- 0xef031122 +mem-write: 0x8000fbed <- 0x63f71fe0 +mem-write: 0x8000fbf1 <- 0x3be0518 +mem-write: 0x8000fbf5 <- 0x83044126 +VXDRV: upload 1023 bytes to 0x8000fbf9 +mem-write: 0x8000fbf9 <- 0x83048127 +mem-write: 0x8000fbfd <- 0x93024128 +mem-write: 0x8000fc01 <- 0x93054106 +mem-write: 0x8000fc05 <- 0x13001605 +mem-write: 0x8000fc09 <- 0x6f000a8d +mem-write: 0x8000fc0d <- 0x83b68ff0 +mem-write: 0x8000fc11 <- 0x130019c6 +mem-write: 0x8000fc15 <- 0x93200969 +mem-write: 0x8000fc19 <- 0x6f001989 +mem-write: 0x8000fc1d <- 0x83a30ff0 +mem-write: 0x8000fc21 <- 0x130019c6 +mem-write: 0x8000fc25 <- 0x93020969 +mem-write: 0x8000fc29 <- 0x6f001989 +mem-write: 0x8000fc2d <- 0x13a20ff0 +mem-write: 0x8000fc31 <- 0x6f00040a +mem-write: 0x8000fc35 <- 0x83bacff0 +mem-write: 0x8000fc39 <- 0x23008127 +mem-write: 0x8000fc3d <- 0x6f00f6a0 +mem-write: 0x8000fc41 <- 0x9397cff0 +mem-write: 0x8000fc45 <- 0x93006007 +mem-write: 0x8000fc49 <- 0x6300088c +mem-write: 0x8000fc4d <- 0x930117f4 +mem-write: 0x8000fc51 <- 0x3700600c +mem-write: 0x8000fc55 <- 0x1380015e +mem-write: 0x8000fc59 <- 0x23000c8c +mem-write: 0x8000fc5d <- 0x1301b126 +mem-write: 0x8000fc61 <- 0x6fa64e04 +mem-write: 0x8000fc65 <- 0x93a58ff0 +mem-write: 0x8000fc69 <- 0x63200977 +mem-write: 0x8000fc6d <- 0x830c0786 +mem-write: 0x8000fc71 <- 0x1300c127 +mem-write: 0x8000fc75 <- 0x2300000c +mem-write: 0x8000fc79 <- 0x8300c126 +mem-write: 0x8000fc7d <- 0x6f0007cc +mem-write: 0x8000fc81 <- 0x93e49ff0 +mem-write: 0x8000fc85 <- 0x63200977 +mem-write: 0x8000fc89 <- 0x8308078e +mem-write: 0x8000fc8d <- 0x1300c127 +mem-write: 0x8000fc91 <- 0x2300000c +mem-write: 0x8000fc95 <- 0x8300d126 +mem-write: 0x8000fc99 <- 0x6f0007cc +mem-write: 0x8000fc9d <- 0x93d30ff0 +mem-write: 0x8000fca1 <- 0x63200977 +mem-write: 0x8000fca5 <- 0x83060784 +mem-write: 0x8000fca9 <- 0x2300c127 +mem-write: 0x8000fcad <- 0x8300d126 +mem-write: 0x8000fcb1 <- 0x1300078c +mem-write: 0x8000fcb5 <- 0x9341fcdc +mem-write: 0x8000fcb9 <- 0x6f000c06 +mem-write: 0x8000fcbd <- 0x93c8cff0 +mem-write: 0x8000fcc1 <- 0x63200df7 +mem-write: 0x8000fcc5 <- 0x83020788 +mem-write: 0x8000fcc9 <- 0x1300c127 +mem-write: 0x8000fccd <- 0x2300000c +mem-write: 0x8000fcd1 <- 0x8300d126 +mem-write: 0x8000fcd5 <- 0x930007cc +mem-write: 0x8000fcd9 <- 0x6f001006 +mem-write: 0x8000fcdd <- 0x13cf8ff0 +mem-write: 0x8000fce1 <- 0x6f000686 +mem-write: 0x8000fce5 <- 0x93931ff0 +mem-write: 0x8000fce9 <- 0xe3009007 +mem-write: 0x8000fced <- 0x6fcd37ea +mem-write: 0x8000fcf1 <- 0x83d1dff0 +mem-write: 0x8000fcf5 <- 0x1300c127 +mem-write: 0x8000fcf9 <- 0x2300000c +mem-write: 0x8000fcfd <- 0x8300d126 +mem-write: 0x8000fd01 <- 0x930007ac +mem-write: 0x8000fd05 <- 0x6f001006 +mem-write: 0x8000fd09 <- 0x83cccff0 +mem-write: 0x8000fd0d <- 0x2300c127 +mem-write: 0x8000fd11 <- 0x8300d126 +mem-write: 0x8000fd15 <- 0x130007ac +mem-write: 0x8000fd19 <- 0x9341fcdc +mem-write: 0x8000fd1d <- 0x6f000c06 +mem-write: 0x8000fd21 <- 0x83c28ff0 +mem-write: 0x8000fd25 <- 0x1300c127 +mem-write: 0x8000fd29 <- 0x2300000c +mem-write: 0x8000fd2d <- 0x8300d126 +mem-write: 0x8000fd31 <- 0x6f0007ac +mem-write: 0x8000fd35 <- 0x83c98ff0 +mem-write: 0x8000fd39 <- 0x1300c127 +mem-write: 0x8000fd3d <- 0x2300000c +mem-write: 0x8000fd41 <- 0x8300c126 +mem-write: 0x8000fd45 <- 0x6f0007ac +mem-write: 0x8000fd49 <- 0x83d81ff0 +mem-write: 0x8000fd4d <- 0x23008127 +mem-write: 0x8000fd51 <- 0x6f00f690 +mem-write: 0x8000fd55 <- 0x13868ff0 +mem-write: 0x8000fd59 <- 0x93040106 +mem-write: 0x8000fd5d <- 0x13000a05 +mem-write: 0x8000fd61 <- 0xef000b05 +mem-write: 0x8000fd65 <- 0x6fdf9fe0 +mem-write: 0x8000fd69 <- 0x93a78ff0 +mem-write: 0x8000fd6d <- 0x2300088c +mem-write: 0x8000fd71 <- 0x9301b126 +mem-write: 0x8000fd75 <- 0x6f000008 +mem-write: 0x8000fd79 <- 0x93c0cff0 +mem-write: 0x8000fd7d <- 0x6f00090d +mem-write: 0x8000fd81 <- 0x13bf0ff0 +mem-write: 0x8000fd85 <- 0x13000685 +mem-write: 0x8000fd89 <- 0x6f000586 +mem-write: 0x8000fd8d <- 0x93fe4ff0 +mem-write: 0x8000fd91 <- 0x23fff007 +mem-write: 0x8000fd95 <- 0x6f00f124 +mem-write: 0x8000fd99 <- 0x83a54ff0 +mem-write: 0x8000fd9d <- 0x300c127 +mem-write: 0x8000fda1 <- 0x930007a4 +mem-write: 0x8000fda5 <- 0x63004787 +mem-write: 0x8000fda9 <- 0x13000454 +mem-write: 0x8000fdad <- 0x83fff004 +mem-write: 0x8000fdb1 <- 0x230019c6 +mem-write: 0x8000fdb5 <- 0x9300f126 +mem-write: 0x8000fdb9 <- 0x6f000709 +mem-write: 0x8000fdbd <- 0x93890ff0 +mem-write: 0x8000fdc1 <- 0x2300c007 +mem-write: 0x8000fdc5 <- 0x9300fb20 +mem-write: 0x8000fdc9 <- 0x23fff007 +mem-write: 0x8000fdcd <- 0x6f00f124 +mem-write: 0x8000fdd1 <- 0x13a1cff0 +mem-write: 0x8000fdd5 <- 0x23fe0101 +mem-write: 0x8000fdd9 <- 0x2300812c +mem-write: 0x8000fddd <- 0x2300912a +mem-write: 0x8000fde1 <- 0x23012128 +mem-write: 0x8000fde5 <- 0x2300112e +mem-write: 0x8000fde9 <- 0x13013126 +mem-write: 0x8000fded <- 0x93000509 +mem-write: 0x8000fdf1 <- 0x13000584 +mem-write: 0x8000fdf5 <- 0x63000604 +mem-write: 0x8000fdf9 <- 0x83000506 +mem-write: 0x8000fdfd <- 0x63038527 +mem-write: 0x8000fe01 <- 0x3140788 +mem-write: 0x8000fe05 <- 0x8300c417 +mem-write: 0x8000fe09 <- 0x93018426 +mem-write: 0x8000fe0d <- 0x23008777 +mem-write: 0x8000fe11 <- 0x9300d424 +mem-write: 0x8000fe15 <- 0x93010716 +mem-write: 0x8000fe19 <- 0x630106d6 +mem-write: 0x8000fe1d <- 0x83080782 +mem-write: 0x8000fe21 <- 0x63010427 +mem-write: 0x8000fe25 <- 0x1306078e +mem-write: 0x8000fe29 <- 0x93012696 +mem-write: 0x8000fe2d <- 0x930ff4f9 +mem-write: 0x8000fe31 <- 0x630ff4f4 +mem-write: 0x8000fe35 <- 0x308065e +mem-write: 0x8000fe39 <- 0x83000427 +mem-write: 0x8000fe3d <- 0xb3014426 +mem-write: 0x8000fe41 <- 0x6340f707 +mem-write: 0x8000fe45 <- 0x830ad7de +mem-write: 0x8000fe49 <- 0x13008426 +mem-write: 0x8000fe4d <- 0x23001706 +mem-write: 0x8000fe51 <- 0x9300c420 +mem-write: 0x8000fe55 <- 0x23fff686 +mem-write: 0x8000fe59 <- 0x2300d424 +mem-write: 0x8000fe5d <- 0x3013700 +mem-write: 0x8000fe61 <- 0x93014427 +mem-write: 0x8000fe65 <- 0x63001787 +mem-write: 0x8000fe69 <- 0x830cf708 +mem-write: 0x8000fe6d <- 0x9300c457 +mem-write: 0x8000fe71 <- 0x630017f7 +mem-write: 0x8000fe75 <- 0x93000786 +mem-write: 0x8000fe79 <- 0x6300a007 +mem-write: 0x8000fe7d <- 0x830af48e +mem-write: 0x8000fe81 <- 0x301c120 +mem-write: 0x8000fe85 <- 0x3018124 +mem-write: 0x8000fe89 <- 0x83010129 +mem-write: 0x8000fe8d <- 0x1300c129 +mem-write: 0x8000fe91 <- 0x83000485 +mem-write: 0x8000fe95 <- 0x13014124 +mem-write: 0x8000fe99 <- 0x67020101 +mem-write: 0x8000fe9d <- 0x93000080 +mem-write: 0x8000fea1 <- 0x13000405 +mem-write: 0x8000fea5 <- 0xef000905 +mem-write: 0x8000fea9 <- 0x63c41f30 +mem-write: 0x8000fead <- 0x308051e +mem-write: 0x8000feb1 <- 0x9300c417 +mem-write: 0x8000feb5 <- 0x830ff4f9 +mem-write: 0x8000feb9 <- 0x93010427 +mem-write: 0x8000febd <- 0x93010716 +mem-write: 0x8000fec1 <- 0x130106d6 +mem-write: 0x8000fec5 <- 0x93012696 +mem-write: 0x8000fec9 <- 0xe30ff4f4 +mem-write: 0x8000fecd <- 0x83f60646 +mem-write: 0x8000fed1 <- 0x37064426 +mem-write: 0x8000fed5 <- 0x33000026 +mem-write: 0x8000fed9 <- 0x3700c767 +mem-write: 0x8000fedd <- 0x13ffffe6 +mem-write: 0x8000fee1 <- 0xb3fff606 +mem-write: 0x8000fee5 <- 0x2300c6f6 +mem-write: 0x8000fee9 <- 0x300e416 +mem-write: 0x8000feed <- 0x23000427 +mem-write: 0x8000fef1 <- 0x8306d422 +mem-write: 0x8000fef5 <- 0xb3014426 +mem-write: 0x8000fef9 <- 0xe340f707 +mem-write: 0x8000fefd <- 0x93f4d7c6 +mem-write: 0x8000ff01 <- 0x13000405 +mem-write: 0x8000ff05 <- 0xef000905 +mem-write: 0x8000ff09 <- 0x63950f40 +mem-write: 0x8000ff0d <- 0x302051e +mem-write: 0x8000ff11 <- 0x83000427 +mem-write: 0x8000ff15 <- 0x93008426 +mem-write: 0x8000ff19 <- 0x13001007 +mem-write: 0x8000ff1d <- 0x93001706 +mem-write: 0x8000ff21 <- 0x23fff686 +mem-write: 0x8000ff25 <- 0x2300c420 +mem-write: 0x8000ff29 <- 0x2300d424 +mem-write: 0x8000ff2d <- 0x3013700 +mem-write: 0x8000ff31 <- 0xe3014427 +mem-write: 0x8000ff35 <- 0x93f2f71c +mem-write: 0x8000ff39 <- 0x13000405 +mem-write: 0x8000ff3d <- 0xef000905 +mem-write: 0x8000ff41 <- 0xe3918f40 +mem-write: 0x8000ff45 <- 0x93f2050e +mem-write: 0x8000ff49 <- 0x6ffff004 +mem-write: 0x8000ff4d <- 0xeff35ff0 +mem-write: 0x8000ff51 <- 0x6fca4f40 +mem-write: 0x8000ff55 <- 0x93eb1ff0 +mem-write: 0x8000ff59 <- 0x3000507 +mem-write: 0x8000ff5d <- 0x131d81a5 +mem-write: 0x8000ff61 <- 0x93000586 +mem-write: 0x8000ff65 <- 0x6f000785 +mem-write: 0x8000ff69 <- 0x13e6dff0 +mem-write: 0x8000ff6d <- 0x23fe0101 +mem-write: 0x8000ff71 <- 0x2300812c +mem-write: 0x8000ff75 <- 0x2300912a +mem-write: 0x8000ff79 <- 0x8300112e +mem-write: 0x8000ff7d <- 0x131181a7 +mem-write: 0x8000ff81 <- 0x93000504 +mem-write: 0x8000ff85 <- 0x63000684 +mem-write: 0x8000ff89 <- 0xe7020582 +mem-write: 0x8000ff8d <- 0x93000780 +mem-write: 0x8000ff91 <- 0x63fff007 +mem-write: 0x8000ff95 <- 0x8302f506 +mem-write: 0x8000ff99 <- 0x301c120 +mem-write: 0x8000ff9d <- 0x83018124 +mem-write: 0x8000ffa1 <- 0x13014124 +mem-write: 0x8000ffa5 <- 0x67020101 +mem-write: 0x8000ffa9 <- 0x13000080 +mem-write: 0x8000ffad <- 0x93000006 +mem-write: 0x8000ffb1 <- 0xe7004105 +mem-write: 0x8000ffb5 <- 0x93000780 +mem-write: 0x8000ffb9 <- 0xe3fff007 +mem-write: 0x8000ffbd <- 0x23fcf51e +mem-write: 0x8000ffc1 <- 0x930004a0 +mem-write: 0x8000ffc5 <- 0x8308a007 +mem-write: 0x8000ffc9 <- 0x2301c120 +mem-write: 0x8000ffcd <- 0x300f420 +mem-write: 0x8000ffd1 <- 0x83018124 +mem-write: 0x8000ffd5 <- 0x13014124 +mem-write: 0x8000ffd9 <- 0x67020101 +mem-write: 0x8000ffdd <- 0x13000080 +mem-write: 0x8000ffe1 <- 0x23fe0101 +mem-write: 0x8000ffe5 <- 0x2300812c +mem-write: 0x8000ffe9 <- 0x2300912a +mem-write: 0x8000ffed <- 0x8300112e +mem-write: 0x8000fff1 <- 0x831d81a4 +mem-write: 0x8000fff5 <- 0x831181a7 +VXDRV: upload 1024 bytes to 0x8000fff8 +mem-write: 0x8000fff8 <- 0x60413 +mem-write: 0x8000fffc <- 0x2050a63 +mem-write: 0x80010000 <- 0x58613 +mem-write: 0x80010004 <- 0x40693 +mem-write: 0x80010008 <- 0x50593 +mem-write: 0x8001000c <- 0x48513 +mem-write: 0x80010010 <- 0x780e7 +mem-write: 0x80010014 <- 0xfff00793 +mem-write: 0x80010018 <- 0x2f50a63 +mem-write: 0x8001001c <- 0x1c12083 +mem-write: 0x80010020 <- 0x1812403 +mem-write: 0x80010024 <- 0x1412483 +mem-write: 0x80010028 <- 0x2010113 +mem-write: 0x8001002c <- 0x8067 +mem-write: 0x80010030 <- 0x60693 +mem-write: 0x80010034 <- 0x410593 +mem-write: 0x80010038 <- 0x613 +mem-write: 0x8001003c <- 0x48513 +mem-write: 0x80010040 <- 0x780e7 +mem-write: 0x80010044 <- 0xfff00793 +mem-write: 0x80010048 <- 0xfcf51ae3 +mem-write: 0x8001004c <- 0x42023 +mem-write: 0x80010050 <- 0x1c12083 +mem-write: 0x80010054 <- 0x1812403 +mem-write: 0x80010058 <- 0x8a00793 +mem-write: 0x8001005c <- 0xf4a023 +mem-write: 0x80010060 <- 0x1412483 +mem-write: 0x80010064 <- 0x2010113 +mem-write: 0x80010068 <- 0x8067 +mem-write: 0x8001006c <- 0x1181a303 +mem-write: 0x80010070 <- 0x30067 +mem-write: 0x80010074 <- 0x2058463 +mem-write: 0x80010078 <- 0xff00793 +mem-write: 0x8001007c <- 0xc7e863 +mem-write: 0x80010080 <- 0xc58023 +mem-write: 0x80010084 <- 0x100513 +mem-write: 0x80010088 <- 0x8067 +mem-write: 0x8001008c <- 0x8a00793 +mem-write: 0x80010090 <- 0xf52023 +mem-write: 0x80010094 <- 0xfff00513 +mem-write: 0x80010098 <- 0x8067 +mem-write: 0x8001009c <- 0x513 +mem-write: 0x800100a0 <- 0x8067 +mem-write: 0x800100a4 <- 0xff010113 +mem-write: 0x800100a8 <- 0x600513 +mem-write: 0x800100ac <- 0x112623 +mem-write: 0x800100b0 <- 0x28c000ef +mem-write: 0x800100b4 <- 0x100513 +mem-write: 0x800100b8 <- 0xd78f00ef +mem-write: 0x800100bc <- 0xff010113 +mem-write: 0x800100c0 <- 0x8000593 +mem-write: 0x800100c4 <- 0x812423 +mem-write: 0x800100c8 <- 0x112623 +mem-write: 0x800100cc <- 0x50413 +mem-write: 0x800100d0 <- 0xe68f70ef +mem-write: 0x800100d4 <- 0x2ca42e23 +mem-write: 0x800100d8 <- 0x2050463 +mem-write: 0x800100dc <- 0x8050793 +mem-write: 0x800100e0 <- 0x52023 +mem-write: 0x800100e4 <- 0x450513 +mem-write: 0x800100e8 <- 0xfef51ce3 +mem-write: 0x800100ec <- 0x513 +mem-write: 0x800100f0 <- 0xc12083 +mem-write: 0x800100f4 <- 0x812403 +mem-write: 0x800100f8 <- 0x1010113 +mem-write: 0x800100fc <- 0x8067 +mem-write: 0x80010100 <- 0xfff00513 +mem-write: 0x80010104 <- 0xfedff06f +mem-write: 0x80010108 <- 0x2dc52783 +mem-write: 0x8001010c <- 0x78663 +mem-write: 0x80010110 <- 0x513 +mem-write: 0x80010114 <- 0x8067 +mem-write: 0x80010118 <- 0xfa5ff06f +mem-write: 0x8001011c <- 0xfe010113 +mem-write: 0x80010120 <- 0x912a23 +mem-write: 0x80010124 <- 0x112e23 +mem-write: 0x80010128 <- 0x812c23 +mem-write: 0x8001012c <- 0x1f00793 +mem-write: 0x80010130 <- 0x50493 +mem-write: 0x80010134 <- 0x2b7ea63 +mem-write: 0x80010138 <- 0x58413 +mem-write: 0x8001013c <- 0x2dc52583 +mem-write: 0x80010140 <- 0x4058463 +mem-write: 0x80010144 <- 0x241413 +mem-write: 0x80010148 <- 0x858433 +mem-write: 0x8001014c <- 0x42503 +mem-write: 0x80010150 <- 0xc42023 +mem-write: 0x80010154 <- 0x1c12083 +mem-write: 0x80010158 <- 0x1812403 +mem-write: 0x8001015c <- 0x1412483 +mem-write: 0x80010160 <- 0x2010113 +mem-write: 0x80010164 <- 0x8067 +mem-write: 0x80010168 <- 0x1c12083 +mem-write: 0x8001016c <- 0x1812403 +mem-write: 0x80010170 <- 0x1600793 +mem-write: 0x80010174 <- 0xf52023 +mem-write: 0x80010178 <- 0x1412483 +mem-write: 0x8001017c <- 0xfff00513 +mem-write: 0x80010180 <- 0x2010113 +mem-write: 0x80010184 <- 0x8067 +mem-write: 0x80010188 <- 0xc12623 +mem-write: 0x8001018c <- 0xf31ff0ef +mem-write: 0x80010190 <- 0x50793 +mem-write: 0x80010194 <- 0xfff00513 +mem-write: 0x80010198 <- 0xfa079ee3 +mem-write: 0x8001019c <- 0x2dc4a583 +mem-write: 0x800101a0 <- 0xc12603 +mem-write: 0x800101a4 <- 0xfa1ff06f +mem-write: 0x800101a8 <- 0xff010113 +mem-write: 0x800101ac <- 0x912223 +mem-write: 0x800101b0 <- 0x112623 +mem-write: 0x800101b4 <- 0x812423 +mem-write: 0x800101b8 <- 0x1f00793 +mem-write: 0x800101bc <- 0x50493 +mem-write: 0x800101c0 <- 0xab7ea63 +mem-write: 0x800101c4 <- 0x2dc52783 +mem-write: 0x800101c8 <- 0x58413 +mem-write: 0x800101cc <- 0x4078463 +mem-write: 0x800101d0 <- 0x259713 +mem-write: 0x800101d4 <- 0xe787b3 +mem-write: 0x800101d8 <- 0x7a703 +mem-write: 0x800101dc <- 0x2070c63 +mem-write: 0x800101e0 <- 0x100693 +mem-write: 0x800101e4 <- 0x6d70c63 +mem-write: 0x800101e8 <- 0xfff00693 +mem-write: 0x800101ec <- 0x4d70863 +mem-write: 0x800101f0 <- 0x58513 +mem-write: 0x800101f4 <- 0x7a023 +mem-write: 0x800101f8 <- 0x700e7 +mem-write: 0x800101fc <- 0x513 +mem-write: 0x80010200 <- 0xc12083 +mem-write: 0x80010204 <- 0x812403 +mem-write: 0x80010208 <- 0x412483 +mem-write: 0x8001020c <- 0x1010113 +mem-write: 0x80010210 <- 0x8067 +mem-write: 0x80010214 <- 0x48513 +mem-write: 0x80010218 <- 0x1cc000ef +mem-write: 0x8001021c <- 0x40613 +mem-write: 0x80010220 <- 0x812403 +mem-write: 0x80010224 <- 0xc12083 +mem-write: 0x80010228 <- 0x50593 +mem-write: 0x8001022c <- 0x48513 +mem-write: 0x80010230 <- 0x412483 +mem-write: 0x80010234 <- 0x1010113 +mem-write: 0x80010238 <- 0x1480006f +mem-write: 0x8001023c <- 0xc12083 +mem-write: 0x80010240 <- 0x812403 +mem-write: 0x80010244 <- 0x1600793 +mem-write: 0x80010248 <- 0xf52023 +mem-write: 0x8001024c <- 0x412483 +mem-write: 0x80010250 <- 0x100513 +mem-write: 0x80010254 <- 0x1010113 +mem-write: 0x80010258 <- 0x8067 +mem-write: 0x8001025c <- 0xc12083 +mem-write: 0x80010260 <- 0x812403 +mem-write: 0x80010264 <- 0x412483 +mem-write: 0x80010268 <- 0x513 +mem-write: 0x8001026c <- 0x1010113 +mem-write: 0x80010270 <- 0x8067 +mem-write: 0x80010274 <- 0x1600793 +mem-write: 0x80010278 <- 0xf52023 +mem-write: 0x8001027c <- 0xfff00513 +mem-write: 0x80010280 <- 0xf81ff06f +mem-write: 0x80010284 <- 0x1f00793 +mem-write: 0x80010288 <- 0xab7e663 +mem-write: 0x8001028c <- 0x2dc52783 +mem-write: 0x80010290 <- 0xfe010113 +mem-write: 0x80010294 <- 0x912c23 +mem-write: 0x80010298 <- 0x112e23 +mem-write: 0x8001029c <- 0x50493 +mem-write: 0x800102a0 <- 0x4078c63 +mem-write: 0x800102a4 <- 0x259713 +mem-write: 0x800102a8 <- 0xe787b3 +mem-write: 0x800102ac <- 0x7a703 +mem-write: 0x800102b0 <- 0x2070a63 +mem-write: 0x800102b4 <- 0xfff00693 +mem-write: 0x800102b8 <- 0x6d70663 +mem-write: 0x800102bc <- 0x100693 +mem-write: 0x800102c0 <- 0x4d70863 +mem-write: 0x800102c4 <- 0x58513 +mem-write: 0x800102c8 <- 0x7a023 +mem-write: 0x800102cc <- 0x700e7 +mem-write: 0x800102d0 <- 0x513 +mem-write: 0x800102d4 <- 0x1c12083 +mem-write: 0x800102d8 <- 0x1812483 +mem-write: 0x800102dc <- 0x2010113 +mem-write: 0x800102e0 <- 0x8067 +mem-write: 0x800102e4 <- 0x1c12083 +mem-write: 0x800102e8 <- 0x1812483 +mem-write: 0x800102ec <- 0x100513 +mem-write: 0x800102f0 <- 0x2010113 +mem-write: 0x800102f4 <- 0x8067 +mem-write: 0x800102f8 <- 0xb12623 +mem-write: 0x800102fc <- 0xdc1ff0ef +mem-write: 0x80010300 <- 0x2051663 +mem-write: 0x80010304 <- 0x2dc4a783 +mem-write: 0x80010308 <- 0xc12583 +mem-write: 0x8001030c <- 0xf99ff06f +mem-write: 0x80010310 <- 0x1c12083 +mem-write: 0x80010314 <- 0x1812483 +mem-write: 0x80010318 <- 0x300513 +mem-write: 0x8001031c <- 0x2010113 +mem-write: 0x80010320 <- 0x8067 +mem-write: 0x80010324 <- 0x200513 +mem-write: 0x80010328 <- 0xfadff06f +mem-write: 0x8001032c <- 0xfff00513 +mem-write: 0x80010330 <- 0xfa5ff06f +mem-write: 0x80010334 <- 0xfff00513 +mem-write: 0x80010338 <- 0x8067 +mem-write: 0x8001033c <- 0x50593 +mem-write: 0x80010340 <- 0x1d81a503 +mem-write: 0x80010344 <- 0xe65ff06f +mem-write: 0x80010348 <- 0x50793 +mem-write: 0x8001034c <- 0x1d81a503 +mem-write: 0x80010350 <- 0x58613 +mem-write: 0x80010354 <- 0x78593 +mem-write: 0x80010358 <- 0xdc5ff06f +mem-write: 0x8001035c <- 0x1d81a503 +mem-write: 0x80010360 <- 0x2dc52783 +mem-write: 0x80010364 <- 0x78663 +mem-write: 0x80010368 <- 0x513 +mem-write: 0x8001036c <- 0x8067 +mem-write: 0x80010370 <- 0xd4dff06f +mem-write: 0x80010374 <- 0x50593 +mem-write: 0x80010378 <- 0x1d81a503 +mem-write: 0x8001037c <- 0xf09ff06f +mem-write: 0x80010380 <- 0xff010113 +mem-write: 0x80010384 <- 0x58713 +mem-write: 0x80010388 <- 0x812423 +mem-write: 0x8001038c <- 0x912223 +mem-write: 0x80010390 <- 0x50413 +mem-write: 0x80010394 <- 0x60593 +mem-write: 0x80010398 <- 0x70513 +mem-write: 0x8001039c <- 0x112623 +mem-write: 0x800103a0 <- 0x2401a423 +mem-write: 0x800103a4 <- 0xba0f00ef +mem-write: 0x800103a8 <- 0xfff00793 +mem-write: 0x800103ac <- 0xf50c63 +mem-write: 0x800103b0 <- 0xc12083 +mem-write: 0x800103b4 <- 0x812403 +mem-write: 0x800103b8 <- 0x412483 +mem-write: 0x800103bc <- 0x1010113 +mem-write: 0x800103c0 <- 0x8067 +mem-write: 0x800103c4 <- 0x2481a783 +mem-write: 0x800103c8 <- 0xfe0784e3 +mem-write: 0x800103cc <- 0xc12083 +mem-write: 0x800103d0 <- 0xf42023 +mem-write: 0x800103d4 <- 0x812403 +mem-write: 0x800103d8 <- 0x412483 +mem-write: 0x800103dc <- 0x1010113 +mem-write: 0x800103e0 <- 0x8067 +mem-write: 0x800103e4 <- 0xb68f006f +mem-write: 0x800103e8 <- 0x50893 +mem-write: 0x800103ec <- 0x58793 +mem-write: 0x800103f0 <- 0x60813 +mem-write: 0x800103f4 <- 0x68513 +VXDRV: upload 1024 bytes to 0x800103f8 +mem-write: 0x800103f8 <- 0x88313 +mem-write: 0x800103fc <- 0x28069463 +mem-write: 0x80010400 <- 0x800156b7 +mem-write: 0x80010404 <- 0x6b468693 +mem-write: 0x80010408 <- 0xec5f663 +mem-write: 0x8001040c <- 0x10737 +mem-write: 0x80010410 <- 0xce67863 +mem-write: 0x80010414 <- 0xff00713 +mem-write: 0x80010418 <- 0xc73733 +mem-write: 0x8001041c <- 0x371713 +mem-write: 0x80010420 <- 0xe65533 +mem-write: 0x80010424 <- 0xa686b3 +mem-write: 0x80010428 <- 0x6c683 +mem-write: 0x8001042c <- 0x2000513 +mem-write: 0x80010430 <- 0xe68733 +mem-write: 0x80010434 <- 0x40e506b3 +mem-write: 0x80010438 <- 0xe50c63 +mem-write: 0x8001043c <- 0xd797b3 +mem-write: 0x80010440 <- 0xe8d733 +mem-write: 0x80010444 <- 0xd61833 +mem-write: 0x80010448 <- 0xf765b3 +mem-write: 0x8001044c <- 0xd89333 +mem-write: 0x80010450 <- 0x1085893 +mem-write: 0x80010454 <- 0x315d7b3 +mem-write: 0x80010458 <- 0x1081613 +mem-write: 0x8001045c <- 0x1065613 +mem-write: 0x80010460 <- 0x1035713 +mem-write: 0x80010464 <- 0x315f6b3 +mem-write: 0x80010468 <- 0x78513 +mem-write: 0x8001046c <- 0x2f605b3 +mem-write: 0x80010470 <- 0x1069693 +mem-write: 0x80010474 <- 0xe6e733 +mem-write: 0x80010478 <- 0xb77e63 +mem-write: 0x8001047c <- 0x1070733 +mem-write: 0x80010480 <- 0xfff78513 +mem-write: 0x80010484 <- 0x1076863 +mem-write: 0x80010488 <- 0xb77663 +mem-write: 0x8001048c <- 0xffe78513 +mem-write: 0x80010490 <- 0x1070733 +mem-write: 0x80010494 <- 0x40b70733 +mem-write: 0x80010498 <- 0x31777b3 +mem-write: 0x8001049c <- 0x1031313 +mem-write: 0x800104a0 <- 0x1035313 +mem-write: 0x800104a4 <- 0x3175733 +mem-write: 0x800104a8 <- 0x1079793 +mem-write: 0x800104ac <- 0x67e333 +mem-write: 0x800104b0 <- 0x2e606b3 +mem-write: 0x800104b4 <- 0x70613 +mem-write: 0x800104b8 <- 0xd37c63 +mem-write: 0x800104bc <- 0x680333 +mem-write: 0x800104c0 <- 0xfff70613 +mem-write: 0x800104c4 <- 0x1036663 +mem-write: 0x800104c8 <- 0xd37463 +mem-write: 0x800104cc <- 0xffe70613 +mem-write: 0x800104d0 <- 0x1051513 +mem-write: 0x800104d4 <- 0xc56533 +mem-write: 0x800104d8 <- 0x593 +mem-write: 0x800104dc <- 0xe40006f +mem-write: 0x800104e0 <- 0x1000537 +mem-write: 0x800104e4 <- 0x1000713 +mem-write: 0x800104e8 <- 0xf2a66ce3 +mem-write: 0x800104ec <- 0x1800713 +mem-write: 0x800104f0 <- 0xf31ff06f +mem-write: 0x800104f4 <- 0x61663 +mem-write: 0x800104f8 <- 0x100713 +mem-write: 0x800104fc <- 0x2c75833 +mem-write: 0x80010500 <- 0x10737 +mem-write: 0x80010504 <- 0xce87063 +mem-write: 0x80010508 <- 0xff00713 +mem-write: 0x8001050c <- 0x1077463 +mem-write: 0x80010510 <- 0x800513 +mem-write: 0x80010514 <- 0xa85733 +mem-write: 0x80010518 <- 0xe686b3 +mem-write: 0x8001051c <- 0x6c703 +mem-write: 0x80010520 <- 0x2000613 +mem-write: 0x80010524 <- 0xa70733 +mem-write: 0x80010528 <- 0x40e606b3 +mem-write: 0x8001052c <- 0xae61663 +mem-write: 0x80010530 <- 0x410787b3 +mem-write: 0x80010534 <- 0x100593 +mem-write: 0x80010538 <- 0x1085893 +mem-write: 0x8001053c <- 0x1081613 +mem-write: 0x80010540 <- 0x1065613 +mem-write: 0x80010544 <- 0x1035713 +mem-write: 0x80010548 <- 0x317f6b3 +mem-write: 0x8001054c <- 0x317d7b3 +mem-write: 0x80010550 <- 0x1069693 +mem-write: 0x80010554 <- 0xe6e733 +mem-write: 0x80010558 <- 0x2f60e33 +mem-write: 0x8001055c <- 0x78513 +mem-write: 0x80010560 <- 0x1c77e63 +mem-write: 0x80010564 <- 0x1070733 +mem-write: 0x80010568 <- 0xfff78513 +mem-write: 0x8001056c <- 0x1076863 +mem-write: 0x80010570 <- 0x1c77663 +mem-write: 0x80010574 <- 0xffe78513 +mem-write: 0x80010578 <- 0x1070733 +mem-write: 0x8001057c <- 0x41c70733 +mem-write: 0x80010580 <- 0x31777b3 +mem-write: 0x80010584 <- 0x1031313 +mem-write: 0x80010588 <- 0x1035313 +mem-write: 0x8001058c <- 0x3175733 +mem-write: 0x80010590 <- 0x1079793 +mem-write: 0x80010594 <- 0x67e333 +mem-write: 0x80010598 <- 0x2e606b3 +mem-write: 0x8001059c <- 0x70613 +mem-write: 0x800105a0 <- 0xd37c63 +mem-write: 0x800105a4 <- 0x680333 +mem-write: 0x800105a8 <- 0xfff70613 +mem-write: 0x800105ac <- 0x1036663 +mem-write: 0x800105b0 <- 0xd37463 +mem-write: 0x800105b4 <- 0xffe70613 +mem-write: 0x800105b8 <- 0x1051513 +mem-write: 0x800105bc <- 0xc56533 +mem-write: 0x800105c0 <- 0x8067 +mem-write: 0x800105c4 <- 0x1000737 +mem-write: 0x800105c8 <- 0x1000513 +mem-write: 0x800105cc <- 0xf4e864e3 +mem-write: 0x800105d0 <- 0x1800513 +mem-write: 0x800105d4 <- 0xf41ff06f +mem-write: 0x800105d8 <- 0xd81833 +mem-write: 0x800105dc <- 0xe7d5b3 +mem-write: 0x800105e0 <- 0xd89333 +mem-write: 0x800105e4 <- 0xd797b3 +mem-write: 0x800105e8 <- 0xe8d733 +mem-write: 0x800105ec <- 0x1085893 +mem-write: 0x800105f0 <- 0xf76633 +mem-write: 0x800105f4 <- 0x315f733 +mem-write: 0x800105f8 <- 0x1081793 +mem-write: 0x800105fc <- 0x107d793 +mem-write: 0x80010600 <- 0x1065513 +mem-write: 0x80010604 <- 0x315d5b3 +mem-write: 0x80010608 <- 0x1071713 +mem-write: 0x8001060c <- 0xa76733 +mem-write: 0x80010610 <- 0x2b786b3 +mem-write: 0x80010614 <- 0x58513 +mem-write: 0x80010618 <- 0xd77e63 +mem-write: 0x8001061c <- 0x1070733 +mem-write: 0x80010620 <- 0xfff58513 +mem-write: 0x80010624 <- 0x1076863 +mem-write: 0x80010628 <- 0xd77663 +mem-write: 0x8001062c <- 0xffe58513 +mem-write: 0x80010630 <- 0x1070733 +mem-write: 0x80010634 <- 0x40d706b3 +mem-write: 0x80010638 <- 0x316f733 +mem-write: 0x8001063c <- 0x1061613 +mem-write: 0x80010640 <- 0x1065613 +mem-write: 0x80010644 <- 0x316d6b3 +mem-write: 0x80010648 <- 0x1071713 +mem-write: 0x8001064c <- 0x2d788b3 +mem-write: 0x80010650 <- 0xc767b3 +mem-write: 0x80010654 <- 0x68713 +mem-write: 0x80010658 <- 0x117fe63 +mem-write: 0x8001065c <- 0x10787b3 +mem-write: 0x80010660 <- 0xfff68713 +mem-write: 0x80010664 <- 0x107e863 +mem-write: 0x80010668 <- 0x117f663 +mem-write: 0x8001066c <- 0xffe68713 +mem-write: 0x80010670 <- 0x10787b3 +mem-write: 0x80010674 <- 0x1051593 +mem-write: 0x80010678 <- 0x411787b3 +mem-write: 0x8001067c <- 0xe5e5b3 +mem-write: 0x80010680 <- 0xeb9ff06f +mem-write: 0x80010684 <- 0x18d5e663 +mem-write: 0x80010688 <- 0x10737 +mem-write: 0x8001068c <- 0x4e6f463 +mem-write: 0x80010690 <- 0xff00713 +mem-write: 0x80010694 <- 0xd735b3 +mem-write: 0x80010698 <- 0x359593 +mem-write: 0x8001069c <- 0x80015737 +mem-write: 0x800106a0 <- 0xb6d533 +mem-write: 0x800106a4 <- 0x6b470713 +mem-write: 0x800106a8 <- 0xa70733 +mem-write: 0x800106ac <- 0x74703 +mem-write: 0x800106b0 <- 0x2000513 +mem-write: 0x800106b4 <- 0xb70733 +mem-write: 0x800106b8 <- 0x40e505b3 +mem-write: 0x800106bc <- 0x2e51663 +mem-write: 0x800106c0 <- 0x100513 +mem-write: 0x800106c4 <- 0xeef6eee3 +mem-write: 0x800106c8 <- 0xc8b533 +mem-write: 0x800106cc <- 0x154513 +mem-write: 0x800106d0 <- 0xef1ff06f +mem-write: 0x800106d4 <- 0x1000737 +mem-write: 0x800106d8 <- 0x1000593 +mem-write: 0x800106dc <- 0xfce6e0e3 +mem-write: 0x800106e0 <- 0x1800593 +mem-write: 0x800106e4 <- 0xfb9ff06f +mem-write: 0x800106e8 <- 0xe65333 +mem-write: 0x800106ec <- 0xb696b3 +mem-write: 0x800106f0 <- 0xd36333 +mem-write: 0x800106f4 <- 0x1035513 +mem-write: 0x800106f8 <- 0xb61eb3 +mem-write: 0x800106fc <- 0xe7d633 +mem-write: 0x80010700 <- 0x2a676b3 +mem-write: 0x80010704 <- 0xb797b3 +mem-write: 0x80010708 <- 0xe8d733 +mem-write: 0x8001070c <- 0xf76833 +mem-write: 0x80010710 <- 0x1031793 +mem-write: 0x80010714 <- 0x107d793 +mem-write: 0x80010718 <- 0x1085713 +mem-write: 0x8001071c <- 0x2a65633 +mem-write: 0x80010720 <- 0x1069693 +mem-write: 0x80010724 <- 0xe6e733 +mem-write: 0x80010728 <- 0x2c78f33 +mem-write: 0x8001072c <- 0x60e13 +mem-write: 0x80010730 <- 0x1e77e63 +mem-write: 0x80010734 <- 0x670733 +mem-write: 0x80010738 <- 0xfff60e13 +mem-write: 0x8001073c <- 0x676863 +mem-write: 0x80010740 <- 0x1e77663 +mem-write: 0x80010744 <- 0xffe60e13 +mem-write: 0x80010748 <- 0x670733 +mem-write: 0x8001074c <- 0x41e70733 +mem-write: 0x80010750 <- 0x2a776b3 +mem-write: 0x80010754 <- 0x2a75733 +mem-write: 0x80010758 <- 0x1069693 +mem-write: 0x8001075c <- 0x2e78633 +mem-write: 0x80010760 <- 0x1081793 +mem-write: 0x80010764 <- 0x107d793 +mem-write: 0x80010768 <- 0xf6e7b3 +mem-write: 0x8001076c <- 0x70693 +mem-write: 0x80010770 <- 0xc7fe63 +mem-write: 0x80010774 <- 0x6787b3 +mem-write: 0x80010778 <- 0xfff70693 +mem-write: 0x8001077c <- 0x67e863 +mem-write: 0x80010780 <- 0xc7f663 +mem-write: 0x80010784 <- 0xffe70693 +mem-write: 0x80010788 <- 0x6787b3 +mem-write: 0x8001078c <- 0x10e1513 +mem-write: 0x80010790 <- 0x10e37 +mem-write: 0x80010794 <- 0xd56533 +mem-write: 0x80010798 <- 0xfffe0693 +mem-write: 0x8001079c <- 0xd57833 +mem-write: 0x800107a0 <- 0x40c787b3 +mem-write: 0x800107a4 <- 0xdef6b3 +mem-write: 0x800107a8 <- 0x1055613 +mem-write: 0x800107ac <- 0x10ede93 +mem-write: 0x800107b0 <- 0x2d80333 +mem-write: 0x800107b4 <- 0x2d606b3 +mem-write: 0x800107b8 <- 0x1035713 +mem-write: 0x800107bc <- 0x3d80833 +mem-write: 0x800107c0 <- 0xd80833 +mem-write: 0x800107c4 <- 0x1070733 +mem-write: 0x800107c8 <- 0x3d60633 +mem-write: 0x800107cc <- 0xd77463 +mem-write: 0x800107d0 <- 0x1c60633 +mem-write: 0x800107d4 <- 0x1075693 +mem-write: 0x800107d8 <- 0xc68633 +mem-write: 0x800107dc <- 0x2c7e663 +mem-write: 0x800107e0 <- 0xcec79ce3 +mem-write: 0x800107e4 <- 0x107b7 +mem-write: 0x800107e8 <- 0xfff78793 +mem-write: 0x800107ec <- 0xf77733 +mem-write: 0x800107f0 <- 0x1071713 +mem-write: 0x800107f4 <- 0xf37333 +VXDRV: upload 1024 bytes to 0x800107f8 +mem-write: 0x800107f8 <- 0xb898b3 +mem-write: 0x800107fc <- 0x670733 +mem-write: 0x80010800 <- 0x593 +mem-write: 0x80010804 <- 0xdae8fee3 +mem-write: 0x80010808 <- 0xfff50513 +mem-write: 0x8001080c <- 0xccdff06f +mem-write: 0x80010810 <- 0x593 +mem-write: 0x80010814 <- 0x513 +mem-write: 0x80010818 <- 0xda9ff06f +mem-write: 0x8001081c <- 0x60893 +mem-write: 0x80010820 <- 0x68713 +mem-write: 0x80010824 <- 0x50793 +mem-write: 0x80010828 <- 0x58813 +mem-write: 0x8001082c <- 0x22069c63 +mem-write: 0x80010830 <- 0x800156b7 +mem-write: 0x80010834 <- 0x6b468693 +mem-write: 0x80010838 <- 0xcc5fc63 +mem-write: 0x8001083c <- 0x10337 +mem-write: 0x80010840 <- 0xa667e63 +mem-write: 0x80010844 <- 0xff00313 +mem-write: 0x80010848 <- 0xc37463 +mem-write: 0x8001084c <- 0x800713 +mem-write: 0x80010850 <- 0xe65333 +mem-write: 0x80010854 <- 0x6686b3 +mem-write: 0x80010858 <- 0x6ce03 +mem-write: 0x8001085c <- 0xee0e33 +mem-write: 0x80010860 <- 0x2000713 +mem-write: 0x80010864 <- 0x41c70333 +mem-write: 0x80010868 <- 0x1c70c63 +mem-write: 0x8001086c <- 0x6595b3 +mem-write: 0x80010870 <- 0x1c55e33 +mem-write: 0x80010874 <- 0x6618b3 +mem-write: 0x80010878 <- 0xbe6833 +mem-write: 0x8001087c <- 0x6517b3 +mem-write: 0x80010880 <- 0x108d613 +mem-write: 0x80010884 <- 0x2c87733 +mem-write: 0x80010888 <- 0x1089513 +mem-write: 0x8001088c <- 0x1055513 +mem-write: 0x80010890 <- 0x107d693 +mem-write: 0x80010894 <- 0x2c85833 +mem-write: 0x80010898 <- 0x1071713 +mem-write: 0x8001089c <- 0xd766b3 +mem-write: 0x800108a0 <- 0x3050833 +mem-write: 0x800108a4 <- 0x106fa63 +mem-write: 0x800108a8 <- 0x11686b3 +mem-write: 0x800108ac <- 0x116e663 +mem-write: 0x800108b0 <- 0x106f463 +mem-write: 0x800108b4 <- 0x11686b3 +mem-write: 0x800108b8 <- 0x410686b3 +mem-write: 0x800108bc <- 0x2c6f733 +mem-write: 0x800108c0 <- 0x1079793 +mem-write: 0x800108c4 <- 0x107d793 +mem-write: 0x800108c8 <- 0x2c6d6b3 +mem-write: 0x800108cc <- 0x2d506b3 +mem-write: 0x800108d0 <- 0x1071513 +mem-write: 0x800108d4 <- 0xf567b3 +mem-write: 0x800108d8 <- 0xd7fa63 +mem-write: 0x800108dc <- 0x11787b3 +mem-write: 0x800108e0 <- 0x117e663 +mem-write: 0x800108e4 <- 0xd7f463 +mem-write: 0x800108e8 <- 0x11787b3 +mem-write: 0x800108ec <- 0x40d787b3 +mem-write: 0x800108f0 <- 0x67d533 +mem-write: 0x800108f4 <- 0x593 +mem-write: 0x800108f8 <- 0x8067 +mem-write: 0x800108fc <- 0x1000337 +mem-write: 0x80010900 <- 0x1000713 +mem-write: 0x80010904 <- 0xf46666e3 +mem-write: 0x80010908 <- 0x1800713 +mem-write: 0x8001090c <- 0xf45ff06f +mem-write: 0x80010910 <- 0x61663 +mem-write: 0x80010914 <- 0x100613 +mem-write: 0x80010918 <- 0x31658b3 +mem-write: 0x8001091c <- 0x10637 +mem-write: 0x80010920 <- 0xac8f263 +mem-write: 0x80010924 <- 0xff00613 +mem-write: 0x80010928 <- 0x1167463 +mem-write: 0x8001092c <- 0x800713 +mem-write: 0x80010930 <- 0xe8d633 +mem-write: 0x80010934 <- 0xc686b3 +mem-write: 0x80010938 <- 0x6ce03 +mem-write: 0x8001093c <- 0xee0e33 +mem-write: 0x80010940 <- 0x2000713 +mem-write: 0x80010944 <- 0x41c70333 +mem-write: 0x80010948 <- 0x9c71863 +mem-write: 0x8001094c <- 0x411585b3 +mem-write: 0x80010950 <- 0x108d713 +mem-write: 0x80010954 <- 0x1089513 +mem-write: 0x80010958 <- 0x1055513 +mem-write: 0x8001095c <- 0x107d613 +mem-write: 0x80010960 <- 0x2e5f6b3 +mem-write: 0x80010964 <- 0x2e5d5b3 +mem-write: 0x80010968 <- 0x1069693 +mem-write: 0x8001096c <- 0xc6e6b3 +mem-write: 0x80010970 <- 0x2b505b3 +mem-write: 0x80010974 <- 0xb6fa63 +mem-write: 0x80010978 <- 0x11686b3 +mem-write: 0x8001097c <- 0x116e663 +mem-write: 0x80010980 <- 0xb6f463 +mem-write: 0x80010984 <- 0x11686b3 +mem-write: 0x80010988 <- 0x40b685b3 +mem-write: 0x8001098c <- 0x2e5f6b3 +mem-write: 0x80010990 <- 0x1079793 +mem-write: 0x80010994 <- 0x107d793 +mem-write: 0x80010998 <- 0x2e5d5b3 +mem-write: 0x8001099c <- 0x2b505b3 +mem-write: 0x800109a0 <- 0x1069513 +mem-write: 0x800109a4 <- 0xf567b3 +mem-write: 0x800109a8 <- 0xb7fa63 +mem-write: 0x800109ac <- 0x11787b3 +mem-write: 0x800109b0 <- 0x117e663 +mem-write: 0x800109b4 <- 0xb7f463 +mem-write: 0x800109b8 <- 0x11787b3 +mem-write: 0x800109bc <- 0x40b787b3 +mem-write: 0x800109c0 <- 0xf31ff06f +mem-write: 0x800109c4 <- 0x1000637 +mem-write: 0x800109c8 <- 0x1000713 +mem-write: 0x800109cc <- 0xf6c8e2e3 +mem-write: 0x800109d0 <- 0x1800713 +mem-write: 0x800109d4 <- 0xf5dff06f +mem-write: 0x800109d8 <- 0x6898b3 +mem-write: 0x800109dc <- 0x1c5d733 +mem-write: 0x800109e0 <- 0x6517b3 +mem-write: 0x800109e4 <- 0x1c55e33 +mem-write: 0x800109e8 <- 0x108d513 +mem-write: 0x800109ec <- 0x2a776b3 +mem-write: 0x800109f0 <- 0x6595b3 +mem-write: 0x800109f4 <- 0xbe6e33 +mem-write: 0x800109f8 <- 0x1089593 +mem-write: 0x800109fc <- 0x105d593 +mem-write: 0x80010a00 <- 0x10e5613 +mem-write: 0x80010a04 <- 0x2a75733 +mem-write: 0x80010a08 <- 0x1069693 +mem-write: 0x80010a0c <- 0xc6e6b3 +mem-write: 0x80010a10 <- 0x2e58733 +mem-write: 0x80010a14 <- 0xe6fa63 +mem-write: 0x80010a18 <- 0x11686b3 +mem-write: 0x80010a1c <- 0x116e663 +mem-write: 0x80010a20 <- 0xe6f463 +mem-write: 0x80010a24 <- 0x11686b3 +mem-write: 0x80010a28 <- 0x40e68633 +mem-write: 0x80010a2c <- 0x2a676b3 +mem-write: 0x80010a30 <- 0x10e1e13 +mem-write: 0x80010a34 <- 0x10e5e13 +mem-write: 0x80010a38 <- 0x2a65633 +mem-write: 0x80010a3c <- 0x1069693 +mem-write: 0x80010a40 <- 0x2c58633 +mem-write: 0x80010a44 <- 0x1c6e5b3 +mem-write: 0x80010a48 <- 0xc5fa63 +mem-write: 0x80010a4c <- 0x11585b3 +mem-write: 0x80010a50 <- 0x115e663 +mem-write: 0x80010a54 <- 0xc5f463 +mem-write: 0x80010a58 <- 0x11585b3 +mem-write: 0x80010a5c <- 0x40c585b3 +mem-write: 0x80010a60 <- 0xef1ff06f +mem-write: 0x80010a64 <- 0xe8d5eae3 +mem-write: 0x80010a68 <- 0x10737 +mem-write: 0x80010a6c <- 0x4e6fc63 +mem-write: 0x80010a70 <- 0xff00e13 +mem-write: 0x80010a74 <- 0xde3733 +mem-write: 0x80010a78 <- 0x371713 +mem-write: 0x80010a7c <- 0x800158b7 +mem-write: 0x80010a80 <- 0xe6d333 +mem-write: 0x80010a84 <- 0x6b488893 +mem-write: 0x80010a88 <- 0x6888b3 +mem-write: 0x80010a8c <- 0x8ce03 +mem-write: 0x80010a90 <- 0xee0e33 +mem-write: 0x80010a94 <- 0x2000713 +mem-write: 0x80010a98 <- 0x41c70333 +mem-write: 0x80010a9c <- 0x3c71e63 +mem-write: 0x80010aa0 <- 0xb6e463 +mem-write: 0x80010aa4 <- 0xc56a63 +mem-write: 0x80010aa8 <- 0x40c507b3 +mem-write: 0x80010aac <- 0x40d585b3 +mem-write: 0x80010ab0 <- 0xf53533 +mem-write: 0x80010ab4 <- 0x40a58833 +mem-write: 0x80010ab8 <- 0x78513 +mem-write: 0x80010abc <- 0x80593 +mem-write: 0x80010ac0 <- 0xe39ff06f +mem-write: 0x80010ac4 <- 0x10008b7 +mem-write: 0x80010ac8 <- 0x1000713 +mem-write: 0x80010acc <- 0xfb16e8e3 +mem-write: 0x80010ad0 <- 0x1800713 +mem-write: 0x80010ad4 <- 0xfa9ff06f +mem-write: 0x80010ad8 <- 0x1c65733 +mem-write: 0x80010adc <- 0x6696b3 +mem-write: 0x80010ae0 <- 0xd76f33 +mem-write: 0x80010ae4 <- 0x1c5d7b3 +mem-write: 0x80010ae8 <- 0x10f5713 +mem-write: 0x80010aec <- 0x2e7f8b3 +mem-write: 0x80010af0 <- 0x6595b3 +mem-write: 0x80010af4 <- 0x1c55833 +mem-write: 0x80010af8 <- 0xb86833 +mem-write: 0x80010afc <- 0x10f1593 +mem-write: 0x80010b00 <- 0x105d593 +mem-write: 0x80010b04 <- 0x1085693 +mem-write: 0x80010b08 <- 0x661633 +mem-write: 0x80010b0c <- 0x651533 +mem-write: 0x80010b10 <- 0x2e7d7b3 +mem-write: 0x80010b14 <- 0x1089893 +mem-write: 0x80010b18 <- 0xd8e6b3 +mem-write: 0x80010b1c <- 0x2f58eb3 +mem-write: 0x80010b20 <- 0x78893 +mem-write: 0x80010b24 <- 0x1d6fe63 +mem-write: 0x80010b28 <- 0x1e686b3 +mem-write: 0x80010b2c <- 0xfff78893 +mem-write: 0x80010b30 <- 0x1e6e863 +mem-write: 0x80010b34 <- 0x1d6f663 +mem-write: 0x80010b38 <- 0xffe78893 +mem-write: 0x80010b3c <- 0x1e686b3 +mem-write: 0x80010b40 <- 0x41d686b3 +mem-write: 0x80010b44 <- 0x2e6feb3 +mem-write: 0x80010b48 <- 0x1081813 +mem-write: 0x80010b4c <- 0x1085813 +mem-write: 0x80010b50 <- 0x2e6d6b3 +mem-write: 0x80010b54 <- 0x10e9e93 +mem-write: 0x80010b58 <- 0x10eeeb3 +mem-write: 0x80010b5c <- 0x2d585b3 +mem-write: 0x80010b60 <- 0x68793 +mem-write: 0x80010b64 <- 0xbefe63 +mem-write: 0x80010b68 <- 0x1ee8eb3 +mem-write: 0x80010b6c <- 0xfff68793 +mem-write: 0x80010b70 <- 0x1eee863 +mem-write: 0x80010b74 <- 0xbef663 +mem-write: 0x80010b78 <- 0xffe68793 +mem-write: 0x80010b7c <- 0x1ee8eb3 +mem-write: 0x80010b80 <- 0x40be85b3 +mem-write: 0x80010b84 <- 0x1089893 +mem-write: 0x80010b88 <- 0x10eb7 +mem-write: 0x80010b8c <- 0xf8e8b3 +mem-write: 0x80010b90 <- 0xfffe8793 +mem-write: 0x80010b94 <- 0xf8f833 +mem-write: 0x80010b98 <- 0x1065693 +mem-write: 0x80010b9c <- 0x108d893 +mem-write: 0x80010ba0 <- 0xf677b3 +mem-write: 0x80010ba4 <- 0x2f80733 +mem-write: 0x80010ba8 <- 0x2f887b3 +mem-write: 0x80010bac <- 0x2d80833 +mem-write: 0x80010bb0 <- 0x2d888b3 +mem-write: 0x80010bb4 <- 0xf80833 +mem-write: 0x80010bb8 <- 0x1075693 +mem-write: 0x80010bbc <- 0x10686b3 +mem-write: 0x80010bc0 <- 0xf6f463 +mem-write: 0x80010bc4 <- 0x1d888b3 +mem-write: 0x80010bc8 <- 0x107b7 +mem-write: 0x80010bcc <- 0xfff78793 +mem-write: 0x80010bd0 <- 0x106d813 +mem-write: 0x80010bd4 <- 0xf6f6b3 +mem-write: 0x80010bd8 <- 0x1069693 +mem-write: 0x80010bdc <- 0xf77733 +mem-write: 0x80010be0 <- 0x11808b3 +mem-write: 0x80010be4 <- 0xe68733 +mem-write: 0x80010be8 <- 0x115e663 +mem-write: 0x80010bec <- 0x1159e63 +mem-write: 0x80010bf0 <- 0xe57c63 +mem-write: 0x80010bf4 <- 0x40c70633 +VXDRV: upload 1024 bytes to 0x80010bf8 +mem-write: 0x80010bf8 <- 0xc73733 +mem-write: 0x80010bfc <- 0x1e70733 +mem-write: 0x80010c00 <- 0x40e888b3 +mem-write: 0x80010c04 <- 0x60713 +mem-write: 0x80010c08 <- 0x40e50733 +mem-write: 0x80010c0c <- 0xe53533 +mem-write: 0x80010c10 <- 0x411585b3 +mem-write: 0x80010c14 <- 0x40a585b3 +mem-write: 0x80010c18 <- 0x1c597b3 +mem-write: 0x80010c1c <- 0x675733 +mem-write: 0x80010c20 <- 0xe7e533 +mem-write: 0x80010c24 <- 0x65d5b3 +mem-write: 0x80010c28 <- 0xcd1ff06f +mem-write: 0x80010c2c <- 0xfd010113 +mem-write: 0x80010c30 <- 0x145d793 +mem-write: 0x80010c34 <- 0x2912223 +mem-write: 0x80010c38 <- 0x3212023 +mem-write: 0x80010c3c <- 0x1412c23 +mem-write: 0x80010c40 <- 0x1612823 +mem-write: 0x80010c44 <- 0x1812423 +mem-write: 0x80010c48 <- 0xc59493 +mem-write: 0x80010c4c <- 0x2112623 +mem-write: 0x80010c50 <- 0x2812423 +mem-write: 0x80010c54 <- 0x1312e23 +mem-write: 0x80010c58 <- 0x1512a23 +mem-write: 0x80010c5c <- 0x1712623 +mem-write: 0x80010c60 <- 0x1579713 +mem-write: 0x80010c64 <- 0x50913 +mem-write: 0x80010c68 <- 0x60b13 +mem-write: 0x80010c6c <- 0x68c13 +mem-write: 0x80010c70 <- 0xc4d493 +mem-write: 0x80010c74 <- 0x1f5da13 +mem-write: 0x80010c78 <- 0xa070463 +mem-write: 0x80010c7c <- 0x7ff7fa93 +mem-write: 0x80010c80 <- 0x7ff00793 +mem-write: 0x80010c84 <- 0x10fa8063 +mem-write: 0x80010c88 <- 0x1d55993 +mem-write: 0x80010c8c <- 0x349493 +mem-write: 0x80010c90 <- 0x99e4b3 +mem-write: 0x80010c94 <- 0x8009b7 +mem-write: 0x80010c98 <- 0x134e9b3 +mem-write: 0x80010c9c <- 0x351413 +mem-write: 0x80010ca0 <- 0xc01a8a93 +mem-write: 0x80010ca4 <- 0xb93 +mem-write: 0x80010ca8 <- 0x14c5793 +mem-write: 0x80010cac <- 0xcc1513 +mem-write: 0x80010cb0 <- 0x1579713 +mem-write: 0x80010cb4 <- 0xc55493 +mem-write: 0x80010cb8 <- 0x7ff7f593 +mem-write: 0x80010cbc <- 0x1fc5c13 +mem-write: 0x80010cc0 <- 0x10070063 +mem-write: 0x80010cc4 <- 0x7ff00793 +mem-write: 0x80010cc8 <- 0x16f58263 +mem-write: 0x80010ccc <- 0x349513 +mem-write: 0x80010cd0 <- 0x1db5793 +mem-write: 0x80010cd4 <- 0xa7e533 +mem-write: 0x80010cd8 <- 0x8004b7 +mem-write: 0x80010cdc <- 0x9564b3 +mem-write: 0x80010ce0 <- 0x3b1f93 +mem-write: 0x80010ce4 <- 0xc0158513 +mem-write: 0x80010ce8 <- 0x613 +mem-write: 0x80010cec <- 0x2b9793 +mem-write: 0x80010cf0 <- 0xc7e7b3 +mem-write: 0x80010cf4 <- 0xfff78793 +mem-write: 0x80010cf8 <- 0xe00713 +mem-write: 0x80010cfc <- 0x18a46b3 +mem-write: 0x80010d00 <- 0x40aa85b3 +mem-write: 0x80010d04 <- 0x16f76063 +mem-write: 0x80010d08 <- 0x80015737 +mem-write: 0x80010d0c <- 0x279793 +mem-write: 0x80010d10 <- 0x60070713 +mem-write: 0x80010d14 <- 0xe787b3 +mem-write: 0x80010d18 <- 0x7a783 +mem-write: 0x80010d1c <- 0x78067 +mem-write: 0x80010d20 <- 0xa4e9b3 +mem-write: 0x80010d24 <- 0x6098e63 +mem-write: 0x80010d28 <- 0x4048063 +mem-write: 0x80010d2c <- 0x48513 +mem-write: 0x80010d30 <- 0x3bd030ef +mem-write: 0x80010d34 <- 0xff550793 +mem-write: 0x80010d38 <- 0x1c00713 +mem-write: 0x80010d3c <- 0x2f74c63 +mem-write: 0x80010d40 <- 0x1d00993 +mem-write: 0x80010d44 <- 0xff850413 +mem-write: 0x80010d48 <- 0x40f989b3 +mem-write: 0x80010d4c <- 0x8494b3 +mem-write: 0x80010d50 <- 0x13959b3 +mem-write: 0x80010d54 <- 0x99e9b3 +mem-write: 0x80010d58 <- 0x891433 +mem-write: 0x80010d5c <- 0xc0d00593 +mem-write: 0x80010d60 <- 0x40a58ab3 +mem-write: 0x80010d64 <- 0xf41ff06f +mem-write: 0x80010d68 <- 0x385030ef +mem-write: 0x80010d6c <- 0x2050513 +mem-write: 0x80010d70 <- 0xfc5ff06f +mem-write: 0x80010d74 <- 0xfd850493 +mem-write: 0x80010d78 <- 0x9919b3 +mem-write: 0x80010d7c <- 0x413 +mem-write: 0x80010d80 <- 0xfddff06f +mem-write: 0x80010d84 <- 0xa4e9b3 +mem-write: 0x80010d88 <- 0x2098463 +mem-write: 0x80010d8c <- 0x50413 +mem-write: 0x80010d90 <- 0x48993 +mem-write: 0x80010d94 <- 0x7ff00a93 +mem-write: 0x80010d98 <- 0x300b93 +mem-write: 0x80010d9c <- 0xf0dff06f +mem-write: 0x80010da0 <- 0x413 +mem-write: 0x80010da4 <- 0xa93 +mem-write: 0x80010da8 <- 0x100b93 +mem-write: 0x80010dac <- 0xefdff06f +mem-write: 0x80010db0 <- 0x413 +mem-write: 0x80010db4 <- 0x7ff00a93 +mem-write: 0x80010db8 <- 0x200b93 +mem-write: 0x80010dbc <- 0xeedff06f +mem-write: 0x80010dc0 <- 0x164efb3 +mem-write: 0x80010dc4 <- 0x80f8063 +mem-write: 0x80010dc8 <- 0x4048263 +mem-write: 0x80010dcc <- 0x48513 +mem-write: 0x80010dd0 <- 0x31d030ef +mem-write: 0x80010dd4 <- 0x50593 +mem-write: 0x80010dd8 <- 0xff558793 +mem-write: 0x80010ddc <- 0x1c00713 +mem-write: 0x80010de0 <- 0x2f74e63 +mem-write: 0x80010de4 <- 0x1d00693 +mem-write: 0x80010de8 <- 0xff858f93 +mem-write: 0x80010dec <- 0x40f686b3 +mem-write: 0x80010df0 <- 0x1f49533 +mem-write: 0x80010df4 <- 0xdb56b3 +mem-write: 0x80010df8 <- 0xa6e4b3 +mem-write: 0x80010dfc <- 0x1fb1fb3 +mem-write: 0x80010e00 <- 0xc0d00713 +mem-write: 0x80010e04 <- 0x40b70533 +mem-write: 0x80010e08 <- 0xee1ff06f +mem-write: 0x80010e0c <- 0xb0513 +mem-write: 0x80010e10 <- 0x2dd030ef +mem-write: 0x80010e14 <- 0x2050593 +mem-write: 0x80010e18 <- 0xfc1ff06f +mem-write: 0x80010e1c <- 0xfd858513 +mem-write: 0x80010e20 <- 0xab14b3 +mem-write: 0x80010e24 <- 0xf93 +mem-write: 0x80010e28 <- 0xfd9ff06f +mem-write: 0x80010e2c <- 0x164efb3 +mem-write: 0x80010e30 <- 0x20f8263 +mem-write: 0x80010e34 <- 0xb0f93 +mem-write: 0x80010e38 <- 0x7ff00513 +mem-write: 0x80010e3c <- 0x300613 +mem-write: 0x80010e40 <- 0xeadff06f +mem-write: 0x80010e44 <- 0x493 +mem-write: 0x80010e48 <- 0x513 +mem-write: 0x80010e4c <- 0x100613 +mem-write: 0x80010e50 <- 0xe9dff06f +mem-write: 0x80010e54 <- 0x493 +mem-write: 0x80010e58 <- 0x7ff00513 +mem-write: 0x80010e5c <- 0x200613 +mem-write: 0x80010e60 <- 0xe8dff06f +mem-write: 0x80010e64 <- 0x134e663 +mem-write: 0x80010e68 <- 0x34999c63 +mem-write: 0x80010e6c <- 0x35f46a63 +mem-write: 0x80010e70 <- 0x1f99613 +mem-write: 0x80010e74 <- 0x145713 +mem-write: 0x80010e78 <- 0x1f41793 +mem-write: 0x80010e7c <- 0x19d993 +mem-write: 0x80010e80 <- 0xe66433 +mem-write: 0x80010e84 <- 0x849513 +mem-write: 0x80010e88 <- 0x18fd893 +mem-write: 0x80010e8c <- 0xa8e8b3 +mem-write: 0x80010e90 <- 0x1055513 +mem-write: 0x80010e94 <- 0x2a9d833 +mem-write: 0x80010e98 <- 0x1089e93 +mem-write: 0x80010e9c <- 0x10ede93 +mem-write: 0x80010ea0 <- 0x1045713 +mem-write: 0x80010ea4 <- 0x8f9313 +mem-write: 0x80010ea8 <- 0x2a9f4b3 +mem-write: 0x80010eac <- 0x80f93 +mem-write: 0x80010eb0 <- 0x30e8633 +mem-write: 0x80010eb4 <- 0x1049993 +mem-write: 0x80010eb8 <- 0x1376733 +mem-write: 0x80010ebc <- 0xc77e63 +mem-write: 0x80010ec0 <- 0x1170733 +mem-write: 0x80010ec4 <- 0xfff80f93 +mem-write: 0x80010ec8 <- 0x1176863 +mem-write: 0x80010ecc <- 0xc77663 +mem-write: 0x80010ed0 <- 0xffe80f93 +mem-write: 0x80010ed4 <- 0x1170733 +mem-write: 0x80010ed8 <- 0x40c70733 +mem-write: 0x80010edc <- 0x2a75e33 +mem-write: 0x80010ee0 <- 0x1041413 +mem-write: 0x80010ee4 <- 0x1045413 +mem-write: 0x80010ee8 <- 0x2a77733 +mem-write: 0x80010eec <- 0xe0613 +mem-write: 0x80010ef0 <- 0x3ce8833 +mem-write: 0x80010ef4 <- 0x1071713 +mem-write: 0x80010ef8 <- 0xe46733 +mem-write: 0x80010efc <- 0x1077e63 +mem-write: 0x80010f00 <- 0x1170733 +mem-write: 0x80010f04 <- 0xfffe0613 +mem-write: 0x80010f08 <- 0x1176863 +mem-write: 0x80010f0c <- 0x1077663 +mem-write: 0x80010f10 <- 0xffee0613 +mem-write: 0x80010f14 <- 0x1170733 +mem-write: 0x80010f18 <- 0x41070433 +mem-write: 0x80010f1c <- 0x10f9f93 +mem-write: 0x80010f20 <- 0x10837 +mem-write: 0x80010f24 <- 0xcfefb3 +mem-write: 0x80010f28 <- 0xfff80e13 +mem-write: 0x80010f2c <- 0x10fd613 +mem-write: 0x80010f30 <- 0x1cff733 +mem-write: 0x80010f34 <- 0x1035f13 +mem-write: 0x80010f38 <- 0x1c37e33 +mem-write: 0x80010f3c <- 0x2ee03b3 +mem-write: 0x80010f40 <- 0x3c604b3 +mem-write: 0x80010f44 <- 0x2ef0733 +mem-write: 0x80010f48 <- 0x3e602b3 +mem-write: 0x80010f4c <- 0x970633 +mem-write: 0x80010f50 <- 0x103d713 +mem-write: 0x80010f54 <- 0xc70733 +mem-write: 0x80010f58 <- 0x977463 +mem-write: 0x80010f5c <- 0x10282b3 +mem-write: 0x80010f60 <- 0x1075613 +mem-write: 0x80010f64 <- 0x560633 +mem-write: 0x80010f68 <- 0x102b7 +mem-write: 0x80010f6c <- 0xfff28293 +mem-write: 0x80010f70 <- 0x577833 +mem-write: 0x80010f74 <- 0x1081813 +mem-write: 0x80010f78 <- 0x53f3b3 +mem-write: 0x80010f7c <- 0x780833 +mem-write: 0x80010f80 <- 0xc46863 +mem-write: 0x80010f84 <- 0xf8493 +mem-write: 0x80010f88 <- 0x4c41463 +mem-write: 0x80010f8c <- 0x507f263 +mem-write: 0x80010f90 <- 0x6787b3 +mem-write: 0x80010f94 <- 0x67b733 +mem-write: 0x80010f98 <- 0x1170733 +mem-write: 0x80010f9c <- 0xe40433 +mem-write: 0x80010fa0 <- 0xffff8493 +mem-write: 0x80010fa4 <- 0x88e663 +mem-write: 0x80010fa8 <- 0x2889463 +mem-write: 0x80010fac <- 0x267e263 +mem-write: 0x80010fb0 <- 0xc46663 +mem-write: 0x80010fb4 <- 0x861e63 +mem-write: 0x80010fb8 <- 0x107fc63 +mem-write: 0x80010fbc <- 0x6787b3 +mem-write: 0x80010fc0 <- 0x67b733 +mem-write: 0x80010fc4 <- 0x1170733 +mem-write: 0x80010fc8 <- 0xffef8493 +mem-write: 0x80010fcc <- 0xe40433 +mem-write: 0x80010fd0 <- 0x41078833 +mem-write: 0x80010fd4 <- 0x40c40433 +mem-write: 0x80010fd8 <- 0x107b7b3 +mem-write: 0x80010fdc <- 0x40f40433 +mem-write: 0x80010fe0 <- 0xfff00f93 +mem-write: 0x80010fe4 <- 0x12888463 +mem-write: 0x80010fe8 <- 0x2a45fb3 +mem-write: 0x80010fec <- 0x1085713 +mem-write: 0x80010ff0 <- 0x2a47433 +mem-write: 0x80010ff4 <- 0xf8613 +VXDRV: upload 1024 bytes to 0x80010ff8 +mem-write: 0x80010ff8 <- 0x3fe87b3 +mem-write: 0x80010ffc <- 0x1041413 +mem-write: 0x80011000 <- 0x876433 +mem-write: 0x80011004 <- 0xf47e63 +mem-write: 0x80011008 <- 0x1140433 +mem-write: 0x8001100c <- 0xffff8613 +mem-write: 0x80011010 <- 0x1146863 +mem-write: 0x80011014 <- 0xf47663 +mem-write: 0x80011018 <- 0xffef8613 +mem-write: 0x8001101c <- 0x1140433 +mem-write: 0x80011020 <- 0x40f40433 +mem-write: 0x80011024 <- 0x2a45733 +mem-write: 0x80011028 <- 0x1081813 +mem-write: 0x8001102c <- 0x1085813 +mem-write: 0x80011030 <- 0x2a47433 +mem-write: 0x80011034 <- 0x70793 +mem-write: 0x80011038 <- 0x2ee8eb3 +mem-write: 0x8001103c <- 0x1041413 +mem-write: 0x80011040 <- 0x886433 +mem-write: 0x80011044 <- 0x1d47e63 +mem-write: 0x80011048 <- 0x1140433 +mem-write: 0x8001104c <- 0xfff70793 +mem-write: 0x80011050 <- 0x1146863 +mem-write: 0x80011054 <- 0x1d47663 +mem-write: 0x80011058 <- 0xffe70793 +mem-write: 0x8001105c <- 0x1140433 +mem-write: 0x80011060 <- 0x1061613 +mem-write: 0x80011064 <- 0xf66633 +mem-write: 0x80011068 <- 0x1061793 +mem-write: 0x8001106c <- 0x107d793 +mem-write: 0x80011070 <- 0x1065713 +mem-write: 0x80011074 <- 0x2ef0833 +mem-write: 0x80011078 <- 0x41d40433 +mem-write: 0x8001107c <- 0x2ff0f33 +mem-write: 0x80011080 <- 0x3c78eb3 +mem-write: 0x80011084 <- 0x3c70e33 +mem-write: 0x80011088 <- 0x10ed793 +mem-write: 0x8001108c <- 0x1cf0f33 +mem-write: 0x80011090 <- 0x1e787b3 +mem-write: 0x80011094 <- 0x1c7f663 +mem-write: 0x80011098 <- 0x10737 +mem-write: 0x8001109c <- 0xe80833 +mem-write: 0x800110a0 <- 0x107d713 +mem-write: 0x800110a4 <- 0x1070733 +mem-write: 0x800110a8 <- 0x10837 +mem-write: 0x800110ac <- 0xfff80813 +mem-write: 0x800110b0 <- 0x107f533 +mem-write: 0x800110b4 <- 0x1051513 +mem-write: 0x800110b8 <- 0x10efeb3 +mem-write: 0x800110bc <- 0x1d50533 +mem-write: 0x800110c0 <- 0xe46863 +mem-write: 0x800110c4 <- 0x24e41063 +mem-write: 0x800110c8 <- 0x60f93 +mem-write: 0x800110cc <- 0x4050063 +mem-write: 0x800110d0 <- 0x888433 +mem-write: 0x800110d4 <- 0xfff60f93 +mem-write: 0x800110d8 <- 0x3146463 +mem-write: 0x800110dc <- 0xe46663 +mem-write: 0x800110e0 <- 0x22e41063 +mem-write: 0x800110e4 <- 0x2a37063 +mem-write: 0x800110e8 <- 0x131793 +mem-write: 0x800110ec <- 0x67b333 +mem-write: 0x800110f0 <- 0x11308b3 +mem-write: 0x800110f4 <- 0xffe60f93 +mem-write: 0x800110f8 <- 0x1140433 +mem-write: 0x800110fc <- 0x78313 +mem-write: 0x80011100 <- 0xe41463 +mem-write: 0x80011104 <- 0x650463 +mem-write: 0x80011108 <- 0x1fef93 +mem-write: 0x8001110c <- 0x3ff58793 +mem-write: 0x80011110 <- 0x10f05863 +mem-write: 0x80011114 <- 0x7ff713 +mem-write: 0x80011118 <- 0x2070063 +mem-write: 0x8001111c <- 0xfff713 +mem-write: 0x80011120 <- 0x400613 +mem-write: 0x80011124 <- 0xc70a63 +mem-write: 0x80011128 <- 0x4f8613 +mem-write: 0x8001112c <- 0x1f63fb3 +mem-write: 0x80011130 <- 0x1f484b3 +mem-write: 0x80011134 <- 0x60f93 +mem-write: 0x80011138 <- 0x749713 +mem-write: 0x8001113c <- 0x75a63 +mem-write: 0x80011140 <- 0xff0007b7 +mem-write: 0x80011144 <- 0xfff78793 +mem-write: 0x80011148 <- 0xf4f4b3 +mem-write: 0x8001114c <- 0x40058793 +mem-write: 0x80011150 <- 0x7fe00713 +mem-write: 0x80011154 <- 0xaf74063 +mem-write: 0x80011158 <- 0x3fdf93 +mem-write: 0x8001115c <- 0x1d49713 +mem-write: 0x80011160 <- 0x1f76733 +mem-write: 0x80011164 <- 0x34d513 +mem-write: 0x80011168 <- 0x1479793 +mem-write: 0x8001116c <- 0x7ff00637 +mem-write: 0x80011170 <- 0xc51513 +mem-write: 0x80011174 <- 0x2c12083 +mem-write: 0x80011178 <- 0x2812403 +mem-write: 0x8001117c <- 0xc7f7b3 +mem-write: 0x80011180 <- 0xc55513 +mem-write: 0x80011184 <- 0xa7e533 +mem-write: 0x80011188 <- 0x1f69693 +mem-write: 0x8001118c <- 0xd567b3 +mem-write: 0x80011190 <- 0x2412483 +mem-write: 0x80011194 <- 0x2012903 +mem-write: 0x80011198 <- 0x1c12983 +mem-write: 0x8001119c <- 0x1812a03 +mem-write: 0x800111a0 <- 0x1412a83 +mem-write: 0x800111a4 <- 0x1012b03 +mem-write: 0x800111a8 <- 0xc12b83 +mem-write: 0x800111ac <- 0x812c03 +mem-write: 0x800111b0 <- 0x70513 +mem-write: 0x800111b4 <- 0x78593 +mem-write: 0x800111b8 <- 0x3010113 +mem-write: 0x800111bc <- 0x8067 +mem-write: 0x800111c0 <- 0xfff58593 +mem-write: 0x800111c4 <- 0x793 +mem-write: 0x800111c8 <- 0xcbdff06f +mem-write: 0x800111cc <- 0xa0693 +mem-write: 0x800111d0 <- 0x98493 +mem-write: 0x800111d4 <- 0x40f93 +mem-write: 0x800111d8 <- 0xb8613 +mem-write: 0x800111dc <- 0x300793 +mem-write: 0x800111e0 <- 0xef60863 +mem-write: 0x800111e4 <- 0x100793 +mem-write: 0x800111e8 <- 0xef60e63 +mem-write: 0x800111ec <- 0x200793 +mem-write: 0x800111f0 <- 0xf0f61ee3 +mem-write: 0x800111f4 <- 0x513 +mem-write: 0x800111f8 <- 0x713 +mem-write: 0x800111fc <- 0x7ff00793 +mem-write: 0x80011200 <- 0xf69ff06f +mem-write: 0x80011204 <- 0xc0693 +mem-write: 0x80011208 <- 0xfd5ff06f +mem-write: 0x8001120c <- 0x804b7 +mem-write: 0x80011210 <- 0xf93 +mem-write: 0x80011214 <- 0x693 +mem-write: 0x80011218 <- 0x300613 +mem-write: 0x8001121c <- 0xfc1ff06f +mem-write: 0x80011220 <- 0x100513 +mem-write: 0x80011224 <- 0x40f50533 +mem-write: 0x80011228 <- 0x3800713 +mem-write: 0x8001122c <- 0xaa74c63 +mem-write: 0x80011230 <- 0x1f00713 +mem-write: 0x80011234 <- 0x6a74463 +mem-write: 0x80011238 <- 0x41e58593 +mem-write: 0x8001123c <- 0xb497b3 +mem-write: 0x80011240 <- 0xafd733 +mem-write: 0x80011244 <- 0xbf95b3 +mem-write: 0x80011248 <- 0xe7e7b3 +mem-write: 0x8001124c <- 0xb035b3 +mem-write: 0x80011250 <- 0xb7e7b3 +mem-write: 0x80011254 <- 0xa4d533 +mem-write: 0x80011258 <- 0x77f713 +mem-write: 0x8001125c <- 0x2070063 +mem-write: 0x80011260 <- 0xf7f713 +mem-write: 0x80011264 <- 0x400613 +mem-write: 0x80011268 <- 0xc70a63 +mem-write: 0x8001126c <- 0x478713 +mem-write: 0x80011270 <- 0xf737b3 +mem-write: 0x80011274 <- 0xf50533 +mem-write: 0x80011278 <- 0x70793 +mem-write: 0x8001127c <- 0x851713 +mem-write: 0x80011280 <- 0x6074863 +mem-write: 0x80011284 <- 0x1d51713 +mem-write: 0x80011288 <- 0x37d793 +mem-write: 0x8001128c <- 0xf76733 +mem-write: 0x80011290 <- 0x355513 +mem-write: 0x80011294 <- 0x793 +mem-write: 0x80011298 <- 0xed1ff06f +mem-write: 0x8001129c <- 0xfe100713 +mem-write: 0x800112a0 <- 0x40f707b3 +mem-write: 0x800112a4 <- 0x2000613 +mem-write: 0x800112a8 <- 0xf4d7b3 +mem-write: 0x800112ac <- 0x713 +mem-write: 0x800112b0 <- 0xc50663 +mem-write: 0x800112b4 <- 0x43e58593 +mem-write: 0x800112b8 <- 0xb49733 +mem-write: 0x800112bc <- 0x1f76fb3 +mem-write: 0x800112c0 <- 0x1f03fb3 +mem-write: 0x800112c4 <- 0x1f7e7b3 +mem-write: 0x800112c8 <- 0x513 +mem-write: 0x800112cc <- 0xf8dff06f +mem-write: 0x800112d0 <- 0x80537 +mem-write: 0x800112d4 <- 0x713 +mem-write: 0x800112d8 <- 0x7ff00793 +mem-write: 0x800112dc <- 0x693 +mem-write: 0x800112e0 <- 0xe89ff06f +mem-write: 0x800112e4 <- 0x513 +mem-write: 0x800112e8 <- 0x713 +mem-write: 0x800112ec <- 0xfa9ff06f +mem-write: 0x800112f0 <- 0x513 +mem-write: 0x800112f4 <- 0x713 +mem-write: 0x800112f8 <- 0x100793 +mem-write: 0x800112fc <- 0xe6dff06f +mem-write: 0x80011300 <- 0xf8613 +mem-write: 0x80011304 <- 0x60f93 +mem-write: 0x80011308 <- 0xe01ff06f +mem-write: 0x8001130c <- 0xfd010113 +mem-write: 0x80011310 <- 0x145d793 +mem-write: 0x80011314 <- 0x2812423 +mem-write: 0x80011318 <- 0x2912223 +mem-write: 0x8001131c <- 0x1312e23 +mem-write: 0x80011320 <- 0x1412c23 +mem-write: 0x80011324 <- 0x1512a23 +mem-write: 0x80011328 <- 0xc59493 +mem-write: 0x8001132c <- 0x2112623 +mem-write: 0x80011330 <- 0x3212023 +mem-write: 0x80011334 <- 0x1612823 +mem-write: 0x80011338 <- 0x1712623 +mem-write: 0x8001133c <- 0x1579713 +mem-write: 0x80011340 <- 0x50413 +mem-write: 0x80011344 <- 0x60993 +mem-write: 0x80011348 <- 0x68a93 +mem-write: 0x8001134c <- 0xc4d493 +mem-write: 0x80011350 <- 0x1f5da13 +mem-write: 0x80011354 <- 0xa070663 +mem-write: 0x80011358 <- 0x7ff7fb13 +mem-write: 0x8001135c <- 0x7ff00793 +mem-write: 0x80011360 <- 0x10fb0263 +mem-write: 0x80011364 <- 0x1d55793 +mem-write: 0x80011368 <- 0x349493 +mem-write: 0x8001136c <- 0x97e4b3 +mem-write: 0x80011370 <- 0x8007b7 +mem-write: 0x80011374 <- 0xf4e4b3 +mem-write: 0x80011378 <- 0x351913 +mem-write: 0x8001137c <- 0xc01b0b13 +mem-write: 0x80011380 <- 0xb93 +mem-write: 0x80011384 <- 0x14ad793 +mem-write: 0x80011388 <- 0xca9413 +mem-write: 0x8001138c <- 0x1579713 +mem-write: 0x80011390 <- 0xc45413 +mem-write: 0x80011394 <- 0x7ff7f513 +mem-write: 0x80011398 <- 0x1fada93 +mem-write: 0x8001139c <- 0x10070063 +mem-write: 0x800113a0 <- 0x7ff00793 +mem-write: 0x800113a4 <- 0x16f50063 +mem-write: 0x800113a8 <- 0x1d9d793 +mem-write: 0x800113ac <- 0x341413 +mem-write: 0x800113b0 <- 0x87e433 +mem-write: 0x800113b4 <- 0x8007b7 +mem-write: 0x800113b8 <- 0xf46433 +mem-write: 0x800113bc <- 0xc0150513 +mem-write: 0x800113c0 <- 0x399793 +mem-write: 0x800113c4 <- 0x713 +mem-write: 0x800113c8 <- 0x2b9693 +mem-write: 0x800113cc <- 0xe6e6b3 +mem-write: 0x800113d0 <- 0xab0533 +mem-write: 0x800113d4 <- 0xfff68693 +mem-write: 0x800113d8 <- 0xe00813 +mem-write: 0x800113dc <- 0x15a4633 +mem-write: 0x800113e0 <- 0x150593 +mem-write: 0x800113e4 <- 0x14d86c63 +mem-write: 0x800113e8 <- 0x80015537 +mem-write: 0x800113ec <- 0x269693 +mem-write: 0x800113f0 <- 0x63c50513 +mem-write: 0x800113f4 <- 0xa686b3 +VXDRV: upload 1024 bytes to 0x800113f8 +mem-write: 0x800113f8 <- 0x6a683 +mem-write: 0x800113fc <- 0x68067 +mem-write: 0x80011400 <- 0xa4e933 +mem-write: 0x80011404 <- 0x6090c63 +mem-write: 0x80011408 <- 0x4048063 +mem-write: 0x8001140c <- 0x48513 +mem-write: 0x80011410 <- 0x4dc030ef +mem-write: 0x80011414 <- 0xff550713 +mem-write: 0x80011418 <- 0x1c00793 +mem-write: 0x8001141c <- 0x2e7cc63 +mem-write: 0x80011420 <- 0x1d00793 +mem-write: 0x80011424 <- 0xff850913 +mem-write: 0x80011428 <- 0x40e787b3 +mem-write: 0x8001142c <- 0x12494b3 +mem-write: 0x80011430 <- 0xf457b3 +mem-write: 0x80011434 <- 0x97e4b3 +mem-write: 0x80011438 <- 0x1241933 +mem-write: 0x8001143c <- 0xc0d00b13 +mem-write: 0x80011440 <- 0x40ab0b33 +mem-write: 0x80011444 <- 0xf3dff06f +mem-write: 0x80011448 <- 0x4a4030ef +mem-write: 0x8001144c <- 0x2050513 +mem-write: 0x80011450 <- 0xfc5ff06f +mem-write: 0x80011454 <- 0xfd850493 +mem-write: 0x80011458 <- 0x9414b3 +mem-write: 0x8001145c <- 0x913 +mem-write: 0x80011460 <- 0xfddff06f +mem-write: 0x80011464 <- 0xa4e933 +mem-write: 0x80011468 <- 0x2090263 +mem-write: 0x8001146c <- 0x50913 +mem-write: 0x80011470 <- 0x7ff00b13 +mem-write: 0x80011474 <- 0x300b93 +mem-write: 0x80011478 <- 0xf0dff06f +mem-write: 0x8001147c <- 0x493 +mem-write: 0x80011480 <- 0xb13 +mem-write: 0x80011484 <- 0x100b93 +mem-write: 0x80011488 <- 0xefdff06f +mem-write: 0x8001148c <- 0x493 +mem-write: 0x80011490 <- 0x7ff00b13 +mem-write: 0x80011494 <- 0x200b93 +mem-write: 0x80011498 <- 0xeedff06f +mem-write: 0x8001149c <- 0x13467b3 +mem-write: 0x800114a0 <- 0x6078e63 +mem-write: 0x800114a4 <- 0x4040063 +mem-write: 0x800114a8 <- 0x40513 +mem-write: 0x800114ac <- 0x440030ef +mem-write: 0x800114b0 <- 0xff550693 +mem-write: 0x800114b4 <- 0x1c00793 +mem-write: 0x800114b8 <- 0x2d7ce63 +mem-write: 0x800114bc <- 0x1d00713 +mem-write: 0x800114c0 <- 0xff850793 +mem-write: 0x800114c4 <- 0x40d70733 +mem-write: 0x800114c8 <- 0xf41433 +mem-write: 0x800114cc <- 0xe9d733 +mem-write: 0x800114d0 <- 0x876433 +mem-write: 0x800114d4 <- 0xf997b3 +mem-write: 0x800114d8 <- 0xc0d00713 +mem-write: 0x800114dc <- 0x40a70533 +mem-write: 0x800114e0 <- 0xee5ff06f +mem-write: 0x800114e4 <- 0x98513 +mem-write: 0x800114e8 <- 0x404030ef +mem-write: 0x800114ec <- 0x2050513 +mem-write: 0x800114f0 <- 0xfc1ff06f +mem-write: 0x800114f4 <- 0xfd850413 +mem-write: 0x800114f8 <- 0x899433 +mem-write: 0x800114fc <- 0x793 +mem-write: 0x80011500 <- 0xfd9ff06f +mem-write: 0x80011504 <- 0x13467b3 +mem-write: 0x80011508 <- 0x2078263 +mem-write: 0x8001150c <- 0x98793 +mem-write: 0x80011510 <- 0x7ff00513 +mem-write: 0x80011514 <- 0x300713 +mem-write: 0x80011518 <- 0xeb1ff06f +mem-write: 0x8001151c <- 0x413 +mem-write: 0x80011520 <- 0x513 +mem-write: 0x80011524 <- 0x100713 +mem-write: 0x80011528 <- 0xea1ff06f +mem-write: 0x8001152c <- 0x413 +mem-write: 0x80011530 <- 0x7ff00513 +mem-write: 0x80011534 <- 0x200713 +mem-write: 0x80011538 <- 0xe91ff06f +mem-write: 0x8001153c <- 0x10f37 +mem-write: 0x80011540 <- 0xffff0713 +mem-write: 0x80011544 <- 0x1095693 +mem-write: 0x80011548 <- 0x107d313 +mem-write: 0x8001154c <- 0xe97933 +mem-write: 0x80011550 <- 0xe7f7b3 +mem-write: 0x80011554 <- 0x32308b3 +mem-write: 0x80011558 <- 0x2f90833 +mem-write: 0x8001155c <- 0x2f68fb3 +mem-write: 0x80011560 <- 0x1f88eb3 +mem-write: 0x80011564 <- 0x1085893 +mem-write: 0x80011568 <- 0x1d888b3 +mem-write: 0x8001156c <- 0x2668e33 +mem-write: 0x80011570 <- 0x1f8f463 +mem-write: 0x80011574 <- 0x1ee0e33 +mem-write: 0x80011578 <- 0x108d293 +mem-write: 0x8001157c <- 0xe8f8b3 +mem-write: 0x80011580 <- 0xe87833 +mem-write: 0x80011584 <- 0x1045f13 +mem-write: 0x80011588 <- 0x1089893 +mem-write: 0x8001158c <- 0xe47433 +mem-write: 0x80011590 <- 0x10888b3 +mem-write: 0x80011594 <- 0x2868733 +mem-write: 0x80011598 <- 0x2890833 +mem-write: 0x8001159c <- 0x32f0933 +mem-write: 0x800115a0 <- 0xe90eb3 +mem-write: 0x800115a4 <- 0x1085913 +mem-write: 0x800115a8 <- 0x1d90933 +mem-write: 0x800115ac <- 0x3e686b3 +mem-write: 0x800115b0 <- 0xe97663 +mem-write: 0x800115b4 <- 0x10737 +mem-write: 0x800115b8 <- 0xe686b3 +mem-write: 0x800115bc <- 0x1095e93 +mem-write: 0x800115c0 <- 0xde8eb3 +mem-write: 0x800115c4 <- 0x106b7 +mem-write: 0x800115c8 <- 0xfff68f93 +mem-write: 0x800115cc <- 0x1f97933 +mem-write: 0x800115d0 <- 0x1f87833 +mem-write: 0x800115d4 <- 0x104d713 +mem-write: 0x800115d8 <- 0x1091913 +mem-write: 0x800115dc <- 0x1f4f4b3 +mem-write: 0x800115e0 <- 0x29783b3 +mem-write: 0x800115e4 <- 0x1090933 +mem-write: 0x800115e8 <- 0x12282b3 +mem-write: 0x800115ec <- 0x2930833 +mem-write: 0x800115f0 <- 0x2f707b3 +mem-write: 0x800115f4 <- 0x2e30fb3 +mem-write: 0x800115f8 <- 0xf80333 +mem-write: 0x800115fc <- 0x103d813 +mem-write: 0x80011600 <- 0x680833 +mem-write: 0x80011604 <- 0xf87463 +mem-write: 0x80011608 <- 0xdf8fb3 +mem-write: 0x8001160c <- 0x1085793 +mem-write: 0x80011610 <- 0x106b7 +mem-write: 0x80011614 <- 0x1f78fb3 +mem-write: 0x80011618 <- 0xfff68793 +mem-write: 0x8001161c <- 0xf87833 +mem-write: 0x80011620 <- 0xf3f7b3 +mem-write: 0x80011624 <- 0x29403b3 +mem-write: 0x80011628 <- 0x1081813 +mem-write: 0x8001162c <- 0xf80833 +mem-write: 0x80011630 <- 0x2870433 +mem-write: 0x80011634 <- 0x29f04b3 +mem-write: 0x80011638 <- 0x2ef0333 +mem-write: 0x8001163c <- 0x8484b3 +mem-write: 0x80011640 <- 0x103d713 +mem-write: 0x80011644 <- 0x9704b3 +mem-write: 0x80011648 <- 0x84f463 +mem-write: 0x8001164c <- 0xd30333 +mem-write: 0x80011650 <- 0x107b7 +mem-write: 0x80011654 <- 0xfff78793 +mem-write: 0x80011658 <- 0xf4f6b3 +mem-write: 0x8001165c <- 0x1069693 +mem-write: 0x80011660 <- 0xf3f7b3 +mem-write: 0x80011664 <- 0x5e0e33 +mem-write: 0x80011668 <- 0xf686b3 +mem-write: 0x8001166c <- 0x12e3933 +mem-write: 0x80011670 <- 0x1d686b3 +mem-write: 0x80011674 <- 0x1268733 +mem-write: 0x80011678 <- 0x10e0e33 +mem-write: 0x8001167c <- 0x10e3833 +mem-write: 0x80011680 <- 0x1f70f33 +mem-write: 0x80011684 <- 0x10f02b3 +mem-write: 0x80011688 <- 0x1d6b6b3 +mem-write: 0x8001168c <- 0x1273733 +mem-write: 0x80011690 <- 0xe6e733 +mem-write: 0x80011694 <- 0x102b833 +mem-write: 0x80011698 <- 0x104d493 +mem-write: 0x8001169c <- 0x1ff3fb3 +mem-write: 0x800116a0 <- 0x970733 +mem-write: 0x800116a4 <- 0x10fe833 +mem-write: 0x800116a8 <- 0x9e1793 +mem-write: 0x800116ac <- 0x1070733 +mem-write: 0x800116b0 <- 0x670733 +mem-write: 0x800116b4 <- 0x117e7b3 +mem-write: 0x800116b8 <- 0x971713 +mem-write: 0x800116bc <- 0xf037b3 +mem-write: 0x800116c0 <- 0x17e5e13 +mem-write: 0x800116c4 <- 0x172d413 +mem-write: 0x800116c8 <- 0x1c7e7b3 +mem-write: 0x800116cc <- 0x929293 +mem-write: 0x800116d0 <- 0x771693 +mem-write: 0x800116d4 <- 0x876433 +mem-write: 0x800116d8 <- 0x57e7b3 +mem-write: 0x800116dc <- 0x1006d463 +mem-write: 0x800116e0 <- 0x17d713 +mem-write: 0x800116e4 <- 0x17f793 +mem-write: 0x800116e8 <- 0xf767b3 +mem-write: 0x800116ec <- 0x1f41713 +mem-write: 0x800116f0 <- 0xe7e7b3 +mem-write: 0x800116f4 <- 0x145413 +mem-write: 0x800116f8 <- 0x3ff58693 +mem-write: 0x800116fc <- 0xed05863 +mem-write: 0x80011700 <- 0x77f713 +mem-write: 0x80011704 <- 0x2070063 +mem-write: 0x80011708 <- 0xf7f713 +mem-write: 0x8001170c <- 0x400513 +mem-write: 0x80011710 <- 0xa70a63 +mem-write: 0x80011714 <- 0x478713 +mem-write: 0x80011718 <- 0xf737b3 +mem-write: 0x8001171c <- 0xf40433 +mem-write: 0x80011720 <- 0x70793 +mem-write: 0x80011724 <- 0x741713 +mem-write: 0x80011728 <- 0x75a63 +mem-write: 0x8001172c <- 0xff000737 +mem-write: 0x80011730 <- 0xfff70713 +mem-write: 0x80011734 <- 0xe47433 +mem-write: 0x80011738 <- 0x40058693 +mem-write: 0x8001173c <- 0x7fe00713 +mem-write: 0x80011740 <- 0x16d74863 +mem-write: 0x80011744 <- 0x37d713 +mem-write: 0x80011748 <- 0x1d41793 +mem-write: 0x8001174c <- 0xe7e7b3 +mem-write: 0x80011750 <- 0x345413 +mem-write: 0x80011754 <- 0x1469713 +mem-write: 0x80011758 <- 0xc41413 +mem-write: 0x8001175c <- 0x7ff006b7 +mem-write: 0x80011760 <- 0xd77733 +mem-write: 0x80011764 <- 0xc45413 +mem-write: 0x80011768 <- 0x876433 +mem-write: 0x8001176c <- 0x1f61613 +mem-write: 0x80011770 <- 0x2c12083 +mem-write: 0x80011774 <- 0xc46733 +mem-write: 0x80011778 <- 0x2812403 +mem-write: 0x8001177c <- 0x2412483 +mem-write: 0x80011780 <- 0x2012903 +mem-write: 0x80011784 <- 0x1c12983 +mem-write: 0x80011788 <- 0x1812a03 +mem-write: 0x8001178c <- 0x1412a83 +mem-write: 0x80011790 <- 0x1012b03 +mem-write: 0x80011794 <- 0xc12b83 +mem-write: 0x80011798 <- 0x78513 +mem-write: 0x8001179c <- 0x70593 +mem-write: 0x800117a0 <- 0x3010113 +mem-write: 0x800117a4 <- 0x8067 +mem-write: 0x800117a8 <- 0xa0613 +mem-write: 0x800117ac <- 0x48413 +mem-write: 0x800117b0 <- 0x90793 +mem-write: 0x800117b4 <- 0xb8713 +mem-write: 0x800117b8 <- 0x200693 +mem-write: 0x800117bc <- 0xed70a63 +mem-write: 0x800117c0 <- 0x300693 +mem-write: 0x800117c4 <- 0xcd70c63 +mem-write: 0x800117c8 <- 0x100693 +mem-write: 0x800117cc <- 0xf2d716e3 +mem-write: 0x800117d0 <- 0x413 +mem-write: 0x800117d4 <- 0x793 +mem-write: 0x800117d8 <- 0x880006f +mem-write: 0x800117dc <- 0xa8613 +mem-write: 0x800117e0 <- 0xfd9ff06f +mem-write: 0x800117e4 <- 0x50593 +mem-write: 0x800117e8 <- 0xf11ff06f +mem-write: 0x800117ec <- 0x100513 +mem-write: 0x800117f0 <- 0x40d50533 +mem-write: 0x800117f4 <- 0x3800713 +VXDRV: upload 1024 bytes to 0x800117f8 +mem-write: 0x800117f8 <- 0xfca74ce3 +mem-write: 0x800117fc <- 0x1f00713 +mem-write: 0x80011800 <- 0x6a74463 +mem-write: 0x80011804 <- 0x41e58593 +mem-write: 0x80011808 <- 0xb41733 +mem-write: 0x8001180c <- 0xa7d6b3 +mem-write: 0x80011810 <- 0xb797b3 +mem-write: 0x80011814 <- 0xd76733 +mem-write: 0x80011818 <- 0xf037b3 +mem-write: 0x8001181c <- 0xf767b3 +mem-write: 0x80011820 <- 0xa45433 +mem-write: 0x80011824 <- 0x77f713 +mem-write: 0x80011828 <- 0x2070063 +mem-write: 0x8001182c <- 0xf7f713 +mem-write: 0x80011830 <- 0x400693 +mem-write: 0x80011834 <- 0xd70a63 +mem-write: 0x80011838 <- 0x478713 +mem-write: 0x8001183c <- 0xf737b3 +mem-write: 0x80011840 <- 0xf40433 +mem-write: 0x80011844 <- 0x70793 +mem-write: 0x80011848 <- 0x841713 +mem-write: 0x8001184c <- 0x6074a63 +mem-write: 0x80011850 <- 0x1d41713 +mem-write: 0x80011854 <- 0x37d793 +mem-write: 0x80011858 <- 0xf767b3 +mem-write: 0x8001185c <- 0x345413 +mem-write: 0x80011860 <- 0x693 +mem-write: 0x80011864 <- 0xef1ff06f +mem-write: 0x80011868 <- 0xfe100713 +mem-write: 0x8001186c <- 0x40d70733 +mem-write: 0x80011870 <- 0x2000813 +mem-write: 0x80011874 <- 0xe45733 +mem-write: 0x80011878 <- 0x693 +mem-write: 0x8001187c <- 0x1050663 +mem-write: 0x80011880 <- 0x43e58593 +mem-write: 0x80011884 <- 0xb416b3 +mem-write: 0x80011888 <- 0xf6e7b3 +mem-write: 0x8001188c <- 0xf037b3 +mem-write: 0x80011890 <- 0xf767b3 +mem-write: 0x80011894 <- 0x413 +mem-write: 0x80011898 <- 0xf8dff06f +mem-write: 0x8001189c <- 0x80437 +mem-write: 0x800118a0 <- 0x793 +mem-write: 0x800118a4 <- 0x7ff00693 +mem-write: 0x800118a8 <- 0x613 +mem-write: 0x800118ac <- 0xea9ff06f +mem-write: 0x800118b0 <- 0x413 +mem-write: 0x800118b4 <- 0x793 +mem-write: 0x800118b8 <- 0x7ff00693 +mem-write: 0x800118bc <- 0xe99ff06f +mem-write: 0x800118c0 <- 0x413 +mem-write: 0x800118c4 <- 0x793 +mem-write: 0x800118c8 <- 0x100693 +mem-write: 0x800118cc <- 0xe89ff06f +mem-write: 0x800118d0 <- 0xc52783 +mem-write: 0x800118d4 <- 0x5af03 +mem-write: 0x800118d8 <- 0x45af83 +mem-write: 0x800118dc <- 0x85a283 +mem-write: 0x800118e0 <- 0xc5a583 +mem-write: 0x800118e4 <- 0x8737 +mem-write: 0x800118e8 <- 0x107d693 +mem-write: 0x800118ec <- 0xfff70713 +mem-write: 0x800118f0 <- 0x1079813 +mem-write: 0x800118f4 <- 0x1059e93 +mem-write: 0x800118f8 <- 0x1f7d613 +mem-write: 0x800118fc <- 0xe6f6b3 +mem-write: 0x80011900 <- 0x105d793 +mem-write: 0x80011904 <- 0x52883 +mem-write: 0x80011908 <- 0x452303 +mem-write: 0x8001190c <- 0x852e03 +mem-write: 0x80011910 <- 0xff010113 +mem-write: 0x80011914 <- 0x1085813 +mem-write: 0x80011918 <- 0x10ede93 +mem-write: 0x8001191c <- 0xe7f7b3 +mem-write: 0x80011920 <- 0x1f5d593 +mem-write: 0x80011924 <- 0x2e69063 +mem-write: 0x80011928 <- 0x68e733 +mem-write: 0x8001192c <- 0x1c76733 +mem-write: 0x80011930 <- 0x1076733 +mem-write: 0x80011934 <- 0x100513 +mem-write: 0x80011938 <- 0x4071a63 +mem-write: 0x8001193c <- 0x4d79863 +mem-write: 0x80011940 <- 0x80006f +mem-write: 0x80011944 <- 0xe79c63 +mem-write: 0x80011948 <- 0x1ff6733 +mem-write: 0x8001194c <- 0x576733 +mem-write: 0x80011950 <- 0x1d76733 +mem-write: 0x80011954 <- 0x100513 +mem-write: 0x80011958 <- 0x2071a63 +mem-write: 0x8001195c <- 0x100513 +mem-write: 0x80011960 <- 0x2d79663 +mem-write: 0x80011964 <- 0x3e89463 +mem-write: 0x80011968 <- 0x3f31263 +mem-write: 0x8001196c <- 0x25e1063 +mem-write: 0x80011970 <- 0x1d81e63 +mem-write: 0x80011974 <- 0x2b60063 +mem-write: 0x80011978 <- 0x79a63 +mem-write: 0x8001197c <- 0x68e533 +mem-write: 0x80011980 <- 0x1c56533 +mem-write: 0x80011984 <- 0x1056533 +mem-write: 0x80011988 <- 0xa03533 +mem-write: 0x8001198c <- 0x1010113 +mem-write: 0x80011990 <- 0x8067 +mem-write: 0x80011994 <- 0x513 +mem-write: 0x80011998 <- 0xff5ff06f +mem-write: 0x8001199c <- 0x52f83 +mem-write: 0x800119a0 <- 0x452803 +mem-write: 0x800119a4 <- 0x852e03 +mem-write: 0x800119a8 <- 0xc52503 +mem-write: 0x800119ac <- 0xc5a683 +mem-write: 0x800119b0 <- 0x87b7 +mem-write: 0x800119b4 <- 0x1055613 +mem-write: 0x800119b8 <- 0xfff78793 +mem-write: 0x800119bc <- 0x1069313 +mem-write: 0x800119c0 <- 0x106d713 +mem-write: 0x800119c4 <- 0x5a283 +mem-write: 0x800119c8 <- 0x45a883 +mem-write: 0x800119cc <- 0x85ae83 +mem-write: 0x800119d0 <- 0xf67633 +mem-write: 0x800119d4 <- 0x1051593 +mem-write: 0x800119d8 <- 0xff010113 +mem-write: 0x800119dc <- 0x105d593 +mem-write: 0x800119e0 <- 0x1f55513 +mem-write: 0x800119e4 <- 0x1035313 +mem-write: 0x800119e8 <- 0xf77733 +mem-write: 0x800119ec <- 0x1f6d693 +mem-write: 0x800119f0 <- 0xf61e63 +mem-write: 0x800119f4 <- 0x10fe7b3 +mem-write: 0x800119f8 <- 0x1c7e7b3 +mem-write: 0x800119fc <- 0xb7e7b3 +mem-write: 0x80011a00 <- 0xc078863 +mem-write: 0x80011a04 <- 0xffe00513 +mem-write: 0x80011a08 <- 0x640006f +mem-write: 0x80011a0c <- 0xf71a63 +mem-write: 0x80011a10 <- 0x112e7b3 +mem-write: 0x80011a14 <- 0x1d7e7b3 +mem-write: 0x80011a18 <- 0x67e7b3 +mem-write: 0x80011a1c <- 0xfe0794e3 +mem-write: 0x80011a20 <- 0xa061a63 +mem-write: 0x80011a24 <- 0x10fe7b3 +mem-write: 0x80011a28 <- 0x1c7e7b3 +mem-write: 0x80011a2c <- 0xb7e7b3 +mem-write: 0x80011a30 <- 0x17b793 +mem-write: 0x80011a34 <- 0x71a63 +mem-write: 0x80011a38 <- 0x112ef33 +mem-write: 0x80011a3c <- 0x1df6f33 +mem-write: 0x80011a40 <- 0x6f6f33 +mem-write: 0x80011a44 <- 0x60f0a63 +mem-write: 0x80011a48 <- 0x79c63 +mem-write: 0x80011a4c <- 0xa69463 +mem-write: 0x80011a50 <- 0x2c75263 +mem-write: 0x80011a54 <- 0x4050e63 +mem-write: 0x80011a58 <- 0xfff00513 +mem-write: 0x80011a5c <- 0x100006f +mem-write: 0x80011a60 <- 0xfff00513 +mem-write: 0x80011a64 <- 0x68463 +mem-write: 0x80011a68 <- 0x68513 +mem-write: 0x80011a6c <- 0x1010113 +mem-write: 0x80011a70 <- 0x8067 +mem-write: 0x80011a74 <- 0xe65663 +mem-write: 0x80011a78 <- 0xfe051ae3 +mem-write: 0x80011a7c <- 0xfddff06f +mem-write: 0x80011a80 <- 0xfcb36ae3 +mem-write: 0x80011a84 <- 0x2659e63 +mem-write: 0x80011a88 <- 0xfdcee6e3 +mem-write: 0x80011a8c <- 0x3de1e63 +mem-write: 0x80011a90 <- 0xfd08e2e3 +mem-write: 0x80011a94 <- 0x1181463 +mem-write: 0x80011a98 <- 0xfbf2eee3 +mem-write: 0x80011a9c <- 0xfd186ee3 +mem-write: 0x80011aa0 <- 0x1181463 +mem-write: 0x80011aa4 <- 0xfc5feae3 +mem-write: 0x80011aa8 <- 0x513 +mem-write: 0x80011aac <- 0xfc1ff06f +mem-write: 0x80011ab0 <- 0x100513 +mem-write: 0x80011ab4 <- 0xfb9ff06f +mem-write: 0x80011ab8 <- 0xfe0798e3 +mem-write: 0x80011abc <- 0xf99ff06f +mem-write: 0x80011ac0 <- 0xfa65ece3 +mem-write: 0x80011ac4 <- 0xfe5ff06f +mem-write: 0x80011ac8 <- 0xfbde68e3 +mem-write: 0x80011acc <- 0xfddff06f +mem-write: 0x80011ad0 <- 0xf4c700e3 +mem-write: 0x80011ad4 <- 0xf6071ce3 +mem-write: 0x80011ad8 <- 0x793 +mem-write: 0x80011adc <- 0xf5dff06f +mem-write: 0x80011ae0 <- 0x52f83 +mem-write: 0x80011ae4 <- 0x452803 +mem-write: 0x80011ae8 <- 0x852e03 +mem-write: 0x80011aec <- 0xc52503 +mem-write: 0x80011af0 <- 0xc5a683 +mem-write: 0x80011af4 <- 0x87b7 +mem-write: 0x80011af8 <- 0x1055613 +mem-write: 0x80011afc <- 0xfff78793 +mem-write: 0x80011b00 <- 0x1069313 +mem-write: 0x80011b04 <- 0x106d713 +mem-write: 0x80011b08 <- 0x5a283 +mem-write: 0x80011b0c <- 0x45a883 +mem-write: 0x80011b10 <- 0x85ae83 +mem-write: 0x80011b14 <- 0xf67633 +mem-write: 0x80011b18 <- 0x1051593 +mem-write: 0x80011b1c <- 0xff010113 +mem-write: 0x80011b20 <- 0x105d593 +mem-write: 0x80011b24 <- 0x1f55513 +mem-write: 0x80011b28 <- 0x1035313 +mem-write: 0x80011b2c <- 0xf77733 +mem-write: 0x80011b30 <- 0x1f6d693 +mem-write: 0x80011b34 <- 0xf61e63 +mem-write: 0x80011b38 <- 0x10fe7b3 +mem-write: 0x80011b3c <- 0x1c7e7b3 +mem-write: 0x80011b40 <- 0xb7e7b3 +mem-write: 0x80011b44 <- 0xc078863 +mem-write: 0x80011b48 <- 0x200513 +mem-write: 0x80011b4c <- 0x640006f +mem-write: 0x80011b50 <- 0xf71a63 +mem-write: 0x80011b54 <- 0x112e7b3 +mem-write: 0x80011b58 <- 0x1d7e7b3 +mem-write: 0x80011b5c <- 0x67e7b3 +mem-write: 0x80011b60 <- 0xfe0794e3 +mem-write: 0x80011b64 <- 0xa061a63 +mem-write: 0x80011b68 <- 0x10fe7b3 +mem-write: 0x80011b6c <- 0x1c7e7b3 +mem-write: 0x80011b70 <- 0xb7e7b3 +mem-write: 0x80011b74 <- 0x17b793 +mem-write: 0x80011b78 <- 0x71a63 +mem-write: 0x80011b7c <- 0x112ef33 +mem-write: 0x80011b80 <- 0x1df6f33 +mem-write: 0x80011b84 <- 0x6f6f33 +mem-write: 0x80011b88 <- 0x60f0a63 +mem-write: 0x80011b8c <- 0x79c63 +mem-write: 0x80011b90 <- 0xa69463 +mem-write: 0x80011b94 <- 0x2c75263 +mem-write: 0x80011b98 <- 0x4050e63 +mem-write: 0x80011b9c <- 0xfff00513 +mem-write: 0x80011ba0 <- 0x100006f +mem-write: 0x80011ba4 <- 0xfff00513 +mem-write: 0x80011ba8 <- 0x68463 +mem-write: 0x80011bac <- 0x68513 +mem-write: 0x80011bb0 <- 0x1010113 +mem-write: 0x80011bb4 <- 0x8067 +mem-write: 0x80011bb8 <- 0xe65663 +mem-write: 0x80011bbc <- 0xfe051ae3 +mem-write: 0x80011bc0 <- 0xfddff06f +mem-write: 0x80011bc4 <- 0xfcb36ae3 +mem-write: 0x80011bc8 <- 0x2659e63 +mem-write: 0x80011bcc <- 0xfdcee6e3 +mem-write: 0x80011bd0 <- 0x3de1e63 +mem-write: 0x80011bd4 <- 0xfd08e2e3 +mem-write: 0x80011bd8 <- 0x1181463 +mem-write: 0x80011bdc <- 0xfbf2eee3 +mem-write: 0x80011be0 <- 0xfd186ee3 +mem-write: 0x80011be4 <- 0x1181463 +mem-write: 0x80011be8 <- 0xfc5feae3 +mem-write: 0x80011bec <- 0x513 +mem-write: 0x80011bf0 <- 0xfc1ff06f +mem-write: 0x80011bf4 <- 0x100513 +VXDRV: upload 1023 bytes to 0x80011bf8 +mem-write: 0x80011bf8 <- 0xfb9ff06f +mem-write: 0x80011bfc <- 0xfe0798e3 +mem-write: 0x80011c00 <- 0xf99ff06f +mem-write: 0x80011c04 <- 0xfa65ece3 +mem-write: 0x80011c08 <- 0xfe5ff06f +mem-write: 0x80011c0c <- 0xfbde68e3 +mem-write: 0x80011c10 <- 0xfddff06f +mem-write: 0x80011c14 <- 0xf4c700e3 +mem-write: 0x80011c18 <- 0xf6071ce3 +mem-write: 0x80011c1c <- 0x793 +mem-write: 0x80011c20 <- 0xf5dff06f +mem-write: 0x80011c24 <- 0xf4010113 +mem-write: 0x80011c28 <- 0xa912a23 +mem-write: 0x80011c2c <- 0xc5a483 +mem-write: 0x80011c30 <- 0x5a683 +mem-write: 0x80011c34 <- 0x45a783 +mem-write: 0x80011c38 <- 0xa12423 +mem-write: 0x80011c3c <- 0x85a503 +mem-write: 0x80011c40 <- 0x1049713 +mem-write: 0x80011c44 <- 0xb212823 +mem-write: 0x80011c48 <- 0xb312623 +mem-write: 0x80011c4c <- 0xc62903 +mem-write: 0x80011c50 <- 0x62983 +mem-write: 0x80011c54 <- 0xb412423 +mem-write: 0x80011c58 <- 0xb512223 +mem-write: 0x80011c5c <- 0x862a03 +mem-write: 0x80011c60 <- 0x462a83 +mem-write: 0x80011c64 <- 0x8637 +mem-write: 0x80011c68 <- 0xa812c23 +mem-write: 0x80011c6c <- 0x1075713 +mem-write: 0x80011c70 <- 0x104d413 +mem-write: 0x80011c74 <- 0xfff60613 +mem-write: 0x80011c78 <- 0x6912623 +mem-write: 0x80011c7c <- 0xa112e23 +mem-write: 0x80011c80 <- 0xb612023 +mem-write: 0x80011c84 <- 0x9712e23 +mem-write: 0x80011c88 <- 0x9812c23 +mem-write: 0x80011c8c <- 0x9912a23 +mem-write: 0x80011c90 <- 0x9a12823 +mem-write: 0x80011c94 <- 0x9b12623 +mem-write: 0x80011c98 <- 0x6d12023 +mem-write: 0x80011c9c <- 0x6f12223 +mem-write: 0x80011ca0 <- 0x6a12423 +mem-write: 0x80011ca4 <- 0x2d12823 +mem-write: 0x80011ca8 <- 0x2f12a23 +mem-write: 0x80011cac <- 0x2a12c23 +mem-write: 0x80011cb0 <- 0x2e12e23 +mem-write: 0x80011cb4 <- 0xc47433 +mem-write: 0x80011cb8 <- 0x1f4d493 +mem-write: 0x80011cbc <- 0x12040863 +mem-write: 0x80011cc0 <- 0x24c40663 +mem-write: 0x80011cc4 <- 0x107b7 +mem-write: 0x80011cc8 <- 0xf767b3 +mem-write: 0x80011ccc <- 0x2f12e23 +mem-write: 0x80011cd0 <- 0x3010613 +mem-write: 0x80011cd4 <- 0x3c10793 +mem-write: 0x80011cd8 <- 0x7a703 +mem-write: 0x80011cdc <- 0xffc7a683 +mem-write: 0x80011ce0 <- 0xffc78793 +mem-write: 0x80011ce4 <- 0x371713 +mem-write: 0x80011ce8 <- 0x1d6d693 +mem-write: 0x80011cec <- 0xd76733 +mem-write: 0x80011cf0 <- 0xe7a223 +mem-write: 0x80011cf4 <- 0xfef612e3 +mem-write: 0x80011cf8 <- 0x3012783 +mem-write: 0x80011cfc <- 0xffffc537 +mem-write: 0x80011d00 <- 0x150513 +mem-write: 0x80011d04 <- 0x379793 +mem-write: 0x80011d08 <- 0x2f12823 +mem-write: 0x80011d0c <- 0xa40433 +mem-write: 0x80011d10 <- 0xb13 +mem-write: 0x80011d14 <- 0x1091513 +mem-write: 0x80011d18 <- 0x8737 +mem-write: 0x80011d1c <- 0x1095793 +mem-write: 0x80011d20 <- 0x1055513 +mem-write: 0x80011d24 <- 0xfff70713 +mem-write: 0x80011d28 <- 0x7212623 +mem-write: 0x80011d2c <- 0x7312023 +mem-write: 0x80011d30 <- 0x7512223 +mem-write: 0x80011d34 <- 0x7412423 +mem-write: 0x80011d38 <- 0x5312023 +mem-write: 0x80011d3c <- 0x5512223 +mem-write: 0x80011d40 <- 0x5412423 +mem-write: 0x80011d44 <- 0x4a12623 +mem-write: 0x80011d48 <- 0xe7f7b3 +mem-write: 0x80011d4c <- 0x1f95913 +mem-write: 0x80011d50 <- 0x1e078263 +mem-write: 0x80011d54 <- 0x30e78063 +mem-write: 0x80011d58 <- 0x10a37 +mem-write: 0x80011d5c <- 0x1456a33 +mem-write: 0x80011d60 <- 0x5412623 +mem-write: 0x80011d64 <- 0x4010593 +mem-write: 0x80011d68 <- 0x4c10713 +mem-write: 0x80011d6c <- 0x72683 +mem-write: 0x80011d70 <- 0xffc72603 +mem-write: 0x80011d74 <- 0xffc70713 +mem-write: 0x80011d78 <- 0x369693 +mem-write: 0x80011d7c <- 0x1d65613 +mem-write: 0x80011d80 <- 0xc6e6b3 +mem-write: 0x80011d84 <- 0xd72223 +mem-write: 0x80011d88 <- 0xfee592e3 +mem-write: 0x80011d8c <- 0x4012703 +mem-write: 0x80011d90 <- 0xffffc537 +mem-write: 0x80011d94 <- 0x150513 +mem-write: 0x80011d98 <- 0x371713 +mem-write: 0x80011d9c <- 0x4e12023 +mem-write: 0x80011da0 <- 0xa787b3 +mem-write: 0x80011da4 <- 0x713 +mem-write: 0x80011da8 <- 0x8787b3 +mem-write: 0x80011dac <- 0xf12e23 +mem-write: 0x80011db0 <- 0x178793 +mem-write: 0x80011db4 <- 0xf12c23 +mem-write: 0x80011db8 <- 0x2b1793 +mem-write: 0x80011dbc <- 0x124c6b3 +mem-write: 0x80011dc0 <- 0xe7e7b3 +mem-write: 0x80011dc4 <- 0xd12623 +mem-write: 0x80011dc8 <- 0xfff78793 +mem-write: 0x80011dcc <- 0xe00693 +mem-write: 0x80011dd0 <- 0x2af6e663 +mem-write: 0x80011dd4 <- 0x800156b7 +mem-write: 0x80011dd8 <- 0x279793 +mem-write: 0x80011ddc <- 0x67868693 +mem-write: 0x80011de0 <- 0xd787b3 +mem-write: 0x80011de4 <- 0x7a783 +mem-write: 0x80011de8 <- 0x78067 +mem-write: 0x80011dec <- 0xd7e633 +mem-write: 0x80011df0 <- 0xa66633 +mem-write: 0x80011df4 <- 0xe66633 +mem-write: 0x80011df8 <- 0x12060863 +mem-write: 0x80011dfc <- 0x6070063 +mem-write: 0x80011e00 <- 0x70513 +mem-write: 0x80011e04 <- 0x2e9020ef +mem-write: 0x80011e08 <- 0xff450693 +mem-write: 0x80011e0c <- 0x4056d793 +mem-write: 0x80011e10 <- 0x1f6f693 +mem-write: 0x80011e14 <- 0x6068e63 +mem-write: 0x80011e18 <- 0xffc00713 +mem-write: 0x80011e1c <- 0x2e78733 +mem-write: 0x80011e20 <- 0x3010313 +mem-write: 0x80011e24 <- 0x2000813 +mem-write: 0x80011e28 <- 0x279593 +mem-write: 0x80011e2c <- 0x40d80833 +mem-write: 0x80011e30 <- 0xc70713 +mem-write: 0x80011e34 <- 0xe30733 +mem-write: 0x80011e38 <- 0x8e31463 +mem-write: 0x80011e3c <- 0x8010713 +mem-write: 0x80011e40 <- 0xb705b3 +mem-write: 0x80011e44 <- 0x3012703 +mem-write: 0x80011e48 <- 0xfff78793 +mem-write: 0x80011e4c <- 0xd716b3 +mem-write: 0x80011e50 <- 0xfad5a823 +mem-write: 0x80011e54 <- 0xfff00693 +mem-write: 0x80011e58 <- 0xa00006f +mem-write: 0x80011e5c <- 0x50863 +mem-write: 0x80011e60 <- 0x28d020ef +mem-write: 0x80011e64 <- 0x2050513 +mem-write: 0x80011e68 <- 0xfa1ff06f +mem-write: 0x80011e6c <- 0x78a63 +mem-write: 0x80011e70 <- 0x78513 +mem-write: 0x80011e74 <- 0x279020ef +mem-write: 0x80011e78 <- 0x4050513 +mem-write: 0x80011e7c <- 0xf8dff06f +mem-write: 0x80011e80 <- 0x68513 +mem-write: 0x80011e84 <- 0x269020ef +mem-write: 0x80011e88 <- 0x6050513 +mem-write: 0x80011e8c <- 0xf7dff06f +mem-write: 0x80011e90 <- 0xffc00613 +mem-write: 0x80011e94 <- 0x2c78633 +mem-write: 0x80011e98 <- 0x3c10713 +mem-write: 0x80011e9c <- 0x300693 +mem-write: 0x80011ea0 <- 0xc705b3 +mem-write: 0x80011ea4 <- 0x5a583 +mem-write: 0x80011ea8 <- 0xfff68693 +mem-write: 0x80011eac <- 0xffc70713 +mem-write: 0x80011eb0 <- 0xb72223 +mem-write: 0x80011eb4 <- 0xfef6d6e3 +mem-write: 0x80011eb8 <- 0xfff78793 +mem-write: 0x80011ebc <- 0xf99ff06f +mem-write: 0x80011ec0 <- 0xffc72603 +mem-write: 0x80011ec4 <- 0x72883 +mem-write: 0x80011ec8 <- 0xb70e33 +mem-write: 0x80011ecc <- 0x1065633 +mem-write: 0x80011ed0 <- 0xd898b3 +mem-write: 0x80011ed4 <- 0x1166633 +mem-write: 0x80011ed8 <- 0xce2023 +mem-write: 0x80011edc <- 0xffc70713 +mem-write: 0x80011ee0 <- 0xf59ff06f +mem-write: 0x80011ee4 <- 0x279713 +mem-write: 0x80011ee8 <- 0x3010613 +mem-write: 0x80011eec <- 0xe60733 +mem-write: 0x80011ef0 <- 0x72023 +mem-write: 0x80011ef4 <- 0xfff78793 +mem-write: 0x80011ef8 <- 0xfed796e3 +mem-write: 0x80011efc <- 0xffffc437 +mem-write: 0x80011f00 <- 0x1140413 +mem-write: 0x80011f04 <- 0x40a40433 +mem-write: 0x80011f08 <- 0xe09ff06f +mem-write: 0x80011f0c <- 0xd7e7b3 +mem-write: 0x80011f10 <- 0xa7e7b3 +mem-write: 0x80011f14 <- 0xe7e7b3 +mem-write: 0x80011f18 <- 0x300b13 +mem-write: 0x80011f1c <- 0xde079ce3 +mem-write: 0x80011f20 <- 0x200b13 +mem-write: 0x80011f24 <- 0xdf1ff06f +mem-write: 0x80011f28 <- 0x413 +mem-write: 0x80011f2c <- 0x100b13 +mem-write: 0x80011f30 <- 0xde5ff06f +mem-write: 0x80011f34 <- 0x159e7b3 +mem-write: 0x80011f38 <- 0x147e7b3 +mem-write: 0x80011f3c <- 0xa7e7b3 +mem-write: 0x80011f40 <- 0x12078863 +mem-write: 0x80011f44 <- 0x4050e63 +mem-write: 0x80011f48 <- 0x1a5020ef +mem-write: 0x80011f4c <- 0xff450693 +mem-write: 0x80011f50 <- 0x4056d793 +mem-write: 0x80011f54 <- 0x1f6f693 +mem-write: 0x80011f58 <- 0x8068063 +mem-write: 0x80011f5c <- 0xffc00713 +mem-write: 0x80011f60 <- 0x2e78733 +mem-write: 0x80011f64 <- 0x4010313 +mem-write: 0x80011f68 <- 0x2000813 +mem-write: 0x80011f6c <- 0x279593 +mem-write: 0x80011f70 <- 0x40d80833 +mem-write: 0x80011f74 <- 0xc70713 +mem-write: 0x80011f78 <- 0xe30733 +mem-write: 0x80011f7c <- 0x8e31663 +mem-write: 0x80011f80 <- 0x8010713 +mem-write: 0x80011f84 <- 0xb705b3 +mem-write: 0x80011f88 <- 0x4012703 +mem-write: 0x80011f8c <- 0xfff78793 +mem-write: 0x80011f90 <- 0xd716b3 +mem-write: 0x80011f94 <- 0xfcd5a023 +mem-write: 0x80011f98 <- 0xfff00693 +mem-write: 0x80011f9c <- 0xa40006f +mem-write: 0x80011fa0 <- 0xa0a63 +mem-write: 0x80011fa4 <- 0xa0513 +mem-write: 0x80011fa8 <- 0x145020ef +mem-write: 0x80011fac <- 0x2050513 +mem-write: 0x80011fb0 <- 0xf9dff06f +mem-write: 0x80011fb4 <- 0xa8a63 +mem-write: 0x80011fb8 <- 0xa8513 +mem-write: 0x80011fbc <- 0x131020ef +mem-write: 0x80011fc0 <- 0x4050513 +mem-write: 0x80011fc4 <- 0xf89ff06f +mem-write: 0x80011fc8 <- 0x98513 +mem-write: 0x80011fcc <- 0x121020ef +mem-write: 0x80011fd0 <- 0x6050513 +mem-write: 0x80011fd4 <- 0xf79ff06f +mem-write: 0x80011fd8 <- 0xffc00613 +mem-write: 0x80011fdc <- 0x2c78633 +mem-write: 0x80011fe0 <- 0x4c10713 +mem-write: 0x80011fe4 <- 0x300693 +mem-write: 0x80011fe8 <- 0xc705b3 +mem-write: 0x80011fec <- 0x5a583 +mem-write: 0x80011ff0 <- 0xfff68693 +mem-write: 0x80011ff4 <- 0xc70713 +VXDRV: upload 1024 bytes to 0x80011ff7 +mem-write: 0x80011ff7 <- 0xb72223ff +mem-write: 0x80011ffb <- 0xf6d6e300 +mem-write: 0x80011fff <- 0xf78793fe +mem-write: 0x80012003 <- 0x5ff06fff +mem-write: 0x80012007 <- 0xc72603f9 +mem-write: 0x8001200b <- 0x72883ff +mem-write: 0x8001200f <- 0xb70e3300 +mem-write: 0x80012013 <- 0x6563300 +mem-write: 0x80012017 <- 0xd898b301 +mem-write: 0x8001201b <- 0x16663300 +mem-write: 0x8001201f <- 0xce202301 +mem-write: 0x80012023 <- 0xc7071300 +mem-write: 0x80012027 <- 0x5ff06fff +mem-write: 0x8001202b <- 0x279713f5 +mem-write: 0x8001202f <- 0x1061300 +mem-write: 0x80012033 <- 0xe6073304 +mem-write: 0x80012037 <- 0x7202300 +mem-write: 0x8001203b <- 0xf7879300 +mem-write: 0x8001203f <- 0xd796e3ff +mem-write: 0x80012043 <- 0xffc7b7fe +mem-write: 0x80012047 <- 0x178793ff +mem-write: 0x8001204b <- 0xa787b301 +mem-write: 0x8001204f <- 0x5ff06f40 +mem-write: 0x80012053 <- 0x59e9b3d5 +mem-write: 0x80012057 <- 0x49ea3301 +mem-write: 0x8001205b <- 0xaa6a3301 +mem-write: 0x8001205f <- 0x30071300 +mem-write: 0x80012063 <- 0xa12e300 +mem-write: 0x80012067 <- 0x200713d4 +mem-write: 0x8001206b <- 0xdff06f00 +mem-write: 0x8001206f <- 0x793d3 +mem-write: 0x80012073 <- 0x10071300 +mem-write: 0x80012077 <- 0x1ff06f00 +mem-write: 0x8001207b <- 0x12703d3 +mem-write: 0x8001207f <- 0x12e0303 +mem-write: 0x80012083 <- 0x1053704 +mem-write: 0x80012087 <- 0xf5069300 +mem-write: 0x8001208b <- 0x75f93ff +mem-write: 0x8001208f <- 0xe5a9301 +mem-write: 0x80012093 <- 0xd7773301 +mem-write: 0x80012097 <- 0xde7e3300 +mem-write: 0x8001209b <- 0xea87b300 +mem-write: 0x8001209f <- 0xee063302 +mem-write: 0x800120a3 <- 0xcf883302 +mem-write: 0x800120a7 <- 0x785b303 +mem-write: 0x800120ab <- 0x6579301 +mem-write: 0x800120af <- 0xb787b301 +mem-write: 0x800120b3 <- 0x5f8bb300 +mem-write: 0x800120b7 <- 0x7f46303 +mem-write: 0x800120bb <- 0xab8bb301 +mem-write: 0x800120bf <- 0x412f0300 +mem-write: 0x800120c3 <- 0x7d99304 +mem-write: 0x800120c7 <- 0xd7f7b301 +mem-write: 0x800120cb <- 0xd6763300 +mem-write: 0x800120cf <- 0x7979300 +mem-write: 0x800120d3 <- 0xc787b301 +mem-write: 0x800120d7 <- 0xf549300 +mem-write: 0x800120db <- 0xdf7f3301 +mem-write: 0x800120df <- 0xef06b300 +mem-write: 0x800120e3 <- 0xf1202302 +mem-write: 0x800120e7 <- 0xf1202302 +mem-write: 0x800120eb <- 0xef863306 +mem-write: 0x800120ef <- 0xe487b303 +mem-write: 0x800120f3 <- 0xc7853302 +mem-write: 0x800120f7 <- 0x6d79300 +mem-write: 0x800120fb <- 0xa787b301 +mem-write: 0x800120ff <- 0x9f8b3300 +mem-write: 0x80012103 <- 0xc7f66302 +mem-write: 0x80012107 <- 0x1063700 +mem-write: 0x8001210b <- 0xcb0b3300 +mem-write: 0x8001210f <- 0x1063700 +mem-write: 0x80012113 <- 0xf6059300 +mem-write: 0x80012117 <- 0xb7f533ff +mem-write: 0x8001211b <- 0x7d29300 +mem-write: 0x8001211f <- 0x41278301 +mem-write: 0x80012123 <- 0xb6f6b303 +mem-write: 0x80012127 <- 0x5151300 +mem-write: 0x8001212b <- 0x7d91301 +mem-write: 0x8001212f <- 0xb7f5b301 +mem-write: 0x80012133 <- 0xba87b300 +mem-write: 0x80012137 <- 0xd5053302 +mem-write: 0x8001213b <- 0xa989b300 +mem-write: 0x8001213f <- 0xc586b300 +mem-write: 0x80012143 <- 0xc9033303 +mem-write: 0x80012147 <- 0x67883303 +mem-write: 0x8001214b <- 0x6d79300 +mem-write: 0x8001214f <- 0x787b301 +mem-write: 0x80012153 <- 0x2a88b301 +mem-write: 0x80012157 <- 0x67f46303 +mem-write: 0x8001215b <- 0xc888b300 +mem-write: 0x8001215f <- 0x1033700 +mem-write: 0x80012163 <- 0xf3061300 +mem-write: 0x80012167 <- 0x7da13ff +mem-write: 0x8001216b <- 0xc7f83301 +mem-write: 0x8001216f <- 0xc6f6b300 +mem-write: 0x80012173 <- 0x1a0a3300 +mem-write: 0x80012177 <- 0x8181301 +mem-write: 0x8001217b <- 0xe588b301 +mem-write: 0x8001217f <- 0xd8083303 +mem-write: 0x80012183 <- 0xe9063300 +mem-write: 0x80012187 <- 0x8d69303 +mem-write: 0x8001218b <- 0xb487b301 +mem-write: 0x8001218f <- 0xc787b302 +mem-write: 0x80012193 <- 0xf687b300 +mem-write: 0x80012197 <- 0x248eb300 +mem-write: 0x8001219b <- 0xc7f46303 +mem-write: 0x8001219f <- 0x6e8eb300 +mem-write: 0x800121a3 <- 0x7d69300 +mem-write: 0x800121a7 <- 0xd686b301 +mem-write: 0x800121ab <- 0x1043701 +mem-write: 0x800121af <- 0x812e8300 +mem-write: 0x800121b3 <- 0xf4061304 +mem-write: 0x800121b7 <- 0xd12223ff +mem-write: 0x800121bb <- 0xc7f6b302 +mem-write: 0x800121bf <- 0xc8f8b300 +mem-write: 0x800121c3 <- 0xed39300 +mem-write: 0x800121c7 <- 0x6969301 +mem-write: 0x800121cb <- 0xcefeb301 +mem-write: 0x800121cf <- 0xee833300 +mem-write: 0x800121d3 <- 0x1686b302 +mem-write: 0x800121d7 <- 0xdf8c3301 +mem-write: 0x800121db <- 0xe388b303 +mem-write: 0x800121df <- 0x8887b302 +mem-write: 0x800121e3 <- 0x3589301 +mem-write: 0x800121e7 <- 0xf888b301 +mem-write: 0x800121eb <- 0x7f863300 +mem-write: 0x800121ef <- 0x88f46302 +mem-write: 0x800121f3 <- 0x86063301 +mem-write: 0x800121f7 <- 0x8d79300 +mem-write: 0x800121fb <- 0x10cb701 +mem-write: 0x800121ff <- 0xc787b300 +mem-write: 0x80012203 <- 0xfc861300 +mem-write: 0x80012207 <- 0xf12423ff +mem-write: 0x8001220b <- 0xc8f7b302 +mem-write: 0x8001220f <- 0x81288300 +mem-write: 0x80012213 <- 0xc3733303 +mem-write: 0x80012217 <- 0x7979300 +mem-write: 0x8001221b <- 0x8d41301 +mem-write: 0x8001221f <- 0xc8f63301 +mem-write: 0x80012223 <- 0xc608b300 +mem-write: 0x80012227 <- 0x6787b303 +mem-write: 0x8001222b <- 0xc40d3300 +mem-write: 0x8001222f <- 0x8dd9303 +mem-write: 0x80012233 <- 0xca833301 +mem-write: 0x80012237 <- 0xa3033302 +mem-write: 0x8001223b <- 0x6d833301 +mem-write: 0x8001223f <- 0x8a8c3300 +mem-write: 0x80012243 <- 0xa3746302 +mem-write: 0x80012247 <- 0x9c0c3301 +mem-write: 0x8001224b <- 0x35c9301 +mem-write: 0x8001224f <- 0x8c8c3301 +mem-write: 0x80012253 <- 0x10cb701 +mem-write: 0x80012257 <- 0x3b89b300 +mem-write: 0x8001225b <- 0xfc8d1301 +mem-write: 0x8001225f <- 0xa9b533ff +mem-write: 0x80012263 <- 0xa3733300 +mem-write: 0x80012267 <- 0xa282b301 +mem-write: 0x8001226b <- 0x3131300 +mem-write: 0x8001226f <- 0xa8f8b301 +mem-write: 0x80012273 <- 0x628b3301 +mem-write: 0x80012277 <- 0x1308b301 +mem-write: 0x8001227b <- 0x9833301 +mem-write: 0x8001227f <- 0x3383301 +mem-write: 0x80012283 <- 0x61282301 +mem-write: 0x80012287 <- 0x61222300 +mem-write: 0x8001228b <- 0x4b033306 +mem-write: 0x8001228f <- 0x302b301 +mem-write: 0x80012293 <- 0x433a3301 +mem-write: 0x80012297 <- 0x2b83301 +mem-write: 0x8001229b <- 0xd289b301 +mem-write: 0x8001229f <- 0xa683300 +mem-write: 0x800122a3 <- 0xab353301 +mem-write: 0x800122a7 <- 0xd9b6b300 +mem-write: 0x800122ab <- 0xa8053300 +mem-write: 0x800122af <- 0xf989b300 +mem-write: 0x800122b3 <- 0x41280300 +mem-write: 0x800122b7 <- 0x19833302 +mem-write: 0x800122bb <- 0x1338b301 +mem-write: 0x800122bf <- 0x612a2301 +mem-write: 0x800122c3 <- 0x61242300 +mem-write: 0x800122c7 <- 0x41230306 +mem-write: 0x800122cb <- 0x5053302 +mem-write: 0x800122cf <- 0x81280301 +mem-write: 0x800122d3 <- 0xd50db302 +mem-write: 0x800122d7 <- 0xddb6b300 +mem-write: 0x800122db <- 0x65353300 +mem-write: 0x800122df <- 0xf9b7b300 +mem-write: 0x800122e3 <- 0xd8bb300 +mem-write: 0x800122e7 <- 0xd566b301 +mem-write: 0x800122eb <- 0x81250300 +mem-write: 0x800122ef <- 0xfb883302 +mem-write: 0x800122f3 <- 0xc1230300 +mem-write: 0x800122f7 <- 0x8809b304 +mem-write: 0x800122fb <- 0x198b3301 +mem-write: 0x800122ff <- 0xabbbb301 +mem-write: 0x80012303 <- 0xf837b300 +mem-write: 0x80012307 <- 0xfbe7b300 +mem-write: 0x8001230b <- 0x1b38b300 +mem-write: 0x8001230f <- 0x89bc3301 +mem-write: 0x80012313 <- 0xf686b301 +mem-write: 0x80012317 <- 0x3529300 +mem-write: 0x8001231b <- 0x1c6a3301 +mem-write: 0x8001231f <- 0xa3733301 +mem-write: 0x80012323 <- 0xe307b301 +mem-write: 0x80012327 <- 0x468a3302 +mem-write: 0x8001232b <- 0xe2873301 +mem-write: 0x8001232f <- 0x7d89302 +mem-write: 0x80012333 <- 0x6f86b301 +mem-write: 0x80012337 <- 0xd7073302 +mem-write: 0x8001233b <- 0xe888b300 +mem-write: 0x8001233f <- 0x5f8fb300 +mem-write: 0x80012343 <- 0xd8f46302 +mem-write: 0x80012347 <- 0x9f8fb300 +mem-write: 0x8001234b <- 0xc1298301 +mem-write: 0x8001234f <- 0x106b703 +mem-write: 0x80012353 <- 0xf6851300 +mem-write: 0x80012357 <- 0x8dd13ff +mem-write: 0x8001235b <- 0xa8f8b301 +mem-write: 0x8001235f <- 0xa7f7b300 +mem-write: 0x80012363 <- 0xfd0d3300 +mem-write: 0x80012367 <- 0x8989301 +mem-write: 0x8001236b <- 0x9df9301 +mem-write: 0x8001236f <- 0xa9f9b301 +mem-write: 0x80012373 <- 0xfa8cb300 +mem-write: 0x80012377 <- 0xf888b303 +mem-write: 0x8001237b <- 0x3a8ab300 +mem-write: 0x8001237f <- 0xc987b303 +mem-write: 0x80012383 <- 0xcf8e3303 +mem-write: 0x80012387 <- 0x7d81303 +mem-write: 0x8001238b <- 0xca8ab301 +mem-write: 0x8001238f <- 0x580ab301 +mem-write: 0x80012393 <- 0xcaf46301 +mem-write: 0x80012397 <- 0xdc8cb301 +mem-write: 0x8001239b <- 0x10bb700 +mem-write: 0x8001239f <- 0xfb871300 +mem-write: 0x800123a3 <- 0xad813ff +mem-write: 0x800123a7 <- 0x980cb301 +mem-write: 0x800123ab <- 0xeaf83301 +mem-write: 0x800123af <- 0xe7f7b300 +mem-write: 0x800123b3 <- 0x8181300 +mem-write: 0x800123b7 <- 0xbe86b301 +mem-write: 0x800123bb <- 0xf8083302 +mem-write: 0x800123bf <- 0xd90e3300 +mem-write: 0x800123c3 <- 0x6d51303 +mem-write: 0x800123c7 <- 0xb387b301 +mem-write: 0x800123cb <- 0xc787b302 +mem-write: 0x800123cf <- 0xf507b301 +mem-write: 0x800123d3 <- 0x79073300 +mem-write: 0x800123d7 <- 0xc7f46302 +mem-write: 0x800123db <- 0x77073301 +mem-write: 0x800123df <- 0x7d51301 +mem-write: 0x800123e3 <- 0xe5073301 +mem-write: 0x800123e7 <- 0x10bb700 +mem-write: 0x800123eb <- 0xe1222300 +mem-write: 0x800123ef <- 0xfb871302 +mem-write: 0x800123f3 <- 0xe7f533ff +VXDRV: upload 1024 bytes to 0x800123f7 +mem-write: 0x800123f7 <- 0xe6f6b300 +mem-write: 0x800123fb <- 0xe40e3300 +mem-write: 0x800123ff <- 0x5151303 +mem-write: 0x80012403 <- 0xd5053301 +mem-write: 0x80012407 <- 0xe6073300 +mem-write: 0x8001240b <- 0xc487b303 +mem-write: 0x8001240f <- 0x7569302 +mem-write: 0x80012413 <- 0xc787b301 +mem-write: 0x80012417 <- 0xf687b301 +mem-write: 0x8001241b <- 0x848ab300 +mem-write: 0x8001241f <- 0xc7f46302 +mem-write: 0x80012423 <- 0x7a8ab301 +mem-write: 0x80012427 <- 0x10db701 +mem-write: 0x8001242b <- 0xfd8e1300 +mem-write: 0x8001242f <- 0xc7f6b3ff +mem-write: 0x80012433 <- 0x7db9301 +mem-write: 0x80012437 <- 0x1b07b301 +mem-write: 0x8001243b <- 0xc7773301 +mem-write: 0x8001243f <- 0x17b8b301 +mem-write: 0x80012443 <- 0xaa0a3301 +mem-write: 0x80012447 <- 0x6969301 +mem-write: 0x8001244b <- 0xe686b301 +mem-write: 0x8001244f <- 0x1a073300 +mem-write: 0x80012453 <- 0xe1242301 +mem-write: 0x80012457 <- 0x787b302 +mem-write: 0x8001245b <- 0xaa3a3301 +mem-write: 0x8001245f <- 0x812d0301 +mem-write: 0x80012463 <- 0x7b83302 +mem-write: 0x80012467 <- 0x970b3301 +mem-write: 0x8001246b <- 0xb073301 +mem-write: 0x8001246f <- 0xe1262301 +mem-write: 0x80012473 <- 0x1d38b302 +mem-write: 0x80012477 <- 0x1a6a3301 +mem-write: 0x8001247b <- 0xc1288301 +mem-write: 0x8001247f <- 0x412e0302 +mem-write: 0x80012483 <- 0xa787b302 +mem-write: 0x80012487 <- 0x8b83300 +mem-write: 0x8001248b <- 0x9b3b3301 +mem-write: 0x8001248f <- 0xa7b53301 +mem-write: 0x80012493 <- 0xc7073300 +mem-write: 0x80012497 <- 0xb6b3301 +mem-write: 0x8001249b <- 0x41280301 +mem-write: 0x8001249f <- 0xa70c3302 +mem-write: 0x800124a3 <- 0x5b8bb300 +mem-write: 0x800124a7 <- 0xd787b301 +mem-write: 0x800124ab <- 0xd7b6b300 +mem-write: 0x800124af <- 0x7c0ab300 +mem-write: 0x800124b3 <- 0xda8e3301 +mem-write: 0x800124b7 <- 0x7373300 +mem-write: 0x800124bb <- 0xac353301 +mem-write: 0x800124bf <- 0xa7673300 +mem-write: 0x800124c3 <- 0xde36b300 +mem-write: 0x800124c7 <- 0x6a0a3300 +mem-write: 0x800124cb <- 0x7abab301 +mem-write: 0x800124cf <- 0xea0a3301 +mem-write: 0x800124d3 <- 0xdaeab300 +mem-write: 0x800124d7 <- 0xce883300 +mem-write: 0x800124db <- 0x5a06b302 +mem-write: 0x800124df <- 0xf1262301 +mem-write: 0x800124e3 <- 0xd40a3306 +mem-write: 0x800124e7 <- 0x8551303 +mem-write: 0x800124eb <- 0xc3873301 +mem-write: 0x800124ef <- 0x47073302 +mem-write: 0x800124f3 <- 0xe5073301 +mem-write: 0x800124f7 <- 0x8388b300 +mem-write: 0x800124fb <- 0x47746302 +mem-write: 0x800124ff <- 0xb888b301 +mem-write: 0x80012503 <- 0x10a3701 +mem-write: 0x80012507 <- 0x7551300 +mem-write: 0x8001250b <- 0xfa0a9301 +mem-write: 0x8001250f <- 0x1508b3ff +mem-write: 0x80012513 <- 0x57753301 +mem-write: 0x80012517 <- 0x58783301 +mem-write: 0x8001251b <- 0x5151301 +mem-write: 0x8001251f <- 0x690ab301 +mem-write: 0x80012523 <- 0x5053302 +mem-write: 0x80012527 <- 0xb3083301 +mem-write: 0x8001252b <- 0xb285b302 +mem-write: 0x8001252f <- 0x8571302 +mem-write: 0x80012533 <- 0x5585b301 +mem-write: 0x80012537 <- 0xb7073301 +mem-write: 0x8001253b <- 0x59093300 +mem-write: 0x8001253f <- 0x57746302 +mem-write: 0x80012543 <- 0x49093301 +mem-write: 0x80012547 <- 0x10ab701 +mem-write: 0x8001254b <- 0x7559300 +mem-write: 0x8001254f <- 0xfa8a1301 +mem-write: 0x80012553 <- 0x487833ff +mem-write: 0x80012557 <- 0x25893301 +mem-write: 0x8001255b <- 0x4775b301 +mem-write: 0x8001255f <- 0x5959301 +mem-write: 0x80012563 <- 0xe9873301 +mem-write: 0x80012567 <- 0x585b303 +mem-write: 0x8001256b <- 0xef8f3301 +mem-write: 0x8001256f <- 0x7581303 +mem-write: 0x80012573 <- 0xf48a3301 +mem-write: 0x80012577 <- 0x3484b303 +mem-write: 0x8001257b <- 0xe484b303 +mem-write: 0x8001257f <- 0x9804b301 +mem-write: 0x80012583 <- 0xe4f46300 +mem-write: 0x80012587 <- 0x5a0a3301 +mem-write: 0x8001258b <- 0x4db1301 +mem-write: 0x8001258f <- 0x4b0b3301 +mem-write: 0x80012593 <- 0x10a3701 +mem-write: 0x80012597 <- 0xfa0f1300 +mem-write: 0x8001259b <- 0xe4f833ff +mem-write: 0x8001259f <- 0xe7773301 +mem-write: 0x800125a3 <- 0x6404b301 +mem-write: 0x800125a7 <- 0x8181302 +mem-write: 0x800125ab <- 0xe8083301 +mem-write: 0x800125af <- 0x660f3300 +mem-write: 0x800125b3 <- 0xc2863302 +mem-write: 0x800125b7 <- 0xf571302 +mem-write: 0x800125bb <- 0x96063301 +mem-write: 0x800125bf <- 0xc7063300 +mem-write: 0x800125c3 <- 0x54043300 +mem-write: 0x800125c7 <- 0x96746302 +mem-write: 0x800125cb <- 0x44043300 +mem-write: 0x800125cf <- 0x10a3701 +mem-write: 0x800125d3 <- 0x6571300 +mem-write: 0x800125d7 <- 0xfa049301 +mem-write: 0x800125db <- 0x870433ff +mem-write: 0x800125df <- 0x96773300 +mem-write: 0x800125e3 <- 0x9f7f3300 +mem-write: 0x800125e7 <- 0x7171300 +mem-write: 0x800125eb <- 0xf384b301 +mem-write: 0x800125ef <- 0xe7073303 +mem-write: 0x800125f3 <- 0x3383b301 +mem-write: 0x800125f7 <- 0xd98f3303 +mem-write: 0x800125fb <- 0xdf8eb303 +mem-write: 0x800125ff <- 0xf561303 +mem-write: 0x80012603 <- 0xd383b301 +mem-write: 0x80012607 <- 0x76063301 +mem-write: 0x8001260b <- 0xd6746300 +mem-write: 0x8001260f <- 0x4484b301 +mem-write: 0x80012613 <- 0x65c1301 +mem-write: 0x80012617 <- 0x10cb701 +mem-write: 0x8001261b <- 0x9c0c3300 +mem-write: 0x8001261f <- 0xae0e3300 +mem-write: 0x80012623 <- 0xfc849300 +mem-write: 0x80012627 <- 0xae3533ff +mem-write: 0x8001262b <- 0x1686b300 +mem-write: 0x8001262f <- 0x96763301 +mem-write: 0x80012633 <- 0xa68d3300 +mem-write: 0x80012637 <- 0x9f7f3300 +mem-write: 0x8001263b <- 0xbe0e3300 +mem-write: 0x8001263f <- 0x6161300 +mem-write: 0x80012643 <- 0xe6063301 +mem-write: 0x80012647 <- 0xbe35b301 +mem-write: 0x8001264b <- 0x2d0f3300 +mem-write: 0x8001264f <- 0xe0e3301 +mem-write: 0x80012653 <- 0xbf03b301 +mem-write: 0x80012657 <- 0x638eb300 +mem-write: 0x8001265b <- 0xc1282301 +mem-write: 0x8001265f <- 0xe3e3307 +mem-write: 0x80012663 <- 0xce8db301 +mem-write: 0x80012667 <- 0x16b6b301 +mem-write: 0x8001266b <- 0xb3b5b301 +mem-write: 0x8001266f <- 0xad353300 +mem-write: 0x80012673 <- 0x2f393300 +mem-write: 0x80012677 <- 0xa6e53301 +mem-write: 0x8001267b <- 0xb9693300 +mem-write: 0x8001267f <- 0x6ebeb300 +mem-write: 0x80012683 <- 0xcdbe3301 +mem-write: 0x80012687 <- 0x25053301 +mem-write: 0x8001268b <- 0xceeeb301 +mem-write: 0x8001268f <- 0xed883301 +mem-write: 0x80012693 <- 0xd5053300 +mem-write: 0x80012697 <- 0xe8373301 +mem-write: 0x8001269b <- 0x85053300 +mem-write: 0x8001269f <- 0xe506b300 +mem-write: 0x800126a3 <- 0x85343300 +mem-write: 0x800126a7 <- 0x69853300 +mem-write: 0x800126ab <- 0xc8083302 +mem-write: 0x800126af <- 0xe6b73300 +mem-write: 0x800126b3 <- 0xc8363300 +mem-write: 0x800126b7 <- 0x8686b300 +mem-write: 0x800126bb <- 0xc685b301 +mem-write: 0x800126bf <- 0x86bc3300 +mem-write: 0x800126c3 <- 0xc5b63301 +mem-write: 0x800126c7 <- 0x12a2300 +mem-write: 0x800126cb <- 0xe4673307 +mem-write: 0x800126cf <- 0x6f833300 +mem-write: 0x800126d3 <- 0x5569302 +mem-write: 0x800126d7 <- 0xcc663301 +mem-write: 0x800126db <- 0x3289b300 +mem-write: 0x800126df <- 0x6989b303 +mem-write: 0x800126e3 <- 0xf28fb300 +mem-write: 0x800126e7 <- 0x3682b303 +mem-write: 0x800126eb <- 0x62f46301 +mem-write: 0x800126ef <- 0x9f8fb300 +mem-write: 0x800126f3 <- 0x92f6b301 +mem-write: 0x800126f7 <- 0x6969300 +mem-write: 0x800126fb <- 0x9574b301 +mem-write: 0x800126ff <- 0x2d29300 +mem-write: 0x80012703 <- 0x9684b301 +mem-write: 0x80012707 <- 0xe282b300 +mem-write: 0x8001270b <- 0x1268300 +mem-write: 0x8001270f <- 0x1270301 +mem-write: 0x80012713 <- 0x9585b302 +mem-write: 0x80012717 <- 0x95b4b300 +mem-write: 0x8001271b <- 0xd769b300 +mem-write: 0x8001271f <- 0x41270300 +mem-write: 0x80012723 <- 0xc282b301 +mem-write: 0x80012727 <- 0x9282b300 +mem-write: 0x8001272b <- 0x3769b300 +mem-write: 0x8001272f <- 0xf28fb301 +mem-write: 0x80012733 <- 0xd7979301 +mem-write: 0x80012737 <- 0xb12c2300 +mem-write: 0x8001273b <- 0xf12e2306 +mem-write: 0x8001273f <- 0x37e7b307 +mem-write: 0x80012743 <- 0x1071301 +mem-write: 0x80012747 <- 0x1059306 +mem-write: 0x8001274b <- 0xc7268307 +mem-write: 0x8001274f <- 0x7260300 +mem-write: 0x80012753 <- 0x47071301 +mem-write: 0x80012757 <- 0x36d69300 +mem-write: 0x8001275b <- 0xd6161301 +mem-write: 0x8001275f <- 0xc6e6b300 +mem-write: 0x80012763 <- 0xd72e2300 +mem-write: 0x80012767 <- 0xe592e3fe +mem-write: 0x8001276b <- 0x12703fe +mem-write: 0x8001276f <- 0x81268306 +mem-write: 0x80012773 <- 0xf037b306 +mem-write: 0x80012777 <- 0xe7e7b300 +mem-write: 0x8001277b <- 0xd12c2300 +mem-write: 0x8001277f <- 0xc1270304 +mem-write: 0x80012783 <- 0x41268306 +mem-write: 0x80012787 <- 0xf1282306 +mem-write: 0x8001278b <- 0xe12e2304 +mem-write: 0x8001278f <- 0xd12a2304 +mem-write: 0x80012793 <- 0xb7169304 +mem-write: 0x80012797 <- 0x6dc6300 +mem-write: 0x8001279b <- 0xf7979320 +mem-write: 0x8001279f <- 0x1071301 +mem-write: 0x800127a3 <- 0xc1059305 +mem-write: 0x800127a7 <- 0x7268305 +mem-write: 0x800127ab <- 0x47260300 +mem-write: 0x800127af <- 0x47071300 +mem-write: 0x800127b3 <- 0x16d69300 +mem-write: 0x800127b7 <- 0xf6161300 +mem-write: 0x800127bb <- 0xc6e6b301 +mem-write: 0x800127bf <- 0xd72e2300 +mem-write: 0x800127c3 <- 0xe592e3fe +mem-write: 0x800127c7 <- 0xc12703fe +mem-write: 0x800127cb <- 0xf037b305 +mem-write: 0x800127cf <- 0x17571300 +mem-write: 0x800127d3 <- 0xe12e2300 +mem-write: 0x800127d7 <- 0x1270304 +mem-write: 0x800127db <- 0xf767b305 +mem-write: 0x800127df <- 0xf1282300 +mem-write: 0x800127e3 <- 0x81270304 +mem-write: 0x800127e7 <- 0x47b701 +mem-write: 0x800127eb <- 0xf7879300 +mem-write: 0x800127ef <- 0xf707b3ff +mem-write: 0x800127f3 <- 0xf0506300 +VXDRV: upload 1024 bytes to 0x800127f7 +mem-write: 0x800127f7 <- 0x127031e +mem-write: 0x800127fb <- 0x77769305 +mem-write: 0x800127ff <- 0x6846300 +mem-write: 0x80012803 <- 0xf7769304 +mem-write: 0x80012807 <- 0x40061300 +mem-write: 0x8001280b <- 0xc68e6300 +mem-write: 0x8001280f <- 0x41268302 +mem-write: 0x80012813 <- 0x47071305 +mem-write: 0x80012817 <- 0xe1282300 +mem-write: 0x8001281b <- 0x47371304 +mem-write: 0x8001281f <- 0xd706b300 +mem-write: 0x80012823 <- 0xe6b73300 +mem-write: 0x80012827 <- 0xd12a2300 +mem-write: 0x8001282b <- 0x81268304 +mem-write: 0x8001282f <- 0xd706b305 +mem-write: 0x80012833 <- 0xd12c2300 +mem-write: 0x80012837 <- 0xe6b6b304 +mem-write: 0x8001283b <- 0xc1270300 +mem-write: 0x8001283f <- 0xe686b305 +mem-write: 0x80012843 <- 0xd12e2300 +mem-write: 0x80012847 <- 0xc1270304 +mem-write: 0x8001284b <- 0xb7169305 +mem-write: 0x8001284f <- 0x6d06300 +mem-write: 0x80012853 <- 0xf007b702 +mem-write: 0x80012857 <- 0xf78793ff +mem-write: 0x8001285b <- 0xf77733ff +mem-write: 0x8001285f <- 0xe12e2300 +mem-write: 0x80012863 <- 0x81270304 +mem-write: 0x80012867 <- 0x47b701 +mem-write: 0x8001286b <- 0xf707b300 +mem-write: 0x8001286f <- 0x1071300 +mem-write: 0x80012873 <- 0xc1059305 +mem-write: 0x80012877 <- 0x7268305 +mem-write: 0x8001287b <- 0x47260300 +mem-write: 0x8001287f <- 0x47071300 +mem-write: 0x80012883 <- 0x36d69300 +mem-write: 0x80012887 <- 0xd6161300 +mem-write: 0x8001288b <- 0xc6e6b301 +mem-write: 0x8001288f <- 0xd72e2300 +mem-write: 0x80012893 <- 0xb712e3fe +mem-write: 0x80012897 <- 0x8737fe +mem-write: 0x8001289b <- 0xe7069300 +mem-write: 0x8001289f <- 0xf6ce63ff +mem-write: 0x800128a3 <- 0xc1270310 +mem-write: 0x800128a7 <- 0x37571305 +mem-write: 0x800128ab <- 0xe12e2300 +mem-write: 0x800128af <- 0xc1270304 +mem-write: 0x800128b3 <- 0x17979305 +mem-write: 0x800128b7 <- 0x17d79301 +mem-write: 0x800128bb <- 0xe1162301 +mem-write: 0x800128bf <- 0xc1270306 +mem-write: 0x800128c3 <- 0xc1208300 +mem-write: 0x800128c7 <- 0x8124030b +mem-write: 0x800128cb <- 0xf717130b +mem-write: 0x800128cf <- 0xf767b300 +mem-write: 0x800128d3 <- 0xf1172300 +mem-write: 0x800128d7 <- 0x81270306 +mem-write: 0x800128db <- 0x1278300 +mem-write: 0x800128df <- 0x41248305 +mem-write: 0x800128e3 <- 0x129030b +mem-write: 0x800128e7 <- 0xf720230b +mem-write: 0x800128eb <- 0x41278300 +mem-write: 0x800128ef <- 0xc1298305 +mem-write: 0x800128f3 <- 0x812a030a +mem-write: 0x800128f7 <- 0xf722230a +mem-write: 0x800128fb <- 0x81278300 +mem-write: 0x800128ff <- 0x412a8305 +mem-write: 0x80012903 <- 0x12b030a +mem-write: 0x80012907 <- 0xf724230a +mem-write: 0x8001290b <- 0xc1278300 +mem-write: 0x8001290f <- 0xc12b8306 +mem-write: 0x80012913 <- 0x812c0309 +mem-write: 0x80012917 <- 0xf7262309 +mem-write: 0x8001291b <- 0x412c8300 +mem-write: 0x8001291f <- 0x12d0309 +mem-write: 0x80012923 <- 0xc12d8309 +mem-write: 0x80012927 <- 0x7051308 +mem-write: 0x8001292b <- 0x1011300 +mem-write: 0x8001292f <- 0x80670c +mem-write: 0x80012933 <- 0x91262300 +mem-write: 0x80012937 <- 0x1278300 +mem-write: 0x8001293b <- 0xf1282303 +mem-write: 0x8001293f <- 0x41278304 +mem-write: 0x80012943 <- 0xf12a2303 +mem-write: 0x80012947 <- 0x81278304 +mem-write: 0x8001294b <- 0xf12c2303 +mem-write: 0x8001294f <- 0xc1278304 +mem-write: 0x80012953 <- 0xf12e2303 +mem-write: 0x80012957 <- 0x20079304 +mem-write: 0x8001295b <- 0xfb086300 +mem-write: 0x8001295f <- 0x30079328 +mem-write: 0x80012963 <- 0xfb026300 +mem-write: 0x80012967 <- 0x1007932a +mem-write: 0x8001296b <- 0xfb1ce300 +mem-write: 0x8001296f <- 0x12e23e6 +mem-write: 0x80012973 <- 0x12c2304 +mem-write: 0x80012977 <- 0x12a2304 +mem-write: 0x8001297b <- 0x1282304 +mem-write: 0x8001297f <- 0xc0006f04 +mem-write: 0x80012983 <- 0x21262322 +mem-write: 0x80012987 <- 0x1278301 +mem-write: 0x8001298b <- 0x70b1304 +mem-write: 0x8001298f <- 0xf1282300 +mem-write: 0x80012993 <- 0x41278304 +mem-write: 0x80012997 <- 0xf12a2304 +mem-write: 0x8001299b <- 0x81278304 +mem-write: 0x8001299f <- 0xf12c2304 +mem-write: 0x800129a3 <- 0xc1278304 +mem-write: 0x800129a7 <- 0xf12e2304 +mem-write: 0x800129ab <- 0xdff06f04 +mem-write: 0x800129af <- 0xc12783fa +mem-write: 0x800129b3 <- 0xf12c2301 +mem-write: 0x800129b7 <- 0xdff06f00 +mem-write: 0x800129bb <- 0x12e23e2 +mem-write: 0x800129bf <- 0x12c2304 +mem-write: 0x800129c3 <- 0x12a2304 +mem-write: 0x800129c7 <- 0x1282304 +mem-write: 0x800129cb <- 0xf7079304 +mem-write: 0x800129cf <- 0x1ff06fff +mem-write: 0x800129d3 <- 0x100693ee +mem-write: 0x800129d7 <- 0xf686b300 +mem-write: 0x800129db <- 0x40079340 +mem-write: 0x800129df <- 0xd7ca6307 +mem-write: 0x800129e3 <- 0x56d5131c +mem-write: 0x800129e7 <- 0x79340 +mem-write: 0x800129eb <- 0x71300 +mem-write: 0x800129ef <- 0xa7166300 +mem-write: 0x800129f3 <- 0xf6f69304 +mem-write: 0x800129f7 <- 0x25159301 +mem-write: 0x800129fb <- 0x69e6300 +mem-write: 0x800129ff <- 0x30061304 +mem-write: 0x80012a03 <- 0x1071300 +mem-write: 0x80012a07 <- 0xa6063305 +mem-write: 0x80012a0b <- 0xb7083340 +mem-write: 0x80012a0f <- 0x8280300 +mem-write: 0x80012a13 <- 0x16869300 +mem-write: 0x80012a17 <- 0x47071300 +mem-write: 0x80012a1b <- 0x72e2300 +mem-write: 0x80012a1f <- 0xd656e3ff +mem-write: 0x80012a23 <- 0x400713fe +mem-write: 0x80012a27 <- 0xa7053300 +mem-write: 0x80012a2b <- 0x10071340 +mem-write: 0x80012a2f <- 0xa0506300 +mem-write: 0x80012a33 <- 0x5071308 +mem-write: 0x80012a37 <- 0x80006f00 +mem-write: 0x80012a3b <- 0x27161307 +mem-write: 0x80012a3f <- 0x1059300 +mem-write: 0x80012a43 <- 0xc5863305 +mem-write: 0x80012a47 <- 0x6260300 +mem-write: 0x80012a4b <- 0x17071300 +mem-write: 0x80012a4f <- 0xc7e7b300 +mem-write: 0x80012a53 <- 0xdff06f00 +mem-write: 0x80012a57 <- 0x10713f9 +mem-write: 0x80012a5b <- 0xb7073308 +mem-write: 0x80012a5f <- 0x7270300 +mem-write: 0x80012a63 <- 0x893fd +mem-write: 0x80012a67 <- 0xd888b302 +mem-write: 0x80012a6b <- 0x17173340 +mem-write: 0x80012a6f <- 0xe7e7b301 +mem-write: 0x80012a73 <- 0x30061300 +mem-write: 0x80012a77 <- 0x1071300 +mem-write: 0x80012a7b <- 0xb705b305 +mem-write: 0x80012a7f <- 0x81300 +mem-write: 0x80012a83 <- 0xa6063300 +mem-write: 0x80012a87 <- 0x45859340 +mem-write: 0x80012a8b <- 0xc8466300 +mem-write: 0x80012a8f <- 0x1059302 +mem-write: 0x80012a93 <- 0x26161308 +mem-write: 0x80012a97 <- 0xc5863300 +mem-write: 0x80012a9b <- 0xc1258300 +mem-write: 0x80012a9f <- 0x40071305 +mem-write: 0x80012aa3 <- 0xa7073300 +mem-write: 0x80012aa7 <- 0xd5d6b340 +mem-write: 0x80012aab <- 0xd6282300 +mem-write: 0x80012aaf <- 0x400613fc +mem-write: 0x80012ab3 <- 0x40006f00 +mem-write: 0x80012ab7 <- 0x28131304 +mem-write: 0x80012abb <- 0x1071300 +mem-write: 0x80012abf <- 0x5ae0305 +mem-write: 0x80012ac3 <- 0x67033300 +mem-write: 0x80012ac7 <- 0xc5a70300 +mem-write: 0x80012acb <- 0x1e1e33ff +mem-write: 0x80012acf <- 0x18081301 +mem-write: 0x80012ad3 <- 0xd7573300 +mem-write: 0x80012ad7 <- 0xc7673300 +mem-write: 0x80012adb <- 0xe3202301 +mem-write: 0x80012adf <- 0x9ff06f00 +mem-write: 0x80012ae3 <- 0x271693fa +mem-write: 0x80012ae7 <- 0x1059300 +mem-write: 0x80012aeb <- 0xd586b305 +mem-write: 0x80012aef <- 0x6a02300 +mem-write: 0x80012af3 <- 0x17071300 +mem-write: 0x80012af7 <- 0xc716e300 +mem-write: 0x80012afb <- 0x12683fe +mem-write: 0x80012aff <- 0xf037b305 +mem-write: 0x80012b03 <- 0xd7e7b300 +mem-write: 0x80012b07 <- 0xf1282300 +mem-write: 0x80012b0b <- 0x77f69304 +mem-write: 0x80012b0f <- 0x6826300 +mem-write: 0x80012b13 <- 0xf7f69304 +mem-write: 0x80012b17 <- 0xe68e6300 +mem-write: 0x80012b1b <- 0x41270302 +mem-write: 0x80012b1f <- 0x47879305 +mem-write: 0x80012b23 <- 0xf1282300 +mem-write: 0x80012b27 <- 0x47b79304 +mem-write: 0x80012b2b <- 0xe7873300 +mem-write: 0x80012b2f <- 0xf737b300 +mem-write: 0x80012b33 <- 0xe12a2300 +mem-write: 0x80012b37 <- 0x81270304 +mem-write: 0x80012b3b <- 0xe7873305 +mem-write: 0x80012b3f <- 0xe12c2300 +mem-write: 0x80012b43 <- 0xf7373304 +mem-write: 0x80012b47 <- 0xc1278300 +mem-write: 0x80012b4b <- 0xf7073305 +mem-write: 0x80012b4f <- 0xe12e2300 +mem-write: 0x80012b53 <- 0xc1278304 +mem-write: 0x80012b57 <- 0xc7971305 +mem-write: 0x80012b5b <- 0x75e6300 +mem-write: 0x80012b5f <- 0x12e2300 +mem-write: 0x80012b63 <- 0x12c2304 +mem-write: 0x80012b67 <- 0x12a2304 +mem-write: 0x80012b6b <- 0x1282304 +mem-write: 0x80012b6f <- 0x10079304 +mem-write: 0x80012b73 <- 0xdff06f00 +mem-write: 0x80012b77 <- 0x10793d3 +mem-write: 0x80012b7b <- 0xc1061305 +mem-write: 0x80012b7f <- 0x7a70305 +mem-write: 0x80012b83 <- 0x47a68300 +mem-write: 0x80012b87 <- 0x47879300 +mem-write: 0x80012b8b <- 0x37571300 +mem-write: 0x80012b8f <- 0xd6969300 +mem-write: 0x80012b93 <- 0xd7673301 +mem-write: 0x80012b97 <- 0xe7ae2300 +mem-write: 0x80012b9b <- 0xf612e3fe +mem-write: 0x80012b9f <- 0xc12783fe +mem-write: 0x80012ba3 <- 0x37d79305 +mem-write: 0x80012ba7 <- 0xf12e2300 +mem-write: 0x80012bab <- 0x79304 +mem-write: 0x80012baf <- 0x1ff06f00 +mem-write: 0x80012bb3 <- 0x412783d0 +mem-write: 0x80012bb7 <- 0x1270305 +mem-write: 0x80012bbb <- 0xf7673305 +mem-write: 0x80012bbf <- 0x81278300 +mem-write: 0x80012bc3 <- 0xf7673305 +mem-write: 0x80012bc7 <- 0xc1278300 +mem-write: 0x80012bcb <- 0xf7673305 +mem-write: 0x80012bcf <- 0x79300 +mem-write: 0x80012bd3 <- 0x70ee300 +mem-write: 0x80012bd7 <- 0x12e23cc +mem-write: 0x80012bdb <- 0x12c2304 +mem-write: 0x80012bdf <- 0x12a2304 +mem-write: 0x80012be3 <- 0x1282304 +mem-write: 0x80012be7 <- 0x9ff06f04 +mem-write: 0x80012beb <- 0x87b7cc +mem-write: 0x80012bef <- 0x12e2300 +mem-write: 0x80012bf3 <- 0x12c2304 +VXDRV: upload 1024 bytes to 0x80012bf7 +mem-write: 0x80012bf7 <- 0x12a2304 +mem-write: 0x80012bfb <- 0x1282304 +mem-write: 0x80012bff <- 0xf7879304 +mem-write: 0x80012c03 <- 0xdff06fff +mem-write: 0x80012c07 <- 0x87b7ca +mem-write: 0x80012c0b <- 0xf12e2300 +mem-write: 0x80012c0f <- 0x12c2304 +mem-write: 0x80012c13 <- 0x12a2304 +mem-write: 0x80012c17 <- 0x1282304 +mem-write: 0x80012c1b <- 0xf7879304 +mem-write: 0x80012c1f <- 0x12623ff +mem-write: 0x80012c23 <- 0xdff06f00 +mem-write: 0x80012c27 <- 0x10113c8 +mem-write: 0x80012c2b <- 0x85a783fa +mem-write: 0x80012c2f <- 0x21282300 +mem-write: 0x80012c33 <- 0xc5a90305 +mem-write: 0x80012c37 <- 0x5a88300 +mem-write: 0x80012c3b <- 0x45a70300 +mem-write: 0x80012c3f <- 0x912a2300 +mem-write: 0x80012c43 <- 0xf12c2304 +mem-write: 0x80012c47 <- 0x5049302 +mem-write: 0x80012c4b <- 0xf12c2300 +mem-write: 0x80012c4f <- 0x6280300 +mem-write: 0x80012c53 <- 0x9179300 +mem-write: 0x80012c57 <- 0x46250301 +mem-write: 0x80012c5b <- 0x86268300 +mem-write: 0x80012c5f <- 0xc62e0300 +mem-write: 0x80012c63 <- 0x812c2300 +mem-write: 0x80012c67 <- 0x7d79304 +mem-write: 0x80012c6b <- 0x19141301 +mem-write: 0x80012c6f <- 0x212e2300 +mem-write: 0x80012c73 <- 0x112e2303 +mem-write: 0x80012c77 <- 0x31262304 +mem-write: 0x80012c7b <- 0x41242305 +mem-write: 0x80012c7f <- 0x51222305 +mem-write: 0x80012c83 <- 0x61202305 +mem-write: 0x80012c87 <- 0x11282305 +mem-write: 0x80012c8b <- 0xe12a2303 +mem-write: 0x80012c8f <- 0x11282302 +mem-write: 0x80012c93 <- 0xe12a2301 +mem-write: 0x80012c97 <- 0xf12e2300 +mem-write: 0x80012c9b <- 0x14541300 +mem-write: 0x80012c9f <- 0xf9591301 +mem-write: 0x80012ca3 <- 0x10f1301 +mem-write: 0x80012ca7 <- 0xc1059301 +mem-write: 0x80012cab <- 0x5a78301 +mem-write: 0x80012caf <- 0xc5a70300 +mem-write: 0x80012cb3 <- 0xc58593ff +mem-write: 0x80012cb7 <- 0x379793ff +mem-write: 0x80012cbb <- 0xd7571300 +mem-write: 0x80012cbf <- 0xe7e7b301 +mem-write: 0x80012cc3 <- 0xf5a22300 +mem-write: 0x80012cc7 <- 0xbf12e300 +mem-write: 0x80012ccb <- 0x12703fe +mem-write: 0x80012ccf <- 0xe179301 +mem-write: 0x80012cd3 <- 0x1e1e9301 +mem-write: 0x80012cd7 <- 0x37171300 +mem-write: 0x80012cdb <- 0x7d79300 +mem-write: 0x80012cdf <- 0x1282301 +mem-write: 0x80012ce3 <- 0xd12c2303 +mem-write: 0x80012ce7 <- 0xc12e2302 +mem-write: 0x80012ceb <- 0x1202303 +mem-write: 0x80012cef <- 0xd1242303 +mem-write: 0x80012cf3 <- 0xe1282302 +mem-write: 0x80012cf7 <- 0xa12a2300 +mem-write: 0x80012cfb <- 0xa1222302 +mem-write: 0x80012cff <- 0xf1262302 +mem-write: 0x80012d03 <- 0x1ede9302 +mem-write: 0x80012d07 <- 0xfe5e1301 +mem-write: 0x80012d0b <- 0x1081301 +mem-write: 0x80012d0f <- 0xc1069302 +mem-write: 0x80012d13 <- 0x6a78302 +mem-write: 0x80012d17 <- 0xc6a60300 +mem-write: 0x80012d1b <- 0xc68693ff +mem-write: 0x80012d1f <- 0x379793ff +mem-write: 0x80012d23 <- 0xd6561300 +mem-write: 0x80012d27 <- 0xc7e7b301 +mem-write: 0x80012d2b <- 0xf6a22300 +mem-write: 0x80012d2f <- 0xd812e300 +mem-write: 0x80012d33 <- 0x12783fe +mem-write: 0x80012d37 <- 0x863702 +mem-write: 0x80012d3b <- 0xf6061300 +mem-write: 0x80012d3f <- 0x379793ff +mem-write: 0x80012d43 <- 0xf1202300 +mem-write: 0x80012d47 <- 0xce906302 +mem-write: 0x80012d4b <- 0x81250302 +mem-write: 0x80012d4f <- 0x41260302 +mem-write: 0x80012d53 <- 0xa6663302 +mem-write: 0x80012d57 <- 0xc1250300 +mem-write: 0x80012d5b <- 0xa6663302 +mem-write: 0x80012d5f <- 0xf6663300 +mem-write: 0x80012d63 <- 0x6146300 +mem-write: 0x80012d67 <- 0x1e4e1300 +mem-write: 0x80012d6b <- 0xd4033300 +mem-write: 0x80012d6f <- 0x2e1ee341 +mem-write: 0x80012d73 <- 0x605a630f +mem-write: 0x80012d77 <- 0x412f0344 +mem-write: 0x80012d7b <- 0x812e0301 +mem-write: 0x80012d7f <- 0xc1288301 +mem-write: 0x80012d83 <- 0xe9c6301 +mem-write: 0x80012d87 <- 0x4125030a +mem-write: 0x80012d8b <- 0x81260302 +mem-write: 0x80012d8f <- 0xc12e8302 +mem-write: 0x80012d93 <- 0xc565b302 +mem-write: 0x80012d97 <- 0xd5e5b300 +mem-write: 0x80012d9b <- 0xf5e5b301 +mem-write: 0x80012d9f <- 0x59e6300 +mem-write: 0x80012da3 <- 0xe1282300 +mem-write: 0x80012da7 <- 0xe12a2302 +mem-write: 0x80012dab <- 0xc12c2303 +mem-write: 0x80012daf <- 0x112e2303 +mem-write: 0x80012db3 <- 0x3041303 +mem-write: 0x80012db7 <- 0x6f00 +mem-write: 0x80012dbb <- 0xf305930a +mem-write: 0x80012dbf <- 0x59a63ff +mem-write: 0x80012dc3 <- 0xf707b304 +mem-write: 0x80012dc7 <- 0xe7b73300 +mem-write: 0x80012dcb <- 0xe505b300 +mem-write: 0x80012dcf <- 0xf1282301 +mem-write: 0x80012dd3 <- 0xe587b302 +mem-write: 0x80012dd7 <- 0xe7b73300 +mem-write: 0x80012ddb <- 0xe5b5b300 +mem-write: 0x80012ddf <- 0xe5e73301 +mem-write: 0x80012de3 <- 0xf12a2300 +mem-write: 0x80012de7 <- 0xc607b302 +mem-write: 0x80012deb <- 0xe786b301 +mem-write: 0x80012def <- 0xe6b73300 +mem-write: 0x80012df3 <- 0xc7b7b300 +mem-write: 0x80012df7 <- 0xe7e7b301 +mem-write: 0x80012dfb <- 0x1e88b300 +mem-write: 0x80012dff <- 0x1787b301 +mem-write: 0x80012e03 <- 0xd12c2301 +mem-write: 0x80012e07 <- 0xf12e2302 +mem-write: 0x80012e0b <- 0x10041302 +mem-write: 0x80012e0f <- 0xc0006f00 +mem-write: 0x80012e13 <- 0x87b732 +mem-write: 0x80012e17 <- 0xf7879300 +mem-write: 0x80012e1b <- 0xf304e3ff +mem-write: 0x80012e1f <- 0x400793f8 +mem-write: 0x80012e23 <- 0xb7d46307 +mem-write: 0x80012e27 <- 0x126231a +mem-write: 0x80012e2b <- 0x1242302 +mem-write: 0x80012e2f <- 0x1222302 +mem-write: 0x80012e33 <- 0x10079302 +mem-write: 0x80012e37 <- 0x80006f00 +mem-write: 0x80012e3b <- 0x87b72a +mem-write: 0x80012e3f <- 0xf7879300 +mem-write: 0x80012e43 <- 0xf41663ff +mem-write: 0x80012e47 <- 0xe1282316 +mem-write: 0x80012e4b <- 0xe12a2302 +mem-write: 0x80012e4f <- 0xc12c2303 +mem-write: 0x80012e53 <- 0x112e2303 +mem-write: 0x80012e57 <- 0x1278303 +mem-write: 0x80012e5b <- 0x77f71303 +mem-write: 0x80012e5f <- 0x7046300 +mem-write: 0x80012e63 <- 0xf7f71304 +mem-write: 0x80012e67 <- 0x40069300 +mem-write: 0x80012e6b <- 0xd70e6300 +mem-write: 0x80012e6f <- 0x41270302 +mem-write: 0x80012e73 <- 0x47879303 +mem-write: 0x80012e77 <- 0xf1282300 +mem-write: 0x80012e7b <- 0x47b79302 +mem-write: 0x80012e7f <- 0xe7873300 +mem-write: 0x80012e83 <- 0xf737b300 +mem-write: 0x80012e87 <- 0xe12a2300 +mem-write: 0x80012e8b <- 0x81270302 +mem-write: 0x80012e8f <- 0xe7873303 +mem-write: 0x80012e93 <- 0xe12c2300 +mem-write: 0x80012e97 <- 0xf7373302 +mem-write: 0x80012e9b <- 0xc1278300 +mem-write: 0x80012e9f <- 0xf7073303 +mem-write: 0x80012ea3 <- 0xe12e2300 +mem-write: 0x80012ea7 <- 0xc1278302 +mem-write: 0x80012eab <- 0xc7971303 +mem-write: 0x80012eaf <- 0x7546300 +mem-write: 0x80012eb3 <- 0x873702 +mem-write: 0x80012eb7 <- 0x14041300 +mem-write: 0x80012ebb <- 0xf7071300 +mem-write: 0x80012ebf <- 0xe41463ff +mem-write: 0x80012ec3 <- 0x106f00 +mem-write: 0x80012ec7 <- 0xf8073727 +mem-write: 0x80012ecb <- 0xf70713ff +mem-write: 0x80012ecf <- 0xe7f7b3ff +mem-write: 0x80012ed3 <- 0xf12e2300 +mem-write: 0x80012ed7 <- 0x1079302 +mem-write: 0x80012edb <- 0xc1061303 +mem-write: 0x80012edf <- 0x7a70303 +mem-write: 0x80012ee3 <- 0x47a68300 +mem-write: 0x80012ee7 <- 0x47879300 +mem-write: 0x80012eeb <- 0x37571300 +mem-write: 0x80012eef <- 0xd6969300 +mem-write: 0x80012ef3 <- 0xd7673301 +mem-write: 0x80012ef7 <- 0xe7ae2300 +mem-write: 0x80012efb <- 0xf612e3fe +mem-write: 0x80012eff <- 0xc12783fe +mem-write: 0x80012f03 <- 0x86b703 +mem-write: 0x80012f07 <- 0x37d71300 +mem-write: 0x80012f0b <- 0xe12e2300 +mem-write: 0x80012f0f <- 0xf6879302 +mem-write: 0x80012f13 <- 0xf41a63ff +mem-write: 0x80012f17 <- 0x41260302 +mem-write: 0x80012f1b <- 0x1278303 +mem-write: 0x80012f1f <- 0xc7e7b303 +mem-write: 0x80012f23 <- 0x81260300 +mem-write: 0x80012f27 <- 0xc7e7b303 +mem-write: 0x80012f2b <- 0xe7e7b300 +mem-write: 0x80012f2f <- 0x78c6300 +mem-write: 0x80012f33 <- 0xd12e2300 +mem-write: 0x80012f37 <- 0x12c2302 +mem-write: 0x80012f3b <- 0x12a2302 +mem-write: 0x80012f3f <- 0x1282302 +mem-write: 0x80012f43 <- 0x91302 +mem-write: 0x80012f47 <- 0xc1278300 +mem-write: 0x80012f4b <- 0x14141303 +mem-write: 0x80012f4f <- 0x14541301 +mem-write: 0x80012f53 <- 0xf1162301 +mem-write: 0x80012f57 <- 0x1278300 +mem-write: 0x80012f5b <- 0xf9191303 +mem-write: 0x80012f5f <- 0x89693300 +mem-write: 0x80012f63 <- 0xf4a02300 +mem-write: 0x80012f67 <- 0x41278300 +mem-write: 0x80012f6b <- 0x21172303 +mem-write: 0x80012f6f <- 0xc1208301 +mem-write: 0x80012f73 <- 0xf4a22305 +mem-write: 0x80012f77 <- 0x81278300 +mem-write: 0x80012f7b <- 0x81240303 +mem-write: 0x80012f7f <- 0x1290305 +mem-write: 0x80012f83 <- 0xf4a42305 +mem-write: 0x80012f87 <- 0xc1278300 +mem-write: 0x80012f8b <- 0xc1298300 +mem-write: 0x80012f8f <- 0x812a0304 +mem-write: 0x80012f93 <- 0xf4a62304 +mem-write: 0x80012f97 <- 0x412a8300 +mem-write: 0x80012f9b <- 0x12b0304 +mem-write: 0x80012f9f <- 0x4851304 +mem-write: 0x80012fa3 <- 0x41248300 +mem-write: 0x80012fa7 <- 0x1011305 +mem-write: 0x80012fab <- 0x806706 +mem-write: 0x80012faf <- 0xc1278300 +mem-write: 0x80012fb3 <- 0x8063702 +mem-write: 0x80012fb7 <- 0xc7e7b300 +mem-write: 0x80012fbb <- 0xf1262300 +mem-write: 0x80012fbf <- 0x40079302 +mem-write: 0x80012fc3 <- 0x67c2e307 +mem-write: 0x80012fc7 <- 0x30593e6 +mem-write: 0x80012fcb <- 0x55de9300 +mem-write: 0x80012fcf <- 0x79340 +mem-write: 0x80012fd3 <- 0x61300 +mem-write: 0x80012fd7 <- 0xd6166300 +mem-write: 0x80012fdb <- 0xf5f59305 +mem-write: 0x80012fdf <- 0x2e931301 +mem-write: 0x80012fe3 <- 0x59c6300 +mem-write: 0x80012fe7 <- 0x30059304 +mem-write: 0x80012feb <- 0x61300 +mem-write: 0x80012fef <- 0xd585b300 +mem-write: 0x80012ff3 <- 0x66853341 +VXDRV: upload 1024 bytes to 0x80012ff7 +mem-write: 0x80012ff7 <- 0x5250300 +mem-write: 0x80012ffb <- 0x16061300 +mem-write: 0x80012fff <- 0x46869300 +mem-write: 0x80013003 <- 0xa6ae2300 +mem-write: 0x80013007 <- 0xc5d6e3fe +mem-write: 0x8001300b <- 0x400613fe +mem-write: 0x8001300f <- 0xd60eb300 +mem-write: 0x80013013 <- 0x10061341 +mem-write: 0x80013017 <- 0xd05c6300 +mem-write: 0x8001301b <- 0xe861307 +mem-write: 0x8001301f <- 0x6f00 +mem-write: 0x80013023 <- 0x26151307 +mem-write: 0x80013027 <- 0xa8053300 +mem-write: 0x8001302b <- 0x5250300 +mem-write: 0x8001302f <- 0x16061300 +mem-write: 0x80013033 <- 0xa7e7b300 +mem-write: 0x80013037 <- 0x1ff06f00 +mem-write: 0x8001303b <- 0x10693fa +mem-write: 0x8001303f <- 0x6686b304 +mem-write: 0x80013043 <- 0x6a68300 +mem-write: 0x80013047 <- 0xf93fe +mem-write: 0x8001304b <- 0xbf8fb302 +mem-write: 0x8001304f <- 0xf696b340 +mem-write: 0x80013053 <- 0x30051301 +mem-write: 0x80013057 <- 0xd7e7b300 +mem-write: 0x8001305b <- 0x68033300 +mem-write: 0x8001305f <- 0x61300 +mem-write: 0x80013063 <- 0xd5053300 +mem-write: 0x80013067 <- 0x43031341 +mem-write: 0x8001306b <- 0xa6466300 +mem-write: 0x8001306f <- 0x1069302 +mem-write: 0x80013073 <- 0x25151304 +mem-write: 0x80013077 <- 0xa6853300 +mem-write: 0x8001307b <- 0xc1268300 +mem-write: 0x8001307f <- 0x40061302 +mem-write: 0x80013083 <- 0xd6063300 +mem-write: 0x80013087 <- 0xb6d5b341 +mem-write: 0x8001308b <- 0xb5202300 +mem-write: 0x8001308f <- 0x400593fe +mem-write: 0x80013093 <- 0xc0006f00 +mem-write: 0x80013097 <- 0xc3268303 +mem-write: 0x8001309b <- 0x32383ff +mem-write: 0x8001309f <- 0x26129300 +mem-write: 0x800130a3 <- 0xb6d6b300 +mem-write: 0x800130a7 <- 0xf393b300 +mem-write: 0x800130ab <- 0x5802b301 +mem-write: 0x800130af <- 0x76e6b300 +mem-write: 0x800130b3 <- 0xd2a02300 +mem-write: 0x800130b7 <- 0x16061300 +mem-write: 0x800130bb <- 0xdff06f00 +mem-write: 0x800130bf <- 0x261693fa +mem-write: 0x800130c3 <- 0xd806b300 +mem-write: 0x800130c7 <- 0x6a02300 +mem-write: 0x800130cb <- 0x16061300 +mem-write: 0x800130cf <- 0xb618e300 +mem-write: 0x800130d3 <- 0x12683fe +mem-write: 0x800130d7 <- 0xf037b302 +mem-write: 0x800130db <- 0xf6e7b300 +mem-write: 0x800130df <- 0xf1202300 +mem-write: 0x800130e3 <- 0x1258302 +mem-write: 0x800130e7 <- 0x41260302 +mem-write: 0x800130eb <- 0xb705b302 +mem-write: 0x800130ef <- 0xe5b73300 +mem-write: 0x800130f3 <- 0xcf063300 +mem-write: 0x800130f7 <- 0xe606b300 +mem-write: 0x800130fb <- 0xb1282300 +mem-write: 0x800130ff <- 0xe635b302 +mem-write: 0x80013103 <- 0x81260301 +mem-write: 0x80013107 <- 0xe6b73302 +mem-write: 0x8001310b <- 0xe5e5b300 +mem-write: 0x8001310f <- 0xd12a2300 +mem-write: 0x80013113 <- 0xce06b302 +mem-write: 0x80013117 <- 0xb687b300 +mem-write: 0x8001311b <- 0xb7b5b300 +mem-write: 0x8001311f <- 0xf12c2300 +mem-write: 0x80013123 <- 0xc1278302 +mem-write: 0x80013127 <- 0xc6b6b302 +mem-write: 0x8001312b <- 0xb6e6b301 +mem-write: 0x8001312f <- 0xf888b300 +mem-write: 0x80013133 <- 0x1686b300 +mem-write: 0x80013137 <- 0xd12e2301 +mem-write: 0x8001313b <- 0xc1278302 +mem-write: 0x8001313f <- 0xc7971303 +mem-write: 0x80013143 <- 0x75ae300 +mem-write: 0x80013147 <- 0xf80737d0 +mem-write: 0x8001314b <- 0xf70713ff +mem-write: 0x8001314f <- 0xe7f7b3ff +mem-write: 0x80013153 <- 0xf12e2300 +mem-write: 0x80013157 <- 0x1278302 +mem-write: 0x8001315b <- 0x14041303 +mem-write: 0x8001315f <- 0xc1059300 +mem-write: 0x80013163 <- 0xf7971303 +mem-write: 0x80013167 <- 0x1079301 +mem-write: 0x8001316b <- 0x7a68303 +mem-write: 0x8001316f <- 0x47a60300 +mem-write: 0x80013173 <- 0x47879300 +mem-write: 0x80013177 <- 0x16d69300 +mem-write: 0x8001317b <- 0xf6161300 +mem-write: 0x8001317f <- 0xc6e6b301 +mem-write: 0x80013183 <- 0xd7ae2300 +mem-write: 0x80013187 <- 0xf592e3fe +mem-write: 0x8001318b <- 0xc12783fe +mem-write: 0x8001318f <- 0x17d79303 +mem-write: 0x80013193 <- 0xf12e2300 +mem-write: 0x80013197 <- 0xe037b302 +mem-write: 0x8001319b <- 0x1270300 +mem-write: 0x8001319f <- 0xf767b303 +mem-write: 0x800131a3 <- 0xf1282300 +mem-write: 0x800131a7 <- 0x87b702 +mem-write: 0x800131ab <- 0xf7879300 +mem-write: 0x800131af <- 0xf414e3ff +mem-write: 0x800131b3 <- 0x12e23ca +mem-write: 0x800131b7 <- 0x12c2302 +mem-write: 0x800131bb <- 0x12a2302 +mem-write: 0x800131bf <- 0x1282302 +mem-write: 0x800131c3 <- 0x5ff06f02 +mem-write: 0x800131c7 <- 0x412683c9 +mem-write: 0x800131cb <- 0x81260302 +mem-write: 0x800131cf <- 0xc1250302 +mem-write: 0x800131d3 <- 0x3046302 +mem-write: 0x800131d7 <- 0x8e8e3328 +mem-write: 0x800131db <- 0x41a6340 +mem-write: 0x800131df <- 0x4128830a +mem-write: 0x800131e3 <- 0x81280301 +mem-write: 0x800131e7 <- 0xc12f8301 +mem-write: 0x800131eb <- 0x8e33301 +mem-write: 0x800131ef <- 0xf3633301 +mem-write: 0x800131f3 <- 0xe3633301 +mem-write: 0x800131f7 <- 0x31e6300 +mem-write: 0x800131fb <- 0xf1282300 +mem-write: 0x800131ff <- 0xd12a2302 +mem-write: 0x80013203 <- 0xc12c2302 +mem-write: 0x80013207 <- 0xa12e2302 +mem-write: 0x8001320b <- 0xe041302 +mem-write: 0x8001320f <- 0x9ff06f00 +mem-write: 0x80013213 <- 0xfe0313c4 +mem-write: 0x80013217 <- 0x31863ff +mem-write: 0x8001321b <- 0xf7073304 +mem-write: 0x8001321f <- 0xf737b300 +mem-write: 0x80013223 <- 0xd885b300 +mem-write: 0x80013227 <- 0xe1282300 +mem-write: 0x8001322b <- 0xf5873302 +mem-write: 0x8001322f <- 0xf737b300 +mem-write: 0x80013233 <- 0xd5b6b300 +mem-write: 0x80013237 <- 0xf6e6b300 +mem-write: 0x8001323b <- 0xe12a2300 +mem-write: 0x8001323f <- 0xc8073302 +mem-write: 0x80013243 <- 0xd707b300 +mem-write: 0x80013247 <- 0xd7b6b300 +mem-write: 0x8001324b <- 0xc7373300 +mem-write: 0x8001324f <- 0xd7673300 +mem-write: 0x80013253 <- 0xaf853300 +mem-write: 0x80013257 <- 0xa7053300 +mem-write: 0x8001325b <- 0xf12c2300 +mem-write: 0x8001325f <- 0xa12e2302 +mem-write: 0x80013263 <- 0x9ff06f02 +mem-write: 0x80013267 <- 0x8737ba +mem-write: 0x8001326b <- 0xf7071300 +mem-write: 0x8001326f <- 0xee06e3ff +mem-write: 0x80013273 <- 0x400713f8 +mem-write: 0x80013277 <- 0x675c6307 +mem-write: 0x8001327b <- 0x12e2304 +mem-write: 0x8001327f <- 0x12c2300 +mem-write: 0x80013283 <- 0x12a2300 +mem-write: 0x80013287 <- 0x10071300 +mem-write: 0x8001328b <- 0xc0006f00 +mem-write: 0x8001328f <- 0x873716 +mem-write: 0x80013293 <- 0xf7071300 +mem-write: 0x80013297 <- 0xee9e63ff +mem-write: 0x8001329b <- 0xf1282300 +mem-write: 0x8001329f <- 0xd12a2302 +mem-write: 0x800132a3 <- 0xc12c2302 +mem-write: 0x800132a7 <- 0xa12e2302 +mem-write: 0x800132ab <- 0xe841302 +mem-write: 0x800132af <- 0x9ff06f00 +mem-write: 0x800132b3 <- 0xc12703ba +mem-write: 0x800132b7 <- 0x8083701 +mem-write: 0x800132bb <- 0x7673300 +mem-write: 0x800132bf <- 0xe12e2301 +mem-write: 0x800132c3 <- 0x40071300 +mem-write: 0x800132c7 <- 0xc74ae307 +mem-write: 0x800132cb <- 0xe0313fb +mem-write: 0x800132cf <- 0x71300 +mem-write: 0x800132d3 <- 0xe34e3302 +mem-write: 0x800132d7 <- 0xf9302 +mem-write: 0x800132db <- 0x71300 +mem-write: 0x800132df <- 0xc74a6300 +mem-write: 0x800132e3 <- 0xe089305 +mem-write: 0x800132e7 <- 0xe546300 +mem-write: 0x800132eb <- 0x89300 +mem-write: 0x800132ef <- 0xf3771300 +mem-write: 0x800132f3 <- 0x2e181301 +mem-write: 0x800132f7 <- 0x71a6300 +mem-write: 0x800132fb <- 0x30089304 +mem-write: 0x800132ff <- 0xc888b300 +mem-write: 0x80013303 <- 0x5833341 +mem-write: 0x80013307 <- 0x3230301 +mem-write: 0x8001330b <- 0x17071300 +mem-write: 0x8001330f <- 0x45859300 +mem-write: 0x80013313 <- 0x65ae2300 +mem-write: 0x80013317 <- 0xe8d6e3fe +mem-write: 0x8001331b <- 0x400713fe +mem-write: 0x8001331f <- 0xc70e3300 +mem-write: 0x80013323 <- 0x10071341 +mem-write: 0x80013327 <- 0xc0506300 +mem-write: 0x8001332b <- 0xe071309 +mem-write: 0x8001332f <- 0x80006f00 +mem-write: 0x80013333 <- 0x27181307 +mem-write: 0x80013337 <- 0xf083300 +mem-write: 0x8001333b <- 0x8280301 +mem-write: 0x8001333f <- 0x17071300 +mem-write: 0x80013343 <- 0xfefb300 +mem-write: 0x80013347 <- 0x9ff06f01 +mem-write: 0x8001334b <- 0x713f9 +mem-write: 0x8001334f <- 0xe3633302 +mem-write: 0x80013353 <- 0x1059302 +mem-write: 0x80013357 <- 0x28989304 +mem-write: 0x8001335b <- 0x1588b300 +mem-write: 0x8001335f <- 0x8a58301 +mem-write: 0x80013363 <- 0xf0833fd +mem-write: 0x80013367 <- 0x29301 +mem-write: 0x8001336b <- 0x67073300 +mem-write: 0x8001336f <- 0xe595b340 +mem-write: 0x80013373 <- 0xbfefb300 +mem-write: 0x80013377 <- 0x30059300 +mem-write: 0x8001337b <- 0xc585b300 +mem-write: 0x8001337f <- 0x48081341 +mem-write: 0x80013383 <- 0xb2c66300 +mem-write: 0x80013387 <- 0x1081302 +mem-write: 0x8001338b <- 0x25959304 +mem-write: 0x8001338f <- 0xb805b300 +mem-write: 0x80013393 <- 0xc1280300 +mem-write: 0x80013397 <- 0x40071301 +mem-write: 0x8001339b <- 0xc7073300 +mem-write: 0x8001339f <- 0x68533341 +mem-write: 0x800133a3 <- 0x65a82300 +mem-write: 0x800133a7 <- 0x300813fc +mem-write: 0x800133ab <- 0xc0006f00 +mem-write: 0x800133af <- 0xc8288303 +mem-write: 0x800133b3 <- 0x82403ff +mem-write: 0x800133b7 <- 0x22939300 +mem-write: 0x800133bb <- 0x68d8b300 +mem-write: 0x800133bf <- 0xe4143300 +mem-write: 0x800133c3 <- 0x7f03b300 +mem-write: 0x800133c7 <- 0x88e8b300 +mem-write: 0x800133cb <- 0x13a02300 +mem-write: 0x800133cf <- 0x12829301 +mem-write: 0x800133d3 <- 0xdff06f00 +mem-write: 0x800133d7 <- 0x271593fa +mem-write: 0x800133db <- 0xbf05b300 +mem-write: 0x800133df <- 0x5a02300 +mem-write: 0x800133e3 <- 0x17071300 +mem-write: 0x800133e7 <- 0xe858e300 +mem-write: 0x800133eb <- 0x12583fe +mem-write: 0x800133ef <- 0xf0373301 +mem-write: 0x800133f3 <- 0xe5e73301 +VXDRV: upload 1024 bytes to 0x800133f7 +mem-write: 0x800133f7 <- 0xe1282300 +mem-write: 0x800133fb <- 0x1270300 +mem-write: 0x800133ff <- 0x41258301 +mem-write: 0x80013403 <- 0xe841301 +mem-write: 0x80013407 <- 0xe7873300 +mem-write: 0x8001340b <- 0xf737b300 +mem-write: 0x8001340f <- 0xb685b300 +mem-write: 0x80013413 <- 0xe1282300 +mem-write: 0x80013417 <- 0xf5873302 +mem-write: 0x8001341b <- 0xf737b300 +mem-write: 0x8001341f <- 0xe12a2300 +mem-write: 0x80013423 <- 0x81270302 +mem-write: 0x80013427 <- 0xd5b6b301 +mem-write: 0x8001342b <- 0xf6e6b300 +mem-write: 0x8001342f <- 0xe6073300 +mem-write: 0x80013433 <- 0xd707b300 +mem-write: 0x80013437 <- 0xc7373300 +mem-write: 0x8001343b <- 0xc1260300 +mem-write: 0x8001343f <- 0xd7b6b301 +mem-write: 0x80013443 <- 0xd7673300 +mem-write: 0x80013447 <- 0xc5053300 +mem-write: 0x8001344b <- 0xa7053300 +mem-write: 0x8001344f <- 0xf12c2300 +mem-write: 0x80013453 <- 0xa12e2302 +mem-write: 0x80013457 <- 0x5ff06f02 +mem-write: 0x8001345b <- 0x8fb7ce +mem-write: 0x8001345f <- 0x14059300 +mem-write: 0x80013463 <- 0xef881300 +mem-write: 0x80013467 <- 0x5f833ff +mem-write: 0x8001346b <- 0x412f0301 +mem-write: 0x8001346f <- 0x812e8301 +mem-write: 0x80013473 <- 0xc12e0301 +mem-write: 0x80013477 <- 0x1089301 +mem-write: 0x8001347b <- 0xc1031303 +mem-write: 0x8001347f <- 0x8166303 +mem-write: 0x80013483 <- 0xdf683314 +mem-write: 0x80013487 <- 0xc8683301 +mem-write: 0x8001348b <- 0xe8683301 +mem-write: 0x8001348f <- 0x4166300 +mem-write: 0x80013493 <- 0x81c630a +mem-write: 0x80013497 <- 0xf1282300 +mem-write: 0x8001349b <- 0xd12a2302 +mem-write: 0x8001349f <- 0xc12c2302 +mem-write: 0x800134a3 <- 0xa12e2302 +mem-write: 0x800134a7 <- 0x1ff06f02 +mem-write: 0x800134ab <- 0xc6e5b39b +mem-write: 0x800134af <- 0xa5e5b300 +mem-write: 0x800134b3 <- 0xf5e5b300 +mem-write: 0x800134b7 <- 0x59c6300 +mem-write: 0x800134bb <- 0xe1282300 +mem-write: 0x800134bf <- 0xe12a2302 +mem-write: 0x800134c3 <- 0xd12c2303 +mem-write: 0x800134c7 <- 0xc12e2303 +mem-write: 0x800134cb <- 0xdff06f03 +mem-write: 0x800134cf <- 0xf707b398 +mem-write: 0x800134d3 <- 0xe7b73300 +mem-write: 0x800134d7 <- 0xdf05b300 +mem-write: 0x800134db <- 0xf1282300 +mem-write: 0x800134df <- 0xe587b302 +mem-write: 0x800134e3 <- 0xe5b6b300 +mem-write: 0x800134e7 <- 0xe7b73301 +mem-write: 0x800134eb <- 0xe6e73300 +mem-write: 0x800134ef <- 0xce863300 +mem-write: 0x800134f3 <- 0xe606b300 +mem-write: 0x800134f7 <- 0xe6b73300 +mem-write: 0x800134fb <- 0xd6363300 +mem-write: 0x800134ff <- 0xe6663301 +mem-write: 0x80013503 <- 0xae053300 +mem-write: 0x80013507 <- 0xa6063300 +mem-write: 0x8001350b <- 0xf12a2300 +mem-write: 0x8001350f <- 0xd12c2302 +mem-write: 0x80013513 <- 0xc6179302 +mem-write: 0x80013517 <- 0x7c66300 +mem-write: 0x8001351b <- 0xc12e2300 +mem-write: 0x8001351f <- 0x9ff06f02 +mem-write: 0x80013523 <- 0xf807b793 +mem-write: 0x80013527 <- 0xf78793ff +mem-write: 0x8001352b <- 0xf67633ff +mem-write: 0x8001352f <- 0xc12e2300 +mem-write: 0x80013533 <- 0x10041302 +mem-write: 0x80013537 <- 0x1ff06f00 +mem-write: 0x8001353b <- 0x81e6392 +mem-write: 0x8001353f <- 0xf1282300 +mem-write: 0x80013543 <- 0xd12a2302 +mem-write: 0x80013547 <- 0xc12c2302 +mem-write: 0x8001354b <- 0xa12e2302 +mem-write: 0x8001354f <- 0xff841302 +mem-write: 0x80013553 <- 0x5ff06fff +mem-write: 0x80013557 <- 0xc6e6b390 +mem-write: 0x8001355b <- 0xa6e53300 +mem-write: 0x8001355f <- 0xf567b300 +mem-write: 0x80013563 <- 0x79c6300 +mem-write: 0x80013567 <- 0xe1282300 +mem-write: 0x8001356b <- 0xe12a2302 +mem-write: 0x8001356f <- 0xd12c2303 +mem-write: 0x80013573 <- 0xc12e2303 +mem-write: 0x80013577 <- 0x9ff06f03 +mem-write: 0x8001357b <- 0xf12e23fd +mem-write: 0x8001357f <- 0x12c2303 +mem-write: 0x80013583 <- 0x12a2302 +mem-write: 0x80013587 <- 0x1282302 +mem-write: 0x8001358b <- 0x3071302 +mem-write: 0x8001358f <- 0x7278300 +mem-write: 0x80013593 <- 0xc7268300 +mem-write: 0x80013597 <- 0xc70713ff +mem-write: 0x8001359b <- 0x379793ff +mem-write: 0x8001359f <- 0xd6d69300 +mem-write: 0x800135a3 <- 0xd7e7b301 +mem-write: 0x800135a7 <- 0xf7222300 +mem-write: 0x800135ab <- 0xe892e300 +mem-write: 0x800135af <- 0x12783fe +mem-write: 0x800135b3 <- 0x843703 +mem-write: 0x800135b7 <- 0x91300 +mem-write: 0x800135bb <- 0x37979300 +mem-write: 0x800135bf <- 0xf1282300 +mem-write: 0x800135c3 <- 0xf4041302 +mem-write: 0x800135c7 <- 0x1ff06fff +mem-write: 0x800135cb <- 0xf707b389 +mem-write: 0x800135cf <- 0xe7b73300 +mem-write: 0x800135d3 <- 0xdf06b300 +mem-write: 0x800135d7 <- 0xf1282300 +mem-write: 0x800135db <- 0xe687b302 +mem-write: 0x800135df <- 0xe7b73300 +mem-write: 0x800135e3 <- 0xe6b6b300 +mem-write: 0x800135e7 <- 0xe6e73301 +mem-write: 0x800135eb <- 0xce86b300 +mem-write: 0x800135ef <- 0xf12a2300 +mem-write: 0x800135f3 <- 0xe687b302 +mem-write: 0x800135f7 <- 0xd6b63300 +mem-write: 0x800135fb <- 0xe7b6b301 +mem-write: 0x800135ff <- 0xd666b300 +mem-write: 0x80013603 <- 0xae053300 +mem-write: 0x80013607 <- 0xa6853300 +mem-write: 0x8001360b <- 0xf12c2300 +mem-write: 0x8001360f <- 0xa12e2302 +mem-write: 0x80013613 <- 0x8879302 +mem-write: 0x80013617 <- 0x7a70300 +mem-write: 0x8001361b <- 0x47a68300 +mem-write: 0x8001361f <- 0x47879300 +mem-write: 0x80013623 <- 0x17571300 +mem-write: 0x80013627 <- 0xf6969300 +mem-write: 0x8001362b <- 0xd7673301 +mem-write: 0x8001362f <- 0xe7ae2300 +mem-write: 0x80013633 <- 0xf312e3fe +mem-write: 0x80013637 <- 0x87b7fe +mem-write: 0x8001363b <- 0xf7879300 +mem-write: 0x8001363f <- 0xf58c63ff +mem-write: 0x80013643 <- 0xc1278300 +mem-write: 0x80013647 <- 0x17d79303 +mem-write: 0x8001364b <- 0xf12e2300 +mem-write: 0x8001364f <- 0x5841302 +mem-write: 0x80013653 <- 0x5ff06f00 +mem-write: 0x80013657 <- 0x12e2380 +mem-write: 0x8001365b <- 0x12c2302 +mem-write: 0x8001365f <- 0x12a2302 +mem-write: 0x80013663 <- 0x1282302 +mem-write: 0x80013667 <- 0x9ff06f02 +mem-write: 0x8001366b <- 0x605e63fe +mem-write: 0x8001366f <- 0x4128832a +mem-write: 0x80013673 <- 0x812e0301 +mem-write: 0x80013677 <- 0xc12f0301 +mem-write: 0x8001367b <- 0xe946301 +mem-write: 0x8001367f <- 0x412e830c +mem-write: 0x80013683 <- 0x81250302 +mem-write: 0x80013687 <- 0xc1258302 +mem-write: 0x8001368b <- 0xaee63302 +mem-write: 0x8001368f <- 0xb6663300 +mem-write: 0x80013693 <- 0xf6663300 +mem-write: 0x80013697 <- 0x61c6300 +mem-write: 0x8001369b <- 0xe1282300 +mem-write: 0x8001369f <- 0x112a2302 +mem-write: 0x800136a3 <- 0xc12c2303 +mem-write: 0x800136a7 <- 0xe12e2303 +mem-write: 0x800136ab <- 0x8ff06f03 +mem-write: 0x800136af <- 0xf30613f0 +mem-write: 0x800136b3 <- 0x61463ff +mem-write: 0x800136b7 <- 0xf707b306 +mem-write: 0x800136bb <- 0xd886b340 +mem-write: 0x800136bf <- 0xf7383341 +mem-write: 0x800136c3 <- 0xd8b33300 +mem-write: 0x800136c7 <- 0x6883300 +mem-write: 0x800136cb <- 0x69341 +mem-write: 0x800136cf <- 0xf7766300 +mem-write: 0x800136d3 <- 0x1e88b300 +mem-write: 0x800136d7 <- 0x18b69341 +mem-write: 0x800136db <- 0x66e8b300 +mem-write: 0x800136df <- 0xae073300 +mem-write: 0x800136e3 <- 0xee36b340 +mem-write: 0x800136e7 <- 0x17073300 +mem-write: 0x800136eb <- 0x8866341 +mem-write: 0x800136ef <- 0xc50e3300 +mem-write: 0x800136f3 <- 0x1e361341 +mem-write: 0x800136f7 <- 0xbf05b300 +mem-write: 0x800136fb <- 0xd6663340 +mem-write: 0x800136ff <- 0xc585b300 +mem-write: 0x80013703 <- 0xb12e2340 +mem-write: 0x80013707 <- 0xe12c2302 +mem-write: 0x8001370b <- 0x12a2302 +mem-write: 0x8001370f <- 0xf1282303 +mem-write: 0x80013713 <- 0x10041302 +mem-write: 0x80013717 <- 0x6f00 +mem-write: 0x8001371b <- 0x87b71f +mem-write: 0x8001371f <- 0xf7879300 +mem-write: 0x80013723 <- 0xf30ce3ff +mem-write: 0x80013727 <- 0x400793f6 +mem-write: 0x8001372b <- 0xc7da6307 +mem-write: 0x8001372f <- 0x1262304 +mem-write: 0x80013733 <- 0x1242302 +mem-write: 0x80013737 <- 0x1222302 +mem-write: 0x8001373b <- 0x10079302 +mem-write: 0x8001373f <- 0x40006f00 +mem-write: 0x80013743 <- 0x87b715 +mem-write: 0x80013747 <- 0xf7879300 +mem-write: 0x8001374b <- 0xf41c63ff +mem-write: 0x8001374f <- 0xe1282300 +mem-write: 0x80013753 <- 0x112a2302 +mem-write: 0x80013757 <- 0xc12c2303 +mem-write: 0x8001375b <- 0xe12e2303 +mem-write: 0x8001375f <- 0x8ff06f03 +mem-write: 0x80013763 <- 0xc12783ef +mem-write: 0x80013767 <- 0x8063702 +mem-write: 0x8001376b <- 0xc7e7b300 +mem-write: 0x8001376f <- 0xf1262300 +mem-write: 0x80013773 <- 0x40079302 +mem-write: 0x80013777 <- 0x67cce307 +mem-write: 0x8001377b <- 0x30613fa +mem-write: 0x8001377f <- 0x56551300 +mem-write: 0x80013783 <- 0x31340 +mem-write: 0x80013787 <- 0x79300 +mem-write: 0x8001378b <- 0xa7966300 +mem-write: 0x8001378f <- 0xf6759304 +mem-write: 0x80013793 <- 0x25161301 +mem-write: 0x80013797 <- 0x59c6300 +mem-write: 0x8001379b <- 0x30059304 +mem-write: 0x8001379f <- 0x79300 +mem-write: 0x800137a3 <- 0xa585b300 +mem-write: 0x800137a7 <- 0xc68eb340 +mem-write: 0x800137ab <- 0xeae8300 +mem-write: 0x800137af <- 0x17879300 +mem-write: 0x800137b3 <- 0x46869300 +mem-write: 0x800137b7 <- 0xd6ae2300 +mem-write: 0x800137bb <- 0xf5d6e3ff +mem-write: 0x800137bf <- 0x400793fe +mem-write: 0x800137c3 <- 0xa7853300 +mem-write: 0x800137c7 <- 0x10079340 +mem-write: 0x800137cb <- 0xa05c6300 +mem-write: 0x800137cf <- 0x5079306 +mem-write: 0x800137d3 <- 0x6f00 +mem-write: 0x800137d7 <- 0x27959307 +mem-write: 0x800137db <- 0xb805b300 +mem-write: 0x800137df <- 0x5a58300 +mem-write: 0x800137e3 <- 0x17879300 +mem-write: 0x800137e7 <- 0xb3633300 +mem-write: 0x800137eb <- 0x1ff06f00 +mem-write: 0x800137ef <- 0x10793fa +mem-write: 0x800137f3 <- 0xc787b304 +VXDRV: upload 1024 bytes to 0x800137f7 +mem-write: 0x800137f7 <- 0x7a78300 +mem-write: 0x800137fb <- 0xf93fe +mem-write: 0x800137ff <- 0xbf8fb302 +mem-write: 0x80013803 <- 0xf797b340 +mem-write: 0x80013807 <- 0x30069301 +mem-write: 0x8001380b <- 0xf3633300 +mem-write: 0x8001380f <- 0xc8063300 +mem-write: 0x80013813 <- 0xe9300 +mem-write: 0x80013817 <- 0xa686b300 +mem-write: 0x8001381b <- 0x46061340 +mem-write: 0x8001381f <- 0xdec66300 +mem-write: 0x80013823 <- 0x1061302 +mem-write: 0x80013827 <- 0x26969304 +mem-write: 0x8001382b <- 0xd606b300 +mem-write: 0x8001382f <- 0xc1260300 +mem-write: 0x80013833 <- 0x40079302 +mem-write: 0x80013837 <- 0xa787b300 +mem-write: 0x8001383b <- 0xb6563340 +mem-write: 0x8001383f <- 0xc6a02300 +mem-write: 0x80013843 <- 0x400613fe +mem-write: 0x80013847 <- 0xc0006f00 +mem-write: 0x8001384b <- 0xc6278303 +mem-write: 0x8001384f <- 0x62383ff +mem-write: 0x80013853 <- 0x2e929300 +mem-write: 0x80013857 <- 0xb7d7b300 +mem-write: 0x8001385b <- 0xf393b300 +mem-write: 0x8001385f <- 0x5802b301 +mem-write: 0x80013863 <- 0x77e7b300 +mem-write: 0x80013867 <- 0xf2a02300 +mem-write: 0x8001386b <- 0x1e8e9300 +mem-write: 0x8001386f <- 0xdff06f00 +mem-write: 0x80013873 <- 0x279693fa +mem-write: 0x80013877 <- 0xd806b300 +mem-write: 0x8001387b <- 0x6a02300 +mem-write: 0x8001387f <- 0x17879300 +mem-write: 0x80013883 <- 0xc798e300 +mem-write: 0x80013887 <- 0x12683fe +mem-write: 0x8001388b <- 0x6037b302 +mem-write: 0x8001388f <- 0xf6e7b300 +mem-write: 0x80013893 <- 0xf1202300 +mem-write: 0x80013897 <- 0x1278302 +mem-write: 0x8001389b <- 0x41258302 +mem-write: 0x8001389f <- 0xf707b302 +mem-write: 0x800138a3 <- 0xb8863340 +mem-write: 0x800138a7 <- 0xf736b340 +mem-write: 0x800138ab <- 0xc8b53300 +mem-write: 0x800138af <- 0xd6063300 +mem-write: 0x800138b3 <- 0x69340 +mem-write: 0x800138b7 <- 0xf7766300 +mem-write: 0x800138bb <- 0x1588b300 +mem-write: 0x800138bf <- 0x18b69341 +mem-write: 0x800138c3 <- 0xa6e8b300 +mem-write: 0x800138c7 <- 0x81250300 +mem-write: 0x800138cb <- 0x69302 +mem-write: 0x800138cf <- 0xae073300 +mem-write: 0x800138d3 <- 0xee383340 +mem-write: 0x800138d7 <- 0x17073300 +mem-write: 0x800138db <- 0x8866341 +mem-write: 0x800138df <- 0xc50e3300 +mem-write: 0x800138e3 <- 0x1e369341 +mem-write: 0x800138e7 <- 0xc1258300 +mem-write: 0x800138eb <- 0x6e6b302 +mem-write: 0x800138ef <- 0xe12c2301 +mem-write: 0x800138f3 <- 0xbf05b302 +mem-write: 0x800138f7 <- 0xd585b340 +mem-write: 0x800138fb <- 0xb12e2340 +mem-write: 0x800138ff <- 0xc12a2302 +mem-write: 0x80013903 <- 0xf1282302 +mem-write: 0x80013907 <- 0xc1278302 +mem-write: 0x8001390b <- 0xc7971303 +mem-write: 0x8001390f <- 0x7546300 +mem-write: 0x80013913 <- 0x80737d4 +mem-write: 0x80013917 <- 0xf7071300 +mem-write: 0x8001391b <- 0xe7f7b3ff +mem-write: 0x8001391f <- 0xf12e2300 +mem-write: 0x80013923 <- 0x6f02 +mem-write: 0x80013927 <- 0x41280358 +mem-write: 0x8001392b <- 0x81288302 +mem-write: 0x8001392f <- 0xc1268302 +mem-write: 0x80013933 <- 0x3026302 +mem-write: 0x80013937 <- 0x8e83332c +mem-write: 0x8001393b <- 0x4126340 +mem-write: 0x8001393f <- 0x4122830c +mem-write: 0x80013943 <- 0x812f8301 +mem-write: 0x80013947 <- 0xc1250301 +mem-write: 0x8001394b <- 0xf2e63301 +mem-write: 0x8001394f <- 0xa6663301 +mem-write: 0x80013953 <- 0xe6663300 +mem-write: 0x80013957 <- 0x6106300 +mem-write: 0x8001395b <- 0xf1282302 +mem-write: 0x8001395f <- 0x12a2302 +mem-write: 0x80013963 <- 0x112c2303 +mem-write: 0x80013967 <- 0xd12e2303 +mem-write: 0x8001396b <- 0x3041302 +mem-write: 0x8001396f <- 0xe091300 +mem-write: 0x80013973 <- 0x4ff06f00 +mem-write: 0x80013977 <- 0xf30613ce +mem-write: 0x8001397b <- 0x61463ff +mem-write: 0x8001397f <- 0xe7873306 +mem-write: 0x80013983 <- 0x5805b340 +mem-write: 0x80013987 <- 0xe7b33340 +mem-write: 0x8001398b <- 0xb83eb300 +mem-write: 0x8001398f <- 0x65833300 +mem-write: 0x80013993 <- 0x59340 +mem-write: 0x80013997 <- 0xe7f66300 +mem-write: 0x8001399b <- 0x2883300 +mem-write: 0x8001399f <- 0x18359341 +mem-write: 0x800139a3 <- 0xd5e83300 +mem-write: 0x800139a7 <- 0xf885b301 +mem-write: 0x800139ab <- 0xb8b7b341 +mem-write: 0x800139af <- 0x585b300 +mem-write: 0x800139b3 <- 0x8066341 +mem-write: 0x800139b7 <- 0x1f88b300 +mem-write: 0x800139bb <- 0x18b61341 +mem-write: 0x800139bf <- 0xa6853300 +mem-write: 0x800139c3 <- 0xf6663340 +mem-write: 0x800139c7 <- 0xc5053300 +mem-write: 0x800139cb <- 0xa12e2340 +mem-write: 0x800139cf <- 0xb12c2302 +mem-write: 0x800139d3 <- 0x612a2302 +mem-write: 0x800139d7 <- 0xe1282302 +mem-write: 0x800139db <- 0xe091302 +mem-write: 0x800139df <- 0x5ff06f00 +mem-write: 0x800139e3 <- 0x8737d3 +mem-write: 0x800139e7 <- 0xf7071300 +mem-write: 0x800139eb <- 0xe308e3ff +mem-write: 0x800139ef <- 0x400713f6 +mem-write: 0x800139f3 <- 0xc7486307 +mem-write: 0x800139f7 <- 0x603131e +mem-write: 0x800139fb <- 0x6f00 +mem-write: 0x800139ff <- 0x873704 +mem-write: 0x80013a03 <- 0xf7071300 +mem-write: 0x80013a07 <- 0xee9e63ff +mem-write: 0x80013a0b <- 0xf1282300 +mem-write: 0x80013a0f <- 0x12a2302 +mem-write: 0x80013a13 <- 0x112c2303 +mem-write: 0x80013a17 <- 0xd12e2303 +mem-write: 0x80013a1b <- 0xe841302 +mem-write: 0x80013a1f <- 0x1ff06f00 +mem-write: 0x80013a23 <- 0xc12703f5 +mem-write: 0x80013a27 <- 0x8063701 +mem-write: 0x80013a2b <- 0xc7673300 +mem-write: 0x80013a2f <- 0xe12e2300 +mem-write: 0x80013a33 <- 0x40071300 +mem-write: 0x80013a37 <- 0x67466307 +mem-write: 0x80013a3b <- 0x7131a +mem-write: 0x80013a3f <- 0xe34fb302 +mem-write: 0x80013a43 <- 0x29302 +mem-write: 0x80013a47 <- 0x71300 +mem-write: 0x80013a4b <- 0xf74a6300 +mem-write: 0x80013a4f <- 0xf851305 +mem-write: 0x80013a53 <- 0xfd46300 +mem-write: 0x80013a57 <- 0x51300 +mem-write: 0x80013a5b <- 0xf3771300 +mem-write: 0x80013a5f <- 0x2f961301 +mem-write: 0x80013a63 <- 0x71a6300 +mem-write: 0x80013a67 <- 0x30051304 +mem-write: 0x80013a6b <- 0xf5053300 +mem-write: 0x80013a6f <- 0xc5833341 +mem-write: 0x80013a73 <- 0x3230300 +mem-write: 0x80013a77 <- 0x17071300 +mem-write: 0x80013a7b <- 0x45859300 +mem-write: 0x80013a7f <- 0x65ae2300 +mem-write: 0x80013a83 <- 0xe556e3fe +mem-write: 0x80013a87 <- 0x400713fe +mem-write: 0x80013a8b <- 0xf70fb300 +mem-write: 0x80013a8f <- 0x10071341 +mem-write: 0x80013a93 <- 0xf0506300 +mem-write: 0x80013a97 <- 0xf871309 +mem-write: 0x80013a9b <- 0x80006f00 +mem-write: 0x80013a9f <- 0x27161307 +mem-write: 0x80013aa3 <- 0xcf063300 +mem-write: 0x80013aa7 <- 0x6260300 +mem-write: 0x80013aab <- 0x17071300 +mem-write: 0x80013aaf <- 0xc2e2b300 +mem-write: 0x80013ab3 <- 0x9ff06f00 +mem-write: 0x80013ab7 <- 0x713f9 +mem-write: 0x80013abb <- 0xe3633302 +mem-write: 0x80013abf <- 0x1059302 +mem-write: 0x80013ac3 <- 0x25151304 +mem-write: 0x80013ac7 <- 0xa5853300 +mem-write: 0x80013acb <- 0x5258300 +mem-write: 0x80013acf <- 0x393fd +mem-write: 0x80013ad3 <- 0x67073300 +mem-write: 0x80013ad7 <- 0xe595b340 +mem-write: 0x80013adb <- 0xb2e2b300 +mem-write: 0x80013adf <- 0xcf05b300 +mem-write: 0x80013ae3 <- 0x30061300 +mem-write: 0x80013ae7 <- 0xf6063300 +mem-write: 0x80013aeb <- 0x45859341 +mem-write: 0x80013aef <- 0xc3c66300 +mem-write: 0x80013af3 <- 0x1059302 +mem-write: 0x80013af7 <- 0x26161304 +mem-write: 0x80013afb <- 0xc5863300 +mem-write: 0x80013aff <- 0xc1258300 +mem-write: 0x80013b03 <- 0x40071301 +mem-write: 0x80013b07 <- 0xf7073300 +mem-write: 0x80013b0b <- 0x65d33341 +mem-write: 0x80013b0f <- 0x66282300 +mem-write: 0x80013b13 <- 0x300593fc +mem-write: 0x80013b17 <- 0xc0006f00 +mem-write: 0x80013b1b <- 0xc5a50303 +mem-write: 0x80013b1f <- 0x5a903ff +mem-write: 0x80013b23 <- 0x23941300 +mem-write: 0x80013b27 <- 0x65553300 +mem-write: 0x80013b2b <- 0xe9193300 +mem-write: 0x80013b2f <- 0x8f043300 +mem-write: 0x80013b33 <- 0x25653300 +mem-write: 0x80013b37 <- 0xa4202301 +mem-write: 0x80013b3b <- 0x13839300 +mem-write: 0x80013b3f <- 0xdff06f00 +mem-write: 0x80013b43 <- 0x271613fa +mem-write: 0x80013b47 <- 0xcf063300 +mem-write: 0x80013b4b <- 0x6202300 +mem-write: 0x80013b4f <- 0x17071300 +mem-write: 0x80013b53 <- 0xe5d8e300 +mem-write: 0x80013b57 <- 0x12603fe +mem-write: 0x80013b5b <- 0x50373301 +mem-write: 0x80013b5f <- 0xe6673300 +mem-write: 0x80013b63 <- 0xe1282300 +mem-write: 0x80013b67 <- 0x1270300 +mem-write: 0x80013b6b <- 0x41250301 +mem-write: 0x80013b6f <- 0xe7873301 +mem-write: 0x80013b73 <- 0xa805b340 +mem-write: 0x80013b77 <- 0xe7b63340 +mem-write: 0x80013b7b <- 0xb8333300 +mem-write: 0x80013b7f <- 0xc585b300 +mem-write: 0x80013b83 <- 0x61340 +mem-write: 0x80013b87 <- 0xe7f66300 +mem-write: 0x80013b8b <- 0x5083300 +mem-write: 0x80013b8f <- 0x18361341 +mem-write: 0x80013b93 <- 0x81250300 +mem-write: 0x80013b97 <- 0x66683301 +mem-write: 0x80013b9b <- 0x61300 +mem-write: 0x80013b9f <- 0xa887b300 +mem-write: 0x80013ba3 <- 0xf8b33340 +mem-write: 0x80013ba7 <- 0x787b300 +mem-write: 0x80013bab <- 0x8066341 +mem-write: 0x80013baf <- 0x1508b300 +mem-write: 0x80013bb3 <- 0x18b61341 +mem-write: 0x80013bb7 <- 0xc1250300 +mem-write: 0x80013bbb <- 0x66663301 +mem-write: 0x80013bbf <- 0xf12c2300 +mem-write: 0x80013bc3 <- 0xa686b302 +mem-write: 0x80013bc7 <- 0xc686b340 +mem-write: 0x80013bcb <- 0xd12e2340 +mem-write: 0x80013bcf <- 0xb12a2302 +mem-write: 0x80013bd3 <- 0xe1282302 +mem-write: 0x80013bd7 <- 0xe841302 +mem-write: 0x80013bdb <- 0xe091300 +mem-write: 0x80013bdf <- 0x9ff06f00 +mem-write: 0x80013be3 <- 0x12e23d2 +mem-write: 0x80013be7 <- 0x12c2300 +mem-write: 0x80013beb <- 0x12a2300 +mem-write: 0x80013bef <- 0x10071300 +mem-write: 0x80013bf3 <- 0x1ff06f00 +VXDRV: upload 1023 bytes to 0x80013bf7 +mem-write: 0x80013bf7 <- 0x8f37f7 +mem-write: 0x80013bfb <- 0xef061300 +mem-write: 0x80013bff <- 0x140e93ff +mem-write: 0x80013c03 <- 0xcefeb300 +mem-write: 0x80013c07 <- 0x81258300 +mem-write: 0x80013c0b <- 0x41260301 +mem-write: 0x80013c0f <- 0xc1250301 +mem-write: 0x80013c13 <- 0xe9c6301 +mem-write: 0x80013c17 <- 0x1863331c +mem-write: 0x80013c1b <- 0xb66eb301 +mem-write: 0x80013c1f <- 0xd3633300 +mem-write: 0x80013c23 <- 0xaeeeb300 +mem-write: 0x80013c27 <- 0xf3633300 +mem-write: 0x80013c2b <- 0xeeeeb300 +mem-write: 0x80013c2f <- 0x4166300 +mem-write: 0x80013c33 <- 0xe946310 +mem-write: 0x80013c37 <- 0xf1282302 +mem-write: 0x80013c3b <- 0x12a2302 +mem-write: 0x80013c3f <- 0x112c2303 +mem-write: 0x80013c43 <- 0xd12e2303 +mem-write: 0x80013c47 <- 0xe091302 +mem-write: 0x80013c4b <- 0x3166300 +mem-write: 0x80013c4f <- 0x413a0 +mem-write: 0x80013c53 <- 0x91300 +mem-write: 0x80013c57 <- 0xff06f00 +mem-write: 0x80013c5b <- 0x31a63a0 +mem-write: 0x80013c5f <- 0xe1282300 +mem-write: 0x80013c63 <- 0xc12a2302 +mem-write: 0x80013c67 <- 0xb12c2302 +mem-write: 0x80013c6b <- 0x9ff06f02 +mem-write: 0x80013c6f <- 0xf7033383 +mem-write: 0x80013c73 <- 0x603b340 +mem-write: 0x80013c77 <- 0x673fb341 +mem-write: 0x80013c7b <- 0x763eb300 +mem-write: 0x80013c7f <- 0xf38fb300 +mem-write: 0x80013c83 <- 0xf1341 +mem-write: 0x80013c87 <- 0x67746300 +mem-write: 0x80013c8b <- 0x13bf1300 +mem-write: 0x80013c8f <- 0x1582b300 +mem-write: 0x80013c93 <- 0xdf6f3341 +mem-write: 0x80013c97 <- 0x55bab301 +mem-write: 0x80013c9b <- 0xe28a3300 +mem-write: 0x80013c9f <- 0x99341 +mem-write: 0x80013ca3 <- 0xf046300 +mem-write: 0x80013ca7 <- 0x12b99300 +mem-write: 0x80013cab <- 0xd50eb300 +mem-write: 0x80013caf <- 0x59e9b340 +mem-write: 0x80013cb3 <- 0x3e8eb301 +mem-write: 0x80013cb7 <- 0xd12e2341 +mem-write: 0x80013cbb <- 0x412c2303 +mem-write: 0x80013cbf <- 0xf12a2303 +mem-write: 0x80013cc3 <- 0x61282303 +mem-write: 0x80013cc7 <- 0xce9f1302 +mem-write: 0x80013ccb <- 0xf506300 +mem-write: 0x80013ccf <- 0xc8063306 +mem-write: 0x80013cd3 <- 0xe7873340 +mem-write: 0x80013cd7 <- 0xc8333340 +mem-write: 0x80013cdb <- 0xe7b83300 +mem-write: 0x80013cdf <- 0x6063300 +mem-write: 0x80013ce3 <- 0x81341 +mem-write: 0x80013ce7 <- 0xe7f46300 +mem-write: 0x80013ceb <- 0x13b81300 +mem-write: 0x80013cef <- 0x68683300 +mem-write: 0x80013cf3 <- 0xb885b300 +mem-write: 0x80013cf7 <- 0xb8b8b340 +mem-write: 0x80013cfb <- 0x31300 +mem-write: 0x80013cff <- 0x585b300 +mem-write: 0x80013d03 <- 0x8046341 +mem-write: 0x80013d07 <- 0x12b31300 +mem-write: 0x80013d0b <- 0xa6853300 +mem-write: 0x80013d0f <- 0x13633340 +mem-write: 0x80013d13 <- 0x65033301 +mem-write: 0x80013d17 <- 0x612e2340 +mem-write: 0x80013d1b <- 0xb12c2302 +mem-write: 0x80013d1f <- 0xc12a2302 +mem-write: 0x80013d23 <- 0xe1282302 +mem-write: 0x80013d27 <- 0x9ff06f02 +mem-write: 0x80013d2b <- 0xf36333c4 +mem-write: 0x80013d2f <- 0x43633301 +mem-write: 0x80013d33 <- 0xd3633301 +mem-write: 0x80013d37 <- 0x5ff06f01 +mem-write: 0x80013d3b <- 0x10f93f1 +mem-write: 0x80013d3f <- 0xe9e6303 +mem-write: 0x80013d43 <- 0x31e6304 +mem-write: 0x80013d47 <- 0xe12e2302 +mem-write: 0x80013d4b <- 0x12c2303 +mem-write: 0x80013d4f <- 0x12a2302 +mem-write: 0x80013d53 <- 0x1282302 +mem-write: 0x80013d57 <- 0xc1079302 +mem-write: 0x80013d5b <- 0x7a70303 +mem-write: 0x80013d5f <- 0xc7a68300 +mem-write: 0x80013d63 <- 0xc78793ff +mem-write: 0x80013d67 <- 0x371713ff +mem-write: 0x80013d6b <- 0xd6d69300 +mem-write: 0x80013d6f <- 0xd7673301 +mem-write: 0x80013d73 <- 0xe7a22300 +mem-write: 0x80013d77 <- 0xff92e300 +mem-write: 0x80013d7b <- 0x5ff06ffe +mem-write: 0x80013d7f <- 0xf1282383 +mem-write: 0x80013d83 <- 0x12a2302 +mem-write: 0x80013d87 <- 0x112c2303 +mem-write: 0x80013d8b <- 0xd12e2303 +mem-write: 0x80013d8f <- 0xe091302 +mem-write: 0x80013d93 <- 0xff041300 +mem-write: 0x80013d97 <- 0xff06fff +mem-write: 0x80013d9b <- 0x31c638c +mem-write: 0x80013d9f <- 0xe1282300 +mem-write: 0x80013da3 <- 0xc12a2302 +mem-write: 0x80013da7 <- 0xb12c2302 +mem-write: 0x80013dab <- 0xa12e2302 +mem-write: 0x80013daf <- 0x5ff06f02 +mem-write: 0x80013db3 <- 0xe12e23fe +mem-write: 0x80013db7 <- 0x12c2303 +mem-write: 0x80013dbb <- 0x12a2302 +mem-write: 0x80013dbf <- 0x1282302 +mem-write: 0x80013dc3 <- 0xc1079302 +mem-write: 0x80013dc7 <- 0x7a70303 +mem-write: 0x80013dcb <- 0xc7a68300 +mem-write: 0x80013dcf <- 0xc78793ff +mem-write: 0x80013dd3 <- 0x371713ff +mem-write: 0x80013dd7 <- 0xd6d69300 +mem-write: 0x80013ddb <- 0xd7673301 +mem-write: 0x80013ddf <- 0xe7a22300 +mem-write: 0x80013de3 <- 0xff92e300 +mem-write: 0x80013de7 <- 0x8ff06ffe +mem-write: 0x80013deb <- 0xf70eb3fc +mem-write: 0x80013def <- 0x609b340 +mem-write: 0x80013df3 <- 0xd732b341 +mem-write: 0x80013df7 <- 0x363f3301 +mem-write: 0x80013dfb <- 0x5982b301 +mem-write: 0x80013dff <- 0xf9340 +mem-write: 0x80013e03 <- 0xd7746300 +mem-write: 0x80013e07 <- 0x19bf9301 +mem-write: 0x80013e0b <- 0x1583b300 +mem-write: 0x80013e0f <- 0xefefb341 +mem-write: 0x80013e13 <- 0x75bb3301 +mem-write: 0x80013e17 <- 0xf38ab300 +mem-write: 0x80013e1b <- 0xa1341 +mem-write: 0x80013e1f <- 0xf846300 +mem-write: 0x80013e23 <- 0x13ba1300 +mem-write: 0x80013e27 <- 0xd50f3300 +mem-write: 0x80013e2b <- 0x6a6a3340 +mem-write: 0x80013e2f <- 0x4f0f3301 +mem-write: 0x80013e33 <- 0xe12e2341 +mem-write: 0x80013e37 <- 0x512c2303 +mem-write: 0x80013e3b <- 0x512a2303 +mem-write: 0x80013e3f <- 0xd1282302 +mem-write: 0x80013e43 <- 0xcf1f9303 +mem-write: 0x80013e47 <- 0xfd06300 +mem-write: 0x80013e4b <- 0xc806330c +mem-write: 0x80013e4f <- 0xe7873340 +mem-write: 0x80013e53 <- 0xc83eb340 +mem-write: 0x80013e57 <- 0xe7b83300 +mem-write: 0x80013e5b <- 0x6063300 +mem-write: 0x80013e5f <- 0x81341 +mem-write: 0x80013e63 <- 0xe7f46300 +mem-write: 0x80013e67 <- 0x19b81300 +mem-write: 0x80013e6b <- 0xb885b300 +mem-write: 0x80013e6f <- 0xd8683340 +mem-write: 0x80013e73 <- 0xb8b8b301 +mem-write: 0x80013e77 <- 0x585b300 +mem-write: 0x80013e7b <- 0x8046341 +mem-write: 0x80013e7f <- 0x13b31300 +mem-write: 0x80013e83 <- 0xa6853300 +mem-write: 0x80013e87 <- 0x1366b340 +mem-write: 0x80013e8b <- 0xd506b301 +mem-write: 0x80013e8f <- 0xd12e2340 +mem-write: 0x80013e93 <- 0xb12c2302 +mem-write: 0x80013e97 <- 0xc12a2302 +mem-write: 0x80013e9b <- 0xe1282302 +mem-write: 0x80013e9f <- 0xe091302 +mem-write: 0x80013ea3 <- 0xc1250300 +mem-write: 0x80013ea7 <- 0x50a6303 +mem-write: 0x80013eab <- 0x1000ef06 +mem-write: 0x80013eaf <- 0x45079324 +mem-write: 0x80013eb3 <- 0x613ff +mem-write: 0x80013eb7 <- 0xf7f69302 +mem-write: 0x80013ebb <- 0xc7c73301 +mem-write: 0x80013ebf <- 0x68a6302 +mem-write: 0x80013ec3 <- 0xc0069308 +mem-write: 0x80013ec7 <- 0x10313ff +mem-write: 0x80013ecb <- 0x27151303 +mem-write: 0x80013ecf <- 0xc7e83300 +mem-write: 0x80013ed3 <- 0xd706b302 +mem-write: 0x80013ed7 <- 0x6063302 +mem-write: 0x80013edb <- 0xc6869341 +mem-write: 0x80013edf <- 0xd306b300 +mem-write: 0x80013ee3 <- 0xd3106300 +mem-write: 0x80013ee7 <- 0x106930a +mem-write: 0x80013eeb <- 0xa6853304 +mem-write: 0x80013eef <- 0x1268300 +mem-write: 0x80013ef3 <- 0xf7071303 +mem-write: 0x80013ef7 <- 0x696b3ff +mem-write: 0x80013efb <- 0xd5282301 +mem-write: 0x80013eff <- 0xf00613fe +mem-write: 0x80013f03 <- 0x80006fff +mem-write: 0x80013f07 <- 0x5eeeb30b +mem-write: 0x80013f0b <- 0x5eeeb300 +mem-write: 0x80013f0f <- 0xeeeeb301 +mem-write: 0x80013f13 <- 0xe8ee301 +mem-write: 0x80013f17 <- 0xdff06fd2 +mem-write: 0x80013f1b <- 0x812503f8 +mem-write: 0x80013f1f <- 0x5086303 +mem-write: 0x80013f23 <- 0x9000ef00 +mem-write: 0x80013f27 <- 0x505131c +mem-write: 0x80013f2b <- 0x5ff06f02 +mem-write: 0x80013f2f <- 0x412503f8 +mem-write: 0x80013f33 <- 0x5086303 +mem-write: 0x80013f37 <- 0x5000ef00 +mem-write: 0x80013f3b <- 0x505131b +mem-write: 0x80013f3f <- 0x1ff06f04 +mem-write: 0x80013f43 <- 0x12503f7 +mem-write: 0x80013f47 <- 0x5000ef03 +mem-write: 0x80013f4b <- 0x505131a +mem-write: 0x80013f4f <- 0x1ff06f06 +mem-write: 0x80013f53 <- 0xc00593f6 +mem-write: 0x80013f57 <- 0xb705b3ff +mem-write: 0x80013f5b <- 0xc1069302 +mem-write: 0x80013f5f <- 0x30061303 +mem-write: 0x80013f63 <- 0xb6853300 +mem-write: 0x80013f67 <- 0x5250300 +mem-write: 0x80013f6b <- 0xf6061300 +mem-write: 0x80013f6f <- 0xc68693ff +mem-write: 0x80013f73 <- 0xa6a223ff +mem-write: 0x80013f77 <- 0xe656e300 +mem-write: 0x80013f7b <- 0xf70713fe +mem-write: 0x80013f7f <- 0x1ff06fff +mem-write: 0x80013f83 <- 0xc6a583f8 +mem-write: 0x80013f87 <- 0x6a883ff +mem-write: 0x80013f8b <- 0xa68e3300 +mem-write: 0x80013f8f <- 0xc5d5b300 +mem-write: 0x80013f93 <- 0x898b300 +mem-write: 0x80013f97 <- 0x15e5b301 +mem-write: 0x80013f9b <- 0xbe202301 +mem-write: 0x80013f9f <- 0xc6869300 +mem-write: 0x80013fa3 <- 0x1ff06fff +mem-write: 0x80013fa7 <- 0x271693f4 +mem-write: 0x80013fab <- 0x1059300 +mem-write: 0x80013faf <- 0xd586b303 +mem-write: 0x80013fb3 <- 0x6a02300 +mem-write: 0x80013fb7 <- 0xf7071300 +mem-write: 0x80013fbb <- 0xc716e3ff +mem-write: 0x80013fbf <- 0x87cc63fe +mem-write: 0x80013fc3 <- 0x87843314 +mem-write: 0x80013fc7 <- 0x14041340 +mem-write: 0x80013fcb <- 0x71300 +mem-write: 0x80013fcf <- 0xe4453302 +mem-write: 0x80013fd3 <- 0x81302 +mem-write: 0x80013fd7 <- 0x79300 +mem-write: 0x80013fdb <- 0xa7ce6300 +mem-write: 0x80013fdf <- 0x5061304 +mem-write: 0x80013fe3 <- 0x5546300 +mem-write: 0x80013fe7 <- 0x61300 +mem-write: 0x80013feb <- 0xf4779300 +mem-write: 0x80013fef <- 0x25169301 +mem-write: 0x80013ff3 <- 0x1f906300 +VXDRV: upload 1024 bytes to 0x80013ff6 +mem-write: 0x80013ff6 <- 0x6130607 +mem-write: 0x80013ffa <- 0x7930030 +mem-write: 0x80013ffe <- 0x7130301 +mem-write: 0x80014002 <- 0x6330000 +mem-write: 0x80014006 <- 0x85b340a6 +mem-write: 0x8001400a <- 0xa58300d7 +mem-write: 0x8001400e <- 0x7130005 +mem-write: 0x80014012 <- 0x87930017 +mem-write: 0x80014016 <- 0xae230047 +mem-write: 0x8001401a <- 0x56e3feb7 +mem-write: 0x8001401e <- 0x713fee6 +mem-write: 0x80014022 <- 0x5330040 +mem-write: 0x80014026 <- 0x71340a7 +mem-write: 0x8001402a <- 0x54630010 +mem-write: 0x8001402e <- 0x71308a0 +mem-write: 0x80014032 <- 0x6f0005 +mem-write: 0x80014036 <- 0x97130800 +mem-write: 0x8001403a <- 0x6930027 +mem-write: 0x8001403e <- 0x87330301 +mem-write: 0x80014042 <- 0x270300e6 +mem-write: 0x80014046 <- 0x87930007 +mem-write: 0x8001404a <- 0x68330017 +mem-write: 0x8001404e <- 0xf06f00e8 +mem-write: 0x80014052 <- 0x593f8df +mem-write: 0x80014056 <- 0x64330200 +mem-write: 0x8001405a <- 0x79302b4 +mem-write: 0x8001405e <- 0x16130401 +mem-write: 0x80014062 <- 0x86330026 +mem-write: 0x80014066 <- 0x278300c7 +mem-write: 0x8001406a <- 0x713ff06 +mem-write: 0x8001406e <- 0x85b30000 +mem-write: 0x80014072 <- 0x97b34085 +mem-write: 0x80014076 <- 0x683300b7 +mem-write: 0x8001407a <- 0x79300f8 +mem-write: 0x8001407e <- 0x86b30301 +mem-write: 0x80014082 <- 0x79300d7 +mem-write: 0x80014086 <- 0x87b30030 +mem-write: 0x8001408a <- 0x869340a7 +mem-write: 0x8001408e <- 0x46630046 +mem-write: 0x80014092 <- 0x69302f7 +mem-write: 0x80014096 <- 0x97930401 +mem-write: 0x8001409a <- 0x87b30027 +mem-write: 0x8001409e <- 0x268300f6 +mem-write: 0x800140a2 <- 0x71303c1 +mem-write: 0x800140a6 <- 0x7330040 +mem-write: 0x800140aa <- 0xd43340a7 +mem-write: 0x800140ae <- 0xa8230086 +mem-write: 0x800140b2 <- 0x693fe87 +mem-write: 0x800140b6 <- 0x6f0030 +mem-write: 0x800140ba <- 0x18930440 +mem-write: 0x800140be <- 0x6130027 +mem-write: 0x800140c2 <- 0xa3030301 +mem-write: 0x800140c6 <- 0x8b30006 +mem-write: 0x800140ca <- 0xa6030116 +mem-write: 0x800140ce <- 0x1333ffc6 +mem-write: 0x800140d2 <- 0x71300b3 +mem-write: 0x800140d6 <- 0x56330017 +mem-write: 0x800140da <- 0x66330086 +mem-write: 0x800140de <- 0xa0230066 +mem-write: 0x800140e2 <- 0xf06f00c8 +mem-write: 0x800140e6 <- 0x1793fa9f +mem-write: 0x800140ea <- 0x6130027 +mem-write: 0x800140ee <- 0x7b30301 +mem-write: 0x800140f2 <- 0xa02300f6 +mem-write: 0x800140f6 <- 0x7130007 +mem-write: 0x800140fa <- 0xd6e30017 +mem-write: 0x800140fe <- 0x2703fee6 +mem-write: 0x80014102 <- 0x37b30301 +mem-write: 0x80014106 <- 0x4130100 +mem-write: 0x8001410a <- 0x67b30000 +mem-write: 0x8001410e <- 0x282300f7 +mem-write: 0x80014112 <- 0xe06f02f1 +mem-write: 0x80014116 <- 0x433d45f +mem-write: 0x8001411a <- 0x278340f4 +mem-write: 0x8001411e <- 0x73703c1 +mem-write: 0x80014122 <- 0x713fff8 +mem-write: 0x80014126 <- 0xf7b3fff7 +mem-write: 0x8001412a <- 0x2e2300e7 +mem-write: 0x8001412e <- 0xe06f02f1 +mem-write: 0x80014132 <- 0x2e23d29f +mem-write: 0x80014136 <- 0x2c230201 +mem-write: 0x8001413a <- 0x2a230201 +mem-write: 0x8001413e <- 0x28230201 +mem-write: 0x80014142 <- 0xe06f0201 +mem-write: 0x80014146 <- 0x2783d95f +mem-write: 0x8001414a <- 0x27030085 +mem-write: 0x8001414e <- 0x26830045 +mem-write: 0x80014152 <- 0x260300c5 +mem-write: 0x80014156 <- 0x1130005 +mem-write: 0x8001415a <- 0x2223fe01 +mem-write: 0x8001415e <- 0x242300e1 +mem-write: 0x80014162 <- 0x2c2300f1 +mem-write: 0x80014166 <- 0x473700f1 +mem-write: 0x8001416a <- 0x97930000 +mem-write: 0x8001416e <- 0xd5930016 +mem-write: 0x80014172 <- 0x20230117 +mem-write: 0x80014176 <- 0x262300c1 +mem-write: 0x8001417a <- 0x282300d1 +mem-write: 0x8001417e <- 0x79300c1 +mem-write: 0x80014182 <- 0x513ffe7 +mem-write: 0x80014186 <- 0xde630000 +mem-write: 0x8001418a <- 0x79300b7 +mem-write: 0x8001418e <- 0xd81301d7 +mem-write: 0x80014192 <- 0xdc6301f6 +mem-write: 0x80014196 <- 0x53700b7 +mem-write: 0x8001419a <- 0x45138000 +mem-write: 0x8001419e <- 0x533fff5 +mem-write: 0x800141a2 <- 0x11300a8 +mem-write: 0x800141a6 <- 0x80670201 +mem-write: 0x800141aa <- 0x96930000 +mem-write: 0x800141ae <- 0x7b70106 +mem-write: 0x800141b2 <- 0xd6930001 +mem-write: 0x800141b6 <- 0xe6b30106 +mem-write: 0x800141ba <- 0x79300f6 +mem-write: 0x800141be <- 0x87b306f7 +mem-write: 0x800141c2 <- 0xd71340b7 +mem-write: 0x800141c6 <- 0x2e234057 +mem-write: 0x800141ca <- 0xf79300d1 +mem-write: 0x800141ce <- 0x886301f7 +mem-write: 0x800141d2 <- 0x5130407 +mem-write: 0x800141d6 <- 0x8930200 +mem-write: 0x800141da <- 0x533ffe7 +mem-write: 0x800141de <- 0x171340f5 +mem-write: 0x800141e2 <- 0xe130027 +mem-write: 0x800141e6 <- 0x95330201 +mem-write: 0x800141ea <- 0x31300a6 +mem-write: 0x800141ee <- 0x5930000 +mem-write: 0x800141f2 <- 0xb8930000 +mem-write: 0x800141f6 <- 0x7330018 +mem-write: 0x800141fa <- 0xc46300ee +mem-write: 0x800141fe <- 0x4630515 +mem-write: 0x80014202 <- 0x28230003 +mem-write: 0x80014206 <- 0x959300c1 +mem-write: 0x8001420a <- 0x7130025 +mem-write: 0x8001420e <- 0x5b30201 +mem-write: 0x80014212 <- 0xd6b300b7 +mem-write: 0x80014216 <- 0xa82300f6 +mem-write: 0x8001421a <- 0x6ffed5 +mem-write: 0x8001421e <- 0x7930180 +mem-write: 0x80014222 <- 0x17130201 +mem-write: 0x80014226 <- 0x87330027 +mem-write: 0x8001422a <- 0x278300e7 +mem-write: 0x8001422e <- 0x2823ff07 +mem-write: 0x80014232 <- 0x250300f1 +mem-write: 0x80014236 <- 0x6e30101 +mem-write: 0x8001423a <- 0x533f608 +mem-write: 0x8001423e <- 0xf06f40a0 +mem-write: 0x80014242 <- 0x2603f65f +mem-write: 0x80014246 <- 0x313ff07 +mem-write: 0x8001424a <- 0x5930010 +mem-write: 0x8001424e <- 0x56330010 +mem-write: 0x80014252 <- 0x663300f6 +mem-write: 0x80014256 <- 0xf06f00a6 +mem-write: 0x8001425a <- 0x113fa5f +mem-write: 0x8001425e <- 0x2223fd01 +mem-write: 0x80014262 <- 0x26230291 +mem-write: 0x80014266 <- 0x24230211 +mem-write: 0x8001426a <- 0x20230281 +mem-write: 0x8001426e <- 0x4930321 +mem-write: 0x80014272 <- 0x80630005 +mem-write: 0x80014276 <- 0xd7931205 +mem-write: 0x8001427a <- 0xc43341f5 +mem-write: 0x8001427e <- 0x43300b7 +mem-write: 0x80014282 <- 0x51340f4 +mem-write: 0x80014286 <- 0xd9130004 +mem-write: 0x8001428a <- 0xef01f5 +mem-write: 0x8001428e <- 0x47376600 +mem-write: 0x80014292 <- 0x7130000 +mem-write: 0x80014296 <- 0x79301e7 +mem-write: 0x8001429a <- 0x5b30515 +mem-write: 0x8001429e <- 0x282340a7 +mem-write: 0x800142a2 <- 0xd7130081 +mem-write: 0x800142a6 <- 0x2a234057 +mem-write: 0x800142aa <- 0x2c230001 +mem-write: 0x800142ae <- 0x2e230001 +mem-write: 0x800142b2 <- 0xf7930001 +mem-write: 0x800142b6 <- 0x8c6301f7 +mem-write: 0x800142ba <- 0x6930207 +mem-write: 0x800142be <- 0x16630020 +mem-write: 0x800142c2 <- 0x6930cd7 +mem-write: 0x800142c6 <- 0x86b30200 +mem-write: 0x800142ca <- 0x56b340f6 +mem-write: 0x800142ce <- 0x2e2300d4 +mem-write: 0x800142d2 <- 0x69300d1 +mem-write: 0x800142d6 <- 0x613fff7 +mem-write: 0x800142da <- 0x17130201 +mem-write: 0x800142de <- 0x7330027 +mem-write: 0x800142e2 <- 0x17b300e6 +mem-write: 0x800142e6 <- 0x282300f4 +mem-write: 0x800142ea <- 0x6ffef7 +mem-write: 0x800142ee <- 0x7930340 +mem-write: 0x800142f2 <- 0x87b30030 +mem-write: 0x800142f6 <- 0x69340e7 +mem-write: 0x800142fa <- 0x97930201 +mem-write: 0x800142fe <- 0x87b30027 +mem-write: 0x80014302 <- 0xa78300f6 +mem-write: 0x80014306 <- 0x693ff07 +mem-write: 0x8001430a <- 0x2e230020 +mem-write: 0x8001430e <- 0x79300f1 +mem-write: 0x80014312 <- 0x16630020 +mem-write: 0x80014316 <- 0x2c2300f7 +mem-write: 0x8001431a <- 0x6930081 +mem-write: 0x8001431e <- 0x7930010 +mem-write: 0x80014322 <- 0x9713fff0 +mem-write: 0x80014326 <- 0x6130026 +mem-write: 0x8001432a <- 0x7330101 +mem-write: 0x8001432e <- 0x202300e6 +mem-write: 0x80014332 <- 0x86930007 +mem-write: 0x80014336 <- 0x96e3fff6 +mem-write: 0x8001433a <- 0x2783fef6 +mem-write: 0x8001433e <- 0x208301c1 +mem-write: 0x80014342 <- 0x240302c1 +mem-write: 0x80014346 <- 0x16230281 +mem-write: 0x8001434a <- 0x179300f1 +mem-write: 0x8001434e <- 0xe5b300f9 +mem-write: 0x80014352 <- 0x278300b7 +mem-write: 0x80014356 <- 0x17230101 +mem-write: 0x8001435a <- 0x290300b1 +mem-write: 0x8001435e <- 0xa0230201 +mem-write: 0x80014362 <- 0x278300f4 +mem-write: 0x80014366 <- 0x85130141 +mem-write: 0x8001436a <- 0xa2230004 +mem-write: 0x8001436e <- 0x278300f4 +mem-write: 0x80014372 <- 0xa4230181 +mem-write: 0x80014376 <- 0x278300f4 +mem-write: 0x8001437a <- 0xa62300c1 +mem-write: 0x8001437e <- 0x248300f4 +mem-write: 0x80014382 <- 0x1130241 +mem-write: 0x80014386 <- 0x80670301 +mem-write: 0x8001438a <- 0x7130000 +mem-write: 0x8001438e <- 0xf06f0030 +mem-write: 0x80014392 <- 0x2e23f45f +mem-write: 0x80014396 <- 0x2c230001 +mem-write: 0x8001439a <- 0x2a230001 +mem-write: 0x8001439e <- 0x28230001 +mem-write: 0x800143a2 <- 0x9130001 +mem-write: 0x800143a6 <- 0xf06f0000 +mem-write: 0x800143aa <- 0x5793f95f +mem-write: 0x800143ae <- 0x17130146 +mem-write: 0x800143b2 <- 0xf79300c6 +mem-write: 0x800143b6 <- 0x1137ff7 +mem-write: 0x800143ba <- 0x5713fd01 +mem-write: 0x800143be <- 0x869300c7 +mem-write: 0x800143c2 <- 0x24230017 +mem-write: 0x800143c6 <- 0x22230281 +mem-write: 0x800143ca <- 0x20230291 +mem-write: 0x800143ce <- 0x26230321 +mem-write: 0x800143d2 <- 0x28230211 +mem-write: 0x800143d6 <- 0x2a2300b1 +mem-write: 0x800143da <- 0x2e2300e1 +mem-write: 0x800143de <- 0x2c230001 +mem-write: 0x800143e2 <- 0xf6930001 +mem-write: 0x800143e6 <- 0x9137fe6 +mem-write: 0x800143ea <- 0x84130005 +mem-write: 0x800143ee <- 0x54930005 +mem-write: 0x800143f2 <- 0x826301f6 +VXDRV: upload 1024 bytes to 0x800143f6 +mem-write: 0x800143f6 <- 0x46b70806 +mem-write: 0x800143fa <- 0x86930000 +mem-write: 0x800143fe <- 0x87b3c006 +mem-write: 0x80014402 <- 0xd51300d7 +mem-write: 0x80014406 <- 0x56930045 +mem-write: 0x8001440a <- 0x17130047 +mem-write: 0x8001440e <- 0x673301c7 +mem-write: 0x80014412 <- 0x941300a7 +mem-write: 0x80014416 <- 0x2e2301c5 +mem-write: 0x8001441a <- 0x2c2300d1 +mem-write: 0x8001441e <- 0x2a2300e1 +mem-write: 0x80014422 <- 0x28230081 +mem-write: 0x80014426 <- 0x94930001 +mem-write: 0x8001442a <- 0xe7b300f4 +mem-write: 0x8001442e <- 0x172300f4 +mem-write: 0x80014432 <- 0x278300f1 +mem-write: 0x80014436 <- 0x27030101 +mem-write: 0x8001443a <- 0x208301c1 +mem-write: 0x8001443e <- 0x202302c1 +mem-write: 0x80014442 <- 0x278300f9 +mem-write: 0x80014446 <- 0x16230141 +mem-write: 0x8001444a <- 0x240300e1 +mem-write: 0x8001444e <- 0x22230281 +mem-write: 0x80014452 <- 0x278300f9 +mem-write: 0x80014456 <- 0x24830181 +mem-write: 0x8001445a <- 0x5130241 +mem-write: 0x8001445e <- 0x24230009 +mem-write: 0x80014462 <- 0x278300f9 +mem-write: 0x80014466 <- 0x262300c1 +mem-write: 0x8001446a <- 0x290300f9 +mem-write: 0x8001446e <- 0x1130201 +mem-write: 0x80014472 <- 0x80670301 +mem-write: 0x80014476 <- 0x65330000 +mem-write: 0x8001447a <- 0x946300b7 +mem-write: 0x8001447e <- 0x4e30e07 +mem-write: 0x80014482 <- 0xc63fa05 +mem-write: 0x80014486 <- 0x5130407 +mem-write: 0x8001448a <- 0xef0007 +mem-write: 0x8001448e <- 0x5934600 +mem-write: 0x80014492 <- 0xd7130315 +mem-write: 0x80014496 <- 0xf5934055 +mem-write: 0x8001449a <- 0x866301f5 +mem-write: 0x8001449e <- 0x6930405 +mem-write: 0x800144a2 <- 0x6b3ffc0 +mem-write: 0x800144a6 <- 0x31302d7 +mem-write: 0x800144aa <- 0x8130101 +mem-write: 0x800144ae <- 0x16130200 +mem-write: 0x800144b2 <- 0x8330027 +mem-write: 0x800144b6 <- 0x869340b8 +mem-write: 0x800144ba <- 0x6b300c6 +mem-write: 0x800144be <- 0x106300d3 +mem-write: 0x800144c2 <- 0x79308d3 +mem-write: 0x800144c6 <- 0x86330201 +mem-write: 0x800144ca <- 0x15b300c7 +mem-write: 0x800144ce <- 0x71300b4 +mem-write: 0x800144d2 <- 0x2823fff7 +mem-write: 0x800144d6 <- 0x6ffeb6 +mem-write: 0x800144da <- 0xef03c0 +mem-write: 0x800144de <- 0x5134100 +mem-write: 0x800144e2 <- 0xf06f0205 +mem-write: 0x800144e6 <- 0x613fadf +mem-write: 0x800144ea <- 0x633ffc0 +mem-write: 0x800144ee <- 0x79302c7 +mem-write: 0x800144f2 <- 0x69301c1 +mem-write: 0x800144f6 <- 0x85b30030 +mem-write: 0x800144fa <- 0xa58300c7 +mem-write: 0x800144fe <- 0x86930005 +mem-write: 0x80014502 <- 0x8793fff6 +mem-write: 0x80014506 <- 0xa223ffc7 +mem-write: 0x8001450a <- 0xd6e300b7 +mem-write: 0x8001450e <- 0x713fee6 +mem-write: 0x80014512 <- 0x693fff7 +mem-write: 0x80014516 <- 0x1793fff0 +mem-write: 0x8001451a <- 0x6130027 +mem-write: 0x8001451e <- 0x7b30101 +mem-write: 0x80014522 <- 0xa02300f6 +mem-write: 0x80014526 <- 0x7130007 +mem-write: 0x8001452a <- 0x16e3fff7 +mem-write: 0x8001452e <- 0x47b7fed7 +mem-write: 0x80014532 <- 0x87930000 +mem-write: 0x80014536 <- 0x87b3c0c7 +mem-write: 0x8001453a <- 0xf06f40a7 +mem-write: 0x8001453e <- 0xa783eedf +mem-write: 0x80014542 <- 0xa883ffc6 +mem-write: 0x80014546 <- 0x8e330006 +mem-write: 0x8001454a <- 0xd7b300c6 +mem-write: 0x8001454e <- 0x98b30107 +mem-write: 0x80014552 <- 0xe7b300b8 +mem-write: 0x80014556 <- 0x20230117 +mem-write: 0x8001455a <- 0x869300fe +mem-write: 0x8001455e <- 0xf06fffc6 +mem-write: 0x80014562 <- 0x87b7f61f +mem-write: 0x80014566 <- 0x8630000 +mem-write: 0x8001456a <- 0x17930205 +mem-write: 0x8001456e <- 0xd69301c7 +mem-write: 0x80014572 <- 0xe7b30045 +mem-write: 0x80014576 <- 0x2c2300d7 +mem-write: 0x8001457a <- 0x571300f1 +mem-write: 0x8001457e <- 0x87b70047 +mem-write: 0x80014582 <- 0x94130000 +mem-write: 0x80014586 <- 0x673301c5 +mem-write: 0x8001458a <- 0x2a2300f7 +mem-write: 0x8001458e <- 0x28230081 +mem-write: 0x80014592 <- 0x2e230001 +mem-write: 0x80014596 <- 0x879300e1 +mem-write: 0x8001459a <- 0xf06ffff7 +mem-write: 0x8001459e <- 0x2583e8df +mem-write: 0x800145a2 <- 0x278300c5 +mem-write: 0x800145a6 <- 0x27030085 +mem-write: 0x800145aa <- 0x1130045 +mem-write: 0x800145ae <- 0x2683fe01 +mem-write: 0x800145b2 <- 0x24230005 +mem-write: 0x800145b6 <- 0x2c2300f1 +mem-write: 0x800145ba <- 0x979300f1 +mem-write: 0x800145be <- 0x22230105 +mem-write: 0x800145c2 <- 0x2a2300e1 +mem-write: 0x800145c6 <- 0xd79300e1 +mem-write: 0x800145ca <- 0x97130107 +mem-write: 0x800145ce <- 0x26230015 +mem-write: 0x800145d2 <- 0x202300b1 +mem-write: 0x800145d6 <- 0x282300d1 +mem-write: 0x800145da <- 0x2e2300d1 +mem-write: 0x800145de <- 0x571300f1 +mem-write: 0x800145e2 <- 0xd5930117 +mem-write: 0x800145e6 <- 0x81301f5 +mem-write: 0x800145ea <- 0x6130101 +mem-write: 0x800145ee <- 0x278301c1 +mem-write: 0x800145f2 <- 0x26830006 +mem-write: 0x800145f6 <- 0x613ffc6 +mem-write: 0x800145fa <- 0x9793ffc6 +mem-write: 0x800145fe <- 0xd6930037 +mem-write: 0x80014602 <- 0xe7b301d6 +mem-write: 0x80014606 <- 0x222300d7 +mem-write: 0x8001460a <- 0x12e300f6 +mem-write: 0x8001460e <- 0x2683fec8 +mem-write: 0x80014612 <- 0x7930101 +mem-write: 0x80014616 <- 0x95130017 +mem-write: 0x8001461a <- 0x86b70036 +mem-write: 0x8001461e <- 0x86930000 +mem-write: 0x80014622 <- 0x2823ffe6 +mem-write: 0x80014626 <- 0xf7b300a1 +mem-write: 0x8001462a <- 0x846300d7 +mem-write: 0x8001462e <- 0xc7b71c07 +mem-write: 0x80014632 <- 0x8793ffff +mem-write: 0x80014636 <- 0x7334007 +mem-write: 0x8001463a <- 0x79300f7 +mem-write: 0x8001463e <- 0xc6637fe0 +mem-write: 0x80014642 <- 0x586320e7 +mem-write: 0x80014646 <- 0x280306e0 +mem-write: 0x8001464a <- 0x26030181 +mem-write: 0x8001464e <- 0x278301c1 +mem-write: 0x80014652 <- 0x56930141 +mem-write: 0x80014656 <- 0x161301c8 +mem-write: 0x8001465a <- 0x66330046 +mem-write: 0x8001465e <- 0x969300d6 +mem-write: 0x80014662 <- 0xe6b30047 +mem-write: 0x80014666 <- 0xd79300a6 +mem-write: 0x8001466a <- 0x181301c7 +mem-write: 0x8001466e <- 0x36b30048 +mem-write: 0x80014672 <- 0xe7b300d0 +mem-write: 0x80014676 <- 0xe6b30107 +mem-write: 0x8001467a <- 0x2a2300f6 +mem-write: 0x8001467e <- 0x282300c1 +mem-write: 0x80014682 <- 0x268300d1 +mem-write: 0x80014686 <- 0x27830101 +mem-write: 0x8001468a <- 0xf6130141 +mem-write: 0x8001468e <- 0x4630076 +mem-write: 0x80014692 <- 0xf6131c06 +mem-write: 0x80014696 <- 0x51300f6 +mem-write: 0x8001469a <- 0xe630040 +mem-write: 0x8001469e <- 0x86131aa6 +mem-write: 0x800146a2 <- 0x36b30046 +mem-write: 0x800146a6 <- 0x87b300d6 +mem-write: 0x800146aa <- 0x69300d7 +mem-write: 0x800146ae <- 0x6f0006 +mem-write: 0x800146b2 <- 0x7931a80 +mem-write: 0x800146b6 <- 0x5c63fcc0 +mem-write: 0x800146ba <- 0x2a2300f7 +mem-write: 0x800146be <- 0x7930001 +mem-write: 0x800146c2 <- 0x28230010 +mem-write: 0x800146c6 <- 0x71300f1 +mem-write: 0x800146ca <- 0xf06f0000 +mem-write: 0x800146ce <- 0x2783fb9f +mem-write: 0x800146d2 <- 0xf3701c1 +mem-write: 0x800146d6 <- 0x6930008 +mem-write: 0x800146da <- 0x6f3303d0 +mem-write: 0x800146de <- 0x86b300ff +mem-write: 0x800146e2 <- 0x2e2340e6 +mem-write: 0x800146e6 <- 0xde9301e1 +mem-write: 0x800146ea <- 0x7134056 +mem-write: 0x800146ee <- 0x7930008 +mem-write: 0x800146f2 <- 0xe130000 +mem-write: 0x800146f6 <- 0x25030000 +mem-write: 0x800146fa <- 0x87930007 +mem-write: 0x800146fe <- 0x7130017 +mem-write: 0x80014702 <- 0x6e330047 +mem-write: 0x80014706 <- 0x98e300ae +mem-write: 0x8001470a <- 0xf713fefe +mem-write: 0x8001470e <- 0x969301f6 +mem-write: 0x80014712 <- 0x1063002e +mem-write: 0x80014716 <- 0x7130407 +mem-write: 0x8001471a <- 0x7930030 +mem-write: 0x8001471e <- 0x7330000 +mem-write: 0x80014722 <- 0x53341d7 +mem-write: 0x80014726 <- 0x250300d6 +mem-write: 0x8001472a <- 0x87930005 +mem-write: 0x8001472e <- 0x6130017 +mem-write: 0x80014732 <- 0x2e230046 +mem-write: 0x80014736 <- 0x56e3fea6 +mem-write: 0x8001473a <- 0x713fef7 +mem-write: 0x8001473e <- 0x7330040 +mem-write: 0x80014742 <- 0x79341d7 +mem-write: 0x80014746 <- 0x5e630010 +mem-write: 0x8001474a <- 0x79304e0 +mem-write: 0x8001474e <- 0x6f0007 +mem-write: 0x80014752 <- 0x7930540 +mem-write: 0x80014756 <- 0x87b30201 +mem-write: 0x8001475a <- 0xa78300d7 +mem-write: 0x8001475e <- 0x313ff07 +mem-write: 0x80014762 <- 0x3330200 +mem-write: 0x80014766 <- 0x97b340e3 +mem-write: 0x8001476a <- 0x6330067 +mem-write: 0x8001476e <- 0x69300d8 +mem-write: 0x80014772 <- 0x6e330030 +mem-write: 0x80014776 <- 0x89300fe +mem-write: 0x8001477a <- 0x86b30000 +mem-write: 0x8001477e <- 0x61341d6 +mem-write: 0x80014782 <- 0xc4630046 +mem-write: 0x80014786 <- 0x969304d8 +mem-write: 0x8001478a <- 0x6130026 +mem-write: 0x8001478e <- 0x7930201 +mem-write: 0x80014792 <- 0x6b30040 +mem-write: 0x80014796 <- 0x573300d6 +mem-write: 0x8001479a <- 0x87b300ef +mem-write: 0x8001479e <- 0xa82341d7 +mem-write: 0x800147a2 <- 0x693fee6 +mem-write: 0x800147a6 <- 0x97130040 +mem-write: 0x800147aa <- 0x7330027 +mem-write: 0x800147ae <- 0x202300e8 +mem-write: 0x800147b2 <- 0x87930007 +mem-write: 0x800147b6 <- 0x98e30017 +mem-write: 0x800147ba <- 0x2703fed7 +mem-write: 0x800147be <- 0x37b30101 +mem-write: 0x800147c2 <- 0x67b301c0 +mem-write: 0x800147c6 <- 0xf06f00f7 +mem-write: 0x800147ca <- 0x2503efdf +mem-write: 0x800147ce <- 0x2f83ffc6 +mem-write: 0x800147d2 <- 0x97930006 +mem-write: 0x800147d6 <- 0x55330028 +mem-write: 0x800147da <- 0x9fb300e5 +mem-write: 0x800147de <- 0x7b3006f +mem-write: 0x800147e2 <- 0x653300f8 +mem-write: 0x800147e6 <- 0xa02301f5 +mem-write: 0x800147ea <- 0x889300a7 +mem-write: 0x800147ee <- 0xf06f0018 +mem-write: 0x800147f2 <- 0x2603f91f +VXDRV: upload 1024 bytes to 0x800147f6 +mem-write: 0x800147f6 <- 0x27830141 +mem-write: 0x800147fa <- 0x28030181 +mem-write: 0x800147fe <- 0x66b301c1 +mem-write: 0x80014802 <- 0xe6b300f6 +mem-write: 0x80014806 <- 0xe6b30106 +mem-write: 0x8001480a <- 0x186300a6 +mem-write: 0x8001480e <- 0x36b30007 +mem-write: 0x80014812 <- 0x79300d0 +mem-write: 0x80014816 <- 0xf06f0000 +mem-write: 0x8001481a <- 0x8e63e75f +mem-write: 0x8001481e <- 0x56930a06 +mem-write: 0x80014822 <- 0x181301c6 +mem-write: 0x80014826 <- 0x96130048 +mem-write: 0x8001482a <- 0xd7930047 +mem-write: 0x8001482e <- 0x73701c7 +mem-write: 0x80014832 <- 0xe6b30040 +mem-write: 0x80014836 <- 0xe7b300c6 +mem-write: 0x8001483a <- 0xe7b30107 +mem-write: 0x8001483e <- 0xf69300e7 +mem-write: 0x80014842 <- 0x713ff86 +mem-write: 0x80014846 <- 0xf06f7ff0 +mem-write: 0x8001484a <- 0x793e45f +mem-write: 0x8001484e <- 0x6930000 +mem-write: 0x80014852 <- 0x7130000 +mem-write: 0x80014856 <- 0x96137ff0 +mem-write: 0x8001485a <- 0x5e630087 +mem-write: 0x8001485e <- 0x7130006 +mem-write: 0x80014862 <- 0x6130017 +mem-write: 0x80014866 <- 0xc637ff0 +mem-write: 0x8001486a <- 0x63706c7 +mem-write: 0x8001486e <- 0x613ff80 +mem-write: 0x80014872 <- 0xf7b3fff6 +mem-write: 0x80014876 <- 0x961300c7 +mem-write: 0x8001487a <- 0xd69301d7 +mem-write: 0x8001487e <- 0x66b30036 +mem-write: 0x80014882 <- 0x61300d6 +mem-write: 0x80014886 <- 0xd7937ff0 +mem-write: 0x8001488a <- 0x1e630037 +mem-write: 0x8001488e <- 0xe6b300c7 +mem-write: 0x80014892 <- 0x79300f6 +mem-write: 0x80014896 <- 0x88630000 +mem-write: 0x8001489a <- 0x7b70006 +mem-write: 0x8001489e <- 0x6930008 +mem-write: 0x800148a2 <- 0x5930000 +mem-write: 0x800148a6 <- 0x17130000 +mem-write: 0x800148aa <- 0x6370147 +mem-write: 0x800148ae <- 0x97937ff0 +mem-write: 0x800148b2 <- 0x773300c7 +mem-write: 0x800148b6 <- 0xd79300c7 +mem-write: 0x800148ba <- 0x959300c7 +mem-write: 0x800148be <- 0x67b301f5 +mem-write: 0x800148c2 <- 0xe73300f7 +mem-write: 0x800148c6 <- 0x851300b7 +mem-write: 0x800148ca <- 0x5930006 +mem-write: 0x800148ce <- 0x1130007 +mem-write: 0x800148d2 <- 0x80670201 +mem-write: 0x800148d6 <- 0x7930000 +mem-write: 0x800148da <- 0xf06f0000 +mem-write: 0x800148de <- 0x793f79f +mem-write: 0x800148e2 <- 0x6930000 +mem-write: 0x800148e6 <- 0xf06f0000 +mem-write: 0x800148ea <- 0x7b7f91f +mem-write: 0x800148ee <- 0x7a630001 +mem-write: 0x800148f2 <- 0x79302f5 +mem-write: 0x800148f6 <- 0xb7b30ff0 +mem-write: 0x800148fa <- 0x979300a7 +mem-write: 0x800148fe <- 0x57370037 +mem-write: 0x80014902 <- 0x6938001 +mem-write: 0x80014906 <- 0x86b30200 +mem-write: 0x8001490a <- 0x553340f6 +mem-write: 0x8001490e <- 0x79300f5 +mem-write: 0x80014912 <- 0x85336b47 +mem-write: 0x80014916 <- 0x450300a7 +mem-write: 0x8001491a <- 0x85330005 +mem-write: 0x8001491e <- 0x806740a6 +mem-write: 0x80014922 <- 0x7370000 +mem-write: 0x80014926 <- 0x7930100 +mem-write: 0x8001492a <- 0x6ae30100 +mem-write: 0x8001492e <- 0x793fce5 +mem-write: 0x80014932 <- 0xf06f0180 +mem-write: 0x80014936 <- 0x5245fcdf +mem-write: 0x8001493a <- 0x3a524f52 +mem-write: 0x8001493e <- 0x6e755f20 +mem-write: 0x80014942 <- 0x6b6e696c +mem-write: 0x80014946 <- 0x746f6e20 +mem-write: 0x8001494a <- 0x74657920 +mem-write: 0x8001494e <- 0x706d6920 +mem-write: 0x80014952 <- 0x656d656c +mem-write: 0x80014956 <- 0x6465746e +mem-write: 0x8001495a <- 0x5245000a +mem-write: 0x8001495e <- 0x3a524f52 +mem-write: 0x80014962 <- 0x696c5f20 +mem-write: 0x80014966 <- 0x6e206b6e +mem-write: 0x8001496a <- 0x7920746f +mem-write: 0x8001496e <- 0x69207465 +mem-write: 0x80014972 <- 0x656c706d +mem-write: 0x80014976 <- 0x746e656d +mem-write: 0x8001497a <- 0xa6465 +mem-write: 0x8001497e <- 0x300000 +mem-write: 0x80014982 <- 0x310000 +mem-write: 0x80014986 <- 0x320000 +mem-write: 0x8001498a <- 0x330000 +mem-write: 0x8001498e <- 0x340000 +mem-write: 0x80014992 <- 0x350000 +mem-write: 0x80014996 <- 0x360000 +mem-write: 0x8001499a <- 0x370000 +mem-write: 0x8001499e <- 0x380000 +mem-write: 0x800149a2 <- 0x390000 +mem-write: 0x800149a6 <- 0x610000 +mem-write: 0x800149aa <- 0x620000 +mem-write: 0x800149ae <- 0x630000 +mem-write: 0x800149b2 <- 0x640000 +mem-write: 0x800149b6 <- 0x650000 +mem-write: 0x800149ba <- 0x660000 +mem-write: 0x800149be <- 0x49800000 +mem-write: 0x800149c2 <- 0x49848001 +mem-write: 0x800149c6 <- 0x49888001 +mem-write: 0x800149ca <- 0x498c8001 +mem-write: 0x800149ce <- 0x49908001 +mem-write: 0x800149d2 <- 0x49948001 +mem-write: 0x800149d6 <- 0x49988001 +mem-write: 0x800149da <- 0x499c8001 +mem-write: 0x800149de <- 0x49a08001 +mem-write: 0x800149e2 <- 0x49a48001 +mem-write: 0x800149e6 <- 0x49a88001 +mem-write: 0x800149ea <- 0x49ac8001 +mem-write: 0x800149ee <- 0x49b08001 +mem-write: 0x800149f2 <- 0x49b48001 +mem-write: 0x800149f6 <- 0x49b88001 +mem-write: 0x800149fa <- 0x49bc8001 +mem-write: 0x800149fe <- 0x64258001 +mem-write: 0x80014a02 <- 0x656b000a +mem-write: 0x80014a06 <- 0x6c656e72 +mem-write: 0x80014a0a <- 0x65786520 +mem-write: 0x80014a0e <- 0x69747563 +mem-write: 0x80014a12 <- 0x2e2e676e +mem-write: 0x80014a16 <- 0xa2e +mem-write: 0x80014a1a <- 0x656b0000 +mem-write: 0x80014a1e <- 0x6c656e72 +mem-write: 0x80014a22 <- 0x6e6f6420 +mem-write: 0x80014a26 <- 0xa2e65 +mem-write: 0x80014a2a <- 0x4e490000 +mem-write: 0x80014a2e <- 0x6e690046 +mem-write: 0x80014a32 <- 0x414e0066 +mem-write: 0x80014a36 <- 0x616e004e +mem-write: 0x80014a3a <- 0x3130006e +mem-write: 0x80014a3e <- 0x35343332 +mem-write: 0x80014a42 <- 0x39383736 +mem-write: 0x80014a46 <- 0x64636261 +mem-write: 0x80014a4a <- 0x6665 +mem-write: 0x80014a4e <- 0x31300000 +mem-write: 0x80014a52 <- 0x35343332 +mem-write: 0x80014a56 <- 0x39383736 +mem-write: 0x80014a5a <- 0x44434241 +mem-write: 0x80014a5e <- 0x4645 +mem-write: 0x80014a62 <- 0x6e280000 +mem-write: 0x80014a66 <- 0x296c6c75 +mem-write: 0x80014a6a <- 0x1b080000 +mem-write: 0x80014a6e <- 0x11888000 +mem-write: 0x80014a72 <- 0x11888000 +mem-write: 0x80014a76 <- 0x1afc8000 +mem-write: 0x80014a7a <- 0x11888000 +mem-write: 0x80014a7e <- 0x11888000 +mem-write: 0x80014a82 <- 0x11888000 +mem-write: 0x80014a86 <- 0x137c8000 +mem-write: 0x80014a8a <- 0x11888000 +mem-write: 0x80014a8e <- 0x11888000 +mem-write: 0x80014a92 <- 0x1ad88000 +mem-write: 0x80014a96 <- 0x1a788000 +mem-write: 0x80014a9a <- 0x11888000 +mem-write: 0x80014a9e <- 0x19648000 +mem-write: 0x80014aa2 <- 0x1a948000 +mem-write: 0x80014aa6 <- 0x11888000 +mem-write: 0x80014aaa <- 0x1a888000 +mem-write: 0x80014aae <- 0x11588000 +mem-write: 0x80014ab2 <- 0x11588000 +mem-write: 0x80014ab6 <- 0x11588000 +mem-write: 0x80014aba <- 0x11588000 +mem-write: 0x80014abe <- 0x11588000 +mem-write: 0x80014ac2 <- 0x11588000 +mem-write: 0x80014ac6 <- 0x11588000 +mem-write: 0x80014aca <- 0x11588000 +mem-write: 0x80014ace <- 0x11588000 +mem-write: 0x80014ad2 <- 0x11888000 +mem-write: 0x80014ad6 <- 0x11888000 +mem-write: 0x80014ada <- 0x11888000 +mem-write: 0x80014ade <- 0x11888000 +mem-write: 0x80014ae2 <- 0x11888000 +mem-write: 0x80014ae6 <- 0x11888000 +mem-write: 0x80014aea <- 0x11888000 +mem-write: 0x80014aee <- 0x13f88000 +mem-write: 0x80014af2 <- 0x11888000 +mem-write: 0x80014af6 <- 0x18b48000 +mem-write: 0x80014afa <- 0x1b988000 +mem-write: 0x80014afe <- 0x13f88000 +mem-write: 0x80014b02 <- 0x13f88000 +mem-write: 0x80014b06 <- 0x13f88000 +mem-write: 0x80014b0a <- 0x11888000 +mem-write: 0x80014b0e <- 0x11888000 +mem-write: 0x80014b12 <- 0x11888000 +mem-write: 0x80014b16 <- 0x11888000 +mem-write: 0x80014b1a <- 0x1b8c8000 +mem-write: 0x80014b1e <- 0x11888000 +mem-write: 0x80014b22 <- 0x11888000 +mem-write: 0x80014b26 <- 0x1b208000 +mem-write: 0x80014b2a <- 0x11888000 +mem-write: 0x80014b2e <- 0x11888000 +mem-write: 0x80014b32 <- 0x11888000 +mem-write: 0x80014b36 <- 0x18e08000 +mem-write: 0x80014b3a <- 0x11888000 +mem-write: 0x80014b3e <- 0x1b588000 +mem-write: 0x80014b42 <- 0x11888000 +mem-write: 0x80014b46 <- 0x11888000 +mem-write: 0x80014b4a <- 0x26548000 +mem-write: 0x80014b4e <- 0x11888000 +mem-write: 0x80014b52 <- 0x11888000 +mem-write: 0x80014b56 <- 0x11888000 +mem-write: 0x80014b5a <- 0x11888000 +mem-write: 0x80014b5e <- 0x11888000 +mem-write: 0x80014b62 <- 0x11888000 +mem-write: 0x80014b66 <- 0x11888000 +mem-write: 0x80014b6a <- 0x11888000 +mem-write: 0x80014b6e <- 0x13f88000 +mem-write: 0x80014b72 <- 0x11888000 +mem-write: 0x80014b76 <- 0x18b48000 +mem-write: 0x80014b7a <- 0x24c88000 +mem-write: 0x80014b7e <- 0x13f88000 +mem-write: 0x80014b82 <- 0x13f88000 +mem-write: 0x80014b86 <- 0x13f88000 +mem-write: 0x80014b8a <- 0x1a648000 +mem-write: 0x80014b8e <- 0x24c88000 +mem-write: 0x80014b92 <- 0x13c08000 +mem-write: 0x80014b96 <- 0x11888000 +mem-write: 0x80014b9a <- 0x19c08000 +mem-write: 0x80014b9e <- 0x11888000 +mem-write: 0x80014ba2 <- 0x19708000 +mem-write: 0x80014ba6 <- 0x26688000 +mem-write: 0x80014baa <- 0x19d48000 +mem-write: 0x80014bae <- 0x13c08000 +mem-write: 0x80014bb2 <- 0x11888000 +mem-write: 0x80014bb6 <- 0x18e08000 +mem-write: 0x80014bba <- 0x13b88000 +mem-write: 0x80014bbe <- 0x25e88000 +mem-write: 0x80014bc2 <- 0x11888000 +mem-write: 0x80014bc6 <- 0x11888000 +mem-write: 0x80014bca <- 0x25f48000 +mem-write: 0x80014bce <- 0x11888000 +mem-write: 0x80014bd2 <- 0x13b88000 +mem-write: 0x80014bd6 <- 0x20208000 +mem-write: 0x80014bda <- 0x20202020 +mem-write: 0x80014bde <- 0x20202020 +mem-write: 0x80014be2 <- 0x20202020 +mem-write: 0x80014be6 <- 0x30302020 +mem-write: 0x80014bea <- 0x30303030 +mem-write: 0x80014bee <- 0x30303030 +mem-write: 0x80014bf2 <- 0x30303030 +VXDRV: upload 1024 bytes to 0x80014bf6 +mem-write: 0x80014bf6 <- 0x4e203030 +mem-write: 0x80014bfa <- 0x204e61 +mem-write: 0x80014bfe <- 0x2d200000 +mem-write: 0x80014c02 <- 0x69666e49 +mem-write: 0x80014c06 <- 0x7974696e +mem-write: 0x80014c0a <- 0x49200020 +mem-write: 0x80014c0e <- 0x6e69666e +mem-write: 0x80014c12 <- 0x20797469 +mem-write: 0x80014c16 <- 0x614e0000 +mem-write: 0x80014c1a <- 0x2545004e +mem-write: 0x80014c1e <- 0x64 +mem-write: 0x80014c22 <- 0x0 +mem-write: 0x80014c26 <- 0x0 +mem-write: 0x80014c2a <- 0x0 +mem-write: 0x80014c2e <- 0x0 +mem-write: 0x80014c32 <- 0x0 +mem-write: 0x80014c36 <- 0x0 +mem-write: 0x80014c3a <- 0x0 +mem-write: 0x80014c3e <- 0x0 +mem-write: 0x80014c42 <- 0x80000000 +mem-write: 0x80014c46 <- 0x65763fff +mem-write: 0x80014c4a <- 0x804a4a92 +mem-write: 0x80014c4e <- 0xc94c153f +mem-write: 0x80014c52 <- 0x8a20979a +mem-write: 0x80014c56 <- 0xc4605202 +mem-write: 0x80014c5a <- 0x6a327525 +mem-write: 0x80014c5e <- 0x329ace52 +mem-write: 0x80014c62 <- 0xa74d28ce +mem-write: 0x80014c66 <- 0xc53d5de4 +mem-write: 0x80014c6a <- 0x9e8b3b5d +mem-write: 0x80014c6e <- 0x526c5a92 +mem-write: 0x80014c72 <- 0xf18b50ce +mem-write: 0x80014c76 <- 0x650d3d28 +mem-write: 0x80014c7a <- 0x81750c17 +mem-write: 0x80014c7e <- 0xc9767586 +mem-write: 0x80014c82 <- 0x9c664d48 +mem-write: 0x80014c86 <- 0xbc5058f8 +mem-write: 0x80014c8a <- 0xcc655c54 +mem-write: 0x80014c8e <- 0xa60e91c6 +mem-write: 0x80014c92 <- 0xe319a0ae +mem-write: 0x80014c96 <- 0x851e46a3 +mem-write: 0x80014c9a <- 0x98feeab7 +mem-write: 0x80014c9e <- 0xddbb901b +mem-write: 0x80014ca2 <- 0x9df9de8d +mem-write: 0x80014ca6 <- 0xaa7eebfb +mem-write: 0x80014caa <- 0x2354351 +mem-write: 0x80014cae <- 0x36b10137 +mem-write: 0x80014cb2 <- 0xc66f336c +mem-write: 0x80014cb6 <- 0x80e98cdf +mem-write: 0x80014cba <- 0x93ba47c9 +mem-write: 0x80014cbe <- 0x50f841a8 +mem-write: 0x80014cc2 <- 0xc76b25fb +mem-write: 0x80014cc6 <- 0x3cbf6b71 +mem-write: 0x80014cca <- 0xffcfa6d5 +mem-write: 0x80014cce <- 0xc2781f49 +mem-write: 0x80014cd2 <- 0x40d3 +mem-write: 0x80014cd6 <- 0x0 +mem-write: 0x80014cda <- 0xf0200000 +mem-write: 0x80014cde <- 0x2b70b59d +mem-write: 0x80014ce2 <- 0x9dc5ada8 +mem-write: 0x80014ce6 <- 0x4069 +mem-write: 0x80014cea <- 0x0 +mem-write: 0x80014cee <- 0x0 +mem-write: 0x80014cf2 <- 0x4000000 +mem-write: 0x80014cf6 <- 0x8e1bc9bf +mem-write: 0x80014cfa <- 0x4034 +mem-write: 0x80014cfe <- 0x0 +mem-write: 0x80014d02 <- 0x0 +mem-write: 0x80014d06 <- 0x0 +mem-write: 0x80014d0a <- 0xbebc2000 +mem-write: 0x80014d0e <- 0x4019 +mem-write: 0x80014d12 <- 0x0 +mem-write: 0x80014d16 <- 0x0 +mem-write: 0x80014d1a <- 0x0 +mem-write: 0x80014d1e <- 0x9c400000 +mem-write: 0x80014d22 <- 0x400c +mem-write: 0x80014d26 <- 0x0 +mem-write: 0x80014d2a <- 0x0 +mem-write: 0x80014d2e <- 0x0 +mem-write: 0x80014d32 <- 0xc8000000 +mem-write: 0x80014d36 <- 0x4005 +mem-write: 0x80014d3a <- 0x0 +mem-write: 0x80014d3e <- 0x0 +mem-write: 0x80014d42 <- 0x0 +mem-write: 0x80014d46 <- 0xa0000000 +mem-write: 0x80014d4a <- 0x20304002 +mem-write: 0x80014d4e <- 0xa1c3cffc +mem-write: 0x80014d52 <- 0x2de38123 +mem-write: 0x80014d56 <- 0xd2ce9fde +mem-write: 0x80014d5a <- 0xa6dd04c8 +mem-write: 0x80014d5e <- 0x82640ad8 +mem-write: 0x80014d62 <- 0xf2ead2cb +mem-write: 0x80014d66 <- 0x492512d4 +mem-write: 0x80014d6a <- 0x34362de4 +mem-write: 0x80014d6e <- 0xceae534f +mem-write: 0x80014d72 <- 0xf53f256b +mem-write: 0x80014d76 <- 0x6bd3f698 +mem-write: 0x80014d7a <- 0x87a60158 +mem-write: 0x80014d7e <- 0xda57c0bd +mem-write: 0x80014d82 <- 0xa2a682a5 +mem-write: 0x80014d86 <- 0xe73132b5 +mem-write: 0x80014d8a <- 0xe3f204d4 +mem-write: 0x80014d8e <- 0x7132d332 +mem-write: 0x80014d92 <- 0xdb23d21c +mem-write: 0x80014d96 <- 0x9049ee32 +mem-write: 0x80014d9a <- 0xa23e395a +mem-write: 0x80014d9e <- 0xfefb5308 +mem-write: 0x80014da2 <- 0xfa911155 +mem-write: 0x80014da6 <- 0x637a1939 +mem-write: 0x80014daa <- 0xc0314325 +mem-write: 0x80014dae <- 0xe26d3cac +mem-write: 0x80014db2 <- 0xd05ddbde +mem-write: 0x80014db6 <- 0xac7cb3f6 +mem-write: 0x80014dba <- 0x64bce4a0 +mem-write: 0x80014dbe <- 0xddd0467c +mem-write: 0x80014dc2 <- 0x2a203e55 +mem-write: 0x80014dc6 <- 0x47b36224 +mem-write: 0x80014dca <- 0x3f2398d7 +mem-write: 0x80014dce <- 0xa539e9a5 +mem-write: 0x80014dd2 <- 0xa87fea27 +mem-write: 0x80014dd6 <- 0xb5b3f2a +mem-write: 0x80014dda <- 0xa5814af2 +mem-write: 0x80014dde <- 0x67de18ed +mem-write: 0x80014de2 <- 0x453994ba +mem-write: 0x80014de6 <- 0xcfb11ead +mem-write: 0x80014dea <- 0xbf713f94 +mem-write: 0x80014dee <- 0x7989a9b3 +mem-write: 0x80014df2 <- 0x4c2ebe68 +mem-write: 0x80014df6 <- 0xc44de15b +mem-write: 0x80014dfa <- 0xe69594be +mem-write: 0x80014dfe <- 0x3d4d3fc9 +mem-write: 0x80014e02 <- 0x36ba7c3d +mem-write: 0x80014e06 <- 0xfdc20d2b +mem-write: 0x80014e0a <- 0x8461cefc +mem-write: 0x80014e0e <- 0xabcc7711 +mem-write: 0x80014e12 <- 0xc1553fe4 +mem-write: 0x80014e16 <- 0x404ea4a8 +mem-write: 0x80014e1a <- 0xd3c36113 +mem-write: 0x80014e1e <- 0xe219652b +mem-write: 0x80014e22 <- 0xd1b71758 +mem-write: 0x80014e26 <- 0xd70a3ff1 +mem-write: 0x80014e2a <- 0xa3d70a3 +mem-write: 0x80014e2e <- 0x3d70a3d7 +mem-write: 0x80014e32 <- 0x70a3d70a +mem-write: 0x80014e36 <- 0xa3d70a3d +mem-write: 0x80014e3a <- 0xcccd3ff8 +mem-write: 0x80014e3e <- 0xcccccccc +mem-write: 0x80014e42 <- 0xcccccccc +mem-write: 0x80014e46 <- 0xcccccccc +mem-write: 0x80014e4a <- 0xcccccccc +mem-write: 0x80014e4e <- 0xffff3ffb +mem-write: 0x80014e52 <- 0xfffcfffe +mem-write: 0x80014e56 <- 0xfff0fff8 +mem-write: 0x80014e5a <- 0xffc0ffe0 +mem-write: 0x80014e5e <- 0xff00ff80 +mem-write: 0x80014e62 <- 0xfc00fe00 +mem-write: 0x80014e66 <- 0xf000f800 +mem-write: 0x80014e6a <- 0xc000e000 +mem-write: 0x80014e6e <- 0x8000 +mem-write: 0x80014e72 <- 0x61420000 +mem-write: 0x80014e76 <- 0x636f6c6c +mem-write: 0x80014e7a <- 0x63757320 +mem-write: 0x80014e7e <- 0x64656563 +mem-write: 0x80014e82 <- 0x6465 +mem-write: 0x80014e86 <- 0x682f0000 +mem-write: 0x80014e8a <- 0x2f656d6f +mem-write: 0x80014e8e <- 0x69616c62 +mem-write: 0x80014e92 <- 0x642f6573 +mem-write: 0x80014e96 <- 0x722f7665 +mem-write: 0x80014e9a <- 0x76637369 +mem-write: 0x80014e9e <- 0x756e672d +mem-write: 0x80014ea2 <- 0x6f6f742d +mem-write: 0x80014ea6 <- 0x6168636c +mem-write: 0x80014eaa <- 0x2f326e69 +mem-write: 0x80014eae <- 0x6c697562 +mem-write: 0x80014eb2 <- 0x2e2e2f64 +mem-write: 0x80014eb6 <- 0x7369722f +mem-write: 0x80014eba <- 0x6e2d7663 +mem-write: 0x80014ebe <- 0x696c7765 +mem-write: 0x80014ec2 <- 0x656e2f62 +mem-write: 0x80014ec6 <- 0x62696c77 +mem-write: 0x80014eca <- 0x62696c2f +mem-write: 0x80014ece <- 0x74732f63 +mem-write: 0x80014ed2 <- 0x62696c64 +mem-write: 0x80014ed6 <- 0x72706d2f +mem-write: 0x80014eda <- 0x632e6365 +mem-write: 0x80014ede <- 0x50000 +mem-write: 0x80014ee2 <- 0x190000 +mem-write: 0x80014ee6 <- 0x7d0000 +mem-write: 0x80014eea <- 0x0 +mem-write: 0x80014eee <- 0x0 +mem-write: 0x80014ef2 <- 0x0 +mem-write: 0x80014ef6 <- 0x3ff0 +mem-write: 0x80014efa <- 0x0 +mem-write: 0x80014efe <- 0x4024 +mem-write: 0x80014f02 <- 0x0 +mem-write: 0x80014f06 <- 0x4059 +mem-write: 0x80014f0a <- 0x40000000 +mem-write: 0x80014f0e <- 0x408f +mem-write: 0x80014f12 <- 0x88000000 +mem-write: 0x80014f16 <- 0x40c3 +mem-write: 0x80014f1a <- 0x6a000000 +mem-write: 0x80014f1e <- 0x40f8 +mem-write: 0x80014f22 <- 0x84800000 +mem-write: 0x80014f26 <- 0x412e +mem-write: 0x80014f2a <- 0x12d00000 +mem-write: 0x80014f2e <- 0x4163 +mem-write: 0x80014f32 <- 0xd7840000 +mem-write: 0x80014f36 <- 0x4197 +mem-write: 0x80014f3a <- 0xcd650000 +mem-write: 0x80014f3e <- 0x41cd +mem-write: 0x80014f42 <- 0xa05f2000 +mem-write: 0x80014f46 <- 0x4202 +mem-write: 0x80014f4a <- 0x4876e800 +mem-write: 0x80014f4e <- 0x4237 +mem-write: 0x80014f52 <- 0x1a94a200 +mem-write: 0x80014f56 <- 0x426d +mem-write: 0x80014f5a <- 0x309ce540 +mem-write: 0x80014f5e <- 0x42a2 +mem-write: 0x80014f62 <- 0xbcc41e90 +mem-write: 0x80014f66 <- 0x42d6 +mem-write: 0x80014f6a <- 0x6bf52634 +mem-write: 0x80014f6e <- 0x8000430c +mem-write: 0x80014f72 <- 0xc37937e0 +mem-write: 0x80014f76 <- 0xa0004341 +mem-write: 0x80014f7a <- 0x345785d8 +mem-write: 0x80014f7e <- 0xc8004376 +mem-write: 0x80014f82 <- 0xc16d674e +mem-write: 0x80014f86 <- 0x3d0043ab +mem-write: 0x80014f8a <- 0x58e46091 +mem-write: 0x80014f8e <- 0x8c4043e1 +mem-write: 0x80014f92 <- 0xaf1d78b5 +mem-write: 0x80014f96 <- 0xef504415 +mem-write: 0x80014f9a <- 0x1ae4d6e2 +mem-write: 0x80014f9e <- 0xd592444b +mem-write: 0x80014fa2 <- 0xf0cf064d +mem-write: 0x80014fa6 <- 0x4af64480 +mem-write: 0x80014faa <- 0x2d02c7e1 +mem-write: 0x80014fae <- 0x9db444b5 +mem-write: 0x80014fb2 <- 0x784379d9 +mem-write: 0x80014fb6 <- 0x89bc44ea +mem-write: 0x80014fba <- 0xd2b297d8 +mem-write: 0x80014fbe <- 0xa7333c9c +mem-write: 0x80014fc2 <- 0xf623d5a8 +mem-write: 0x80014fc6 <- 0xa73d3949 +mem-write: 0x80014fca <- 0xffd44f4 +mem-write: 0x80014fce <- 0x979d32a5 +mem-write: 0x80014fd2 <- 0xba08cf8c +mem-write: 0x80014fd6 <- 0x6f43255b +mem-write: 0x80014fda <- 0x62864ac +mem-write: 0x80014fde <- 0x80000ac8 +mem-write: 0x80014fe2 <- 0xc37937e0 +mem-write: 0x80014fe6 <- 0x6e174341 +mem-write: 0x80014fea <- 0xb8b5b505 +mem-write: 0x80014fee <- 0xf9f54693 +mem-write: 0x80014ff2 <- 0x4f03e93f +VXDRV: upload 1024 bytes to 0x80014ff6 +mem-write: 0x80014ff6 <- 0x1d324d38 +mem-write: 0x80014ffa <- 0x7748f930 +mem-write: 0x80014ffe <- 0xbf3c5a82 +mem-write: 0x80015002 <- 0x4fdd7f73 +mem-write: 0x80015006 <- 0xa1ac7515 +mem-write: 0x8001500a <- 0x97d48000 +mem-write: 0x8001500e <- 0x97d48000 +mem-write: 0x80015012 <- 0xa1a08000 +mem-write: 0x80015016 <- 0x97d48000 +mem-write: 0x8001501a <- 0x97d48000 +mem-write: 0x8001501e <- 0x97d48000 +mem-write: 0x80015022 <- 0x997c8000 +mem-write: 0x80015026 <- 0x97d48000 +mem-write: 0x8001502a <- 0x97d48000 +mem-write: 0x8001502e <- 0xa17c8000 +mem-write: 0x80015032 <- 0xa2148000 +mem-write: 0x80015036 <- 0x97d48000 +mem-write: 0x8001503a <- 0x9ff08000 +mem-write: 0x8001503e <- 0xa1d08000 +mem-write: 0x80015042 <- 0x97d48000 +mem-write: 0x80015046 <- 0xa1c48000 +mem-write: 0x8001504a <- 0x97a48000 +mem-write: 0x8001504e <- 0x97a48000 +mem-write: 0x80015052 <- 0x97a48000 +mem-write: 0x80015056 <- 0x97a48000 +mem-write: 0x8001505a <- 0x97a48000 +mem-write: 0x8001505e <- 0x97a48000 +mem-write: 0x80015062 <- 0x97a48000 +mem-write: 0x80015066 <- 0x97a48000 +mem-write: 0x8001506a <- 0x97a48000 +mem-write: 0x8001506e <- 0x97d48000 +mem-write: 0x80015072 <- 0x97d48000 +mem-write: 0x80015076 <- 0x97d48000 +mem-write: 0x8001507a <- 0x97d48000 +mem-write: 0x8001507e <- 0x97d48000 +mem-write: 0x80015082 <- 0x97d48000 +mem-write: 0x80015086 <- 0x97d48000 +mem-write: 0x8001508a <- 0x99f88000 +mem-write: 0x8001508e <- 0x97d48000 +mem-write: 0x80015092 <- 0x9fc48000 +mem-write: 0x80015096 <- 0xa2248000 +mem-write: 0x8001509a <- 0x99f88000 +mem-write: 0x8001509e <- 0x99f88000 +mem-write: 0x800150a2 <- 0x99f88000 +mem-write: 0x800150a6 <- 0x97d48000 +mem-write: 0x800150aa <- 0x97d48000 +mem-write: 0x800150ae <- 0x97d48000 +mem-write: 0x800150b2 <- 0x97d48000 +mem-write: 0x800150b6 <- 0xa1708000 +mem-write: 0x800150ba <- 0x97d48000 +mem-write: 0x800150be <- 0x97d48000 +mem-write: 0x800150c2 <- 0xa0f08000 +mem-write: 0x800150c6 <- 0x97d48000 +mem-write: 0x800150ca <- 0x97d48000 +mem-write: 0x800150ce <- 0x97d48000 +mem-write: 0x800150d2 <- 0x9f408000 +mem-write: 0x800150d6 <- 0x97d48000 +mem-write: 0x800150da <- 0xa13c8000 +mem-write: 0x800150de <- 0x97d48000 +mem-write: 0x800150e2 <- 0x97d48000 +mem-write: 0x800150e6 <- 0xabd48000 +mem-write: 0x800150ea <- 0x97d48000 +mem-write: 0x800150ee <- 0x97d48000 +mem-write: 0x800150f2 <- 0x97d48000 +mem-write: 0x800150f6 <- 0x97d48000 +mem-write: 0x800150fa <- 0x97d48000 +mem-write: 0x800150fe <- 0x97d48000 +mem-write: 0x80015102 <- 0x97d48000 +mem-write: 0x80015106 <- 0x97d48000 +mem-write: 0x8001510a <- 0x99f88000 +mem-write: 0x8001510e <- 0x97d48000 +mem-write: 0x80015112 <- 0x9fc48000 +mem-write: 0x80015116 <- 0xaa7c8000 +mem-write: 0x8001511a <- 0x99f88000 +mem-write: 0x8001511e <- 0x99f88000 +mem-write: 0x80015122 <- 0x99f88000 +mem-write: 0x80015126 <- 0xa1288000 +mem-write: 0x8001512a <- 0xaa7c8000 +mem-write: 0x8001512e <- 0x99c08000 +mem-write: 0x80015132 <- 0x97d48000 +mem-write: 0x80015136 <- 0xa04c8000 +mem-write: 0x8001513a <- 0x97d48000 +mem-write: 0x8001513e <- 0x9ffc8000 +mem-write: 0x80015142 <- 0xabe88000 +mem-write: 0x80015146 <- 0xa0608000 +mem-write: 0x8001514a <- 0x99c08000 +mem-write: 0x8001514e <- 0x97d48000 +mem-write: 0x80015152 <- 0x9f408000 +mem-write: 0x80015156 <- 0x99b88000 +mem-write: 0x8001515a <- 0xab488000 +mem-write: 0x8001515e <- 0x97d48000 +mem-write: 0x80015162 <- 0x97d48000 +mem-write: 0x80015166 <- 0xab548000 +mem-write: 0x8001516a <- 0x97d48000 +mem-write: 0x8001516e <- 0x99b88000 +mem-write: 0x80015172 <- 0x20208000 +mem-write: 0x80015176 <- 0x20202020 +mem-write: 0x8001517a <- 0x20202020 +mem-write: 0x8001517e <- 0x20202020 +mem-write: 0x80015182 <- 0x30302020 +mem-write: 0x80015186 <- 0x30303030 +mem-write: 0x8001518a <- 0x30303030 +mem-write: 0x8001518e <- 0x30303030 +mem-write: 0x80015192 <- 0xc8cc3030 +mem-write: 0x80015196 <- 0xc33c8000 +mem-write: 0x8001519a <- 0xc33c8000 +mem-write: 0x8001519e <- 0xc8c08000 +mem-write: 0x800151a2 <- 0xc33c8000 +mem-write: 0x800151a6 <- 0xc33c8000 +mem-write: 0x800151aa <- 0xc33c8000 +mem-write: 0x800151ae <- 0xc5048000 +mem-write: 0x800151b2 <- 0xc33c8000 +mem-write: 0x800151b6 <- 0xc33c8000 +mem-write: 0x800151ba <- 0xc8948000 +mem-write: 0x800151be <- 0xc8f08000 +mem-write: 0x800151c2 <- 0xc33c8000 +mem-write: 0x800151c6 <- 0xc8e48000 +mem-write: 0x800151ca <- 0xc9008000 +mem-write: 0x800151ce <- 0xc33c8000 +mem-write: 0x800151d2 <- 0xc8888000 +mem-write: 0x800151d6 <- 0xc3048000 +mem-write: 0x800151da <- 0xc3048000 +mem-write: 0x800151de <- 0xc3048000 +mem-write: 0x800151e2 <- 0xc3048000 +mem-write: 0x800151e6 <- 0xc3048000 +mem-write: 0x800151ea <- 0xc3048000 +mem-write: 0x800151ee <- 0xc3048000 +mem-write: 0x800151f2 <- 0xc3048000 +mem-write: 0x800151f6 <- 0xc3048000 +mem-write: 0x800151fa <- 0xc33c8000 +mem-write: 0x800151fe <- 0xc33c8000 +mem-write: 0x80015202 <- 0xc33c8000 +mem-write: 0x80015206 <- 0xc33c8000 +mem-write: 0x8001520a <- 0xc33c8000 +mem-write: 0x8001520e <- 0xc33c8000 +mem-write: 0x80015212 <- 0xc33c8000 +mem-write: 0x80015216 <- 0xc33c8000 +mem-write: 0x8001521a <- 0xc33c8000 +mem-write: 0x8001521e <- 0xc7d08000 +mem-write: 0x80015222 <- 0xc5548000 +mem-write: 0x80015226 <- 0xc33c8000 +mem-write: 0x8001522a <- 0xc33c8000 +mem-write: 0x8001522e <- 0xc33c8000 +mem-write: 0x80015232 <- 0xc33c8000 +mem-write: 0x80015236 <- 0xc33c8000 +mem-write: 0x8001523a <- 0xc33c8000 +mem-write: 0x8001523e <- 0xc33c8000 +mem-write: 0x80015242 <- 0xc33c8000 +mem-write: 0x80015246 <- 0xc33c8000 +mem-write: 0x8001524a <- 0xc33c8000 +mem-write: 0x8001524e <- 0xc61c8000 +mem-write: 0x80015252 <- 0xc33c8000 +mem-write: 0x80015256 <- 0xc33c8000 +mem-write: 0x8001525a <- 0xc33c8000 +mem-write: 0x8001525e <- 0xc7908000 +mem-write: 0x80015262 <- 0xc33c8000 +mem-write: 0x80015266 <- 0xc8588000 +mem-write: 0x8001526a <- 0xc33c8000 +mem-write: 0x8001526e <- 0xc33c8000 +mem-write: 0x80015272 <- 0xd0488000 +mem-write: 0x80015276 <- 0xc33c8000 +mem-write: 0x8001527a <- 0xc33c8000 +mem-write: 0x8001527e <- 0xc33c8000 +mem-write: 0x80015282 <- 0xc33c8000 +mem-write: 0x80015286 <- 0xc33c8000 +mem-write: 0x8001528a <- 0xc33c8000 +mem-write: 0x8001528e <- 0xc33c8000 +mem-write: 0x80015292 <- 0xc33c8000 +mem-write: 0x80015296 <- 0xc33c8000 +mem-write: 0x8001529a <- 0xc33c8000 +mem-write: 0x8001529e <- 0xc7d08000 +mem-write: 0x800152a2 <- 0xc5588000 +mem-write: 0x800152a6 <- 0xc33c8000 +mem-write: 0x800152aa <- 0xc33c8000 +mem-write: 0x800152ae <- 0xc33c8000 +mem-write: 0x800152b2 <- 0xc8448000 +mem-write: 0x800152b6 <- 0xc5588000 +mem-write: 0x800152ba <- 0xc5488000 +mem-write: 0x800152be <- 0xc33c8000 +mem-write: 0x800152c2 <- 0xc8308000 +mem-write: 0x800152c6 <- 0xc33c8000 +mem-write: 0x800152ca <- 0xc9408000 +mem-write: 0x800152ce <- 0xc6208000 +mem-write: 0x800152d2 <- 0xc7f88000 +mem-write: 0x800152d6 <- 0xc5488000 +mem-write: 0x800152da <- 0xc33c8000 +mem-write: 0x800152de <- 0xc7908000 +mem-write: 0x800152e2 <- 0xc5408000 +mem-write: 0x800152e6 <- 0xd0408000 +mem-write: 0x800152ea <- 0xc33c8000 +mem-write: 0x800152ee <- 0xc33c8000 +mem-write: 0x800152f2 <- 0xd0a48000 +mem-write: 0x800152f6 <- 0xc33c8000 +mem-write: 0x800152fa <- 0xc5408000 +mem-write: 0x800152fe <- 0x20208000 +mem-write: 0x80015302 <- 0x20202020 +mem-write: 0x80015306 <- 0x20202020 +mem-write: 0x8001530a <- 0x20202020 +mem-write: 0x8001530e <- 0x30302020 +mem-write: 0x80015312 <- 0x30303030 +mem-write: 0x80015316 <- 0x30303030 +mem-write: 0x8001531a <- 0x30303030 +mem-write: 0x8001531e <- 0x202c3030 +mem-write: 0x80015322 <- 0x636e7566 +mem-write: 0x80015326 <- 0x6e6f6974 +mem-write: 0x8001532a <- 0x203a +mem-write: 0x8001532e <- 0x73610000 +mem-write: 0x80015332 <- 0x74726573 +mem-write: 0x80015336 <- 0x206e6f69 +mem-write: 0x8001533a <- 0x22732522 +mem-write: 0x8001533e <- 0x69616620 +mem-write: 0x80015342 <- 0x3a64656c +mem-write: 0x80015346 <- 0x6c696620 +mem-write: 0x8001534a <- 0x25222065 +mem-write: 0x8001534e <- 0x202c2273 +mem-write: 0x80015352 <- 0x656e696c +mem-write: 0x80015356 <- 0x25642520 +mem-write: 0x8001535a <- 0xa732573 +mem-write: 0x8001535e <- 0x430000 +mem-write: 0x80015362 <- 0x4f500000 +mem-write: 0x80015366 <- 0x584953 +mem-write: 0x8001536a <- 0x2e0000 +mem-write: 0x8001536e <- 0xf3f40000 +mem-write: 0x80015372 <- 0xeea48000 +mem-write: 0x80015376 <- 0xeea48000 +mem-write: 0x8001537a <- 0xf3e88000 +mem-write: 0x8001537e <- 0xeea48000 +mem-write: 0x80015382 <- 0xeea48000 +mem-write: 0x80015386 <- 0xeea48000 +mem-write: 0x8001538a <- 0xf02c8000 +mem-write: 0x8001538e <- 0xeea48000 +mem-write: 0x80015392 <- 0xeea48000 +mem-write: 0x80015396 <- 0xf3bc8000 +mem-write: 0x8001539a <- 0xf3ac8000 +mem-write: 0x8001539e <- 0xeea48000 +mem-write: 0x800153a2 <- 0xf3a08000 +mem-write: 0x800153a6 <- 0xf3608000 +mem-write: 0x800153aa <- 0xeea48000 +mem-write: 0x800153ae <- 0xf3548000 +mem-write: 0x800153b2 <- 0xee6c8000 +mem-write: 0x800153b6 <- 0xee6c8000 +mem-write: 0x800153ba <- 0xee6c8000 +mem-write: 0x800153be <- 0xee6c8000 +mem-write: 0x800153c2 <- 0xee6c8000 +mem-write: 0x800153c6 <- 0xee6c8000 +mem-write: 0x800153ca <- 0xee6c8000 +mem-write: 0x800153ce <- 0xee6c8000 +mem-write: 0x800153d2 <- 0xee6c8000 +mem-write: 0x800153d6 <- 0xeea48000 +mem-write: 0x800153da <- 0xeea48000 +mem-write: 0x800153de <- 0xeea48000 +mem-write: 0x800153e2 <- 0xeea48000 +mem-write: 0x800153e6 <- 0xeea48000 +mem-write: 0x800153ea <- 0xeea48000 +mem-write: 0x800153ee <- 0xeea48000 +mem-write: 0x800153f2 <- 0xeea48000 +VXDRV: upload 1024 bytes to 0x800153f6 +mem-write: 0x800153f6 <- 0xeea48000 +mem-write: 0x800153fa <- 0xf0f48000 +mem-write: 0x800153fe <- 0xf2108000 +mem-write: 0x80015402 <- 0xeea48000 +mem-write: 0x80015406 <- 0xeea48000 +mem-write: 0x8001540a <- 0xeea48000 +mem-write: 0x8001540e <- 0xeea48000 +mem-write: 0x80015412 <- 0xeea48000 +mem-write: 0x80015416 <- 0xeea48000 +mem-write: 0x8001541a <- 0xeea48000 +mem-write: 0x8001541e <- 0xeea48000 +mem-write: 0x80015422 <- 0xeea48000 +mem-write: 0x80015426 <- 0xeea48000 +mem-write: 0x8001542a <- 0xf1a08000 +mem-write: 0x8001542e <- 0xeea48000 +mem-write: 0x80015432 <- 0xeea48000 +mem-write: 0x80015436 <- 0xeea48000 +mem-write: 0x8001543a <- 0xf0a88000 +mem-write: 0x8001543e <- 0xeea48000 +mem-write: 0x80015442 <- 0xf2e48000 +mem-write: 0x80015446 <- 0xeea48000 +mem-write: 0x8001544a <- 0xeea48000 +mem-write: 0x8001544e <- 0xfa948000 +mem-write: 0x80015452 <- 0xeea48000 +mem-write: 0x80015456 <- 0xeea48000 +mem-write: 0x8001545a <- 0xeea48000 +mem-write: 0x8001545e <- 0xeea48000 +mem-write: 0x80015462 <- 0xeea48000 +mem-write: 0x80015466 <- 0xeea48000 +mem-write: 0x8001546a <- 0xeea48000 +mem-write: 0x8001546e <- 0xeea48000 +mem-write: 0x80015472 <- 0xeea48000 +mem-write: 0x80015476 <- 0xeea48000 +mem-write: 0x8001547a <- 0xf0f48000 +mem-write: 0x8001547e <- 0xf11c8000 +mem-write: 0x80015482 <- 0xeea48000 +mem-write: 0x80015486 <- 0xeea48000 +mem-write: 0x8001548a <- 0xeea48000 +mem-write: 0x8001548e <- 0xf40c8000 +mem-write: 0x80015492 <- 0xf11c8000 +mem-write: 0x80015496 <- 0xf0708000 +mem-write: 0x8001549a <- 0xeea48000 +mem-write: 0x8001549e <- 0xf45c8000 +mem-write: 0x800154a2 <- 0xeea48000 +mem-write: 0x800154a6 <- 0xf4208000 +mem-write: 0x800154aa <- 0xfb008000 +mem-write: 0x800154ae <- 0xf3188000 +mem-write: 0x800154b2 <- 0xf0708000 +mem-write: 0x800154b6 <- 0xeea48000 +mem-write: 0x800154ba <- 0xf0a88000 +mem-write: 0x800154be <- 0xf0688000 +mem-write: 0x800154c2 <- 0xfaf48000 +mem-write: 0x800154c6 <- 0xeea48000 +mem-write: 0x800154ca <- 0xeea48000 +mem-write: 0x800154ce <- 0xfb088000 +mem-write: 0x800154d2 <- 0xeea48000 +mem-write: 0x800154d6 <- 0xf0688000 +mem-write: 0x800154da <- 0x20208000 +mem-write: 0x800154de <- 0x20202020 +mem-write: 0x800154e2 <- 0x20202020 +mem-write: 0x800154e6 <- 0x20202020 +mem-write: 0x800154ea <- 0x30302020 +mem-write: 0x800154ee <- 0x30303030 +mem-write: 0x800154f2 <- 0x30303030 +mem-write: 0x800154f6 <- 0x30303030 +mem-write: 0x800154fa <- 0x20003030 +mem-write: 0x800154fe <- 0x20202020 +mem-write: 0x80015502 <- 0x20202020 +mem-write: 0x80015506 <- 0x28282828 +mem-write: 0x8001550a <- 0x20202028 +mem-write: 0x8001550e <- 0x20202020 +mem-write: 0x80015512 <- 0x20202020 +mem-write: 0x80015516 <- 0x20202020 +mem-write: 0x8001551a <- 0x88202020 +mem-write: 0x8001551e <- 0x10101010 +mem-write: 0x80015522 <- 0x10101010 +mem-write: 0x80015526 <- 0x10101010 +mem-write: 0x8001552a <- 0x4101010 +mem-write: 0x8001552e <- 0x4040404 +mem-write: 0x80015532 <- 0x4040404 +mem-write: 0x80015536 <- 0x10101004 +mem-write: 0x8001553a <- 0x10101010 +mem-write: 0x8001553e <- 0x41414141 +mem-write: 0x80015542 <- 0x1014141 +mem-write: 0x80015546 <- 0x1010101 +mem-write: 0x8001554a <- 0x1010101 +mem-write: 0x8001554e <- 0x1010101 +mem-write: 0x80015552 <- 0x1010101 +mem-write: 0x80015556 <- 0x10100101 +mem-write: 0x8001555a <- 0x10101010 +mem-write: 0x8001555e <- 0x42424242 +mem-write: 0x80015562 <- 0x2024242 +mem-write: 0x80015566 <- 0x2020202 +mem-write: 0x8001556a <- 0x2020202 +mem-write: 0x8001556e <- 0x2020202 +mem-write: 0x80015572 <- 0x2020202 +mem-write: 0x80015576 <- 0x10100202 +mem-write: 0x8001557a <- 0x201010 +mem-write: 0x8001557e <- 0x0 +mem-write: 0x80015582 <- 0x0 +mem-write: 0x80015586 <- 0x0 +mem-write: 0x8001558a <- 0x0 +mem-write: 0x8001558e <- 0x0 +mem-write: 0x80015592 <- 0x0 +mem-write: 0x80015596 <- 0x0 +mem-write: 0x8001559a <- 0x0 +mem-write: 0x8001559e <- 0x0 +mem-write: 0x800155a2 <- 0x0 +mem-write: 0x800155a6 <- 0x0 +mem-write: 0x800155aa <- 0x0 +mem-write: 0x800155ae <- 0x0 +mem-write: 0x800155b2 <- 0x0 +mem-write: 0x800155b6 <- 0x0 +mem-write: 0x800155ba <- 0x0 +mem-write: 0x800155be <- 0x0 +mem-write: 0x800155c2 <- 0x0 +mem-write: 0x800155c6 <- 0x0 +mem-write: 0x800155ca <- 0x0 +mem-write: 0x800155ce <- 0x0 +mem-write: 0x800155d2 <- 0x0 +mem-write: 0x800155d6 <- 0x0 +mem-write: 0x800155da <- 0x0 +mem-write: 0x800155de <- 0x0 +mem-write: 0x800155e2 <- 0x0 +mem-write: 0x800155e6 <- 0x0 +mem-write: 0x800155ea <- 0x0 +mem-write: 0x800155ee <- 0x0 +mem-write: 0x800155f2 <- 0x0 +mem-write: 0x800155f6 <- 0x0 +mem-write: 0x800155fa <- 0x0 +mem-write: 0x800155fe <- 0x11f40000 +mem-write: 0x80015602 <- 0x12e48001 +mem-write: 0x80015606 <- 0x12048001 +mem-write: 0x8001560a <- 0x12e48001 +mem-write: 0x8001560e <- 0x12d08001 +mem-write: 0x80015612 <- 0x12e48001 +mem-write: 0x80015616 <- 0x12048001 +mem-write: 0x8001561a <- 0x11f48001 +mem-write: 0x8001561e <- 0x11f48001 +mem-write: 0x80015622 <- 0x12d08001 +mem-write: 0x80015626 <- 0x12048001 +mem-write: 0x8001562a <- 0x11cc8001 +mem-write: 0x8001562e <- 0x11cc8001 +mem-write: 0x80015632 <- 0x11cc8001 +mem-write: 0x80015636 <- 0x120c8001 +mem-write: 0x8001563a <- 0x17b88001 +mem-write: 0x8001563e <- 0x17b88001 +mem-write: 0x80015642 <- 0x17dc8001 +mem-write: 0x80015646 <- 0x17ac8001 +mem-write: 0x8001564a <- 0x17ac8001 +mem-write: 0x8001564e <- 0x189c8001 +mem-write: 0x80015652 <- 0x17dc8001 +mem-write: 0x80015656 <- 0x17ac8001 +mem-write: 0x8001565a <- 0x189c8001 +mem-write: 0x8001565e <- 0x17ac8001 +mem-write: 0x80015662 <- 0x17dc8001 +mem-write: 0x80015666 <- 0x17a88001 +mem-write: 0x8001566a <- 0x17a88001 +mem-write: 0x8001566e <- 0x17a88001 +mem-write: 0x80015672 <- 0x189c8001 +mem-write: 0x80015676 <- 0x29888001 +mem-write: 0x8001567a <- 0x29888001 +mem-write: 0x8001567e <- 0x29848001 +mem-write: 0x80015682 <- 0x29388001 +mem-write: 0x80015686 <- 0x29388001 +mem-write: 0x8001568a <- 0x2c088001 +mem-write: 0x8001568e <- 0x29848001 +mem-write: 0x80015692 <- 0x29388001 +mem-write: 0x80015696 <- 0x2c088001 +mem-write: 0x8001569a <- 0x29388001 +mem-write: 0x8001569e <- 0x29848001 +mem-write: 0x800156a2 <- 0x29348001 +mem-write: 0x800156a6 <- 0x29348001 +mem-write: 0x800156aa <- 0x29348001 +mem-write: 0x800156ae <- 0x2c088001 +mem-write: 0x800156b2 <- 0x1008001 +mem-write: 0x800156b6 <- 0x3030202 +mem-write: 0x800156ba <- 0x4040303 +mem-write: 0x800156be <- 0x4040404 +mem-write: 0x800156c2 <- 0x5050404 +mem-write: 0x800156c6 <- 0x5050505 +mem-write: 0x800156ca <- 0x5050505 +mem-write: 0x800156ce <- 0x5050505 +mem-write: 0x800156d2 <- 0x6060505 +mem-write: 0x800156d6 <- 0x6060606 +mem-write: 0x800156da <- 0x6060606 +mem-write: 0x800156de <- 0x6060606 +mem-write: 0x800156e2 <- 0x6060606 +mem-write: 0x800156e6 <- 0x6060606 +mem-write: 0x800156ea <- 0x6060606 +mem-write: 0x800156ee <- 0x6060606 +mem-write: 0x800156f2 <- 0x7070606 +mem-write: 0x800156f6 <- 0x7070707 +mem-write: 0x800156fa <- 0x7070707 +mem-write: 0x800156fe <- 0x7070707 +mem-write: 0x80015702 <- 0x7070707 +mem-write: 0x80015706 <- 0x7070707 +mem-write: 0x8001570a <- 0x7070707 +mem-write: 0x8001570e <- 0x7070707 +mem-write: 0x80015712 <- 0x7070707 +mem-write: 0x80015716 <- 0x7070707 +mem-write: 0x8001571a <- 0x7070707 +mem-write: 0x8001571e <- 0x7070707 +mem-write: 0x80015722 <- 0x7070707 +mem-write: 0x80015726 <- 0x7070707 +mem-write: 0x8001572a <- 0x7070707 +mem-write: 0x8001572e <- 0x7070707 +mem-write: 0x80015732 <- 0x8080707 +mem-write: 0x80015736 <- 0x8080808 +mem-write: 0x8001573a <- 0x8080808 +mem-write: 0x8001573e <- 0x8080808 +mem-write: 0x80015742 <- 0x8080808 +mem-write: 0x80015746 <- 0x8080808 +mem-write: 0x8001574a <- 0x8080808 +mem-write: 0x8001574e <- 0x8080808 +mem-write: 0x80015752 <- 0x8080808 +mem-write: 0x80015756 <- 0x8080808 +mem-write: 0x8001575a <- 0x8080808 +mem-write: 0x8001575e <- 0x8080808 +mem-write: 0x80015762 <- 0x8080808 +mem-write: 0x80015766 <- 0x8080808 +mem-write: 0x8001576a <- 0x8080808 +mem-write: 0x8001576e <- 0x8080808 +mem-write: 0x80015772 <- 0x8080808 +mem-write: 0x80015776 <- 0x8080808 +mem-write: 0x8001577a <- 0x8080808 +mem-write: 0x8001577e <- 0x8080808 +mem-write: 0x80015782 <- 0x8080808 +mem-write: 0x80015786 <- 0x8080808 +mem-write: 0x8001578a <- 0x8080808 +mem-write: 0x8001578e <- 0x8080808 +mem-write: 0x80015792 <- 0x8080808 +mem-write: 0x80015796 <- 0x8080808 +mem-write: 0x8001579a <- 0x8080808 +mem-write: 0x8001579e <- 0x8080808 +mem-write: 0x800157a2 <- 0x8080808 +mem-write: 0x800157a6 <- 0x8080808 +mem-write: 0x800157aa <- 0x8080808 +mem-write: 0x800157ae <- 0x8080808 +mem-write: 0x800157b2 <- 0x100808 +mem-write: 0x800157b6 <- 0x0 +mem-write: 0x800157ba <- 0x7a030000 +mem-write: 0x800157be <- 0x7c010052 +mem-write: 0x800157c2 <- 0xd1b0101 +mem-write: 0x800157c6 <- 0x100002 +mem-write: 0x800157ca <- 0x180000 +mem-write: 0x800157ce <- 0xac180000 +mem-write: 0x800157d2 <- 0x434ffff +mem-write: 0x800157d6 <- 0x0 +mem-write: 0x800157da <- 0x100000 +mem-write: 0x800157de <- 0x2c0000 +mem-write: 0x800157e2 <- 0xb0380000 +mem-write: 0x800157e6 <- 0x410ffff +mem-write: 0x800157ea <- 0x0 +mem-write: 0x800157ee <- 0x0 +mem-write: 0x800157f2 <- 0x0 +VXDRV: upload 1024 bytes to 0x800157f6 +mem-write: 0x800157f6 <- 0x0 +mem-write: 0x800157fa <- 0x0 +mem-write: 0x800157fe <- 0x0 +mem-write: 0x80015802 <- 0x0 +mem-write: 0x80015806 <- 0x0 +mem-write: 0x8001580a <- 0x0 +mem-write: 0x8001580e <- 0x0 +mem-write: 0x80015812 <- 0x0 +mem-write: 0x80015816 <- 0x0 +mem-write: 0x8001581a <- 0x0 +mem-write: 0x8001581e <- 0x0 +mem-write: 0x80015822 <- 0x0 +mem-write: 0x80015826 <- 0x0 +mem-write: 0x8001582a <- 0x0 +mem-write: 0x8001582e <- 0x0 +mem-write: 0x80015832 <- 0x0 +mem-write: 0x80015836 <- 0x0 +mem-write: 0x8001583a <- 0x0 +mem-write: 0x8001583e <- 0x0 +mem-write: 0x80015842 <- 0x0 +mem-write: 0x80015846 <- 0x0 +mem-write: 0x8001584a <- 0x0 +mem-write: 0x8001584e <- 0x0 +mem-write: 0x80015852 <- 0x0 +mem-write: 0x80015856 <- 0x0 +mem-write: 0x8001585a <- 0x0 +mem-write: 0x8001585e <- 0x0 +mem-write: 0x80015862 <- 0x0 +mem-write: 0x80015866 <- 0x0 +mem-write: 0x8001586a <- 0x0 +mem-write: 0x8001586e <- 0x0 +mem-write: 0x80015872 <- 0x0 +mem-write: 0x80015876 <- 0x0 +mem-write: 0x8001587a <- 0x0 +mem-write: 0x8001587e <- 0x0 +mem-write: 0x80015882 <- 0x0 +mem-write: 0x80015886 <- 0x0 +mem-write: 0x8001588a <- 0x0 +mem-write: 0x8001588e <- 0x0 +mem-write: 0x80015892 <- 0x0 +mem-write: 0x80015896 <- 0x0 +mem-write: 0x8001589a <- 0x0 +mem-write: 0x8001589e <- 0x0 +mem-write: 0x800158a2 <- 0x0 +mem-write: 0x800158a6 <- 0x0 +mem-write: 0x800158aa <- 0x0 +mem-write: 0x800158ae <- 0x0 +mem-write: 0x800158b2 <- 0x0 +mem-write: 0x800158b6 <- 0x0 +mem-write: 0x800158ba <- 0x0 +mem-write: 0x800158be <- 0x0 +mem-write: 0x800158c2 <- 0x0 +mem-write: 0x800158c6 <- 0x0 +mem-write: 0x800158ca <- 0x0 +mem-write: 0x800158ce <- 0x0 +mem-write: 0x800158d2 <- 0x0 +mem-write: 0x800158d6 <- 0x0 +mem-write: 0x800158da <- 0x0 +mem-write: 0x800158de <- 0x0 +mem-write: 0x800158e2 <- 0x0 +mem-write: 0x800158e6 <- 0x0 +mem-write: 0x800158ea <- 0x0 +mem-write: 0x800158ee <- 0x0 +mem-write: 0x800158f2 <- 0x0 +mem-write: 0x800158f6 <- 0x0 +mem-write: 0x800158fa <- 0x0 +mem-write: 0x800158fe <- 0x0 +mem-write: 0x80015902 <- 0x0 +mem-write: 0x80015906 <- 0x0 +mem-write: 0x8001590a <- 0x0 +mem-write: 0x8001590e <- 0x0 +mem-write: 0x80015912 <- 0x0 +mem-write: 0x80015916 <- 0x0 +mem-write: 0x8001591a <- 0x0 +mem-write: 0x8001591e <- 0x0 +mem-write: 0x80015922 <- 0x0 +mem-write: 0x80015926 <- 0x0 +mem-write: 0x8001592a <- 0x0 +mem-write: 0x8001592e <- 0x0 +mem-write: 0x80015932 <- 0x0 +mem-write: 0x80015936 <- 0x0 +mem-write: 0x8001593a <- 0x0 +mem-write: 0x8001593e <- 0x0 +mem-write: 0x80015942 <- 0x0 +mem-write: 0x80015946 <- 0x0 +mem-write: 0x8001594a <- 0x0 +mem-write: 0x8001594e <- 0x0 +mem-write: 0x80015952 <- 0x0 +mem-write: 0x80015956 <- 0x0 +mem-write: 0x8001595a <- 0x0 +mem-write: 0x8001595e <- 0x0 +mem-write: 0x80015962 <- 0x0 +mem-write: 0x80015966 <- 0x0 +mem-write: 0x8001596a <- 0x0 +mem-write: 0x8001596e <- 0x0 +mem-write: 0x80015972 <- 0x0 +mem-write: 0x80015976 <- 0x0 +mem-write: 0x8001597a <- 0x0 +mem-write: 0x8001597e <- 0x0 +mem-write: 0x80015982 <- 0x0 +mem-write: 0x80015986 <- 0x0 +mem-write: 0x8001598a <- 0x0 +mem-write: 0x8001598e <- 0x0 +mem-write: 0x80015992 <- 0x0 +mem-write: 0x80015996 <- 0x0 +mem-write: 0x8001599a <- 0x0 +mem-write: 0x8001599e <- 0x0 +mem-write: 0x800159a2 <- 0x0 +mem-write: 0x800159a6 <- 0x0 +mem-write: 0x800159aa <- 0x0 +mem-write: 0x800159ae <- 0x0 +mem-write: 0x800159b2 <- 0x0 +mem-write: 0x800159b6 <- 0x0 +mem-write: 0x800159ba <- 0x0 +mem-write: 0x800159be <- 0x0 +mem-write: 0x800159c2 <- 0x0 +mem-write: 0x800159c6 <- 0x0 +mem-write: 0x800159ca <- 0x0 +mem-write: 0x800159ce <- 0x0 +mem-write: 0x800159d2 <- 0x0 +mem-write: 0x800159d6 <- 0x0 +mem-write: 0x800159da <- 0x0 +mem-write: 0x800159de <- 0x0 +mem-write: 0x800159e2 <- 0x0 +mem-write: 0x800159e6 <- 0x0 +mem-write: 0x800159ea <- 0x0 +mem-write: 0x800159ee <- 0x0 +mem-write: 0x800159f2 <- 0x0 +mem-write: 0x800159f6 <- 0x0 +mem-write: 0x800159fa <- 0x0 +mem-write: 0x800159fe <- 0x0 +mem-write: 0x80015a02 <- 0x0 +mem-write: 0x80015a06 <- 0x0 +mem-write: 0x80015a0a <- 0x0 +mem-write: 0x80015a0e <- 0x0 +mem-write: 0x80015a12 <- 0x0 +mem-write: 0x80015a16 <- 0x0 +mem-write: 0x80015a1a <- 0x0 +mem-write: 0x80015a1e <- 0x0 +mem-write: 0x80015a22 <- 0x0 +mem-write: 0x80015a26 <- 0x0 +mem-write: 0x80015a2a <- 0x0 +mem-write: 0x80015a2e <- 0x0 +mem-write: 0x80015a32 <- 0x0 +mem-write: 0x80015a36 <- 0x0 +mem-write: 0x80015a3a <- 0x0 +mem-write: 0x80015a3e <- 0x0 +mem-write: 0x80015a42 <- 0x0 +mem-write: 0x80015a46 <- 0x0 +mem-write: 0x80015a4a <- 0x0 +mem-write: 0x80015a4e <- 0x0 +mem-write: 0x80015a52 <- 0x0 +mem-write: 0x80015a56 <- 0x0 +mem-write: 0x80015a5a <- 0x0 +mem-write: 0x80015a5e <- 0x0 +mem-write: 0x80015a62 <- 0x0 +mem-write: 0x80015a66 <- 0x0 +mem-write: 0x80015a6a <- 0x0 +mem-write: 0x80015a6e <- 0x0 +mem-write: 0x80015a72 <- 0x0 +mem-write: 0x80015a76 <- 0x0 +mem-write: 0x80015a7a <- 0x0 +mem-write: 0x80015a7e <- 0x0 +mem-write: 0x80015a82 <- 0x0 +mem-write: 0x80015a86 <- 0x0 +mem-write: 0x80015a8a <- 0x0 +mem-write: 0x80015a8e <- 0x0 +mem-write: 0x80015a92 <- 0x0 +mem-write: 0x80015a96 <- 0x0 +mem-write: 0x80015a9a <- 0x0 +mem-write: 0x80015a9e <- 0x0 +mem-write: 0x80015aa2 <- 0x0 +mem-write: 0x80015aa6 <- 0x0 +mem-write: 0x80015aaa <- 0x0 +mem-write: 0x80015aae <- 0x0 +mem-write: 0x80015ab2 <- 0x0 +mem-write: 0x80015ab6 <- 0x0 +mem-write: 0x80015aba <- 0x0 +mem-write: 0x80015abe <- 0x0 +mem-write: 0x80015ac2 <- 0x0 +mem-write: 0x80015ac6 <- 0x0 +mem-write: 0x80015aca <- 0x0 +mem-write: 0x80015ace <- 0x0 +mem-write: 0x80015ad2 <- 0x0 +mem-write: 0x80015ad6 <- 0x0 +mem-write: 0x80015ada <- 0x0 +mem-write: 0x80015ade <- 0x0 +mem-write: 0x80015ae2 <- 0x0 +mem-write: 0x80015ae6 <- 0x0 +mem-write: 0x80015aea <- 0x0 +mem-write: 0x80015aee <- 0x0 +mem-write: 0x80015af2 <- 0x0 +mem-write: 0x80015af6 <- 0x0 +mem-write: 0x80015afa <- 0x0 +mem-write: 0x80015afe <- 0x0 +mem-write: 0x80015b02 <- 0x0 +mem-write: 0x80015b06 <- 0x0 +mem-write: 0x80015b0a <- 0x0 +mem-write: 0x80015b0e <- 0x0 +mem-write: 0x80015b12 <- 0x0 +mem-write: 0x80015b16 <- 0x0 +mem-write: 0x80015b1a <- 0x0 +mem-write: 0x80015b1e <- 0x0 +mem-write: 0x80015b22 <- 0x0 +mem-write: 0x80015b26 <- 0x0 +mem-write: 0x80015b2a <- 0x0 +mem-write: 0x80015b2e <- 0x0 +mem-write: 0x80015b32 <- 0x0 +mem-write: 0x80015b36 <- 0x0 +mem-write: 0x80015b3a <- 0x0 +mem-write: 0x80015b3e <- 0x0 +mem-write: 0x80015b42 <- 0x0 +mem-write: 0x80015b46 <- 0x0 +mem-write: 0x80015b4a <- 0x0 +mem-write: 0x80015b4e <- 0x0 +mem-write: 0x80015b52 <- 0x0 +mem-write: 0x80015b56 <- 0x0 +mem-write: 0x80015b5a <- 0x0 +mem-write: 0x80015b5e <- 0x0 +mem-write: 0x80015b62 <- 0x0 +mem-write: 0x80015b66 <- 0x0 +mem-write: 0x80015b6a <- 0x0 +mem-write: 0x80015b6e <- 0x0 +mem-write: 0x80015b72 <- 0x0 +mem-write: 0x80015b76 <- 0x0 +mem-write: 0x80015b7a <- 0x0 +mem-write: 0x80015b7e <- 0x0 +mem-write: 0x80015b82 <- 0x0 +mem-write: 0x80015b86 <- 0x0 +mem-write: 0x80015b8a <- 0x0 +mem-write: 0x80015b8e <- 0x0 +mem-write: 0x80015b92 <- 0x0 +mem-write: 0x80015b96 <- 0x0 +mem-write: 0x80015b9a <- 0x0 +mem-write: 0x80015b9e <- 0x0 +mem-write: 0x80015ba2 <- 0x0 +mem-write: 0x80015ba6 <- 0x0 +mem-write: 0x80015baa <- 0x0 +mem-write: 0x80015bae <- 0x0 +mem-write: 0x80015bb2 <- 0x0 +mem-write: 0x80015bb6 <- 0x0 +mem-write: 0x80015bba <- 0x0 +mem-write: 0x80015bbe <- 0x0 +mem-write: 0x80015bc2 <- 0x0 +mem-write: 0x80015bc6 <- 0x0 +mem-write: 0x80015bca <- 0x0 +mem-write: 0x80015bce <- 0x0 +mem-write: 0x80015bd2 <- 0x0 +mem-write: 0x80015bd6 <- 0x0 +mem-write: 0x80015bda <- 0x0 +mem-write: 0x80015bde <- 0x0 +mem-write: 0x80015be2 <- 0x0 +mem-write: 0x80015be6 <- 0x0 +mem-write: 0x80015bea <- 0x0 +mem-write: 0x80015bee <- 0x0 +mem-write: 0x80015bf2 <- 0x0 +VXDRV: upload 1023 bytes to 0x80015bf6 +mem-write: 0x80015bf6 <- 0x0 +mem-write: 0x80015bfa <- 0x0 +mem-write: 0x80015bfe <- 0x0 +mem-write: 0x80015c02 <- 0x0 +mem-write: 0x80015c06 <- 0x0 +mem-write: 0x80015c0a <- 0x0 +mem-write: 0x80015c0e <- 0x0 +mem-write: 0x80015c12 <- 0x0 +mem-write: 0x80015c16 <- 0x0 +mem-write: 0x80015c1a <- 0x0 +mem-write: 0x80015c1e <- 0x0 +mem-write: 0x80015c22 <- 0x0 +mem-write: 0x80015c26 <- 0x0 +mem-write: 0x80015c2a <- 0x0 +mem-write: 0x80015c2e <- 0x0 +mem-write: 0x80015c32 <- 0x0 +mem-write: 0x80015c36 <- 0x0 +mem-write: 0x80015c3a <- 0x0 +mem-write: 0x80015c3e <- 0x0 +mem-write: 0x80015c42 <- 0x0 +mem-write: 0x80015c46 <- 0x0 +mem-write: 0x80015c4a <- 0x0 +mem-write: 0x80015c4e <- 0x0 +mem-write: 0x80015c52 <- 0x0 +mem-write: 0x80015c56 <- 0x0 +mem-write: 0x80015c5a <- 0x0 +mem-write: 0x80015c5e <- 0x0 +mem-write: 0x80015c62 <- 0x0 +mem-write: 0x80015c66 <- 0x0 +mem-write: 0x80015c6a <- 0x0 +mem-write: 0x80015c6e <- 0x0 +mem-write: 0x80015c72 <- 0x0 +mem-write: 0x80015c76 <- 0x0 +mem-write: 0x80015c7a <- 0x0 +mem-write: 0x80015c7e <- 0x0 +mem-write: 0x80015c82 <- 0x0 +mem-write: 0x80015c86 <- 0x0 +mem-write: 0x80015c8a <- 0x0 +mem-write: 0x80015c8e <- 0x0 +mem-write: 0x80015c92 <- 0x0 +mem-write: 0x80015c96 <- 0x0 +mem-write: 0x80015c9a <- 0x0 +mem-write: 0x80015c9e <- 0x0 +mem-write: 0x80015ca2 <- 0x0 +mem-write: 0x80015ca6 <- 0x0 +mem-write: 0x80015caa <- 0x0 +mem-write: 0x80015cae <- 0x0 +mem-write: 0x80015cb2 <- 0x0 +mem-write: 0x80015cb6 <- 0x0 +mem-write: 0x80015cba <- 0x0 +mem-write: 0x80015cbe <- 0x0 +mem-write: 0x80015cc2 <- 0x0 +mem-write: 0x80015cc6 <- 0x0 +mem-write: 0x80015cca <- 0x0 +mem-write: 0x80015cce <- 0x0 +mem-write: 0x80015cd2 <- 0x0 +mem-write: 0x80015cd6 <- 0x0 +mem-write: 0x80015cda <- 0x0 +mem-write: 0x80015cde <- 0x0 +mem-write: 0x80015ce2 <- 0x0 +mem-write: 0x80015ce6 <- 0x0 +mem-write: 0x80015cea <- 0x0 +mem-write: 0x80015cee <- 0x0 +mem-write: 0x80015cf2 <- 0x0 +mem-write: 0x80015cf6 <- 0x0 +mem-write: 0x80015cfa <- 0x0 +mem-write: 0x80015cfe <- 0x0 +mem-write: 0x80015d02 <- 0x0 +mem-write: 0x80015d06 <- 0x0 +mem-write: 0x80015d0a <- 0x0 +mem-write: 0x80015d0e <- 0x0 +mem-write: 0x80015d12 <- 0x0 +mem-write: 0x80015d16 <- 0x0 +mem-write: 0x80015d1a <- 0x0 +mem-write: 0x80015d1e <- 0x0 +mem-write: 0x80015d22 <- 0x0 +mem-write: 0x80015d26 <- 0x0 +mem-write: 0x80015d2a <- 0x0 +mem-write: 0x80015d2e <- 0x0 +mem-write: 0x80015d32 <- 0x0 +mem-write: 0x80015d36 <- 0x0 +mem-write: 0x80015d3a <- 0x0 +mem-write: 0x80015d3e <- 0x0 +mem-write: 0x80015d42 <- 0x0 +mem-write: 0x80015d46 <- 0x0 +mem-write: 0x80015d4a <- 0x0 +mem-write: 0x80015d4e <- 0x0 +mem-write: 0x80015d52 <- 0x0 +mem-write: 0x80015d56 <- 0x0 +mem-write: 0x80015d5a <- 0x0 +mem-write: 0x80015d5e <- 0x0 +mem-write: 0x80015d62 <- 0x0 +mem-write: 0x80015d66 <- 0x0 +mem-write: 0x80015d6a <- 0x0 +mem-write: 0x80015d6e <- 0x0 +mem-write: 0x80015d72 <- 0x0 +mem-write: 0x80015d76 <- 0x0 +mem-write: 0x80015d7a <- 0x0 +mem-write: 0x80015d7e <- 0x0 +mem-write: 0x80015d82 <- 0x0 +mem-write: 0x80015d86 <- 0x0 +mem-write: 0x80015d8a <- 0x0 +mem-write: 0x80015d8e <- 0x0 +mem-write: 0x80015d92 <- 0x0 +mem-write: 0x80015d96 <- 0x0 +mem-write: 0x80015d9a <- 0x0 +mem-write: 0x80015d9e <- 0x0 +mem-write: 0x80015da2 <- 0x0 +mem-write: 0x80015da6 <- 0x0 +mem-write: 0x80015daa <- 0x0 +mem-write: 0x80015dae <- 0x0 +mem-write: 0x80015db2 <- 0x0 +mem-write: 0x80015db6 <- 0x0 +mem-write: 0x80015dba <- 0x0 +mem-write: 0x80015dbe <- 0x0 +mem-write: 0x80015dc2 <- 0x0 +mem-write: 0x80015dc6 <- 0x0 +mem-write: 0x80015dca <- 0x0 +mem-write: 0x80015dce <- 0x0 +mem-write: 0x80015dd2 <- 0x0 +mem-write: 0x80015dd6 <- 0x0 +mem-write: 0x80015dda <- 0x0 +mem-write: 0x80015dde <- 0x0 +mem-write: 0x80015de2 <- 0x0 +mem-write: 0x80015de6 <- 0x0 +mem-write: 0x80015dea <- 0x0 +mem-write: 0x80015dee <- 0x0 +mem-write: 0x80015df2 <- 0x0 +mem-write: 0x80015df6 <- 0x0 +mem-write: 0x80015dfa <- 0x0 +mem-write: 0x80015dfe <- 0x0 +mem-write: 0x80015e02 <- 0x0 +mem-write: 0x80015e06 <- 0x0 +mem-write: 0x80015e0a <- 0x0 +mem-write: 0x80015e0e <- 0x0 +mem-write: 0x80015e12 <- 0x0 +mem-write: 0x80015e16 <- 0x0 +mem-write: 0x80015e1a <- 0x0 +mem-write: 0x80015e1e <- 0x0 +mem-write: 0x80015e22 <- 0x0 +mem-write: 0x80015e26 <- 0x0 +mem-write: 0x80015e2a <- 0x0 +mem-write: 0x80015e2e <- 0x0 +mem-write: 0x80015e32 <- 0x0 +mem-write: 0x80015e36 <- 0x0 +mem-write: 0x80015e3a <- 0x0 +mem-write: 0x80015e3e <- 0x0 +mem-write: 0x80015e42 <- 0x0 +mem-write: 0x80015e46 <- 0x0 +mem-write: 0x80015e4a <- 0x0 +mem-write: 0x80015e4e <- 0x0 +mem-write: 0x80015e52 <- 0x0 +mem-write: 0x80015e56 <- 0x0 +mem-write: 0x80015e5a <- 0x0 +mem-write: 0x80015e5e <- 0x0 +mem-write: 0x80015e62 <- 0x0 +mem-write: 0x80015e66 <- 0x0 +mem-write: 0x80015e6a <- 0x0 +mem-write: 0x80015e6e <- 0x0 +mem-write: 0x80015e72 <- 0x0 +mem-write: 0x80015e76 <- 0x0 +mem-write: 0x80015e7a <- 0x0 +mem-write: 0x80015e7e <- 0x0 +mem-write: 0x80015e82 <- 0x0 +mem-write: 0x80015e86 <- 0x0 +mem-write: 0x80015e8a <- 0x0 +mem-write: 0x80015e8e <- 0x0 +mem-write: 0x80015e92 <- 0x0 +mem-write: 0x80015e96 <- 0x0 +mem-write: 0x80015e9a <- 0x0 +mem-write: 0x80015e9e <- 0x0 +mem-write: 0x80015ea2 <- 0x0 +mem-write: 0x80015ea6 <- 0x0 +mem-write: 0x80015eaa <- 0x0 +mem-write: 0x80015eae <- 0x0 +mem-write: 0x80015eb2 <- 0x0 +mem-write: 0x80015eb6 <- 0x0 +mem-write: 0x80015eba <- 0x0 +mem-write: 0x80015ebe <- 0x0 +mem-write: 0x80015ec2 <- 0x0 +mem-write: 0x80015ec6 <- 0x0 +mem-write: 0x80015eca <- 0x0 +mem-write: 0x80015ece <- 0x0 +mem-write: 0x80015ed2 <- 0x0 +mem-write: 0x80015ed6 <- 0x0 +mem-write: 0x80015eda <- 0x0 +mem-write: 0x80015ede <- 0x0 +mem-write: 0x80015ee2 <- 0x0 +mem-write: 0x80015ee6 <- 0x0 +mem-write: 0x80015eea <- 0x0 +mem-write: 0x80015eee <- 0x0 +mem-write: 0x80015ef2 <- 0x0 +mem-write: 0x80015ef6 <- 0x0 +mem-write: 0x80015efa <- 0x0 +mem-write: 0x80015efe <- 0x0 +mem-write: 0x80015f02 <- 0x0 +mem-write: 0x80015f06 <- 0x0 +mem-write: 0x80015f0a <- 0x0 +mem-write: 0x80015f0e <- 0x0 +mem-write: 0x80015f12 <- 0x0 +mem-write: 0x80015f16 <- 0x0 +mem-write: 0x80015f1a <- 0x0 +mem-write: 0x80015f1e <- 0x0 +mem-write: 0x80015f22 <- 0x0 +mem-write: 0x80015f26 <- 0x0 +mem-write: 0x80015f2a <- 0x0 +mem-write: 0x80015f2e <- 0x0 +mem-write: 0x80015f32 <- 0x0 +mem-write: 0x80015f36 <- 0x0 +mem-write: 0x80015f3a <- 0x0 +mem-write: 0x80015f3e <- 0x0 +mem-write: 0x80015f42 <- 0x0 +mem-write: 0x80015f46 <- 0x0 +mem-write: 0x80015f4a <- 0x0 +mem-write: 0x80015f4e <- 0x0 +mem-write: 0x80015f52 <- 0x0 +mem-write: 0x80015f56 <- 0x0 +mem-write: 0x80015f5a <- 0x0 +mem-write: 0x80015f5e <- 0x0 +mem-write: 0x80015f62 <- 0x0 +mem-write: 0x80015f66 <- 0x0 +mem-write: 0x80015f6a <- 0x0 +mem-write: 0x80015f6e <- 0x0 +mem-write: 0x80015f72 <- 0x0 +mem-write: 0x80015f76 <- 0x0 +mem-write: 0x80015f7a <- 0x0 +mem-write: 0x80015f7e <- 0x0 +mem-write: 0x80015f82 <- 0x0 +mem-write: 0x80015f86 <- 0x0 +mem-write: 0x80015f8a <- 0x0 +mem-write: 0x80015f8e <- 0x0 +mem-write: 0x80015f92 <- 0x0 +mem-write: 0x80015f96 <- 0x0 +mem-write: 0x80015f9a <- 0x0 +mem-write: 0x80015f9e <- 0x0 +mem-write: 0x80015fa2 <- 0x0 +mem-write: 0x80015fa6 <- 0x0 +mem-write: 0x80015faa <- 0x0 +mem-write: 0x80015fae <- 0x0 +mem-write: 0x80015fb2 <- 0x0 +mem-write: 0x80015fb6 <- 0x0 +mem-write: 0x80015fba <- 0x0 +mem-write: 0x80015fbe <- 0x0 +mem-write: 0x80015fc2 <- 0x0 +mem-write: 0x80015fc6 <- 0x0 +mem-write: 0x80015fca <- 0x0 +mem-write: 0x80015fce <- 0x0 +mem-write: 0x80015fd2 <- 0x0 +mem-write: 0x80015fd6 <- 0x0 +mem-write: 0x80015fda <- 0x0 +mem-write: 0x80015fde <- 0x0 +mem-write: 0x80015fe2 <- 0x0 +mem-write: 0x80015fe6 <- 0x0 +mem-write: 0x80015fea <- 0x0 +mem-write: 0x80015fee <- 0x0 +mem-write: 0x80015ff2 <- 0x0 +VXDRV: upload 1024 bytes to 0x80015ff5 +mem-write: 0x80015ff5 <- 0x0 +mem-write: 0x80015ff9 <- 0x0 +mem-write: 0x80015ffd <- 0xbc000000 +mem-write: 0x80016001 <- 0x800000 +mem-write: 0x80016005 <- 0x0 +mem-write: 0x80016009 <- 0x0 +mem-write: 0x8001600d <- 0x100 +mem-write: 0x80016011 <- 0xfc000000 +mem-write: 0x80016015 <- 0x64800162 +mem-write: 0x80016019 <- 0xcc800163 +mem-write: 0x8001601d <- 0x800163 +mem-write: 0x80016021 <- 0x0 +mem-write: 0x80016025 <- 0x0 +mem-write: 0x80016029 <- 0x0 +mem-write: 0x8001602d <- 0x0 +mem-write: 0x80016031 <- 0x0 +mem-write: 0x80016035 <- 0x0 +mem-write: 0x80016039 <- 0x0 +mem-write: 0x8001603d <- 0x0 +mem-write: 0x80016041 <- 0x0 +mem-write: 0x80016045 <- 0x0 +mem-write: 0x80016049 <- 0x0 +mem-write: 0x8001604d <- 0x0 +mem-write: 0x80016051 <- 0x0 +mem-write: 0x80016055 <- 0x0 +mem-write: 0x80016059 <- 0x0 +mem-write: 0x8001605d <- 0x0 +mem-write: 0x80016061 <- 0x0 +mem-write: 0x80016065 <- 0x0 +mem-write: 0x80016069 <- 0x0 +mem-write: 0x8001606d <- 0x0 +mem-write: 0x80016071 <- 0x0 +mem-write: 0x80016075 <- 0x0 +mem-write: 0x80016079 <- 0x0 +mem-write: 0x8001607d <- 0x0 +mem-write: 0x80016081 <- 0x0 +mem-write: 0x80016085 <- 0x0 +mem-write: 0x80016089 <- 0x0 +mem-write: 0x8001608d <- 0x0 +mem-write: 0x80016091 <- 0x0 +mem-write: 0x80016095 <- 0x0 +mem-write: 0x80016099 <- 0x0 +mem-write: 0x8001609d <- 0x0 +mem-write: 0x800160a1 <- 0x0 +mem-write: 0x800160a5 <- 0x0 +mem-write: 0x800160a9 <- 0x0 +mem-write: 0x800160ad <- 0x0 +mem-write: 0x800160b1 <- 0x0 +mem-write: 0x800160b5 <- 0x1000000 +mem-write: 0x800160b9 <- 0x0 +mem-write: 0x800160bd <- 0xe000000 +mem-write: 0x800160c1 <- 0x34abcd33 +mem-write: 0x800160c5 <- 0xece66d12 +mem-write: 0x800160c9 <- 0xb0005de +mem-write: 0x800160cd <- 0x0 +mem-write: 0x800160d1 <- 0x0 +mem-write: 0x800160d5 <- 0x0 +mem-write: 0x800160d9 <- 0x0 +mem-write: 0x800160dd <- 0x0 +mem-write: 0x800160e1 <- 0x0 +mem-write: 0x800160e5 <- 0x0 +mem-write: 0x800160e9 <- 0x0 +mem-write: 0x800160ed <- 0x0 +mem-write: 0x800160f1 <- 0x0 +mem-write: 0x800160f5 <- 0x0 +mem-write: 0x800160f9 <- 0x0 +mem-write: 0x800160fd <- 0x0 +mem-write: 0x80016101 <- 0x0 +mem-write: 0x80016105 <- 0x0 +mem-write: 0x80016109 <- 0x0 +mem-write: 0x8001610d <- 0x0 +mem-write: 0x80016111 <- 0x0 +mem-write: 0x80016115 <- 0x0 +mem-write: 0x80016119 <- 0x0 +mem-write: 0x8001611d <- 0x0 +mem-write: 0x80016121 <- 0x0 +mem-write: 0x80016125 <- 0x0 +mem-write: 0x80016129 <- 0x0 +mem-write: 0x8001612d <- 0x0 +mem-write: 0x80016131 <- 0x0 +mem-write: 0x80016135 <- 0x0 +mem-write: 0x80016139 <- 0x0 +mem-write: 0x8001613d <- 0x0 +mem-write: 0x80016141 <- 0x0 +mem-write: 0x80016145 <- 0x0 +mem-write: 0x80016149 <- 0x0 +mem-write: 0x8001614d <- 0x0 +mem-write: 0x80016151 <- 0x0 +mem-write: 0x80016155 <- 0x0 +mem-write: 0x80016159 <- 0x0 +mem-write: 0x8001615d <- 0x0 +mem-write: 0x80016161 <- 0x0 +mem-write: 0x80016165 <- 0x0 +mem-write: 0x80016169 <- 0x0 +mem-write: 0x8001616d <- 0x0 +mem-write: 0x80016171 <- 0x0 +mem-write: 0x80016175 <- 0x0 +mem-write: 0x80016179 <- 0x0 +mem-write: 0x8001617d <- 0x0 +mem-write: 0x80016181 <- 0x0 +mem-write: 0x80016185 <- 0x0 +mem-write: 0x80016189 <- 0x0 +mem-write: 0x8001618d <- 0x0 +mem-write: 0x80016191 <- 0x0 +mem-write: 0x80016195 <- 0x0 +mem-write: 0x80016199 <- 0x0 +mem-write: 0x8001619d <- 0x0 +mem-write: 0x800161a1 <- 0x0 +mem-write: 0x800161a5 <- 0x0 +mem-write: 0x800161a9 <- 0x0 +mem-write: 0x800161ad <- 0x0 +mem-write: 0x800161b1 <- 0x0 +mem-write: 0x800161b5 <- 0x0 +mem-write: 0x800161b9 <- 0x0 +mem-write: 0x800161bd <- 0x0 +mem-write: 0x800161c1 <- 0x0 +mem-write: 0x800161c5 <- 0x0 +mem-write: 0x800161c9 <- 0x0 +mem-write: 0x800161cd <- 0x0 +mem-write: 0x800161d1 <- 0x0 +mem-write: 0x800161d5 <- 0x0 +mem-write: 0x800161d9 <- 0x0 +mem-write: 0x800161dd <- 0x0 +mem-write: 0x800161e1 <- 0x0 +mem-write: 0x800161e5 <- 0x0 +mem-write: 0x800161e9 <- 0x0 +mem-write: 0x800161ed <- 0x0 +mem-write: 0x800161f1 <- 0x0 +mem-write: 0x800161f5 <- 0x0 +mem-write: 0x800161f9 <- 0x0 +mem-write: 0x800161fd <- 0x0 +mem-write: 0x80016201 <- 0x0 +mem-write: 0x80016205 <- 0x0 +mem-write: 0x80016209 <- 0x0 +mem-write: 0x8001620d <- 0x0 +mem-write: 0x80016211 <- 0x0 +mem-write: 0x80016215 <- 0x0 +mem-write: 0x80016219 <- 0x0 +mem-write: 0x8001621d <- 0x0 +mem-write: 0x80016221 <- 0x0 +mem-write: 0x80016225 <- 0x0 +mem-write: 0x80016229 <- 0x0 +mem-write: 0x8001622d <- 0x0 +mem-write: 0x80016231 <- 0x0 +mem-write: 0x80016235 <- 0x0 +mem-write: 0x80016239 <- 0x0 +mem-write: 0x8001623d <- 0x0 +mem-write: 0x80016241 <- 0x0 +mem-write: 0x80016245 <- 0x0 +mem-write: 0x80016249 <- 0x0 +mem-write: 0x8001624d <- 0x0 +mem-write: 0x80016251 <- 0x0 +mem-write: 0x80016255 <- 0x0 +mem-write: 0x80016259 <- 0x0 +mem-write: 0x8001625d <- 0x0 +mem-write: 0x80016261 <- 0x0 +mem-write: 0x80016265 <- 0x0 +mem-write: 0x80016269 <- 0x0 +mem-write: 0x8001626d <- 0x0 +mem-write: 0x80016271 <- 0x0 +mem-write: 0x80016275 <- 0x0 +mem-write: 0x80016279 <- 0x0 +mem-write: 0x8001627d <- 0x0 +mem-write: 0x80016281 <- 0x0 +mem-write: 0x80016285 <- 0x0 +mem-write: 0x80016289 <- 0x0 +mem-write: 0x8001628d <- 0x0 +mem-write: 0x80016291 <- 0x0 +mem-write: 0x80016295 <- 0x0 +mem-write: 0x80016299 <- 0x0 +mem-write: 0x8001629d <- 0x0 +mem-write: 0x800162a1 <- 0x0 +mem-write: 0x800162a5 <- 0x0 +mem-write: 0x800162a9 <- 0x0 +mem-write: 0x800162ad <- 0x0 +mem-write: 0x800162b1 <- 0x0 +mem-write: 0x800162b5 <- 0x0 +mem-write: 0x800162b9 <- 0x0 +mem-write: 0x800162bd <- 0x0 +mem-write: 0x800162c1 <- 0x0 +mem-write: 0x800162c5 <- 0x0 +mem-write: 0x800162c9 <- 0x0 +mem-write: 0x800162cd <- 0x0 +mem-write: 0x800162d1 <- 0x0 +mem-write: 0x800162d5 <- 0x0 +mem-write: 0x800162d9 <- 0x0 +mem-write: 0x800162dd <- 0x0 +mem-write: 0x800162e1 <- 0x0 +mem-write: 0x800162e5 <- 0x0 +mem-write: 0x800162e9 <- 0x0 +mem-write: 0x800162ed <- 0x0 +mem-write: 0x800162f1 <- 0x0 +mem-write: 0x800162f5 <- 0x0 +mem-write: 0x800162f9 <- 0x0 +mem-write: 0x800162fd <- 0x0 +mem-write: 0x80016301 <- 0x0 +mem-write: 0x80016305 <- 0x0 +mem-write: 0x80016309 <- 0x0 +mem-write: 0x8001630d <- 0x0 +mem-write: 0x80016311 <- 0x0 +mem-write: 0x80016315 <- 0x0 +mem-write: 0x80016319 <- 0x0 +mem-write: 0x8001631d <- 0x0 +mem-write: 0x80016321 <- 0x0 +mem-write: 0x80016325 <- 0x0 +mem-write: 0x80016329 <- 0x0 +mem-write: 0x8001632d <- 0x0 +mem-write: 0x80016331 <- 0x0 +mem-write: 0x80016335 <- 0x0 +mem-write: 0x80016339 <- 0x0 +mem-write: 0x8001633d <- 0x0 +mem-write: 0x80016341 <- 0x0 +mem-write: 0x80016345 <- 0x0 +mem-write: 0x80016349 <- 0x0 +mem-write: 0x8001634d <- 0x0 +mem-write: 0x80016351 <- 0x0 +mem-write: 0x80016355 <- 0x0 +mem-write: 0x80016359 <- 0x0 +mem-write: 0x8001635d <- 0x0 +mem-write: 0x80016361 <- 0x0 +mem-write: 0x80016365 <- 0x0 +mem-write: 0x80016369 <- 0x0 +mem-write: 0x8001636d <- 0x0 +mem-write: 0x80016371 <- 0x0 +mem-write: 0x80016375 <- 0x0 +mem-write: 0x80016379 <- 0x0 +mem-write: 0x8001637d <- 0x0 +mem-write: 0x80016381 <- 0x0 +mem-write: 0x80016385 <- 0x0 +mem-write: 0x80016389 <- 0x0 +mem-write: 0x8001638d <- 0x0 +mem-write: 0x80016391 <- 0x0 +mem-write: 0x80016395 <- 0x0 +mem-write: 0x80016399 <- 0x0 +mem-write: 0x8001639d <- 0x0 +mem-write: 0x800163a1 <- 0x0 +mem-write: 0x800163a5 <- 0x0 +mem-write: 0x800163a9 <- 0x0 +mem-write: 0x800163ad <- 0x0 +mem-write: 0x800163b1 <- 0x0 +mem-write: 0x800163b5 <- 0x0 +mem-write: 0x800163b9 <- 0x0 +mem-write: 0x800163bd <- 0x0 +mem-write: 0x800163c1 <- 0x0 +mem-write: 0x800163c5 <- 0x0 +mem-write: 0x800163c9 <- 0x0 +mem-write: 0x800163cd <- 0x0 +mem-write: 0x800163d1 <- 0x0 +mem-write: 0x800163d5 <- 0x0 +mem-write: 0x800163d9 <- 0x0 +mem-write: 0x800163dd <- 0x0 +mem-write: 0x800163e1 <- 0x0 +mem-write: 0x800163e5 <- 0x0 +mem-write: 0x800163e9 <- 0x0 +mem-write: 0x800163ed <- 0x0 +mem-write: 0x800163f1 <- 0x0 +VXDRV: upload 1024 bytes to 0x800163f5 +mem-write: 0x800163f5 <- 0x0 +mem-write: 0x800163f9 <- 0x0 +mem-write: 0x800163fd <- 0x0 +mem-write: 0x80016401 <- 0x0 +mem-write: 0x80016405 <- 0x0 +mem-write: 0x80016409 <- 0x0 +mem-write: 0x8001640d <- 0x0 +mem-write: 0x80016411 <- 0x0 +mem-write: 0x80016415 <- 0x0 +mem-write: 0x80016419 <- 0x0 +mem-write: 0x8001641d <- 0x0 +mem-write: 0x80016421 <- 0x0 +mem-write: 0x80016425 <- 0x0 +mem-write: 0x80016429 <- 0x0 +mem-write: 0x8001642d <- 0x0 +mem-write: 0x80016431 <- 0x0 +mem-write: 0x80016435 <- 0x0 +mem-write: 0x80016439 <- 0x0 +mem-write: 0x8001643d <- 0x38000000 +mem-write: 0x80016441 <- 0x38800164 +mem-write: 0x80016445 <- 0x40800164 +mem-write: 0x80016449 <- 0x40800164 +mem-write: 0x8001644d <- 0x48800164 +mem-write: 0x80016451 <- 0x48800164 +mem-write: 0x80016455 <- 0x50800164 +mem-write: 0x80016459 <- 0x50800164 +mem-write: 0x8001645d <- 0x58800164 +mem-write: 0x80016461 <- 0x58800164 +mem-write: 0x80016465 <- 0x60800164 +mem-write: 0x80016469 <- 0x60800164 +mem-write: 0x8001646d <- 0x68800164 +mem-write: 0x80016471 <- 0x68800164 +mem-write: 0x80016475 <- 0x70800164 +mem-write: 0x80016479 <- 0x70800164 +mem-write: 0x8001647d <- 0x78800164 +mem-write: 0x80016481 <- 0x78800164 +mem-write: 0x80016485 <- 0x80800164 +mem-write: 0x80016489 <- 0x80800164 +mem-write: 0x8001648d <- 0x88800164 +mem-write: 0x80016491 <- 0x88800164 +mem-write: 0x80016495 <- 0x90800164 +mem-write: 0x80016499 <- 0x90800164 +mem-write: 0x8001649d <- 0x98800164 +mem-write: 0x800164a1 <- 0x98800164 +mem-write: 0x800164a5 <- 0xa0800164 +mem-write: 0x800164a9 <- 0xa0800164 +mem-write: 0x800164ad <- 0xa8800164 +mem-write: 0x800164b1 <- 0xa8800164 +mem-write: 0x800164b5 <- 0xb0800164 +mem-write: 0x800164b9 <- 0xb0800164 +mem-write: 0x800164bd <- 0xb8800164 +mem-write: 0x800164c1 <- 0xb8800164 +mem-write: 0x800164c5 <- 0xc0800164 +mem-write: 0x800164c9 <- 0xc0800164 +mem-write: 0x800164cd <- 0xc8800164 +mem-write: 0x800164d1 <- 0xc8800164 +mem-write: 0x800164d5 <- 0xd0800164 +mem-write: 0x800164d9 <- 0xd0800164 +mem-write: 0x800164dd <- 0xd8800164 +mem-write: 0x800164e1 <- 0xd8800164 +mem-write: 0x800164e5 <- 0xe0800164 +mem-write: 0x800164e9 <- 0xe0800164 +mem-write: 0x800164ed <- 0xe8800164 +mem-write: 0x800164f1 <- 0xe8800164 +mem-write: 0x800164f5 <- 0xf0800164 +mem-write: 0x800164f9 <- 0xf0800164 +mem-write: 0x800164fd <- 0xf8800164 +mem-write: 0x80016501 <- 0xf8800164 +mem-write: 0x80016505 <- 0x800164 +mem-write: 0x80016509 <- 0x800165 +mem-write: 0x8001650d <- 0x8800165 +mem-write: 0x80016511 <- 0x8800165 +mem-write: 0x80016515 <- 0x10800165 +mem-write: 0x80016519 <- 0x10800165 +mem-write: 0x8001651d <- 0x18800165 +mem-write: 0x80016521 <- 0x18800165 +mem-write: 0x80016525 <- 0x20800165 +mem-write: 0x80016529 <- 0x20800165 +mem-write: 0x8001652d <- 0x28800165 +mem-write: 0x80016531 <- 0x28800165 +mem-write: 0x80016535 <- 0x30800165 +mem-write: 0x80016539 <- 0x30800165 +mem-write: 0x8001653d <- 0x38800165 +mem-write: 0x80016541 <- 0x38800165 +mem-write: 0x80016545 <- 0x40800165 +mem-write: 0x80016549 <- 0x40800165 +mem-write: 0x8001654d <- 0x48800165 +mem-write: 0x80016551 <- 0x48800165 +mem-write: 0x80016555 <- 0x50800165 +mem-write: 0x80016559 <- 0x50800165 +mem-write: 0x8001655d <- 0x58800165 +mem-write: 0x80016561 <- 0x58800165 +mem-write: 0x80016565 <- 0x60800165 +mem-write: 0x80016569 <- 0x60800165 +mem-write: 0x8001656d <- 0x68800165 +mem-write: 0x80016571 <- 0x68800165 +mem-write: 0x80016575 <- 0x70800165 +mem-write: 0x80016579 <- 0x70800165 +mem-write: 0x8001657d <- 0x78800165 +mem-write: 0x80016581 <- 0x78800165 +mem-write: 0x80016585 <- 0x80800165 +mem-write: 0x80016589 <- 0x80800165 +mem-write: 0x8001658d <- 0x88800165 +mem-write: 0x80016591 <- 0x88800165 +mem-write: 0x80016595 <- 0x90800165 +mem-write: 0x80016599 <- 0x90800165 +mem-write: 0x8001659d <- 0x98800165 +mem-write: 0x800165a1 <- 0x98800165 +mem-write: 0x800165a5 <- 0xa0800165 +mem-write: 0x800165a9 <- 0xa0800165 +mem-write: 0x800165ad <- 0xa8800165 +mem-write: 0x800165b1 <- 0xa8800165 +mem-write: 0x800165b5 <- 0xb0800165 +mem-write: 0x800165b9 <- 0xb0800165 +mem-write: 0x800165bd <- 0xb8800165 +mem-write: 0x800165c1 <- 0xb8800165 +mem-write: 0x800165c5 <- 0xc0800165 +mem-write: 0x800165c9 <- 0xc0800165 +mem-write: 0x800165cd <- 0xc8800165 +mem-write: 0x800165d1 <- 0xc8800165 +mem-write: 0x800165d5 <- 0xd0800165 +mem-write: 0x800165d9 <- 0xd0800165 +mem-write: 0x800165dd <- 0xd8800165 +mem-write: 0x800165e1 <- 0xd8800165 +mem-write: 0x800165e5 <- 0xe0800165 +mem-write: 0x800165e9 <- 0xe0800165 +mem-write: 0x800165ed <- 0xe8800165 +mem-write: 0x800165f1 <- 0xe8800165 +mem-write: 0x800165f5 <- 0xf0800165 +mem-write: 0x800165f9 <- 0xf0800165 +mem-write: 0x800165fd <- 0xf8800165 +mem-write: 0x80016601 <- 0xf8800165 +mem-write: 0x80016605 <- 0x800165 +mem-write: 0x80016609 <- 0x800166 +mem-write: 0x8001660d <- 0x8800166 +mem-write: 0x80016611 <- 0x8800166 +mem-write: 0x80016615 <- 0x10800166 +mem-write: 0x80016619 <- 0x10800166 +mem-write: 0x8001661d <- 0x18800166 +mem-write: 0x80016621 <- 0x18800166 +mem-write: 0x80016625 <- 0x20800166 +mem-write: 0x80016629 <- 0x20800166 +mem-write: 0x8001662d <- 0x28800166 +mem-write: 0x80016631 <- 0x28800166 +mem-write: 0x80016635 <- 0x30800166 +mem-write: 0x80016639 <- 0x30800166 +mem-write: 0x8001663d <- 0x38800166 +mem-write: 0x80016641 <- 0x38800166 +mem-write: 0x80016645 <- 0x40800166 +mem-write: 0x80016649 <- 0x40800166 +mem-write: 0x8001664d <- 0x48800166 +mem-write: 0x80016651 <- 0x48800166 +mem-write: 0x80016655 <- 0x50800166 +mem-write: 0x80016659 <- 0x50800166 +mem-write: 0x8001665d <- 0x58800166 +mem-write: 0x80016661 <- 0x58800166 +mem-write: 0x80016665 <- 0x60800166 +mem-write: 0x80016669 <- 0x60800166 +mem-write: 0x8001666d <- 0x68800166 +mem-write: 0x80016671 <- 0x68800166 +mem-write: 0x80016675 <- 0x70800166 +mem-write: 0x80016679 <- 0x70800166 +mem-write: 0x8001667d <- 0x78800166 +mem-write: 0x80016681 <- 0x78800166 +mem-write: 0x80016685 <- 0x80800166 +mem-write: 0x80016689 <- 0x80800166 +mem-write: 0x8001668d <- 0x88800166 +mem-write: 0x80016691 <- 0x88800166 +mem-write: 0x80016695 <- 0x90800166 +mem-write: 0x80016699 <- 0x90800166 +mem-write: 0x8001669d <- 0x98800166 +mem-write: 0x800166a1 <- 0x98800166 +mem-write: 0x800166a5 <- 0xa0800166 +mem-write: 0x800166a9 <- 0xa0800166 +mem-write: 0x800166ad <- 0xa8800166 +mem-write: 0x800166b1 <- 0xa8800166 +mem-write: 0x800166b5 <- 0xb0800166 +mem-write: 0x800166b9 <- 0xb0800166 +mem-write: 0x800166bd <- 0xb8800166 +mem-write: 0x800166c1 <- 0xb8800166 +mem-write: 0x800166c5 <- 0xc0800166 +mem-write: 0x800166c9 <- 0xc0800166 +mem-write: 0x800166cd <- 0xc8800166 +mem-write: 0x800166d1 <- 0xc8800166 +mem-write: 0x800166d5 <- 0xd0800166 +mem-write: 0x800166d9 <- 0xd0800166 +mem-write: 0x800166dd <- 0xd8800166 +mem-write: 0x800166e1 <- 0xd8800166 +mem-write: 0x800166e5 <- 0xe0800166 +mem-write: 0x800166e9 <- 0xe0800166 +mem-write: 0x800166ed <- 0xe8800166 +mem-write: 0x800166f1 <- 0xe8800166 +mem-write: 0x800166f5 <- 0xf0800166 +mem-write: 0x800166f9 <- 0xf0800166 +mem-write: 0x800166fd <- 0xf8800166 +mem-write: 0x80016701 <- 0xf8800166 +mem-write: 0x80016705 <- 0x800166 +mem-write: 0x80016709 <- 0x800167 +mem-write: 0x8001670d <- 0x8800167 +mem-write: 0x80016711 <- 0x8800167 +mem-write: 0x80016715 <- 0x10800167 +mem-write: 0x80016719 <- 0x10800167 +mem-write: 0x8001671d <- 0x18800167 +mem-write: 0x80016721 <- 0x18800167 +mem-write: 0x80016725 <- 0x20800167 +mem-write: 0x80016729 <- 0x20800167 +mem-write: 0x8001672d <- 0x28800167 +mem-write: 0x80016731 <- 0x28800167 +mem-write: 0x80016735 <- 0x30800167 +mem-write: 0x80016739 <- 0x30800167 +mem-write: 0x8001673d <- 0x38800167 +mem-write: 0x80016741 <- 0x38800167 +mem-write: 0x80016745 <- 0x40800167 +mem-write: 0x80016749 <- 0x40800167 +mem-write: 0x8001674d <- 0x48800167 +mem-write: 0x80016751 <- 0x48800167 +mem-write: 0x80016755 <- 0x50800167 +mem-write: 0x80016759 <- 0x50800167 +mem-write: 0x8001675d <- 0x58800167 +mem-write: 0x80016761 <- 0x58800167 +mem-write: 0x80016765 <- 0x60800167 +mem-write: 0x80016769 <- 0x60800167 +mem-write: 0x8001676d <- 0x68800167 +mem-write: 0x80016771 <- 0x68800167 +mem-write: 0x80016775 <- 0x70800167 +mem-write: 0x80016779 <- 0x70800167 +mem-write: 0x8001677d <- 0x78800167 +mem-write: 0x80016781 <- 0x78800167 +mem-write: 0x80016785 <- 0x80800167 +mem-write: 0x80016789 <- 0x80800167 +mem-write: 0x8001678d <- 0x88800167 +mem-write: 0x80016791 <- 0x88800167 +mem-write: 0x80016795 <- 0x90800167 +mem-write: 0x80016799 <- 0x90800167 +mem-write: 0x8001679d <- 0x98800167 +mem-write: 0x800167a1 <- 0x98800167 +mem-write: 0x800167a5 <- 0xa0800167 +mem-write: 0x800167a9 <- 0xa0800167 +mem-write: 0x800167ad <- 0xa8800167 +mem-write: 0x800167b1 <- 0xa8800167 +mem-write: 0x800167b5 <- 0xb0800167 +mem-write: 0x800167b9 <- 0xb0800167 +mem-write: 0x800167bd <- 0xb8800167 +mem-write: 0x800167c1 <- 0xb8800167 +mem-write: 0x800167c5 <- 0xc0800167 +mem-write: 0x800167c9 <- 0xc0800167 +mem-write: 0x800167cd <- 0xc8800167 +mem-write: 0x800167d1 <- 0xc8800167 +mem-write: 0x800167d5 <- 0xd0800167 +mem-write: 0x800167d9 <- 0xd0800167 +mem-write: 0x800167dd <- 0xd8800167 +mem-write: 0x800167e1 <- 0xd8800167 +mem-write: 0x800167e5 <- 0xe0800167 +mem-write: 0x800167e9 <- 0xe0800167 +mem-write: 0x800167ed <- 0xe8800167 +mem-write: 0x800167f1 <- 0xe8800167 +VXDRV: upload 503 bytes to 0x800167f5 +mem-write: 0x800167f5 <- 0xf0800167 +mem-write: 0x800167f9 <- 0xf0800167 +mem-write: 0x800167fd <- 0xf8800167 +mem-write: 0x80016801 <- 0xf8800167 +mem-write: 0x80016805 <- 0x800167 +mem-write: 0x80016809 <- 0x800168 +mem-write: 0x8001680d <- 0x8800168 +mem-write: 0x80016811 <- 0x8800168 +mem-write: 0x80016815 <- 0x10800168 +mem-write: 0x80016819 <- 0x10800168 +mem-write: 0x8001681d <- 0x18800168 +mem-write: 0x80016821 <- 0x18800168 +mem-write: 0x80016825 <- 0x20800168 +mem-write: 0x80016829 <- 0x20800168 +mem-write: 0x8001682d <- 0x28800168 +mem-write: 0x80016831 <- 0x28800168 +mem-write: 0x80016835 <- 0x30800168 +mem-write: 0x80016839 <- 0x30800168 +mem-write: 0x8001683d <- 0x43800168 +mem-write: 0x80016841 <- 0x0 +mem-write: 0x80016845 <- 0x0 +mem-write: 0x80016849 <- 0x0 +mem-write: 0x8001684d <- 0x0 +mem-write: 0x80016851 <- 0x0 +mem-write: 0x80016855 <- 0x0 +mem-write: 0x80016859 <- 0x0 +mem-write: 0x8001685d <- 0x43000000 +mem-write: 0x80016861 <- 0x0 +mem-write: 0x80016865 <- 0x0 +mem-write: 0x80016869 <- 0x0 +mem-write: 0x8001686d <- 0x0 +mem-write: 0x80016871 <- 0x0 +mem-write: 0x80016875 <- 0x0 +mem-write: 0x80016879 <- 0x0 +mem-write: 0x8001687d <- 0x43000000 +mem-write: 0x80016881 <- 0x0 +mem-write: 0x80016885 <- 0x0 +mem-write: 0x80016889 <- 0x0 +mem-write: 0x8001688d <- 0x0 +mem-write: 0x80016891 <- 0x0 +mem-write: 0x80016895 <- 0x0 +mem-write: 0x80016899 <- 0x0 +mem-write: 0x8001689d <- 0x43000000 +mem-write: 0x800168a1 <- 0x0 +mem-write: 0x800168a5 <- 0x0 +mem-write: 0x800168a9 <- 0x0 +mem-write: 0x800168ad <- 0x0 +mem-write: 0x800168b1 <- 0x0 +mem-write: 0x800168b5 <- 0x0 +mem-write: 0x800168b9 <- 0x0 +mem-write: 0x800168bd <- 0x43000000 +mem-write: 0x800168c1 <- 0x0 +mem-write: 0x800168c5 <- 0x0 +mem-write: 0x800168c9 <- 0x0 +mem-write: 0x800168cd <- 0x0 +mem-write: 0x800168d1 <- 0x0 +mem-write: 0x800168d5 <- 0x0 +mem-write: 0x800168d9 <- 0x0 +mem-write: 0x800168dd <- 0x43000000 +mem-write: 0x800168e1 <- 0x0 +mem-write: 0x800168e5 <- 0x0 +mem-write: 0x800168e9 <- 0x0 +mem-write: 0x800168ed <- 0x0 +mem-write: 0x800168f1 <- 0x0 +mem-write: 0x800168f5 <- 0x0 +mem-write: 0x800168f9 <- 0x0 +mem-write: 0x800168fd <- 0x43000000 +mem-write: 0x80016901 <- 0x0 +mem-write: 0x80016905 <- 0x0 +mem-write: 0x80016909 <- 0x0 +mem-write: 0x8001690d <- 0x0 +mem-write: 0x80016911 <- 0x0 +mem-write: 0x80016915 <- 0x0 +mem-write: 0x80016919 <- 0x0 +mem-write: 0x8001691d <- 0x74000000 +mem-write: 0x80016921 <- 0x18800100 +mem-write: 0x80016925 <- 0x8000e0 +mem-write: 0x80016929 <- 0xfc000000 +mem-write: 0x8001692d <- 0x6c800154 +mem-write: 0x80016931 <- 0x18800153 +mem-write: 0x80016935 <- 0x1880014a +mem-write: 0x80016939 <- 0x1880014a +mem-write: 0x8001693d <- 0x1880014a +mem-write: 0x80016941 <- 0x1880014a +mem-write: 0x80016945 <- 0x1880014a +mem-write: 0x80016949 <- 0x1880014a +mem-write: 0x8001694d <- 0x1880014a +mem-write: 0x80016951 <- 0x1880014a +mem-write: 0x80016955 <- 0xff80014a +mem-write: 0x80016959 <- 0xffffffff +mem-write: 0x8001695d <- 0xffffffff +mem-write: 0x80016961 <- 0xffffffff +mem-write: 0x80016965 <- 0x10000ff +mem-write: 0x80016969 <- 0x43534100 +mem-write: 0x8001696d <- 0x4949 +mem-write: 0x80016971 <- 0x0 +mem-write: 0x80016975 <- 0x0 +mem-write: 0x80016979 <- 0x0 +mem-write: 0x8001697d <- 0x0 +mem-write: 0x80016981 <- 0x0 +mem-write: 0x80016985 <- 0x0 +mem-write: 0x80016989 <- 0x43534100 +mem-write: 0x8001698d <- 0x4949 +mem-write: 0x80016991 <- 0x0 +mem-write: 0x80016995 <- 0x0 +mem-write: 0x80016999 <- 0x0 +mem-write: 0x8001699d <- 0x0 +mem-write: 0x800169a1 <- 0x0 +mem-write: 0x800169a5 <- 0x0 +mem-write: 0x800169a9 <- 0x0 +mem-write: 0x800169ad <- 0x0 +mem-write: 0x800169b1 <- 0x0 +mem-write: 0x800169b5 <- 0x3ff000 +mem-write: 0x800169b9 <- 0x0 +mem-write: 0x800169bd <- 0x402400 +mem-write: 0x800169c1 <- 0x0 +mem-write: 0x800169c5 <- 0x10435000 +mem-write: 0x800169c9 <- 0x800160 +mem-write: 0x800169cd <- 0x100000 +mem-write: 0x800169d1 <- 0x700000 +mem-write: 0x800169d5 <- 0x100200 +mem-write: 0x800169d9 <- 0x100100 +mem-write: 0x800169dd <- 0x10100000 +mem-write: 0x800169e1 <- 0xff800160 +mem-write: 0x800169e5 <- 0xffffff +mem-write: 0x800169e9 <- 0xe0000200 +Device running... +DEBUG ../../../simX/core.cpp:732: Creating a new thread with PC: 80000000 + +DEBUG ../../../simX/core.cpp:732: Creating a new thread with PC: 80000000 + +DEBUG ../../../simX/core.cpp:732: Creating a new thread with PC: 80000000 + +DEBUG ../../../simX/core.cpp:732: Creating a new thread with PC: 80000000 + +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000000 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 597 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x597 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000000: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000004 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d458593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd458593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000004: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 800000d4 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000004 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000008 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2000513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2000513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000008: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 800000d4 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000008 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 7 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000000c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5106b +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5106b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000000c: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:961: WSPAWN +DEBUG ../../../simX/instruction.cpp:969: Spawning 4 new warps at PC: 800000d4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 800000d4 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000000c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 1 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 8 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000000c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 1 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 9 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2000513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2000513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 10 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 11 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 12 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 13 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2000513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2000513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 14 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2000513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2000513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 15 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000010 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000010: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800000d4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 800000d4 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000010 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 16 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d8: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 00000000 00000000 00000000 00000000 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 17 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d8: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 00000000 00000000 00000000 00000000 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 18 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d8: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 00000000 00000000 00000000 00000000 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 19 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 20 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 21 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2000513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2000513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 00000000 (0) + %r 3: 00000000 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000020 (0) + %r11: 800000d4 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 22 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 16197 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x16197 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000dc: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 800160dc 800160dc 800160dc 800160dc (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000dc +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 23 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 16197 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x16197 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000dc: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 800160dc 800160dc 800160dc 800160dc (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000dc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 24 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 16197 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x16197 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000dc: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 800160dc 800160dc 800160dc 800160dc (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000dc +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 25 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d8: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 00000000 00000000 00000000 00000000 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 800000d4 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 26 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72c18193 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72c18193 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 27 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72c18193 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72c18193 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 28 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72c18193 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72c18193 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 29 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e4: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 30 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e4: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 31 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e4: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 32 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 16197 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x16197 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000dc: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 800160dc 800160dc 800160dc 800160dc (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 800000d4 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 33 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a69693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a69693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 34 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a69693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a69693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 35 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a69693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a69693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 36 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72c18193 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72c18193 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 800000d4 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 3 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 37 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002673 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002673 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000ec: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ec +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 38 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002673 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002673 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000ec: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ec +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 39 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002673 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002673 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000ec: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000000 00000000 00000000 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ec +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 40 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e4: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 800000d4 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 41 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a61593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa61593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 42 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a61593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa61593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 43 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a61593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa61593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 44 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a69693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a69693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 800000d4 00000000 00000000 00000000 (0) + %r12: 00000000 00000000 00000000 00000000 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 45 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 261613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x261613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 46 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 261613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x261613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 47 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 261613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x261613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 48 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002673 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002673 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000ec: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 800000d4 00000000 00000000 00000000 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 49 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6ffff137 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6ffff137 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6ffff000 6ffff000 6ffff000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 50 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6ffff137 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6ffff137 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6ffff000 6ffff000 6ffff000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 51 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6ffff137 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6ffff137 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6ffff000 6ffff000 6ffff000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 52 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a61593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa61593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000001 00000002 00000003 (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 53 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000fc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec00 6fffe800 6fffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000fc +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 54 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000fc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec00 6fffe800 6fffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000fc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 55 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000fc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec00 6fffe800 6fffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000fc +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 56 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 261613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x261613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 00000000 00000000 00000000 00000000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 57 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40d10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40d10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000100: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6bfff000 6bffec00 6bffe800 6bffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 58 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 59 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 60 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 61 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40d10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40d10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000100: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 67fff000 67ffec00 67ffe800 67ffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 62 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40d10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40d10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000100: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 63fff000 63ffec00 63ffe800 63ffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 63 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6ffff137 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6ffff137 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000f8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6ffff000 6ffff000 6ffff000 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 64 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000104: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6bfff000 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 04000000 04000000 04000000 04000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000104 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 65 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000104: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 67fff000 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 08000000 08000000 08000000 08000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000104 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 66 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000104: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 63fff000 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 0c000000 0c000000 0c000000 0c000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000104 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 67 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000fc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec00 6fffe800 6fffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 68 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000108: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6bfff000 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000108 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 69 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000108: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 67fff000 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000108 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 70 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000108: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 63fff000 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000108 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 71 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40d10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40d10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000100: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec00 6fffe800 6fffe400 (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 72 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000010c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000010c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6bfff000 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000010c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 73 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000010c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000010c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 67fff000 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000010c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 74 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000010c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000010c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 63fff000 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000010c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 75 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c10133 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc10133 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000104: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000104 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 76 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21026f3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21026f3 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000108: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000108 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 77 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000010c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000010c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000118 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000010c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 78 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000110 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000110: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 6bfff000 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000110 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 79 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000110 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000110: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 67fff000 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000110 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 80 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000110 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 81 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000110 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000110: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 00000000 00000000 00000000 (0) + %r 2: 63fff000 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000110 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 82 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000114 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000114: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000114 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 83 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000114 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000114: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000114 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 84 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000114 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000114: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000114 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 85 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000118 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000118: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000014, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000014 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000020 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000118 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 86 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 87 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 88 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 89 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 90 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 91 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000014 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000014: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000014 00000000 00000000 00000000 (0) + %r 2: 6ffff000 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000000 00000000 00000000 00000000 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000014 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 92 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000018 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000018: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000018 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 93 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 94 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 95 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 96 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 97 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 98 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 99 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000001c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e418513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e418513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000001c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000001c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000020 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 24c18613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x24c18613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000020: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 80016a54 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000020 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000024 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40a60633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40a60633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000024: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000024 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000028 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000028: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000014 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000028 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000002c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5ed000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5ed000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000002c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000002c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000002c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 800169ec (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c37e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c37e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e20: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 800169ec (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f77793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf77793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e24: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a079063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa079063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e28: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 12 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000ec8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000000 (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ec8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 279693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x279693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ec8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000030 (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ec8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ec8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ec8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ec8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ecc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 297 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x297 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ecc: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000ecc (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 00000030 (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ecc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 5 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ed0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ed0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000ecc (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ed0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ed4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8293 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8293 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ed4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ed4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 5 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ed8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa0680e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa0680e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ed8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r13=80000efc, imm=4294967200 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e9c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000edc (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ed8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ed8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b701a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb701a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169ec, rb=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169ef +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000edc (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169ec, rb=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169ee +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000edc (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b700a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb700a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169ec, rb=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169ed +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000edc (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169ec, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169ec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000edc (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000eac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000eac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000edc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000edc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000edc (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000edc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 28093 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x28093 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000edc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: 0000000c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000edc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 5 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ee0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff078793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff078793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ee0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169ec (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ee0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ee4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40f70733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40f70733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ee4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000068 (0) + %r13: 80000efc (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ee4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ee8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f60633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf60633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ee8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000064 (0) + %r13: 80000efc (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ee8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000eec +DEBUG ../../../simX/enc.cpp:105: Curr Code: f6c378e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf6c378e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000eec: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000064 (0) + %r13: 80000efc (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ef0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f3dff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf3dff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ef0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000064 (0) + %r13: 80000efc (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ef0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8059263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8059263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e2c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000064 (0) + %r13: 80000efc (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff067693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff067693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000064 (0) + %r13: 00000060 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f67613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf67613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e34: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 00000060 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e38: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169f0, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169f0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169f0, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169f4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169f0, rb=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169f8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800169f0, rb=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 800169f0 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a00 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed766e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed766e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e50: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e3c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a00 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a00, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a00 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a00 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a00, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a00 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a00, rb=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a08 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a00 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a00, rb=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a0c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a00 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a10 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed766e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed766e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e50: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e3c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a10 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a10, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a10 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a10 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a10, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a10 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a10, rb=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a10 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a10, rb=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a10 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a20 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed766e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed766e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e50: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e3c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a20 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a20, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a20 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a20 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a20, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a24 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a20 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a20, rb=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a28 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a20 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a20, rb=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a20 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a30 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed766e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed766e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e50: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e3c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a30 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a30, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a30 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a30 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a30, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a30 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a30, rb=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a30 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a30, rb=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a30 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a40 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed766e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed766e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e50: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e3c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a40 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a40, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a40 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a40 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a40, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a44 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a40 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a40, rb=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a48 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a40 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b72623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb72623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a40, rb=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a4c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a40 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed766e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed766e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e50: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 61463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x61463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e54: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 4 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e5c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80016a50 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40c306b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40c306b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 0000000b (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 269693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x269693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000030 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 0000002c (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 297 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x297 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e64: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 0000002c (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 5 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e68: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c68067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc68067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e6c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r13=80000e90, imm=12 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e9c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b701a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb701a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a50, rb=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a53 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a50, rb=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a52 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b700a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb700a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a50, rb=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a51 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016a50, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000eac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000eac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000030, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000030 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 800169ec (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000030 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1517 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1517 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000030: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80001030 (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000030 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000034 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cf050513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcf050513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000034: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000030 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80000d20 (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000038 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a5000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a5000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000038: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000cdc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80000d20 (0) + %r11: 00000000 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000038 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cdc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80000d20 (0) + %r11: 80000d20 (0) + %r12: 00000004 (0) + %r13: 80000e90 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ce0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ce0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80000d20 (0) + %r11: 80000d20 (0) + %r12: 00000004 (0) + %r13: 00000000 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ce0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ce4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ce4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80000d20 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ce4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ce8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ce8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ce8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7590206f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7590206f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cec: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003c44 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016a50 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c01a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c01a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c44: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=448 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169c8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016010 (0) + %r15: fffffffc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 14872783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x14872783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c48: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80016010, imm=328 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016158 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016010 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4078c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4078c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c4c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003ca4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016010 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ca4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 14c70793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x14c70793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ca4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016010 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ca8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 14f72423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x14f72423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ca8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016010, rf=8001615c, imm=328 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016158 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016010 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cac +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa5ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa5ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cac: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003c50 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80016010 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 47a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x47a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=8001615c, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f00813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f00813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 0000001f (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6e84e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6e84e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c58: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 0000001f (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 271813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x271813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2050663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2050663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c60: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003c8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 170713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x170713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c8c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c90: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=8001615c, re=1, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c94: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b7a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb7a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c98: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=8001615c, rb=80000d20, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016164 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003c9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003c9c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003c9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ca0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ca0: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000003c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000003c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000003c (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ca0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000003c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 541000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x541000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000003c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000d7c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000003c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d7c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d80: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeff0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeff8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d84: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeff0, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeff0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80016437 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80016437 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d88: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80016937 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80016937 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d8c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 8001615c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40f90933 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40f90933 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d98: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeff0, r1=80000040, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeffc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000da0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000da0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeff0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeff4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000da0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000da0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000da4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40295913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40295913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000da4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000da4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000da8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2090063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2090063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000da8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000dc8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000da8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80016437 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80016437 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dc8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dcc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80016937 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80016937 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dcc: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dcc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dd0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 490913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x490913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016004 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40f90933 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40f90933 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dd8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000004 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ddc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40295913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40295913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ddc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ddc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000de0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2090063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2090063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000de0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000de0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000de0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000de4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000de4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000de4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000de8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000de8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000de8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dec: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800000bc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 800000bc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000df0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 148493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x148493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000df0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 800000bc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000df0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000df4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 440413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x440413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000df4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 800000bc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000df4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000df8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000df8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=800000bc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800000bc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000dfc (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 800000bc (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000df8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000bc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000dfc (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000c0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800000d0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000dfc (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000d0: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000dfc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000dfc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000dfc (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000dfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe9918e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe9918e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000dfc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 1 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000dfc (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e00: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeff0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeffc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000040 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e04: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeff0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeff8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e08: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeff0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeff4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000001 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e0c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeff0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeff0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e10: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e14: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000040, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000040 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000040 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000040 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000040: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000048 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000048 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000048: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000048 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000004c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000004c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000004c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000050 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000050: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefd0, r1=80000044, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeffc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000050 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000054 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72c000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72c000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000054: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000058 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000054 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000058 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000784: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000058, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000058 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000058 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000784 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000058 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80015537 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80015537 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000058: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000058 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80015000 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000005c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a0450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa0450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000005c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000058 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000005c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6d5000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6d5000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000060: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000f34 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d81a303 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d81a303 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=472 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169e0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f38: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rc=0, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2d12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2d12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rd=0, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2b12223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2b12223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rb=80000d20, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e12823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e12823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, re=1, imm=48 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f12a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f12a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f4c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rf=0, imm=52 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3012c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3012c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f50: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r10=0, imm=56 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f54: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r11=0, imm=60 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80000d20 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 832583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x832583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r6=80016010, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016018 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 6 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2410693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2410693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a04 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f64: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 6 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f68: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r1=80000064, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f6c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rd=6fffefb4, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f70: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000f80 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f84: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r1=80000f74, imm=492 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f88: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r12=0, imm=480 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef80 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f8c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r18=0, imm=456 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1da12023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1da12023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f90: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r1a=0, imm=448 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef60 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 26 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58c13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58c13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f98: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d12a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd12a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rd=6fffefb4, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fa0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fa0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r8=0, imm=488 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fa0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fa4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fa4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r9=0, imm=484 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fa8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fa8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r13=0, imm=476 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef7c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fac: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r14=0, imm=472 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef78 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fb0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r15=0, imm=468 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef74 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fb0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fb4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r16=0, imm=464 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef70 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fb8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r17=0, imm=460 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fb8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fbc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r19=0, imm=452 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 25 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1bb12e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1bb12e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fc0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r1b=0, imm=444 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef5c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 27 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 27 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 27 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 27 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50d13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50d13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fc4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 26 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 570060ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x570060ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fc8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007538 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007538 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12818513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12818513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007538: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016930 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000753c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000753c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000fcc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000fcc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016930 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000753c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fcc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fcc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016930, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016930 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8001536c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016930 (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 8001536c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fcc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fd0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 8001536c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f12823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f12823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fd4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=8001536c, imm=48 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedd0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 8001536c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 514080ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x514080ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fd8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800094ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 8001536c (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 357793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x357793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4079c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4079c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 6fffefb4 (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7f7f86b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7f7f86b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094f8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 7f7f8000 (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f7f68693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf7f68693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094fc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a04 (0) + %r13: 7f7f7f7f (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009500 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009500: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 80014a04 (0) + %r13: 7f7f7f7f (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009504 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009504: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=8001536c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009504 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009508 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 470713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x470713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009508: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009508 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000950c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d677b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd677b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000950c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000950c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009510 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009510: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7fad (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009510 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009514 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c7e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc7e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009514: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7faf (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009514 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009514 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009514 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009518 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d7e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd7e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009518: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009518 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009518 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000951c +DEBUG ../../../simX/enc.cpp:105: Curr Code: feb784e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfeb784e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000951c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000951c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000951c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009520 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc74683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc74683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009520: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80015370, imm=4294967292 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 0000002e (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009520 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009524 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffd74603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffd74603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009524: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80015370, imm=4294967293 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009524 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009528 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffe74783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffe74783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009528: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80015370, imm=4294967294 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 80015370 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009528 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000952c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40a70733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40a70733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000952c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000952c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009530 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4068063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4068063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009530: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009530 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009534 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2060a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2060a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009534: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009568 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009534 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009568 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffd70513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffd70513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009568: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000956c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000956c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000fdc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000fdc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000956c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fdc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, ra=1, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fe0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fe0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=240 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fe0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fe4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fe4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=244 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fe4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fe8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fe8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=248 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee98 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fe8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fec +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=252 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ff0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ff0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ff0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 26 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ff4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 38d2703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x38d2703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ff4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r26=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ff4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ff8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a0708e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa0708e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ff8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800018a8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ff8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800018a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800018a8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800018a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800018a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800018a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800018a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800018ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 349020ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x349020ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800018ac: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800043f4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800018ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800043f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3852783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3852783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800043f4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800043f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800043f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800043f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800043f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800043f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800043f8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004400 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800043f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004400 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cedff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcedff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004400: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800040ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004400 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004400 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004400 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004400 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800047b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800047b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040f0: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040f4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r1=800018b0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040f8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r8=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040fc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r9=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004100: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r12=80014a04, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004104: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r13=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004104 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004108: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r14=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004108 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000410c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000410c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r15=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000410c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004110 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004110: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed80, r16=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004110 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004114 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 452403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x452403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004114: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016014 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 80004000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004114 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004118 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e078793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe078793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004118: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004118 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000411c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f52e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f52e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000411c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r10=80016010, rf=800040e0, imm=60 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001604c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000411c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004120 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2ec50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2ec50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004120: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 800040e0 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004120 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004124 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004124: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000003 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004128 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2ee52423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2ee52423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004128: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r10=80016010, re=800162fc, imm=744 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800162f8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000003 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004128 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000412c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2ef52223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2ef52223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000412c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r10=80016010, rf=3, imm=740 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800162f4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000003 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000412c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004130 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e052023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e052023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004130: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r10=80016010, r0=0, imm=736 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800162f0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000003 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004130 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004134 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 400793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x400793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004134: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004134 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004138 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004138: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004138 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000413c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000413c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, rf=4, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000413c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004140 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004140: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: ffffffff (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004140 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004140 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004140 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004140 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004144 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004144: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004144 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004148 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6042223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6042223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004148: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=100 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016360 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004148 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000414c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000414c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000414c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004150 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004150: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016300 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004150 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004154 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004154: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016304 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004154 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004158 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004158: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001630c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004158 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000415c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000415c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016310 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000415c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004160 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004160: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016314 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004160 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004164 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004164: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004164 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004168 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cb1fc0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcb1fc0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004168: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004168 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 800162fc (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c37e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c37e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e20: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e5c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000002e (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40c306b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40c306b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000007 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 269693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x269693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000001c (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 297 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x297 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e64: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000001c (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 5 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e68: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c68067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc68067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e6c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r13=80000e80, imm=12 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b703a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb703a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e8c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=7 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001635f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70323 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70323 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e90: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=6 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001635e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b702a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb702a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e94: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=5 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001635d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e98: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001635c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b701a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb701a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001635b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001635a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b700a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb700a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016359 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016358, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016358 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000eac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000eac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000416c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000416c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000416c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80009b37 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80009b37 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000416c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000000 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80009000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000416c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004170 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 892483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x892483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004170: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=80016010, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016018 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80009000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004170 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004174 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80009ab7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80009ab7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004174: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80009000 (0) + %r22: 80009000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004174 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004178 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80009a37 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80009a37 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004178: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 00000000 (0) + %r20: 80009000 (0) + %r21: 80009000 (0) + %r22: 80009000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004178 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000417c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800099b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800099b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000417c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009000 (0) + %r20: 80009000 (0) + %r21: 80009000 (0) + %r22: 80009000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000417c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004180 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e0b0b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e0b0b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004180: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009000 (0) + %r20: 80009000 (0) + %r21: 80009000 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004180 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004180 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004180 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 785 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004180 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 786 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004184 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 344a8a93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x344a8a93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004184: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009000 (0) + %r20: 80009000 (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004184 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 787 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004188 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3cca0a13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3cca0a13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004188: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009000 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004188 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 788 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000418c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 43498993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x43498993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000418c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00000004 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000418c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 789 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004190 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 107b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x107b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004190: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004190 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 790 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004194 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3642023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3642023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004194: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r16=800092e0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001631c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004194 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 791 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004198 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3542223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3542223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004198: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r15=80009344, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016320 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004198 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 792 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000419c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3442423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3442423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000419c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r14=800093cc, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016324 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000419c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 793 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3342623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3342623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041a0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r13=80009434, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016328 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 794 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 842e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x842e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041a4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r8=800162fc, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016318 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 795 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 978793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x978793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041a8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 796 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: f4a623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf4a623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041ac: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, rf=10009, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 797 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 798 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 799 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 800 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 604a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x604a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041b8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=100 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 801 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041bc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 802 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041c0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016368 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 803 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 804 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 805 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 806 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041c4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 807 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041c8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 808 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4aa23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4aa23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041cc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016378 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 809 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4ac23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4ac23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041d0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r0=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001637c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 80016358 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 810 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000416c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 811 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41fc0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41fc0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041d8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 812 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 813 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 814 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 815 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 816 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 817 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016358 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 818 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 819 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c37e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c37e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e20: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e5c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 820 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 821 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 822 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 823 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 824 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 825 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40c306b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40c306b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000007 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 826 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 269693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x269693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000001c (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 827 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 297 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x297 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e64: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000001c (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 5 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 828 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e68: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 829 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 830 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c68067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc68067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e6c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r13=80000e80, imm=12 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 831 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 832 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 833 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 834 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 835 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 836 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 837 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 838 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b703a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb703a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e8c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=7 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c7 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 839 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70323 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70323 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e90: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=6 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c6 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 840 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b702a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb702a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e94: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=5 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c5 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 841 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e98: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 842 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b701a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb701a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 843 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c2 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 844 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b700a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb700a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 845 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=800163c0, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 846 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000eac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000eac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800041dc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800041dc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 847 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 848 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 849 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 850 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 851 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 852 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c92403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc92403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041dc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=80016010, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001601c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800163cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00010009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 853 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 207b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x207b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041e0: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 854 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 364a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x364a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041e4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r16=800092e0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016384 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 855 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 354a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x354a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041e8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r15=80009344, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016388 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 856 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 344a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x344a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041ec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r14=800093cc, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001638c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 857 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 334a623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x334a623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r13=80009434, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016390 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 858 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 94ae23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x94ae23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041f4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016364, r9=80016364, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016380 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 859 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1278793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1278793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041f8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 860 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800041fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800041fc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, rf=20012, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800041fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 861 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004200 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6042223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6042223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004200: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=100 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016430 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 862 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 863 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 864 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 865 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004204 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004204: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004204 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 866 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004208 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004208: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163d0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004208 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 867 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000420c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000420c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163d4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000420c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 868 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004210 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004210: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163dc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004210 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 869 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004214 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004214: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163e0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004214 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 870 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004218 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004218: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163e4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 800163c0 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004218 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 871 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000421c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000421c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000421c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 872 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004220 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004220: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004220 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 873 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004224 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004224: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800041dc (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004224 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 874 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004228 +DEBUG ../../../simX/enc.cpp:105: Curr Code: bf1fc0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xbf1fc0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004228: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004228 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 875 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 876 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 877 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 878 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 879 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 880 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 800163c0 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 881 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 882 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c37e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c37e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e20: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e5c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 883 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 884 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 885 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 886 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 887 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 888 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40c306b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40c306b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000007 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 6 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 889 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 269693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x269693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000001c (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 890 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 297 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x297 into: auipc + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e64: auipc +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:814: AUIPC_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 0000001c (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 5 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 891 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e68: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 892 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 5 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 893 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c68067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc68067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e6c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r13=80000e80, imm=12 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000e8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 894 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 895 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 896 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 897 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 898 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 899 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 900 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 901 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b703a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb703a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e8c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=7 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001642f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 902 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70323 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70323 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e90: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=6 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001642e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 903 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b702a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb702a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e94: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=5 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001642d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 904 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e98: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001642c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 905 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000e9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b701a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb701a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000e9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001642b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000e9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 906 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001642a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 907 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b700a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb700a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016429 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 908 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ea8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b70023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb70023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ea8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=80016428, rb=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016428 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ea8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 909 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000eac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000eac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000422c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000422c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000422c (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000eac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 910 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 911 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 912 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 913 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 914 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 915 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000422c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000422c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800018b0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000422c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 916 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004230 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3642023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3642023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004230: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r16=800092e0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163ec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004230 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 917 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004234 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3542223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3542223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004234: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r15=80009344, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163f0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004234 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 918 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004238 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3442423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3442423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004238: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r14=800093cc, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163f4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004238 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 919 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000423c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3342623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3342623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000423c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r13=80009434, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163f8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000423c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 920 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004240 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 842e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x842e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004240: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r8=800163cc, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163e8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 921 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 922 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 923 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 924 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004244 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004244: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00020012 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004244 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 925 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004248 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004248: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004248 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 926 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000424c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f92c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f92c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000424c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r18=80016010, rf=1, imm=56 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016364 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000424c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 927 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004250 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004250: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016010 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004250 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 928 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004254 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004254: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed90 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 80009434 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004254 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 929 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004254 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 930 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004258 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004258: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 800093cc (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004258 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 931 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000425c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000425c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80009344 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000425c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 932 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004260 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004260: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 800092e0 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004260 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 933 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004264 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004264: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed80, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffed80 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004264 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 934 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004268 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004268: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004268 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 935 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000426c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000426c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800018b0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800018b0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000426c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 936 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 937 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 938 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 939 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 940 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 941 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800018b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f4cff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf4cff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800018b0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000ffc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 80000e80 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800018b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 942 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 943 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 944 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 945 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 946 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 947 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ffc +DEBUG ../../../simX/enc.cpp:105: Curr Code: cc1683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcc1683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ffc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10009 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000009 (0) + %r14: 80016428 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ffc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 948 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001000 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1069713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1069713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001000: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000009 (0) + %r14: 00090000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 949 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 950 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 951 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 952 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001004 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1269793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1269793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001004: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000009 (0) + %r14: 00090000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001004 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 953 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001008 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001008: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000009 (0) + %r14: 00000009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001008 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 954 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000100c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 207ca63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x207ca63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000100c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000009 (0) + %r14: 00000009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000100c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 955 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 956 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 957 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 958 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 959 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 960 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001010 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001010: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000008 (0) + %r13: 00000009 (0) + %r14: 00002000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001010 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 961 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001014 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 64c2603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x64c2603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001014: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=100 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163c8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000009 (0) + %r14: 00002000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001014 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 962 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001018 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e6e733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe6e733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001018: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000009 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001018 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 963 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000101c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1071713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1071713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000101c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000009 (0) + %r14: 20090000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000101c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 964 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001020 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffffe6b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffffe6b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001020: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: ffffe000 (0) + %r14: 20090000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001020 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 965 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001024 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001024: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: ffffe000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001024 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 966 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001024 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 967 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001028 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff68693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff68693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001028: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: ffffdfff (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001028 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 968 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000102c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d676b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd676b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000102c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000102c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 969 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001030 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec1623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec1623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001030: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r24=80016364, re=2009, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001030 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 24 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 970 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001034 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1071713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1071713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001034: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 20090000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 971 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 972 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001038 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6dc2223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6dc2223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001038: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r24=80016364, rd=0, imm=100 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163c8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 20090000 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001038 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 24 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 973 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000103c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000103c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000103c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 974 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001040 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 877693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x877693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001040: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 975 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 976 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 977 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 978 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001044 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e068863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e068863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001044: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 979 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 980 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 981 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 982 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 983 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 984 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 985 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001048 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10c2683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10c2683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001048: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001048 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 986 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000104c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e068463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e068463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000104c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80001334 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000104c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 987 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 988 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 989 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 990 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 991 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 992 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 993 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001334 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001334: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016428 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001334 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 994 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001334 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 995 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001334 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 996 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001334 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 997 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001338 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001338: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800018b0 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001338 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 998 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000133c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7ac020ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7ac020ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000133c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003ae8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00240000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000133c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 999 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1000 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1001 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1002 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1003 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1004 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ae8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d81a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d81a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ae8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=472 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169e0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ae8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1005 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ae8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1006 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ae8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1007 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ae8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1008 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003aec +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003aec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003aec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1009 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003af0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003af0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed90, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003af0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1010 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003af4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003af4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed90, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003af4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1011 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003af8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003af8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed90, r1=80001340, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003af8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1012 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003af8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1013 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003afc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003afc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003afc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1014 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1015 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1016 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1017 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1018 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b04: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1019 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1020 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1021 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1022 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1023 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1024 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 387a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x387a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b08: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1025 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e070063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe070063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b0c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000001 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1026 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1027 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1028 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1029 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1030 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1031 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1032 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b10: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12009 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 80016010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1033 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1071793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1071793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b14: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 20090000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1034 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 877693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x877693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002009 (0) + %r15: 20090000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1035 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 107d793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x107d793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1036 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1037 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4068063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4068063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b20: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1038 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1039 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1040 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1041 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1042 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1043 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1042683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1042683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1044 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6068063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6068063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b28: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003b88 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1045 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1046 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1047 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1048 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1049 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1050 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1051 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2807f613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2807f613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b88: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1052 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1053 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1054 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1055 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 20000593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x20000593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b8c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000200 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1056 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8b60ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8b60ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b90: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000200 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1057 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1058 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1059 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1060 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1061 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1062 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1063 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1064 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b98: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1065 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 27d030ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x27d030ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b9c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007618 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1066 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1067 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1068 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1069 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1070 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1071 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007618 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c5d783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc5d783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007618: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12009 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007618 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1072 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007618 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1073 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007618 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1074 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007618 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1075 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000761c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000761c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000761c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1076 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007620 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007620: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007620 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1077 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007624 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007624: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r1=80003ba0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007624 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1078 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007628 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007628: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r9=80016010, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007628 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1079 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007628 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1080 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000762c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000762c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r12=80014a04, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000762c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1081 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007630 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 27f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x27f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007630: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007630 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1082 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007634 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007634: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1083 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007638 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2078863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2078863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007638: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007668 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1084 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1085 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1086 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1087 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1088 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1089 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007668 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c10693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc10693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007668: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 00000000 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007668 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1090 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007668 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1091 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007668 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1092 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007668 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1093 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000766c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 810613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x810613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000766c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000766c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1094 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007670 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007670: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007670 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1095 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007674 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ed5ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xed5ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007674: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007548 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007674 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1096 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1097 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1098 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1099 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007548 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f9010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf9010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007548: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007548 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007548 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007548 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007548 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000754c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000754c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r8=80016364, imm=104 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000754c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007550 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007550: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007550 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007554 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e59583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe59583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007554: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12009 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007554 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007554 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007558 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007558: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r9=80016010, imm=100 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007558 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000755c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000755c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r12=80014a04, imm=96 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000755c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007560 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007560: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r1=80007678, imm=108 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007560 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007564 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007564: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007568 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007568: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000756c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 405ca63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x405ca63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000756c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed78 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000756c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007570 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 810613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x810613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007570: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007570 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007574 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 434060ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x434060ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007574: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d9a8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007574 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9a8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002009 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9ac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9b0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffecf0, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffecf8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9b4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffecf0, r9=6fffed78, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffecf4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9b8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9bc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 80016010 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 70513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x70513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000001 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9c4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffecf0, r1=80007578, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffecfc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000001 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9c8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000001 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 95df20ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x95df20ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9cc: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000328 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000001 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000328 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 27b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x27b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000328: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000001 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00002000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000328 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000328 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000328 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000328 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000032c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f5a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf5a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000032c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=6fffed08, rf=2000, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000001 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00002000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000032c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000330 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000330: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00002000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000330 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000334 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000334: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d9d0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d9d0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: 00002000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000334 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000334 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9d0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9d4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d9d0 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffecf0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffecfc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80007578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9dc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffecf0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffecf8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9e0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffecf0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffecf4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffed78 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffecf0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d9e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d9e8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007578, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007578 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d9e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007578 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4054463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4054463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007578: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007578 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000757c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000757c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000757c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f7b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf7b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007580: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007578 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002000 (0) + %r15: 0000f000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007584: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=108 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80007678 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002000 (0) + %r15: 0000f000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7f7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7f7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007588: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00002000 (0) + %r15: 00002000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000758c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffffe737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffffe737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000758c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00002000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000758c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007590 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007590: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007590 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007594 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007594: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=104 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007594 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007598 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17b793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17b793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007598: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007598 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007598 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000759c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f92023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf92023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000759c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r18=6fffed7c, rf=1, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed7c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000759c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40000793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40000793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075a0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f4a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf4a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075a4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=6fffed78, rf=400, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00000000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1537 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1537 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075a8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffed78 (0) + %r10: 00001000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075ac: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=100 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00001000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 6fffed7c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075b0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=96 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00001000 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80050513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80050513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000800 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075b8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000800 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800075bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800075bc: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007678, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007678 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000800 (0) + %r11: 6fffed08 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800075bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007678 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007678: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000800 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007678 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000767c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000767c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000800 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000767c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007680 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007680: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007678 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007680 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007680 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007680 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007680 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007684 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b4000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb4000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007684: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007738 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007684 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007738 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007738: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007738 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007738 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007738 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007738 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000773c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000773c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed5c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000773c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007740 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007740: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r1=80007688, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007744 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007744: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r8=80016364, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007744 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007748 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007748: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r9=80016010, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007748 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000774c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000774c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r12=800, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000774c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007750 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007750: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed58 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007750 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007754 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007754: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed54 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007754 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007758 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007758: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r16=0, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007758 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000775c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000775c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed4c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000775c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007760 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007760: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r18=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed48 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007760 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007764 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007764: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r19=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed44 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 00000400 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007764 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 25 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007768 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b58793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb58793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007768: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: ffffe000 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007768 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000776c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1600713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1600713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000776c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000776c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007770 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007770: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007770 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007774 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6f76463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6f76463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007774: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800077dc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007774 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff87f493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff87f493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077dc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1807c063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1807c063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077e0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 16b4ee63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x16b4ee63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077e4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7bc000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7bc000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077e8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007fa4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007fa4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007fa4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800077ec, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800077ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 0000040b (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f700793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f700793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 000001f7 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4497fa63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4497fa63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077f0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 000001f7 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 94d793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x94d793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 00000002 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a078463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a078463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077f8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000016 (0) + %r15: 00000002 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800077fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 400713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x400713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800077fc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000004 (0) + %r15: 00000002 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800077fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007800 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3cf76063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3cf76063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007800: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000004 (0) + %r15: 00000002 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007800 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007800 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007800 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007800 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007804 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 64d793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x64d793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007804: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 6fffed08 (0) + %r13: 6fffed7c (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007804 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007808 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3978613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3978613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007808: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 6fffed7c (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007808 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000780c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3878513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3878513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000780c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 6fffed7c (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000780c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007810 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 361693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x361693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007810: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 00000248 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007810 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007810 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007814 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c3018913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc3018913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007814: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 00000248 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007814 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007818 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d906b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd906b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007818: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016680 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007818 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 18 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000781c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 46a403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x46a403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000781c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=80016680, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016684 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016678 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016678 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016680 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000781c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007820 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff868693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff868693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007820: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016678 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007820 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007820 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007824 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2868663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2868663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007824: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007850 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016678 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007824 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007824 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007850 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1092403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1092403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007850: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=80016438, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016448 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016440 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007850 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007850 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007850 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007850 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007854 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 890893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x890893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007854: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007854 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007858 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17140863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17140863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007858: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800079c8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000004 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007858 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 492703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x492703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079c8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=80016438, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001643c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000010 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40265793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40265793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079cc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000400 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079d0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f595b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf595b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079d4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: eeb77ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xeeb77ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079d8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016440 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 892403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x892403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079dc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=80016438, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016440 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016438 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 442a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x442a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079e0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016438, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001643c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffcafb13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffcafb13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 9b6863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x9b6863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079e8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800079f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f01aa83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f01aa83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079f8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=496 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: 00000000 (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800079fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1dc1a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1dc1a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800079fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=476 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169e4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: 00000012 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800079fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1640a33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1640a33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a04: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1548ab3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1548ab3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a08: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000408 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 9 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 34f70463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x34f70463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a0c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007d54 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000408 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10a8a93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10a8a93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ccdff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xccdff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d58: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007a24 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00040000 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a8593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa8593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a24: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000048 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a28: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800077ec (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 774010ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x774010ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a2c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800091a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091a0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091a4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed30, r8=80016438, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091a8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed30, r9=408, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091ac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091b4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed30, r1=80007a30, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091b8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c54f70ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc54f70ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091bc: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000610 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000610 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000610: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000614 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c41a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c41a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000614: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=452 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169cc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 80016678 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000614 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000618 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41f7d693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41f7d693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000618: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000618 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000061c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f6c7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf6c7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000061c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000061c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 13 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000620 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40d787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40d787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000620: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000620 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000624 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000624: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 10000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000624 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000624 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000628 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1cf1a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1cf1a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000628: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rf=10000418, imm=452 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 10000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000628 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000628 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000062c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000062c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800091c0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800091c0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 10000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000062c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000062c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091c8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed30, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80007a30 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091cc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed30, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016438 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed30, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091d8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007a30, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007a30 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50b93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50b93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a34: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 28f50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x28f50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 29456263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x29456263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a3c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007cc0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007cc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 11240e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x11240e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007cc0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007ddc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ddc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f818c13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f818c13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ddc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ddc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ddc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ddc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ddc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007de0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c2783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc2783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007de0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016a00, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a00 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007de0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007de4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa87b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa87b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007de4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007de4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 21 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007de8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc2023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc2023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007de8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r24=80016a00, rf=418, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a00 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007de8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 24 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007de8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 24 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007dec +DEBUG ../../../simX/enc.cpp:105: Curr Code: c6dff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc6dff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007dec: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007a58 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007dec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007dec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1dc1a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1dc1a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=476 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169e4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3ae68663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3ae68663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a60: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007e0c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d71ae23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d71ae23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007e0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r17=10000000, imm=476 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169e4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c61ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc61ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007e10: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007a70 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7bfc93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7bfc93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a70: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007a74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300c8263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300c8263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007a74: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007d78 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007a74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 25 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 15b85b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x15b85b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 10000418 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 23 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b005b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b005b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: effffbe8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1459593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1459593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: be800000 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 80016438 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 145da13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x145da13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: be800000 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a0593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa0593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d88: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000000 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d8c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007a30 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 410010ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x410010ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d90: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800091a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091a0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091a4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed30, r8=80016438, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091a8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed30, r9=408, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091ac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 80016010 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000be8 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091b4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed30, r1=80007d94, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000be8 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091b8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000be8 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c54f70ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc54f70ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091bc: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000610 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000be8 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000418 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000610 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000610: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 00000be8 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000be8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000614 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c41a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c41a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000614: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=452 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169cc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000418 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: ffffffff (0) + %r14: ffffffff (0) + %r15: 00000be8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000614 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000618 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41f7d693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41f7d693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000618: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000be8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000618 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000061c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f6c7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf6c7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000061c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000be8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000061c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 13 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000620 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40d787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40d787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000620: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 00000be8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000620 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000624 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000624: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 10001000 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000624 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000624 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000628 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1cf1a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1cf1a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000628: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rf=10001000, imm=452 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 10001000 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000628 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000628 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000062c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000062c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800091c0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800091c0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: 10001000 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000062c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000062c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800091c0 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091c8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed30, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80007d94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091cc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed30, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016438 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed30, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed30 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800091d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800091d8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007d94, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007d94 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800091d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007d98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0f51ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0f51ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007d98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268436504 rsrc1 : 4294967295 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007ab0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 10000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007d98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ab0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41750533 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41750533 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ab0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00000418 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ab0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ab0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ab0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ab0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ab4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1450ab3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1450ab3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ab4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000be8 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ab4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 10 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ab8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c2583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc2583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ab8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016a00, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a00 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 418 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ab8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007abc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1792423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1792423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007abc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r18=80016438, r17=10000000, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016440 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007abc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007abc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ac0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1aea93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1aea93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ac0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00000418 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ac0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ac0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ac0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ac0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ac4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ba05b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xba05b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ac4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ac4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 20 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ac8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: bc2023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xbc2023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ac8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r24=80016a00, rb=1000, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a00 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ac8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 24 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007acc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 15ba223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x15ba223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007acc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r23=10000000, r15=1001, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000004 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007acc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 23 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ad0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 35240263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x35240263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ad0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007e14 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016438 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ad0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ad0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007e14: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cf9ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcf9ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007e18: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007b10 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: ffffffff (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1ec1a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1ec1a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b10: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=492 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169f4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b14: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1eb1a623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1eb1a623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b18: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rb=1000, imm=492 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169f4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e81a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e81a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=488 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169f0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1ab77663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1ab77663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b20: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1eb1a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1eb1a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b24: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rb=1000, imm=488 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800169f0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a40006f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a40006f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b28: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007ccc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001001 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffcafa93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffcafa93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ccc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ccc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007cd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 409a87b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x409a87b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007cd0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 21 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007cd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 9ae663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x9ae663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007cd4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 21 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007cd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007cd8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 0000000f (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007cdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: e4f748e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe4f748e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007cdc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007b2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 0000000f (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 14e713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x14e713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e42223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe42223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10000000, re=409, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000004 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 00000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 9404b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x9404b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b34: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 8 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 992423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x992423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r18=80016438, r9=10000408, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016440 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf8 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17e793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17e793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b3c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 00000418 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 80016010 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f4a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf4a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=10000408, rf=bf9, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000040c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007d94 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 80016010 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 460000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x460000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b48: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007fa8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007b4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 80016010 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007fa8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007fa8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007b4c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007b4c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007b4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 80016010 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 840513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x840513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007b4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007b50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e1dff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe1dff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007b50: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000796c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007b4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007b50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000796c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000796c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80007688 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 10000000 (0) + %r 9: 10000408 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000796c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000796c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000796c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000796c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007970 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007970: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000408 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007970 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007974 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007974: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80016438 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007974 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007978 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007978: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 80016010 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007978 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000797c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000797c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed5c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000be8 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000797c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007980: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed58 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00001000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007984 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007984: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed54 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007984 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007988 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007988: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed50 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 10000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007988 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000798c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000798c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016a00 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000798c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007990 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007990: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed48 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007990 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007994 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412c83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412c83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007994: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed44 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007994 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007998 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007998: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007998 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000799c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000799c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007688, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007688 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00000bf9 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000799c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007688 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007688: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12009 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000768c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4050863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4050863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000768c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000409 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000768c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007690 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80004737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80004737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007690: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 80004000 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007690 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007694 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007694: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 800040e0 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007694 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007698 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e4ae23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e4ae23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007698: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r9=80016010, re=800040e0, imm=60 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001604c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 800040e0 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007698 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000769c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000769c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000000 (0) + %r14: 00000400 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000769c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000769c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed7c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002009 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 807e793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x807e793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076a8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=2089, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: a42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076ac: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, ra=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a42823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa42823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076b0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, ra=10000008, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e42a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe42a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076b4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, re=400, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016378 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4069863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4069863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007708 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00001000 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007708 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e41583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe41583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007708: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12089 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000008 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007708 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000770c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000770c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007688 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000770c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007710 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a4060ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a4060ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007710: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000deb4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007710 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000deb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000deb4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000deb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000deb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000deb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000deb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000deb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000deb8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed60, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000deb8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000debc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000debc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed60, r9=80016010, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000debc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dec0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dec0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dec0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dec0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dec0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dec0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dec4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dec4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dec4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dec8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dec8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed60, r1=80007714, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dec8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000decc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000decc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000decc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000ded0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c68f20ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc68f20ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000ded0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000338 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000ded4 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000ded0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000338 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000338: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000ded4 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000338 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000338 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000338 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000338 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1785 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000033c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000033c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000ded4, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000ded4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000ded4 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000033c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1786 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1787 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1788 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1789 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1790 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1791 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000ded4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000ded4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000ded4 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000ded4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1792 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000ded8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000ded8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000ded4 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000ded8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1793 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1794 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1795 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1796 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1797 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1798 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1799 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dedc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dedc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed60, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80007714 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dedc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1800 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dee0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dee0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed60, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dee0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1801 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dee4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dee4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed60, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed60 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dee4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1802 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dee8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dee8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dee8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1803 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000deec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000deec: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80007714, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007714 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000deec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1804 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1805 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1806 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1807 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1808 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1809 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007714 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 51663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x51663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007714: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007720 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00000400 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007714 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1810 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1811 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1812 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1813 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1814 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1815 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007720 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007720: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12089 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007720 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1816 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007724 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc77713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc77713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007724: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002088 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007724 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1817 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007728 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 176713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x176713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007728: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: ffffffff (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007728 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1818 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000772c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1071793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1071793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000772c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 20890000 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000772c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1819 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000772c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1820 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007730 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4107d793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4107d793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007730: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007730 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1821 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007730 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1822 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007734 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f89ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf89ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007734: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800076bc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002089 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007734 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1823 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007734 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1824 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1825 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1826 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1827 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1828 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1829 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1830 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 127e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x127e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076bc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80007714 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1831 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1832 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1833 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1834 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076c0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80003ba0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1835 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1836 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1837 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1838 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076c4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=2889, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1839 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076c8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1840 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076cc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 00000800 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1841 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1842 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1843 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800076d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800076d8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80003ba0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003ba0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002089 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800076d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1844 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1845 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1846 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1847 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1848 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1849 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ba0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ba0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 00000001 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ba0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1850 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ba4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1042683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1042683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ba4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ba4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1851 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ba8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1071793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1071793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ba8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 28890000 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ba8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1852 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003bac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 107d793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x107d793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003bac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000049 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003bac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1853 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003bb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17f613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17f613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003bb0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000001 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003bb0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1854 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003bb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80610e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80610e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003bb4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003b34 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000001 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003bb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1855 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003bb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1856 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1857 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1858 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1859 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1860 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1861 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1862 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1863 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1442603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1442603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016378 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000400 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1864 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, r0=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 00000400 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1865 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b3c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: 00000400 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1866 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40c00633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40c00633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b40: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1867 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1868 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1869 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 0 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1870 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c42c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc42c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rc=fffffc00, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001637c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1871 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8068663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8068663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b48: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003ba0 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1872 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1873 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1874 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1875 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1876 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1877 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1878 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b4c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed90, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80001340 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1879 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed90, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1880 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b54: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed90, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffed90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1881 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1882 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003b5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003b5c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80001340, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80001340 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003b5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1883 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1884 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1885 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1886 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1887 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1888 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001340 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001340: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80001348 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001340 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1889 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001340 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1890 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001340 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1891 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001340 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1892 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1893 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1894 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1895 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1896 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1897 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001348 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cc5703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcc5703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001348: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001348 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1898 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000134c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a00693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa00693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000134c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000134c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1899 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001350 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a77713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a77713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001350: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001350 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1900 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001354 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0d714e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0d714e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001354: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 8 rsrc1 : 10 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000105c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001354 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1901 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1902 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1903 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1904 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1905 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1906 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1907 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000105c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10c10793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10c10793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000105c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 00000008 (0) + %r15: 6fffeeac (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000105c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1908 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000105c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1909 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000105c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1910 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000105c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1911 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80015737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80015737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001060: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 6fffeeac (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1912 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ef12223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xef12223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001064: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=6fffeeac, imm=228 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 6fffeeac (0) + %r16: 00000000 (0) + %r17: 80016440 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1913 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001068: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 6fffeeac (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1914 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000106c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a6c70793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa6c70793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000106c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000106c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1915 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001070 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80015737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80015737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001070: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001070 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1916 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001074 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f12c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf12c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001074: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=80014a6c, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001074 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1917 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001078 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001078: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001078 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1918 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000107c +DEBUG ../../../simX/enc.cpp:105: Curr Code: be870793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xbe870793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000107c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014be8 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000107c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1919 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001080 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f12423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf12423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001080: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=80014be8, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeda8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014be8 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1920 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1921 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1922 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1923 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001084 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b4783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb4783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001084: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r22=80014a04, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001084 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1924 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001088 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001088: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=236 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001088 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1925 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000108c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000108c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=232 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000108c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1926 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001090 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001090: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedc0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1927 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1928 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1929 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001094 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001094: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=52 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedd4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001094 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1930 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001098 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001098: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=56 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedd8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001098 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1931 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000109c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000109c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=60 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeddc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000109c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1932 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4012423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4012423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010a0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=72 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffede8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1933 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4012623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4012623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010a4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=76 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1934 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010a8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1935 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22078663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22078663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010ac: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1936 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1937 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1938 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1939 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1940 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1941 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b0413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb0413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a04 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1942 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2500693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2500693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a04 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1943 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a04 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1944 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1945 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1946 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1947 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1948 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1949 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1950 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a04, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a04 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1951 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a05 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1952 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1953 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1954 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1955 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a05 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1956 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1957 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1958 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1959 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1960 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1961 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a05 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1962 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1963 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1964 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1965 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1966 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1967 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a05, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a05 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1968 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a06 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1969 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 114 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a06 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1970 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1971 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1972 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1973 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1974 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1975 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a06 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1976 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1977 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1978 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1979 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1980 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1981 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a06, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a06 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1982 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a07 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1983 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 110 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a07 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1984 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1985 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1986 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1987 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1988 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1989 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a07 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1990 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1991 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1992 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1993 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1994 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1995 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a07, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a07 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1996 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a08 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1997 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a08 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1998 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 1999 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2000 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2001 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2002 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2003 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a08 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2004 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2005 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2006 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2007 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2008 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2009 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a08, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a08 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2010 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a09 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2011 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 108 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a09 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2012 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2013 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2014 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2015 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2016 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2017 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a09 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2018 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2019 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2020 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2021 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2022 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2023 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a09, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a09 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2024 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0a (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2025 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 32 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0a (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2026 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2027 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2028 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2029 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2030 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2031 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0a (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2032 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2033 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2034 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2035 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2036 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2037 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a0a, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0a (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2038 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0b (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2039 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0b (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2040 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2041 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2042 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2043 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2044 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2045 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0b (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2046 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2047 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2048 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2049 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2050 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2051 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a0b, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0b (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000078 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2052 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0c (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000078 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2053 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 120 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0c (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000078 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2054 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2055 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2056 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2057 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2058 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2059 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0c (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000078 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2060 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2061 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2062 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2063 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2064 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2065 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a0c, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0c (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2066 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0d (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2067 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0d (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2068 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2069 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2070 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2071 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2072 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2073 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0d (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2074 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2075 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2076 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2077 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2078 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2079 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a0d, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0d (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000063 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2080 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0e (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000063 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2081 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 99 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0e (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000063 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2082 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2083 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2084 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2085 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2086 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2087 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0e (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000063 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2088 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2089 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2090 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2091 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2092 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2093 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a0e, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0e (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000075 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2094 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0f (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000075 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2095 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 117 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0f (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000075 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2096 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2097 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2098 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2099 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0f (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000075 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a0f, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a0f (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000074 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a10 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000074 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 116 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a10 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000074 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a10 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000074 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a10, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a10 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000069 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a11 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000069 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 105 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a11 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000069 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a11 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000069 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a11, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a11 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a12 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 110 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a12 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a12 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a12, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a12 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000067 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a13 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000067 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 103 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a13 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000067 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a13 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000067 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a13, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a13 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a14 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a14 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a14 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a14, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a14 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a15 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a15 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a15 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a15, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a15 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a16 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a16 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a16 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a16, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a16 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a17 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a17 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a17 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a17, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a18 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a17 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 416404b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x416404b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21640663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21640663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010cc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec12683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec12683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=236 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000000 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e812783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe812783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010d4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=232 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000000 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 168a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x168a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010d8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r17=6fffeeac, r16=80014a04, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeeac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000000 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 17 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 9686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x9686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010dc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000014 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010e0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000014 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010e4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r17=6fffeeac, r9=14, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeeb0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000014 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 17 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ed12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xed12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010e8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rd=14, imm=236 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000014 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: ef12423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xef12423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010ec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=1, imm=232 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000014 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 700693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x700693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeac (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 888893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x888893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2ef6c263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2ef6c263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010f8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001100: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a18, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a18 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 970733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x970733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001104: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001104 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001108: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, re=14, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001108 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000110c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c078663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c078663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000110c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800012d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000110c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec12783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec12783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=236 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012dc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3250106f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3250106f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012e0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80002e04 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: fffffc00 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e410613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe410613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e04: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 00000001 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e08: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e0c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80001340 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 314090ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x314090ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e10: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c124 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c124 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 862703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x862703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c124: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r12=6fffee84, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c128 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 70463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x70463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c128: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c128 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c12c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f09ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf09ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c12c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c034 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c12c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c034 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 645a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x645a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c034: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=100 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163c8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c038 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c038: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c038 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c03c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c03c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r16=80014a04, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c03c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c040 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c040: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r1=80002e14, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c044 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c044: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r8=80014a18, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c048 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c048: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r9=14, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c048 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c04c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c04c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r12=80014a04, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c04c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c050 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c050: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c050 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c054 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c054: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c054 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c058 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c058: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c05c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c05c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed7c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c05c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c060: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r18=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000014 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1279713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1279713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c064: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c068: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c06c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a075863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa075863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c06c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c11c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c06c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c11c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f1010ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf1010ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c11c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000da0c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c11c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 862783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x862783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da0c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r12=6fffee84, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 32078e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x32078e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da10: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c5d783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc5d783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da14: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da1c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r8=80014a18, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da20: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed58 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da24: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed54 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da28: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r1=8000c120, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da2c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r9=14, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r12=80014a04, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da34: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed5c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r16=6fffee84, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed4c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r18=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed48 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r19=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed44 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 25 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a12023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a12023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r1a=80016010, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed40 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 26 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60a13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60a13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da50: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50a93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50a93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8070663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8070663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da5c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 105a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x105a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da60: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 10000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8070263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8070263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da64: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 10000008 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 27f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x27f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da68: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a2483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa2483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da6c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r20=6fffee84, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffeeac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8070c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8070c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da70: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000db08 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000db08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000db08: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000db0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 24071463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x24071463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000db0c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dd54 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec098ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec098ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd64: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc38: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffeeac, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeeb0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4ac03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4ac03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc3c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffeeac, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeeac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 848493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x848493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe098ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe098ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc44: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 00000014 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a00593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa00593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc50: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a7cfa0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa7cfa0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc54: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007ed0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ed0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 357793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x357793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ed0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ed4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff5f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff5f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ed4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ed8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2078a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2078a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ed8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f0c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f0c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f10: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00000003 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f76663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f76663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f14: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f40 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00000003 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f40: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00010000 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 859893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x859893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 00010000 (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 00000a00 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff70713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff70713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 00000a00 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8f8b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8f8b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f4c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 00000a00 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff5f593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff5f593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f50: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 0000000a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 00000a00 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8e5b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8e5b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f54: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 00000a0a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 00000a00 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1059893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1059893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 00000a0a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 0a0a0000 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8e8b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8e8b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 00000a0a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: 00000000 (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: feff0837 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfeff0837 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f60: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 00000a0a (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: feff0000 (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 808085b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x808085b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f64: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808000 (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: feff0000 (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: eff80813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xeff80813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f68: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808000 (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8058593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8058593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f6c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0000000f (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f70: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a04, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 6e72656b (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 00000014 (0) + %r13: 0000000a (0) + %r14: 64786f61 (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 64786f61 (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 9b87909e (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 03070000 (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a04 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a08, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 65206c65 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 6f2a666f (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 6f2a666f (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 90d59990 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 00010100 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a08 (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a0c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 75636578 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 6e29656e (0) + %r13: 0000000a (0) + %r14: 7f696f72 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 7f696f72 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 8096908d (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a0c (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a10, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 676e6974 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 7e686e71 (0) + %r13: 0000000a (0) + %r14: 6d64637e (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 6d64637e (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 929b9c81 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00030001 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a10 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a14, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 0a2e2e2e (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: 6c63627d (0) + %r13: 0000000a (0) + %r14: 00242424 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 00242424 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: ffdbdbdb (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: ff030303 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 80000000 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 2147483648 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f24 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 80000000 (0) + %r15: 00000004 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f507b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf507b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f24: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 80000000 (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0006f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0006f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f28: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f34 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 80000000 (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 54703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x54703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a14, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed71ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed71ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 10 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a14 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 150513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x150513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a15 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fea786e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfea786e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f30: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a15 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 54703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x54703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a15, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a15 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed71ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed71ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 10 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a15 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 150513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x150513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a16 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fea786e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfea786e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f30: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a16 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 54703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x54703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a16, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a16 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed71ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed71ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 10 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a16 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 150513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x150513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a17 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fea786e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfea786e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f30: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a17 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000002e (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 54703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x54703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a17, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a17 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed71ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed71ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10 rsrc1 : 10 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a17 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f3c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000dc58, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc58 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a17 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12050463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12050463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc58: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a17 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 150513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x150513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a18 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41850b33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41850b33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc60: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a18 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a18 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 10 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b0793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb0793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc64: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a18 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000000 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98b93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98b93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc68: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a18 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 137f463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x137f463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc6c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a18 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 00000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1042783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1042783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc78: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1442683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1442683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc7c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016378 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a7f863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa7f863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc80: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc90 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1adbc863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1adbc863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc90: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de40 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: ff232323 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 23 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 354000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x354000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de48: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e19c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e19c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a5f663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a5f663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e19c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e19c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e19c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e19c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e19c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1c8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 0000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c7e863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c7e863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1cc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1fc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 0000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: a5e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa5e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1fc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 90014a0c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e200 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 37f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x37f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e200: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e200 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e204 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a079063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa079063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e204: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e204 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e208 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff060893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff060893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e208: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 00000004 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e208 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e20c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff08f893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff08f893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e20c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e20c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e210 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1088893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1088893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e210: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e210 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e214 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1150833 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1150833 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e214: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e214 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 10 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e214 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 10 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e218 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e218: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 80014a04 (0) + %r15: 00000000 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e218 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e218 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e21c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e21c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 00000400 (0) + %r14: 80014a04 (0) + %r15: 10000008 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e21c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e21c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e220 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e220: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80014a04, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 6e72656b (0) + %r14: 80014a04 (0) + %r15: 10000008 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e220 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e224 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e224: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 6e72656b (0) + %r14: 80014a14 (0) + %r15: 10000008 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e224 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e228 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1078793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1078793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e228: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 6e72656b (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e228 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e22c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed7a823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed7a823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e22c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000018, rd=6e72656b, imm=4294967280 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 6e72656b (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e22c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e230 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff472683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff472683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e230: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80014a14, imm=4294967284 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 65206c65 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e230 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e234 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed7aa23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed7aa23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e234: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000018, rd=65206c65, imm=4294967284 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 65206c65 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e234 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e234 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e238 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff872683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff872683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e238: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80014a14, imm=4294967288 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 75636578 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e238 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e23c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed7ac23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed7ac23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e23c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000018, rd=75636578, imm=4294967288 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 75636578 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e23c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e23c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e240 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc72683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc72683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e240: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80014a14, imm=4294967292 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e240 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e244 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed7ae23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed7ae23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e244: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000018, rd=676e6974, imm=4294967292 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000014 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e244 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e248 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf81ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf81ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e248: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435480 rsrc1 : 268435480 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e248 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2785 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e24c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c67713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc67713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e24c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a04 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 00000004 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e24c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2786 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e250 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 11585b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x11585b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e250: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 00000004 (0) + %r15: 10000018 (0) + %r16: 10000018 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e250 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2787 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e254 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f67813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf67813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e254: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 00000004 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e254 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2788 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e258 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4070e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4070e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e258: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 00000004 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e258 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2789 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2790 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2791 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2792 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2793 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2794 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e25c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e25c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 00000010 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e25c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2795 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e260 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e260: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e260 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2796 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e264 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300e13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300e13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e264: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e264 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 28 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2797 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e268 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72303 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72303 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e268: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80014a14, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a14 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e268 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2798 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e26c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 470713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x470713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e26c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 676e6974 (0) + %r14: 80014a18 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e26c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2799 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e270 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40e806b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40e806b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e270: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 7ffeb5ec (0) + %r14: 80014a18 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e270 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 16 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2800 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e274 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e274: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r17=10000018, r6=a2e2e2e, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000018 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 7ffeb5ec (0) + %r14: 80014a18 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e274 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 17 rs2: 6 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2801 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e278 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d586b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd586b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e278: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 80014a18 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 10000018 (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e278 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 11 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2802 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e278 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 11 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2803 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e27c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 488893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x488893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e27c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 80014a18 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e27c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2804 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e280 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fede64e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfede64e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e280: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 80014a18 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e280 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 28 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2805 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e280 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 28 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2806 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e280 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 28 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2807 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e280 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 28 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2808 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2809 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2810 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2811 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2812 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2813 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e284 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc80713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc80713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e284: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e284 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2814 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e288 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc77713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc77713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e288: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e288 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2815 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e28c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 470713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x470713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e28c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e28c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2816 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e290 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 367613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x367613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e290: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 10000018 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e290 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2817 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e290 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2818 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e294 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e294: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a14 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 1000001c (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e294 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2819 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e294 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2820 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e298 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e585b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe585b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e298: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 1000001c (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e298 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2821 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e29c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f39ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf39ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e29c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1d4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 1000001c (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e29c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2822 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2823 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2824 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2825 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2826 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2827 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff60693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff60693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 00000000 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 1000001c (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2828 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c060c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc060c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1d8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e2b0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 00000000 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 1000001c (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2829 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2830 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2831 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2832 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2833 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2834 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e2b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e2b0: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000de4c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de4c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 00000000 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 1000001c (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e2b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2835 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2836 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2837 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2838 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2839 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2840 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 842783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x842783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de4c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 00000000 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2841 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 10000008 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 80014a04 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2842 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 10000008 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2843 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 417787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x417787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de58: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 10000008 (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2844 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1760633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1760633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2845 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de60: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=ffffffec, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2846 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de64: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rc=1000001c, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2847 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e49ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe49ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de68: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dcb0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000014 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2848 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2849 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2850 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2851 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2852 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2853 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412b0b33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412b0b33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcb0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcb0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2854 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcb4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000001 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2855 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 160b0a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x160b0a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcb8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000001 (0) + %r11: 80014a18 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcb8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2856 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2857 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2858 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2859 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2860 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2861 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000001 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2862 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2863 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2864 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2865 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a8513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa8513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2866 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a24f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa24f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de34: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004058 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2867 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2868 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2869 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2870 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2871 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2872 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004058 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004058: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2873 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2874 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2875 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2876 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000405c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000405c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000405c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2877 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004060: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r1=8000de38, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2878 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004064: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2879 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2880 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004068: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: ffffffec (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2881 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2882 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2883 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2884 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2885 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2886 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000406c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3852783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3852783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000406c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000406c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2887 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004070 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2078063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2078063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004070: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004070 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2888 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2889 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2890 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2891 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2892 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2893 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2894 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004074 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004074: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004074 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2895 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004078 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2079663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2079663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004078: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10377 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800040a4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004078 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2896 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2897 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2898 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2899 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2900 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2901 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2902 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2903 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2904 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2905 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2906 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040a8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2907 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040ac: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000de38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2908 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2909 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d49ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd49ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040b4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003dfc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2910 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2911 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2912 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2913 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2914 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2915 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2916 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2917 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2918 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2919 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2920 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2921 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2922 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2923 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2924 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r13=14, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2925 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r1=8000de38, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2926 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2927 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e10: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r9=6fffeeb4, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2928 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e14: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r12=14, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed30 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: ffffffff (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2929 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2930 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2931 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2932 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10069a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10069a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e24: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 8 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 00000014 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2933 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2934 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2935 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2936 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2937 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2938 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 105a903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x105a903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f38: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2939 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2940 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2941 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2942 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc090ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc090ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f3c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2943 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2944 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2945 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2946 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2947 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2948 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2949 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5a483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5a483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f40: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1000001c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 1000001c (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000004 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2950 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2951 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2952 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2953 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1079713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1079713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 1000001c (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 28890000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2954 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 1000001c (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2955 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 377713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x377713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 1000001c (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2956 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 125a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x125a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f50: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=80016364, r12=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 1000001c (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2957 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2958 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412484b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412484b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f54: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2959 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2960 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2961 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 71463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x71463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f5c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f64 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2962 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2963 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2964 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2965 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2966 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2967 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f64: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2968 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 904863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x904863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f68: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f78 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2969 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2970 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2971 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2972 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2973 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2974 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2442783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2442783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f78: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016388 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80009344 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2975 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c42583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c42583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f7c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016380 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2976 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 1000001c (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2977 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2978 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2979 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2980 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2981 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f88: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2982 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f8c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80009344, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009344 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2983 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2984 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2985 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2986 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2987 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2988 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009344 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009344: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2989 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2990 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2991 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2992 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009348 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009348: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009348 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2993 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000934c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000934c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000934c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2994 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009350 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009350: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r9=14, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009350 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2995 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009354 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009354: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r12=10000008, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed10 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009354 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2996 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009354 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2997 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009358 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009358: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r13=80016010, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009358 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2998 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000935c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000935c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r1=80003f90, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000935c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 2999 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009360 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1007f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1007f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009360: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009360 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3000 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009364 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009364: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009364 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3001 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009368 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009368: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009368 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3002 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000936c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e59583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe59583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000936c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000936c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3003 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009370 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009370: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009370 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3004 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009374 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009374: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009374 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3005 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009378 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2071e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2071e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009378: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009378 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3006 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3007 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3008 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3009 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3010 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3011 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000937c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fffff737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfffff737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000937c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: fffff000 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000937c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3012 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009380 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff70713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff70713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009380: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009380 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3013 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009380 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3014 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009380 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3015 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009380 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3016 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009384 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7f7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7f7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009384: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009384 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3017 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009388 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009388: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=2889, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009388 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3018 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000938c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000938c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000938c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3019 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000938c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3020 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009390 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009390: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80003f90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009390 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3021 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009390 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3022 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009394 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009394: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009394 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3023 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009398 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009398: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009398 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3024 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000939c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000939c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000939c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3025 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3026 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3027 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093a8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3028 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093ac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3029 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a80406f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa80406f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093b0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d458 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3030 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3031 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3032 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3033 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3034 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3035 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d458 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d458: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d458 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3036 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d458 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3037 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d458 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3038 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d458 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3039 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d45c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d45c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d45c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3040 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d460 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d460: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed10, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d460 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3041 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d464 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d464: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed10, r9=14, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d464 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3042 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d468 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d468: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d468 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3043 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d46c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d46c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 10000008 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d46c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3044 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d470 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d470: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d470 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3045 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d474 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 70513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x70513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d474: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d474 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3046 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d478 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d478: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed10, r1=80003f90, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d478 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3047 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d47c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d47c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d47c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3048 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d480 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 858f30ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x858f30ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d480: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800004d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3049 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3050 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3051 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3052 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3053 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3054 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3055 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3056 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3057 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004d8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3058 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3059 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3060 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3061 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004dc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r8=80016010, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed08 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3062 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 710007b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x710007b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004e0: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 71000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3063 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004e4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r1=8000d484, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 71000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3064 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3065 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000001 (0) + %r15: 71000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3066 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 400713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x400713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 0a2e2e2e (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3067 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 500313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x500313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 00000004 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3068 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1078813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1078813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3069 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004f8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, re=4, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3070 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 678223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x678223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004fc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r6=5, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000004 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 6 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3071 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000500 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 782a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x782a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000500: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r0=0, imm=5 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000005 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3072 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3073 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3074 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3075 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000504 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 878823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x878823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000504: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r8=14, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 1000001c (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000504 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3076 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000508 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 865893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x865893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000508: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000508 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3077 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000050c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1845693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1845693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000050c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000050c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3078 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000510 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1065613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1065613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000510: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000510 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3079 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000514 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 11800a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x11800a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000514: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r16=71000010, r11=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000011 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000514 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3080 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000518 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c80123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc80123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000518: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r16=71000010, rc=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000518 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3081 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000051c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d801a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd801a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000051c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r16=71000010, rd=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000013 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000051c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3082 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000520 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 79323 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x79323 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000520: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r0=0, imm=6 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000006 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000520 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3083 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000524 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000524: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, re=4, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000524 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3084 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000528 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a7a623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa7a623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000528: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, ra=1, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 7100000c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000528 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3085 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000052c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a805263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa805263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000052c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000052c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3086 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3087 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3088 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3089 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3090 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3091 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000530 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1478693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1478693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000530: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000530 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3092 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000534 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1878793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1878793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000534: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 71000018 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000534 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3093 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000538 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f5b7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf5b7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000538: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3094 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000053c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b83533 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb83533 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000053c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000053c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 16 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3095 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000540 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff40613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff40613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000540: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000013 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3096 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3097 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3098 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3099 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000544 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17c713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17c713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000544: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000013 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000544 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000548 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 963613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x963613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000548: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000548 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000054c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 154793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x154793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000054c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000054c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000550 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f767b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf767b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000550: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000550 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000554 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 164713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x164713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000554: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000554 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000558 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f777b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf777b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000558: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000558 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000558 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000055c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8078863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8078863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000055c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000055c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000560 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b6e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb6e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000560: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 7100001c (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000560 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 13 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000564 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 37f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x37f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000564: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000568 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8079263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8079263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000568: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000056c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc47513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc47513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000056c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000056c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000570 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b50533 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb50533 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000570: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000570 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000574 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000574: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 10000008 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000574 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000578 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b68833 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b68833 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000578: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 10000008 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000578 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 13 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000578 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 13 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 10000008 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 10000008 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=71000014, rc=6e72656b, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000014 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435484 rsrc1 : 268435468 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000057c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=1000000c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000000c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 65206c65 (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 65206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 65206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=71000018, rc=65206c65, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000018 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 65206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435484 rsrc1 : 268435472 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000057c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 65206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=10000010, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000010 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 75636578 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 75636578 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 75636578 (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 75636578 (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=7100001c, rc=75636578, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 7100001c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 75636578 (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435484 rsrc1 : 268435476 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000057c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 75636578 (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=10000014, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000014 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e6974 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 676e6974 (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 676e6974 (0) + %r13: 71000014 (0) + %r14: 71000020 (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 676e6974 (0) + %r13: 71000014 (0) + %r14: 71000020 (0) + %r15: 10000018 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=71000020, rc=676e6974, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000020 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 676e6974 (0) + %r13: 71000014 (0) + %r14: 71000020 (0) + %r15: 10000018 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435484 rsrc1 : 268435480 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000057c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 676e6974 (0) + %r13: 71000014 (0) + %r14: 71000020 (0) + %r15: 10000018 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=10000018, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000018 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a2e2e2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000014 (0) + %r14: 71000020 (0) + %r15: 10000018 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000014 (0) + %r14: 71000024 (0) + %r15: 10000018 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000014 (0) + %r14: 71000024 (0) + %r15: 1000001c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=71000024, rc=a2e2e2e, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000024 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000014 (0) + %r14: 71000024 (0) + %r15: 1000001c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435484 rsrc1 : 268435484 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000014 (0) + %r14: 71000024 (0) + %r15: 1000001c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000590 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc47793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc47793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000590: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000014 (0) + %r14: 71000024 (0) + %r15: 00000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000590 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000594 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000594: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000594 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000598 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f40c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f40c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000598: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800005d0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000598 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c81a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c81a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=456 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169d0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 70000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005d4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=70000000, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 70000000 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800005d8 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x70000000 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x70000000: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +kernel executing... +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800005d8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800005d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800005d8 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d484 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 1000001c (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005dc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000014 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005e0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005e8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d484, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d484 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d484 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d484: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d484 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d488 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d488: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d488 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d48c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d48c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed10, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80003f90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d48c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d490 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d490: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed10, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d490 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d494 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d494: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed10, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d494 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d498 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d498: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d498 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d49c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d49c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80003f90, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f90 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d49c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40a484b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40a484b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f90: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fca04ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfca04ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f94: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f70 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a90933 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa90933 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f70: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 1000001c (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa9052e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa9052e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f74: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 1000001c (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 1000001c (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000de38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 1000001c (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f20: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 1000001c (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffeeb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 1000001c (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f28: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed30 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f2c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f34: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000de38, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e80502e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe80502e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dcbc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0a2e2e2e (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8a2603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8a2603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcbc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r20=6fffee84, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a04 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12c0c33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12c0c33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcc0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000014 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412989b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412989b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcc4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000014 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 19 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41260933 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41260933 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcc8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 12 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12a2423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12a2423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dccc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r20=6fffee84, r12=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dccc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 20 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8091a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8091a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcd0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcd8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000c120 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcdc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dce0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dce0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dce0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dce4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dce4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dce4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dce8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dce8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed5c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dce8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcec: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed58 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcf0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcf0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed54 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcf4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcf4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed50 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffee84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000014 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcf4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcf8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcf8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80014a18 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcf8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed48 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412c83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412c83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd00: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed44 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12d03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12d03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd04: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed40 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 26 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd08: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd0c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000c120, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c120 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c120 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa5ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa5ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c120: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c120 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0c4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80002e14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0c8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: b2423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb2423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0cc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r22=6fffee84, r0=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b2223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb2223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0d0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r22=6fffee84, r0=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0d4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed90 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0dc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0e0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0e8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a04 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0ec: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed7c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0f0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0f8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80002e14, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80002e14 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cd0fe06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcd0fe06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e14: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800012e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cc5783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcc5783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 407f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x407f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012ec: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800012f4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1ec12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1ec12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012f4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=492 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000f74 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a18 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012f8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=488 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000014 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000014 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001300 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001300: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=484 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a04 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001300 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001300 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001300 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001300 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001304 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001304: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=480 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef80 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001304 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001308 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1dc12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1dc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001308: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=476 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef7c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001308 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000130c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000130c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=472 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef78 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000130c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001310 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001310: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=468 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef74 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a04 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001310 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001314 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001314: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=464 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef70 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001314 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001318 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1cc12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1cc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001318: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=460 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001318 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000131c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000131c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=456 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000131c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001320 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c412c83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c412c83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001320: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=452 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001320 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001324 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c012d03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c012d03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001324: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=448 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef60 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 26 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001328 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1bc12d83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1bc12d83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001328: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=444 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef5c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001328 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 27 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000132c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000132c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000132c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001330 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001330: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000f74, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001330 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000064 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f78: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f7c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000064, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000064 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d41a883 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d41a883 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000064: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=468 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169dc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d01a803 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d01a803 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000068: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=464 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 71000024 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000006c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1cc1a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1cc1a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000006c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=460 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169d4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 00000014 (0) + %r13: 71000028 (0) + %r14: 10020000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000006c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000070 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80001637 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80001637 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000070: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 80001000 (0) + %r13: 71000028 (0) + %r14: 10020000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000070 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000074 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40000793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40000793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000074: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 80001000 (0) + %r13: 71000028 (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000074 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000078 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c10693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc10693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000078: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 80001000 (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000078 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000007c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c2c60613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc2c60613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000007c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 10000008 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000007c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000080 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 400593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x400593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000080: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000014 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000084 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 400513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x400513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000084: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000084 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000088 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000088: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefd0, r11=10000000, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000088 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000008c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000008c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefd0, r10=10010000, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000008c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000090 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e12a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe12a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000090: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefd0, re=10020000, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000094 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f12c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf12c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000094: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefd0, rf=400, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000094 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000098 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f12e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf12e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000098: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefd0, rf=400, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000064 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000098 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000009c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 245000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x245000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000009c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000ae0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000009c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ae0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ae0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000400 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ae0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ae0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ae0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ae0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ae4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ae4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000004 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ae4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ae8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800015b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800015b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ae8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 80001000 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ae8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000aec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000aec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 80001000 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000aec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000af0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000af0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 80001000 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000af0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000af4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000af4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 80001000 (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000af4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000af8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 97c58593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x97c58593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000af8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000af8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000afc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000afc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r1=800000a0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000afc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22c1a623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22c1a623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b00: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rc=80000c2c, imm=556 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22d1a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22d1a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rd=6fffefdc, imm=548 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 24f1a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x24f1a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, rf=4, imm=580 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a4c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c6dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc6dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b0c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000778 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000b10 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000778 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5106b +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5106b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000778: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:961: WSPAWN +DEBUG ../../../simX/instruction.cpp:969: Spawning 4 new warps at PC: 8000097c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000b10 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000778 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 1 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000778 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 1 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000778 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 1 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000778 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 1 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000097c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2441a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2441a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000097c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=580 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 6bfff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000001 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000097c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000097c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000097c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000097c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000097c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2441a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2441a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000097c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=580 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 67fff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000002 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000097c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000097c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2441a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2441a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000097c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=580 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 63fff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000003 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000097c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000077c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000077c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b10, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000b10 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000b10 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000077c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000980: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 6bffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000001 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000980 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000980 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000980 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000980 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000980: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 67ffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000002 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000980 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000980: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 63ffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000003 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000980 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2441a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2441a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b10: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=580 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000b10 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000984 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000984: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffeff0, r1=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffeffc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 6bffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000001 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000984 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000984 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000984: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffeff0, r1=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffeffc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 67ffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000002 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000984 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000984 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000984: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffeff0, r1=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffeffc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 00000000 (0) + %r 2: 63ffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000003 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000984 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c6dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc6dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b14: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000b18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: 6fffefdc (0) + %r14: 10020000 (0) + %r15: 00000004 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000988 +DEBUG ../../../simX/enc.cpp:105: Curr Code: df9ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xdf9ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000988: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000098c (0) + %r 2: 6bffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000001 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000988 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000988 +DEBUG ../../../simX/enc.cpp:105: Curr Code: df9ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xdf9ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000988: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000098c (0) + %r 2: 67ffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000002 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000988 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000988 +DEBUG ../../../simX/enc.cpp:105: Curr Code: df9ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xdf9ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000988: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000098c (0) + %r 2: 63ffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 00000000 (0) + %r 6: 00000000 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000004 (0) + %r11: 00000000 (0) + %r12: 00000000 (0) + %r13: 00000003 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000000 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000000 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000988 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b18 00000000 00000000 00000000 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000004 00000000 00000000 00000000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000784: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b18, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000b18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b18 00000000 00000000 00000000 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000004 00000000 00000000 00000000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000784 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000784: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000098c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000098c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000784 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000784: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000098c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000098c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000784 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000784: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000098c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000098c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000004 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000784 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2241a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2241a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b18: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b18 00000000 00000000 00000000 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000004 00000000 00000000 00000000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000098c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2241a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2241a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000098c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000098c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000098c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2241a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2241a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000098c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000098c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000098c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2241a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2241a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000098c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=548 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000000 00000000 00000000 00000000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000098c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000098c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000098c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22c1a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22c1a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b18 00000000 00000000 00000000 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000990 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22c1a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22c1a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000990: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000990 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22c1a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22c1a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000990: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000990 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22c1a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22c1a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000990: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=556 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016a34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000c2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000098c 00000000 00000000 00000000 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000990 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b20: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000994 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000994: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000994 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000994 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000994 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000994 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000994: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000994 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000994 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000994: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80000c2c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000994 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c2c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r1=80000b24, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffebf4, r1=80000b24, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffec00 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe7f8, r1=80000b24, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe804 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe3fc, r1=80000b24, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffefe0, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffefec +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffebf4, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffec00 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe7f8, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe804 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe3fc, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c30 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffefe0, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffefec +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffebf4, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffec00 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe7f8, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe804 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe3fc, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c30 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffefe0, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffefec +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffebf4, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffec00 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe7f8, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe804 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe3fc, r1=80000998, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c30 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c34: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffebf4, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffebfc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe7f8, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe800 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe3fc, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe404 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c34: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffefe0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffefe8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffebf4, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffebfc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe7f8, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe800 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe3fc, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe404 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c34 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c34: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffefe0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffefe8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffebf4, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffebfc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe7f8, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe800 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe3fc, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe404 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c34 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c34: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffefe0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffefe8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffebf4, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffebfc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe7f8, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe800 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe3fc, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe404 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c34 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffebf4, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffebf8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe7f8, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe7fc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe3fc, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffefe0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffefe4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffebf4, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffebf8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe7f8, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe7fc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe3fc, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c38 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffefe0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffefe4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffebf4, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffebf8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe7f8, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe7fc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe3fc, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c38 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffefe0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffefe4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffebf4, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffebf8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe7f8, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe7fc +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe3fc, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c38 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffebf4, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffebf4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe7f8, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe7f8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffe3fc, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffe3fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffefe0, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffefe0 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffebf4, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffebf4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe7f8, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe7f8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6bffe3fc, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6bffe3fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c3c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffefe0, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffefe0 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffebf4, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffebf4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe7f8, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe7f8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=67ffe3fc, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 67ffe3fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c3c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffefe0, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffefe0 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffebf4, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffebf4 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe7f8, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe7f8 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=63ffe3fc, r12=0, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 63ffe3fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c3c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c40 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c44: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c44: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c44 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c44: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c44 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c44: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c44 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c48 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c48 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c48 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c48, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c48 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c48 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c48 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c48 80000c48 80000c48 80000c48 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c48 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c4c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c4c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c4c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c4c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c4c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b5dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb5dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c4c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c4c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a8: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a8: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a8: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2002573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2002573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a8: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 0 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 1 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 2 +DEBUG ../../../simX/instruction.cpp:850: CSR Reading tid 20 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007ac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c50 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007ac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c50 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007ac +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007ac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c50 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007ac +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007ac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c50, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c50 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 80000c2c 80000c2c 80000c2c 80000c2c (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007ac +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 104a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x104a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 104a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x104a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 104a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x104a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 104a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x104a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c50 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f47863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf47863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c54: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f47863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf47863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c54: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f47863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf47863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c54: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f47863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf47863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c54: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c54 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c58 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c58 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c58 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c58 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c58 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c5c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f56263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f56263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c60: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c84 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f56263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f56263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c60: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c84 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c60 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f56263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f56263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c60: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c84 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c60 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f56263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f56263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c60: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c84 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000000 00000001 00000002 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c60 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c50 80000c50 80000c50 80000c50 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c84 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c88: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000790 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c88: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000790 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c88 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c88: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000790 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c88 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c88: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000790 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c88 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000790 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5206b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5206b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000790: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1009: Unanimous pred: 10 val: 1 + +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000790 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000790 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5206b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5206b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000790: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1009: Unanimous pred: 10 val: 1 + +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000790 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000790 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5206b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5206b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000790: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1009: Unanimous pred: 10 val: 1 + +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000790 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000790 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5206b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5206b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000790: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1009: Unanimous pred: 10 val: 1 + +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:1003: SPLIT +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000790 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000794 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000794: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000794 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000794 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000794: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000794 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000794 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000794: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000794 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000794 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000794: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000c8c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000c8c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000794 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c8c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10020000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c8c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c8c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c8c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c8c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c4a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc4a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c8c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 00000000 00000000 00000000 00000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c8c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c8c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c8c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c90: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 6fffefdc 00000000 00000000 00000000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c90: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000001 00000001 00000001 00000001 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c90: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000002 00000002 00000002 00000002 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c90: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 00000003 00000003 00000003 00000003 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c90 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c94: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c94: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000001 00000001 00000001 00000001 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c94: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000002 00000002 00000002 00000002 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c94: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10010000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000003 00000003 00000003 00000003 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c94 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a40433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a40433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c98: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a40433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a40433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c98: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000400 00000400 00000400 00000400 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a40433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a40433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c98: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000800 00000800 00000800 00000800 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a40433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a40433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c98: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000c00 00000c00 00000c00 00000c00 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 00000400 00000400 00000400 00000400 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c98 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 84a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x84a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c9c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 84a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x84a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c9c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000400 00000400 00000400 00000400 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 84a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x84a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c9c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000800 00000800 00000800 00000800 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000c9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 84a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x84a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000c9c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffefdc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10020000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000c8c 80000c8c 80000c8c 80000c8c (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000c00 00000c00 00000c00 00000c00 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000c9c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000b24 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffebf4, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffec00 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000b24 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe7f8, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe804 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000b24 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe3fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe408 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000b24 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffefe0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffebf4, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffec00 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe7f8, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe804 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe3fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe408 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000400 00000400 00000400 00000400 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffefe0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffebf4, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffec00 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe7f8, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe804 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe3fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe408 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000800 00000800 00000800 00000800 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffefe0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffebf4, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffec00 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe7f8, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe804 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe3fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe408 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000998 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000c00 00000c00 00000c00 00000c00 (0) + %r 9: 6fffefdc 6fffefdc 6fffefdc 6fffefdc (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffebf4, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffebf8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe7f8, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe7fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe3fc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe400 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffefe0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffebf4, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffebf8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe7f8, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe7fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe3fc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe400 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000400 00000400 00000400 00000400 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffefe0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffebf4, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffebf8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe7f8, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe7fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe3fc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe400 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000800 00000800 00000800 00000800 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffefe0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffebf4, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffebf8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe7f8, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe7fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe3fc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe400 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000c00 00000c00 00000c00 00000c00 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1240433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1240433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000001 00000002 00000003 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1240433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1240433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000400 00000401 00000402 00000403 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1240433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1240433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000800 00000801 00000802 00000803 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ca8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1240433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1240433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ca8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000c00 00000c01 00000c02 00000c03 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ca8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 241413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x241413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000004 00000008 0000000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 241413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x241413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00001000 00001004 00001008 0000100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cac +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 241413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x241413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00002000 00002004 00002008 0000200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cac +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 241413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x241413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00003000 00003004 00003008 0000300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000000 10000000 10000000 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cac +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 870733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x870733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000004 00000008 0000000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10000000 10000004 10000008 1000000c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 870733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x870733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00001000 00001004 00001008 0000100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10001000 10001004 10001008 1000100c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 870733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x870733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00002000 00002004 00002008 0000200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10002000 10002004 10002008 1000200c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3785 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 870733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x870733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00003000 00003004 00003008 0000300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10010000 10010000 10010000 10010000 (0) + %r14: 10003000 10003004 10003008 1000300c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3786 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000004 00000008 0000000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010004 10010008 1001000c (0) + %r14: 10000000 10000004 10000008 1000000c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3787 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00001000 00001004 00001008 0000100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10011000 10011004 10011008 1001100c (0) + %r14: 10001000 10001004 10001008 1000100c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3788 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00002000 00002004 00002008 0000200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10012000 10012004 10012008 1001200c (0) + %r14: 10002000 10002004 10002008 1000200c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3789 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00003000 00003004 00003008 0000300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10013000 10013004 10013008 1001300c (0) + %r14: 10003000 10003004 10003008 1000300c (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3790 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10000000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10000004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 409 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=1000000c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000000c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 65206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000004 00000008 0000000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: 10010000 10010004 10010008 1001000c (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3791 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10001000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10001000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10001004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10001004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10001008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10001008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=1000100c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000100c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00001000 00001004 00001008 0000100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10011000 10011004 10011008 1001100c (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3792 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10002000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10002000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10002004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10002004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10002008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10002008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=1000200c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000200c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00002000 00002004 00002008 0000200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10012000 10012004 10012008 1001200c (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3793 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3794 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cb8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10003000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10003000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10003004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10003004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10003008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10003008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=1000300c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000300c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00003000 00003004 00003008 0000300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: 10013000 10013004 10013008 1001300c (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3795 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3796 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cb8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3797 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cbc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10010000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10010000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10010004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10010004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10010008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10010008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=1001000c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1001000c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000004 00000008 0000000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3798 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3799 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3800 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cbc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10011000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10011000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10011004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10011004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10011008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10011008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=1001100c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1001100c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00001000 00001004 00001008 0000100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3801 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3802 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3803 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cbc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10012000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10012000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10012004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10012004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10012008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10012008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=1001200c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1001200c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00002000 00002004 00002008 0000200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3804 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3805 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3806 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cbc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10013000, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10013000 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10013004, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10013004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=10013008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10013008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r13=1001300c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1001300c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00003000 00003004 00003008 0000300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3807 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3808 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cbc +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3809 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 878433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x878433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10020000 10020004 10020008 1002000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3810 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3811 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3812 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3813 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3814 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3815 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 878433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x878433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10021000 10021004 10021008 1002100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3816 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 878433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x878433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10022000 10022004 10022008 1002200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3817 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 878433 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x878433 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10023000 10023004 10023008 1002300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000001 00000002 00000003 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3818 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffebf4, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffebf4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe7f8, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe7f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe3fc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe3fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10020000 10020004 10020008 1002000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3819 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffefe0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffebf4, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffebf4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe7f8, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe7f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe3fc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe3fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10021000 10021004 10021008 1002100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3820 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffefe0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffebf4, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffebf4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe7f8, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe7f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe3fc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe3fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10022000 10022004 10022008 1002200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3821 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3822 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffefe0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffebf4, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffebf4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe7f8, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe7f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe3fc, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe3fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10023000 10023004 10023008 1002300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: 10020000 10020000 10020000 10020000 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3823 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3824 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3825 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3826 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3827 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3828 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3829 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3830 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3831 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d707b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd707b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10020000 10020004 10020008 1002000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3832 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3833 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3834 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3835 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3836 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3837 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3838 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3839 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3840 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3841 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3842 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3843 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d707b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd707b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10021000 10021004 10021008 1002100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3844 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3845 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3846 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3847 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3848 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3849 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d707b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd707b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10022000 10022004 10022008 1002200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3850 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d707b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd707b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cc8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10023000 10023004 10023008 1002300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cc8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3851 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ccc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10020000, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10020000 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10020004, rf=ddccbfb3, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10020004 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10020008, rf=4c3f2115, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10020008 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=1002000c, rf=42ed280f, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1002000c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10020000 10020004 10020008 1002000c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3852 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ccc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10021000, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10021000 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10021004, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10021004 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10021008, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10021008 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=1002100c, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1002100c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10021000 10021004 10021008 1002100c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3853 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ccc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10022000, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10022000 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10022004, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10022004 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10022008, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10022008 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=1002200c, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1002200c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10022000 10022004 10022008 1002200c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3854 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3855 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3856 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3857 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3858 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3859 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3860 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3861 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ccc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10023000, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10023000 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10023004, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10023004 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=10023008, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10023008 +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=1002300c, rf=bb997754, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1002300c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 10023000 10023004 10023008 1002300c (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ccc +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3862 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffebf4, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffebfc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe7f8, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe800 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe3fc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe404 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefb0 6fffebf4 6fffe7f8 6fffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 6 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3863 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffefe0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffebf4, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffebfc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe7f8, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe800 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe3fc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe404 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffefe0 6bffebf4 6bffe7f8 6bffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3864 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffefe0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffebf4, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffebfc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe7f8, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe800 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe3fc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe404 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffefe0 67ffebf4 67ffe7f8 67ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3865 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffefe0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffebf4, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffebfc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe7f8, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe800 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe3fc, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe404 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffefe0 63ffebf4 63ffe7f8 63ffe3fc (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3866 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3867 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3868 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3869 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3870 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 9 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3871 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3872 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3873 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3874 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3875 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3876 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3877 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3878 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3879 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3880 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3881 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3882 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3883 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3884 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3885 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3886 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3887 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3888 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3889 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3890 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3891 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3892 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3893 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3894 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ac1ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xac1ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000798 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3895 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ac1ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xac1ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000798 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3896 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ac1ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xac1ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000798 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3897 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ac1ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xac1ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cd8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000798 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cd8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3898 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3899 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3900 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000798 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 306b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x306b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000798: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1041: Uni branch at join +NEW DOMESTACK: +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000798 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 0 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3901 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000798 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 306b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x306b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000798: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1041: Uni branch at join +NEW DOMESTACK: +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000798 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 0 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3902 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000798 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 306b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x306b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000798: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1041: Uni branch at join +NEW DOMESTACK: +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000798 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 0 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3903 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000798 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 306b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x306b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000798: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1041: Uni branch at join +NEW DOMESTACK: +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:1036: JOIN +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000798 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 0 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3904 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000079c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000079c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b24, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b24, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b24, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b24, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000b24 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b24 80000b24 80000b24 80000b24 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000079c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3905 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000079c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000079c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000998 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000079c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3906 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000079c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000079c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000998 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000079c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3907 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000079c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000079c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000998, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000998 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000998 80000998 80000998 80000998 (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000079c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3908 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3909 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3910 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c7dff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc7dff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b24: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b28 80000b28 80000b28 80000b28 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3911 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000998 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000998: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000998 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3912 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000998 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000998: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000998 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3913 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000998 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e09ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe09ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000998: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800007a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000400 00000400 00000400 00000400 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000998 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3914 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3915 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3916 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b28 80000b28 80000b28 80000b28 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3917 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3918 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 2 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3919 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2102573 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2102573 into: SYS + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a0: SYS +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:855: CSR Reading wid 21 and returning 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3920 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b28, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b28, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b28, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000b28, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000b28 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b28 80000b28 80000b28 80000b28 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3921 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000099c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3922 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000099c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3923 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800007a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800007a4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000099c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000099c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800007a4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3924 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3925 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3926 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2050063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2050063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b28: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000b48 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b28 80000b28 80000b28 80000b28 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3927 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000099c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000099c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000099c +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3928 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000099c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000099c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000099c +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3929 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000099c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000099c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 8000099c 8000099c 8000099c 8000099c (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000099c +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3930 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3931 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3932 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b48: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefc8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffec04, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffec0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe808, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe810 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe40c, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe414 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 80000b28 80000b28 80000b28 80000b28 (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3933 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3934 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3935 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3936 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffeff0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffeffc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffec04, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffec10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe808, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe814 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6bffe40c, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6bffe418 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3937 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffeff0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffeffc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffec04, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffec10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe808, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe814 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=67ffe40c, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 67ffe418 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000002 00000002 00000002 00000002 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3938 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffeff0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffeffc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffec04, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffec10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe808, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe814 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=63ffe40c, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 63ffe418 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000003 00000003 00000003 00000003 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3939 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3940 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3941 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3942 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3943 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3944 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3945 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3946 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3947 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3948 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3949 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 12 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3950 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b4c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800000a0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffec04, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffec10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe808, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe814 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe40c, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe418 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 800000a0 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3951 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3952 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3953 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3954 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3955 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3956 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3957 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3958 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3959 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3960 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3961 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3962 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6bffeff0 6bffec04 6bffe808 6bffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3963 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3964 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3965 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3966 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3967 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3968 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3969 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3970 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3971 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3972 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3973 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3974 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 67ffeff0 67ffec04 67ffe808 67ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3975 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3976 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3977 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3978 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3979 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3980 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3981 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3982 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3983 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3984 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3985 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3986 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 63ffeff0 63ffec04 63ffe808 63ffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a4 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3987 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefc4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffec04, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffec08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe808, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe80c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe40c, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe410 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 800000a0 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3988 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6bfff000 6bffec14 6bffe818 6bffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a8 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3989 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 67fff000 67ffec14 67ffe818 67ffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3990 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a8 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3991 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009a8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 63fff000 63ffec14 63ffe818 63ffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009a8 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3992 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b54: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefc0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffec04, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffec04 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe808, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe808 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffe40c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffe40c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ddccbbaa +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 800000a0 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3993 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: dd5ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xdd5ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009ac: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6bfff000 6bffec14 6bffe818 6bffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009ac +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3994 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: dd5ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xdd5ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009ac: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 67fff000 67ffec14 67ffe818 67ffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009ac +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3995 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800009ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: dd5ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xdd5ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800009ac: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 63fff000 63ffec14 63ffe818 63ffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 00000000 00000000 00000000 00000000 (0) + %r 6: 00000000 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 00000000 00000000 00000000 (0) + %r 9: 00000000 00000000 00000000 00000000 (0) + %r10: 00000000 00000000 00000000 00000000 (0) + %r11: 00000000 00000400 00000800 00000c00 (0) + %r12: 00000000 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r15: bb997754 bb997754 bb997754 bb997754 (0) + %r16: 00000000 00000000 00000000 00000000 (0) + %r17: 00000000 00000000 00000000 00000000 (0) + %r18: 00000000 00000000 00000000 00000000 (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000000 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800009ac +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3996 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 800000a0 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6fffefc0 6fffec04 6fffe808 6fffe40c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3997 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 800000a0 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6fffefd0 6fffec14 6fffe818 6fffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3998 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000b60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c21ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc21ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000b60: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 00000000 00000000 00000000 (0) + %r 1: 800000a0 ddccbbaa ddccbbaa ddccbbaa (0) + %r 2: 6fffefd0 6fffec14 6fffe818 6fffe41c (0) + %r 3: 80016808 80016808 80016808 80016808 (0) + %r 4: 00000000 00000000 00000000 00000000 (0) + %r 5: 80000e64 00000000 00000000 00000000 (0) + %r 6: 00000005 00000000 00000000 00000000 (0) + %r 7: 00000000 00000000 00000000 00000000 (0) + %r 8: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r 9: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r10: 00000001 00000001 00000001 00000001 (0) + %r11: 8000097c 00000400 00000800 00000c00 (0) + %r12: 80000c2c 00000004 00000008 0000000c (0) + %r13: ddccbbaa ddccbbaa ddccbbaa ddccbbaa (0) + %r14: ddccbbaa 00000409 6e72656b 65206c65 (0) + %r15: bb997754 ddccbfb3 4c3f2115 42ed280f (0) + %r16: 10010000 00000000 00000000 00000000 (0) + %r17: 10000000 00000000 00000000 00000000 (0) + %r18: 00000000 ddccbbaa ddccbbaa ddccbbaa (0) + %r19: 00000000 00000000 00000000 00000000 (0) + %r20: 00000000 00000000 00000000 00000000 (0) + %r21: 00000000 00000000 00000000 00000000 (0) + %r22: 00000000 00000000 00000000 00000000 (0) + %r23: 00000000 00000000 00000000 00000000 (0) + %r24: 00000000 00000000 00000000 00000000 (0) + %r25: 00000000 00000000 00000000 00000000 (0) + %r26: 00000000 00000000 00000000 00000000 (0) + %r27: 00000000 00000000 00000000 00000000 (0) + %r28: 00000003 00000000 00000000 00000000 (0) + %r29: 00000000 00000000 00000000 00000000 (0) + %r30: 00000000 00000000 00000000 00000000 (0) + %r31: 00000000 00000000 00000000 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 1 1 1 + + +DEBUG ../../../simX/core.cpp:421: Now 4 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000b60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 3999 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 1[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 1 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4000 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 2[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 2 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4001 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 3[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 3 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4002 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4003 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 1, 1, 1, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4004 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 1 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[4] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4005 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 1 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4006 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 1 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4007 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4008 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4009 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4010 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000784: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800000a0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800000a0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000784 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4011 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4012 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4013 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4014 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4015 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4016 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80015537 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80015537 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000a0: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80015000 (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4017 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a1c50513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa1c50513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000a0 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4018 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68d000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68d000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000a8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000f34 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4019 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4020 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4021 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4022 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4023 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4024 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4025 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d81a303 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d81a303 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=472 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169e0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4026 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4027 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4028 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4029 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f38: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4030 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rc=80000c2c, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4031 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2d12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2d12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rd=ddccbbaa, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4032 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2b12223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2b12223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rb=8000097c, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4033 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4034 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e12823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e12823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, re=ddccbbaa, imm=48 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4035 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f12a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f12a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f4c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rf=bb997754, imm=52 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4036 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3012c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3012c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f50: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r10=10010000, imm=56 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4037 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f54: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r11=10000000, imm=60 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 8000097c (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4038 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 832583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x832583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r6=80016010, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016018 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 80016364 (0) + %r12: 80000c2c (0) + %r13: ddccbbaa (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 6 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4039 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2410693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2410693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 80016364 (0) + %r12: 80000c2c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4040 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80014a1c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4041 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f64: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 6 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4042 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f68: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r1=800000ac, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4043 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f6c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, rd=6fffefb4, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4044 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f70: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000f80 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4045 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:663: $$$$$$$$$$$$$$$$$$$$ Stalling LSU because EXE is being used +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4046 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4047 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4048 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4049 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4050 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4051 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4052 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4053 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4054 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4055 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f84: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r1=80000f74, imm=492 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4056 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f88: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r12=0, imm=480 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef80 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4057 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f8c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r18=0, imm=456 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4058 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4059 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1da12023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1da12023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f90: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r1a=0, imm=448 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef60 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 26 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4060 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58c13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58c13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4061 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f98: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4062 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d12a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd12a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f9c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rd=6fffefb4, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4063 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fa0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fa0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r8=0, imm=488 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fa0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4064 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fa4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fa4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r9=0, imm=484 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4065 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fa8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fa8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r13=0, imm=476 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef7c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4066 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fac: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r14=0, imm=472 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef78 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4067 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fb0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r15=0, imm=468 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef74 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fb0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4068 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fb4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r16=0, imm=464 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef70 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4069 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fb8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r17=0, imm=460 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fb8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4070 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fbc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r19=0, imm=452 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 25 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4071 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1bb12e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1bb12e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fc0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r1b=0, imm=444 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef5c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 27 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4072 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50d13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50d13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fc4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 26 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4073 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 570060ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x570060ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fc8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007538 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4074 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4075 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4076 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4077 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4078 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4079 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007538 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12818513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12818513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007538: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016930 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4080 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4081 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4082 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4083 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000753c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000753c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000fcc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000fcc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016930 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: bb997754 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000753c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4084 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4085 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4086 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4087 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4088 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4089 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fcc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fcc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016930, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016930 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8001536c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016930 (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: 8001536c (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fcc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4090 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fd0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: 8001536c (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4091 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f12823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f12823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fd4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=8001536c, imm=48 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedd0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fcc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: 8001536c (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4092 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 514080ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x514080ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fd8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800094ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: 8001536c (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4093 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4094 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4095 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4096 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4097 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4098 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4099 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 357793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x357793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: ddccbbaa (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4079c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4079c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 6fffefb4 (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7f7f86b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7f7f86b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094f8: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 7f7f8000 (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800094fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f7f68693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf7f68693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800094fc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: 80016364 (0) + %r12: 80014a1c (0) + %r13: 7f7f7f7f (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800094fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009500 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009500: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 80014a1c (0) + %r13: 7f7f7f7f (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009504 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 72603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x72603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009504: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=8001536c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 8001536c (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009504 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009508 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 470713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x470713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009508: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009508 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000950c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d677b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd677b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000950c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 0000002e (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000950c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009510 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009510: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7fad (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009510 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009514 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c7e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc7e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009514: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7faf (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009514 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009518 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d7e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd7e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009518: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009518 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009518 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000951c +DEBUG ../../../simX/enc.cpp:105: Curr Code: feb784e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfeb784e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000951c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 7f7f7f7f (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000951c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000951c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009520 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc74683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc74683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009520: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80015370, imm=4294967292 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 0000002e (0) + %r13: 0000002e (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009520 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009524 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffd74603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffd74603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009524: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80015370, imm=4294967293 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 80015370 (0) + %r15: 7f7f7fff (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009524 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009528 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffe74783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffe74783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009528: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=80015370, imm=4294967294 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001536c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 80015370 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009528 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000952c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40a70733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40a70733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000952c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000952c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009530 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4068063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4068063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009530: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009530 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009534 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2060a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2060a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009534: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009568 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 8001536c (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009534 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009568 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffd70513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffd70513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009568: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000956c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000956c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000fdc, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000fdc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000956c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fdc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, ra=1, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fe0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fe0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=240 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fe0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fe4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fe4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=244 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fe4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fe8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fe8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=248 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee98 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fe8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000fec +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000fec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=252 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000fec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ff0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ff0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000004 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ff0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 26 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ff4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 38d2703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x38d2703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ff4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r26=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ff4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ff8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a0708e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa0708e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ff8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000002e (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ff8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000ffc +DEBUG ../../../simX/enc.cpp:105: Curr Code: cc1683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcc1683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000ffc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00002889 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000ffc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001000 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1069713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1069713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001000: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00002889 (0) + %r14: 28890000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001004 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1269793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1269793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001004: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00002889 (0) + %r14: 28890000 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001004 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001008 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001008: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00002889 (0) + %r14: 00002889 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001008 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000100c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 207ca63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x207ca63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000100c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80001040 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00002889 (0) + %r14: 00002889 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000100c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001040 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 877693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x877693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001040: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002889 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001044 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e068863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e068863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001044: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000008 (0) + %r14: 00002889 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001048 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10c2683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10c2683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001048: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001048 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000104c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e068463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e068463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000104c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 10000008 (0) + %r14: 00002889 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000104c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001050 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a77713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a77713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001050: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 10000008 (0) + %r14: 00000008 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001050 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001054 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a00693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa00693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001054: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 00000008 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001054 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001058 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d70063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d70063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001058: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 00000008 (0) + %r15: a2240000 (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000105c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10c10793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10c10793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000105c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 00000008 (0) + %r15: 6fffeeac (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000105c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80015737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80015737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001060: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 6fffeeac (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ef12223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xef12223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001064: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=6fffeeac, imm=228 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 6fffeeac (0) + %r16: 10010000 (0) + %r17: 10000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001068: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 6fffeeac (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000106c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a6c70793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa6c70793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000106c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000106c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001070 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80015737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80015737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001070: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001070 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001074 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f12c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf12c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001074: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=80014a6c, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001074 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001078 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001078: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014a6c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001078 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000107c +DEBUG ../../../simX/enc.cpp:105: Curr Code: be870793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xbe870793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000107c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014be8 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000107c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001080 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f12423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf12423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001080: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=80014be8, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeda8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 80014be8 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001080 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001084 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b4783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb4783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001084: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r22=80014a1c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001084 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001088 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001088: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=236 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001088 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000108c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e012423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe012423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000108c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=232 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000108c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001090 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001090: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedc0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001090 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001094 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001094: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=52 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedd4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001094 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001098 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001098: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=56 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedd8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001098 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000109c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000109c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=60 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeddc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000109c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4012423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4012423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010a0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=72 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffede8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4012623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4012623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010a4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=76 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010a8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, r0=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 22078663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x22078663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010ac: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b0413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb0413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1c (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000a (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2500693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2500693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1c (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1c (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006b (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a1c, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1c (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1d (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1d (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1d (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a1d, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1d (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1e (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 114 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1e (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1e (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000072 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a1e, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1e (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1f (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 110 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1f (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1f (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a1f, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a1f (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a20 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a20 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a20 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a20, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a20 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a21 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 108 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a21 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a21 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006c (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a21, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a21 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a22 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 32 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a22 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a22 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000020 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a22, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a22 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000064 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a23 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000064 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 100 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a23 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000064 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a23 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000064 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a23, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a23 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006f (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a24 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006f (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 111 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a24 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006f (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a24 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006f (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a24, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a24 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a25 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 110 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a25 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a25 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000006e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a25, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a25 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a26 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 101 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a26 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a26 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000065 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a26, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a26 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a27 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 46 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a27 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a27 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000002e (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a27, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a28 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a27 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a28 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800010b8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a28 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 30d78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x30d78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a28 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 0000000a (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 144783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x144783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a28, imm=1 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a28 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a28 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 140413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x140413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe079ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe079ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 00000000 (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 416404b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x416404b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010c8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 21640663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x21640663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010cc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000025 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec12683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec12683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=236 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e812783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe812783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010d4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=232 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 168a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x168a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010d8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r17=6fffeeac, r16=80014a1c, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeeac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 17 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 9686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x9686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010dc: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000d (0) + %r14: 80015000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010e0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000d (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98a223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98a223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010e4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r17=6fffeeac, r9=d, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeeb0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000d (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 17 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ed12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xed12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010e8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rd=d, imm=236 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000d (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: ef12423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xef12423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010ec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, rf=1, imm=232 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 0000000d (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 700693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x700693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeac (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 888893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x888893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2ef6c263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2ef6c263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010f8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 80015000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800010fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800010fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800010fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001100 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001100: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80014a29, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a28 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001100 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001104 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 970733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x970733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001104: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001104 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001108 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e12623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe12623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001108: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeda0, re=d, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001108 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000110c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c078663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c078663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000110c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800012d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000110c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec12783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec12783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=236 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012dc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3250106f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3250106f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012e0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80002e04 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 00000000 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e410613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe410613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e04: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: ffffffff (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e08: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e0c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000fdc (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 26 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 314090ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x314090ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e10: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c124 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c124 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 862703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x862703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c124: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r12=6fffee84, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c124 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c128 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 70463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x70463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c128: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c128 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c12c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f09ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf09ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c12c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c034 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c12c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c034 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 645a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x645a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c034: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=100 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163c8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c034 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c038 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c038: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c038 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c03c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c03c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r16=80014a1c, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c03c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c040 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c040: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r1=80002e14, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c040 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c044 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c044: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r8=80014a29, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c048 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c048: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r9=d, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c048 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c04c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c04c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r12=80014a1c, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c04c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c050 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c050: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c050 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c054 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c054: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c054 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c058 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c058: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c05c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c05c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed7c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c05c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c060: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed70, r18=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1279713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1279713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c064: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c068: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c06c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a075863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa075863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c06c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c11c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c06c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c11c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f1010ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf1010ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c11c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000da0c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c11c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 862783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x862783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da0c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r12=6fffee84, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 32078e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x32078e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da10: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c5d783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc5d783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da14: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da1c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r8=80014a29, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da20: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed58 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da24: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed54 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da28: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r1=8000c120, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da2c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r9=d, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da30: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r12=80014a1c, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da34: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed5c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r16=6fffee84, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed4c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r18=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed48 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da44: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r19=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed44 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 25 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a12023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a12023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da48: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed40, r1a=80016010, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed40 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 26 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60a13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60a13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da50: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50a93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50a93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8070663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8070663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da5c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 105a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x105a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da60: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 10000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8070263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8070263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da64: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 10000008 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 27f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x27f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da68: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a2483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa2483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da6c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r20=6fffee84, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffeeac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000da70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8070c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8070c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000da70: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000db08 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000da70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000db08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000db08: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000db0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 24071463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x24071463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000db0c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dd54 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000db0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd60: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ec098ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xec098ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd64: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc38: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffeeac, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeeb0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4ac03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4ac03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc3c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=6fffeeac, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeeac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeac (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 848493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x848493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe098ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe098ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc44: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 6fffee84 (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a00593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa00593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc50: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a7cfa0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa7cfa0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc54: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007ed0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ed0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 357793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x357793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ed0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 00000007 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ed4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff5f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff5f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ed4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007ed8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2078a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2078a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007ed8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f0c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007ed8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f0c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00000001 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f10: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00000003 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f76663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f76663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f14: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f40 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00000003 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f40: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00010000 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 6fffeeb4 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 859893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x859893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 00010000 (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 00000a00 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff70713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff70713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 00000a00 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8f8b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8f8b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f4c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 00000a00 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff5f593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff5f593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f50: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 0000000a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 00000a00 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8e5b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8e5b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f54: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 00000a0a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 00000a00 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1059893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1059893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 00000a0a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 0a0a0000 (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8e8b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8e8b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 00000a0a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: 10010000 (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 17 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: feff0837 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfeff0837 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f60: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 00000a0a (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: feff0000 (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 808085b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x808085b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f64: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808000 (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: feff0000 (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: eff80813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xeff80813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f68: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808000 (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8058593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8058593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f6c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 80016010 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 300313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x300313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f70: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 0000ffff (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a1c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 6e72656b (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 0000000d (0) + %r13: 0000000a (0) + %r14: 64786f61 (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 64786f61 (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 9b87909e (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 03070000 (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a1c (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a20, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 64206c65 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 63776e60 (0) + %r13: 0000000a (0) + %r14: 6e2a666f (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 6e2a666f (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 91d59990 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 01010100 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a20 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 52703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x52703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a24, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 2e656e6f (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e8c733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe8c733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f78: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 6d29656e (0) + %r13: 0000000a (0) + %r14: 246f6465 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 17 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1070633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1070633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f7c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 246f6465 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 16 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff74713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff74713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: db909b9a (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e67733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe67733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f84: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 03000300 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b77733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb77733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f88: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8071ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8071ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000005 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f90: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a24 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 450513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x450513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f94: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fcf36ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfcf36ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f98: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 6 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80794e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80794e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f9c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f24 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f507b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf507b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f24: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0006f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0006f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f28: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007f34 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 00000000 (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 54703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x54703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f34: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80014a28, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a28 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed71ae3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed71ae3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10 rsrc1 : 10 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007f3c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000dc58, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc58 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12050463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12050463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc58: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a28 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 150513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x150513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc5c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a29 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41850b33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41850b33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc60: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a29 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 80014a29 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 10 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b0793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb0793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc64: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a29 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 00000000 (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98b93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98b93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc68: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a29 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 137f463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x137f463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc6c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80014a29 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4785 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4786 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4787 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4788 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4789 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4790 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 0000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4791 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1042783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1042783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc78: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 0000000a (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4792 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1442683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1442683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc7c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016378 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 400 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4793 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a7f863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa7f863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc80: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dc90 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4794 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4795 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4796 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4797 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4798 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4799 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dc90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1adbc863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1adbc863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dc90: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de40 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 236e6364 (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dc90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 23 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4800 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4801 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4802 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4803 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4804 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4805 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de40: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80808080 (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4806 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c0593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc0593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000dc58 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4807 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 354000ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x354000ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de48: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e19c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4808 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4809 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4810 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4811 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4812 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4813 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e19c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2a5f663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2a5f663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e19c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e19c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4814 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4815 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4816 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4817 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4818 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4819 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1c8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 0000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4820 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c7e863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c7e863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1cc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 0000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4821 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4822 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4823 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4824 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4825 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4826 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4827 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1d0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 00000400 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4828 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff60693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff60693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1d4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 0000000c (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4829 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c060c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc060c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1d8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 0000000c (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 12 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4830 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4831 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4832 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4833 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4834 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4835 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 168693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x168693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1dc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4836 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d786b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd786b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4837 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a1c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006b (0) + %r15: 10000008 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4838 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1c (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006b (0) + %r15: 10000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4839 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4840 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1d (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006b (0) + %r15: 10000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4841 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000009, re=6b, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1d (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006b (0) + %r15: 10000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4842 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435465 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1d (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006b (0) + %r15: 10000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4843 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4844 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4845 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4846 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4847 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4848 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a1d, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1d (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 10000009 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4849 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1d (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000a (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4850 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1e (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000a (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4851 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=1000000a, re=65, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000009 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1e (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000a (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4852 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435466 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1e (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000a (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4853 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4854 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4855 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4856 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4857 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4858 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a1e, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1e (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000072 (0) + %r15: 1000000a (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4859 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1e (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000072 (0) + %r15: 1000000b (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4860 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1f (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000072 (0) + %r15: 1000000b (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4861 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=1000000b, re=72, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1f (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000072 (0) + %r15: 1000000b (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4862 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435467 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1f (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000072 (0) + %r15: 1000000b (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4863 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4864 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4865 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4866 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4867 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4868 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a1f, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1f (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 1000000b (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4869 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a1f (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 1000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4870 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a20 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 1000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4871 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=1000000c, re=6e, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a20 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 1000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4872 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435468 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a20 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 1000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4873 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4874 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4875 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4876 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4877 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4878 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a20, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a20 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000c (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4879 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a20 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4880 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a21 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4881 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=1000000d, re=65, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a21 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4882 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435469 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a21 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 1000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4883 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4884 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4885 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4886 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4887 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4888 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a21, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a21 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006c (0) + %r15: 1000000d (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4889 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a21 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006c (0) + %r15: 1000000e (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4890 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a22 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006c (0) + %r15: 1000000e (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4891 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=1000000e, re=6c, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a22 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006c (0) + %r15: 1000000e (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4892 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435470 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a22 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006c (0) + %r15: 1000000e (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4893 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4894 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4895 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4896 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4897 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4898 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a22, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a22 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000020 (0) + %r15: 1000000e (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4899 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a22 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000020 (0) + %r15: 1000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4900 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a23 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000020 (0) + %r15: 1000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4901 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=1000000f, re=20, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000e +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a23 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000020 (0) + %r15: 1000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4902 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435471 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a23 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000020 (0) + %r15: 1000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4903 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4904 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4905 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4906 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4907 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4908 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a23, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a20 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a23 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000064 (0) + %r15: 1000000f (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4909 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a23 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000064 (0) + %r15: 10000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4910 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a24 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000064 (0) + %r15: 10000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4911 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000010, re=64, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 1000000f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a24 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000064 (0) + %r15: 10000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4912 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435472 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a24 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000064 (0) + %r15: 10000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4913 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4914 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4915 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4916 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4917 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4918 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a24, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a24 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006f (0) + %r15: 10000010 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4919 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a24 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006f (0) + %r15: 10000011 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4920 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a25 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006f (0) + %r15: 10000011 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4921 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000011, re=6f, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a25 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006f (0) + %r15: 10000011 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4922 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435473 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a25 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006f (0) + %r15: 10000011 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4923 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4924 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4925 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4926 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4927 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4928 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a25, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a25 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 10000011 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4929 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a25 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 10000012 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4930 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a26 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 10000012 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4931 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000012, re=6e, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000011 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a26 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 10000012 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4932 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435474 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a26 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000006e (0) + %r15: 10000012 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4933 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4934 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4935 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4936 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4937 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4938 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a26, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a26 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 10000012 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4939 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a26 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 10000013 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4940 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a27 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 10000013 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4941 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000013, re=65, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a27 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 10000013 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4942 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435475 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a27 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 00000065 (0) + %r15: 10000013 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4943 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4944 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4945 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4946 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4947 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4948 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a27, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a24 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a27 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000002e (0) + %r15: 10000013 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4949 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a27 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000002e (0) + %r15: 10000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4950 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a28 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000002e (0) + %r15: 10000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4951 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000014, re=2e, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000013 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a28 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000002e (0) + %r15: 10000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4952 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435476 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a28 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000002e (0) + %r15: 10000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4953 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4954 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4955 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4956 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4957 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4958 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5c703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5c703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80014a28, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80014a28 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a28 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000014 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4959 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a28 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000015 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4960 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 158593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x158593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000015 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4961 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fee78fa3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfee78fa3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=10000015, re=a, imm=4294967295 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000014 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000015 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4962 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fed798e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfed798e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435477 rsrc1 : 268435477 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000015 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4963 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4964 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4965 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4966 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4967 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4968 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000e1f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000e1f8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000de4c, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de4c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 10000015 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000e1f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4969 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4970 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4971 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4972 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4973 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4974 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 842783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x842783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de4c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 0000000d (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4975 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 42603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x42603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000008 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 80014a1c (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4976 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b8913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb8913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de54: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000008 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4977 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 417787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x417787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de58: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000008 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4978 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1760633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1760633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de5c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4979 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de60: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=fffffff3, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4980 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c42023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc42023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de64: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rc=10000015, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4981 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e49ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe49ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de68: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dcb0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 0000000d (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4982 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4983 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4984 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4985 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4986 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4987 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcb0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412b0b33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412b0b33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcb0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 10000008 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcb0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4988 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcb4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcb4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000001 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcb4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4989 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcb8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 160b0a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x160b0a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcb8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de2c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000001 (0) + %r11: 80014a29 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcb8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4990 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4991 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4992 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4993 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4994 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4995 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000001 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4996 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a8513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa8513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de4c (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4997 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a24f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa24f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de34: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004058 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4998 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 4999 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5000 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5001 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5002 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5003 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004058 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004058: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004058 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5004 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000405c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000405c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000405c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5005 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004060 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004060: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r1=8000de38, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004060 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5006 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004064 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004064: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5007 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004064 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5008 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004068 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004068: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: fffffff3 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004068 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5009 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5010 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5011 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5012 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5013 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5014 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000406c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3852783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3852783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000406c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00000001 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000406c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5015 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004070 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2078063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2078063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004070: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00000001 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004070 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5016 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5017 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5018 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5019 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5020 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5021 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5022 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004074 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004074: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004074 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5023 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004078 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2079663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2079663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004078: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10377 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800040a4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004078 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5024 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5025 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5026 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5027 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5028 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5029 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5030 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5031 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040a8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5032 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040ac: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000de38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5033 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5034 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d49ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd49ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040b4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003dfc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5035 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5036 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5037 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5038 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5039 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5040 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5041 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5042 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5043 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5044 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5045 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5046 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r13=d, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed2c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5047 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r1=8000de38, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5048 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5049 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e10: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r9=6fffeeb4, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5050 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e14: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed20, r12=d, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed30 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 10000015 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5051 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5052 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5053 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5054 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10069a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10069a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e24: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 8 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 0000000d (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5055 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5056 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5057 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5058 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5059 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5060 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 105a903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x105a903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f38: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5061 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5062 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5063 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5064 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc090ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc090ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f3c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5065 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5066 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5067 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5068 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5069 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5070 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5071 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5a483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5a483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f40: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000015 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000015 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 0000000a (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5072 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5073 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5074 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5075 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1079713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1079713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000015 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 28890000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5076 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000015 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5077 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 377713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x377713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000015 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5078 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 125a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x125a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f50: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=80016364, r12=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000015 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5079 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5080 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412484b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412484b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f54: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5081 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5082 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5083 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 71463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x71463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f5c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f64 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5084 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5085 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5086 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5087 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5088 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5089 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f64: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5090 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 904863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x904863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f68: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f78 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5091 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5092 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5093 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5094 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5095 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5096 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2442783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2442783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f78: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016388 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80009344 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5097 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c42583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c42583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f7c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016380 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5098 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f80: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000015 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5099 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f84: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f88: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f8c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80009344, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009344 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 80009344 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009344 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009344: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009344 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009348 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009348: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009348 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000934c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000934c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000934c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009350 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009350: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r9=d, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009350 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009354 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009354: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r12=10000008, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed10 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009354 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009354 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009358 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009358: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r13=80016010, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009358 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000935c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000935c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r1=80003f90, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000935c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009360 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1007f713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1007f713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009360: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009360 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009364 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009364: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009364 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009368 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009368: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009368 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000936c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e59583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe59583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000936c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000936c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009370 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009370: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009370 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009374 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009374: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009374 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009378 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2071e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2071e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009378: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009378 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000937c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fffff737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfffff737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000937c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: fffff000 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000937c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009380 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff70713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff70713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009380: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009380 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009384 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7f7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7f7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009384: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009384 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009388 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009388: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=2889, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009388 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009388 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000938c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000938c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000938c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000938c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009390 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009390: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80003f90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009390 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009390 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009394 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 98693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x98693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009394: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009394 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 19 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009398 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009398: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009398 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000939c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000939c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000939c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed10 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093a8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093ac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800093b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a80406f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa80406f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800093b0: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d458 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800093b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d458 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d458: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: ffffefff (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d458 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d45c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d45c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d45c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d460 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d460: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed10, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d460 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d464 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d464: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed10, r9=d, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d464 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d468 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d468: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d468 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d46c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d46c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 10000008 (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d46c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d470 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 68613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x68613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d470: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d470 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d474 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 70513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x70513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d474: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d474 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d478 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d478: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed10, r1=80003f90, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d478 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d47c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d47c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d47c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d480 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 858f30ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x858f30ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d480: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800004d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d480 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004d8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004dc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r8=80016010, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed08 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 710007b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x710007b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004e0: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 71000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004e4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffed00, r1=8000d484, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 71000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 60413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x60413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000001 (0) + %r15: 71000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 400713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x400713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004ec: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000003 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 500313 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x500313 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004f0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: fefefeff (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 6 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1078813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1078813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004f8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, re=4, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800004fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 678223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x678223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800004fc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r6=5, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000004 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800004fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 6 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000500 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 782a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x782a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000500: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r0=0, imm=5 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000005 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000500 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000504 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 878823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x878823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000504: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r8=d, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 0a0a0a0a (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000504 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000508 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 865893 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x865893 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000508: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 0000000d (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000508 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 17 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000050c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1845693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1845693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000050c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000050c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000510 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1065613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1065613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000510: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000510 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000514 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 11800a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x11800a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000514: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r16=71000010, r11=0, imm=1 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000011 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000514 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 17 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000518 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c80123 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc80123 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000518: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r16=71000010, rc=0, imm=2 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000518 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000051c +DEBUG ../../../simX/enc.cpp:105: Curr Code: d801a3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd801a3 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000051c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r16=71000010, rd=0, imm=3 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000013 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000051c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000520 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 79323 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x79323 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000520: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, r0=0, imm=6 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000006 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000520 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000524 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000524: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, re=4, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000524 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000528 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a7a623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa7a623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000528: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r15=71000000, ra=1, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 7100000c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000528 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000052c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a805263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa805263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000052c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 00000000 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000052c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000530 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1478693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1478693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000530: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 71000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000530 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000534 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1878793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1878793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000534: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 71000018 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000534 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000538 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f5b7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf5b7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000538: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000538 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000053c +DEBUG ../../../simX/enc.cpp:105: Curr Code: b83533 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb83533 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000053c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000053c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 16 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000540 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff40613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff40613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000540: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000c (0) + %r13: 71000014 (0) + %r14: 00000004 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000540 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000544 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17c713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17c713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000544: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000c (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000544 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000548 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 963613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x963613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000548: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000548 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000054c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 154793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x154793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000054c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000054c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000550 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f767b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf767b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000550: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000550 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000554 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 164713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x164713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000554: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000554 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000558 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f777b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf777b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000558: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000558 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000558 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000055c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8078863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8078863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000055c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000001 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000055c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000560 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b6e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb6e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000560: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 7100001c (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000560 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 13 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000564 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 37f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x37f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000564: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000568 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8079263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8079263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000568: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000056c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc47513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc47513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000056c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 0000000c (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000056c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000570 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b50533 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb50533 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000570: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000570 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 10 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000574 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000574: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 10000008 (0) + %r16: 71000010 (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000574 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000578 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b68833 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b68833 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000578: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 00000000 (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 10000008 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000578 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 13 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000578 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 13 rs2: 11 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000008 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6e72656b +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 00000001 (0) + %r15: 10000008 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 10000008 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=71000014, rc=6e72656b, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000014 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435476 rsrc1 : 268435468 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000057c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 6e72656b (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=1000000c, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000000c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 64206c65 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 64206c65 (0) + %r13: 71000014 (0) + %r14: 71000014 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 64206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 1000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 64206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=71000018, rc=64206c65, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000018 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 64206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435476 rsrc1 : 268435472 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000057c +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 64206c65 (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000057c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7a603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7a603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000057c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r15=10000010, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000010 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 2e656e6f +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000014 (0) + %r14: 71000018 (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000057c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f80733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf80733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000580: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000010 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 16 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000584: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000588: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=7100001c, rc=2e656e6f, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 7100001c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000058c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fef518e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfef518e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000058c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 268435476 rsrc1 : 268435476 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 10000014 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000058c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000590 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc47793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc47793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000590: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000014 (0) + %r14: 7100001c (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000590 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000594 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f686b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf686b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000594: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000020 (0) + %r14: 7100001c (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000594 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000598 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2f40c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2f40c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000598: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000020 (0) + %r14: 7100001c (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000598 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000059c +DEBUG ../../../simX/enc.cpp:105: Curr Code: f58733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf58733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000059c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 2e656e6f (0) + %r13: 71000020 (0) + %r14: 10000014 (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000059c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 74603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x74603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r14=10000014, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000014 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 676e690a +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 10000014 (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 178713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x178713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c68023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc68023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005a8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r13=71000020, rc=a, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 71000020 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2875263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2875263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005ac: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800005d0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 0000000c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c81a783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c81a783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005d0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=456 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169d0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 70000000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005d4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=70000000, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 70000000 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800005d8 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x70000000 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x70000000: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +kernel done. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800005d8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800005d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800005d8 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 70000000 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed0c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d484 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 10000014 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005dc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 0000000d (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005e0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed00, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed08 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed00 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800005e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800005e8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d484, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d484 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 70000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800005e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d484 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d484: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d484 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d488 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d488: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d484 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d488 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d48c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d48c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed10, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed1c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80003f90 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d48c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d490 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d490: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed10, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed18 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d490 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d494 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d494: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed10, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed14 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed10 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d494 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d498 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d498: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d498 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d49c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d49c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80003f90, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f90 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d49c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f90 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40a484b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40a484b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f90: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f90 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fca04ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfca04ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f94: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f70 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: a90933 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa90933 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f70: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000015 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 18 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa9052e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa9052e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f74: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000015 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003f90 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000015 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed3c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000de38 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000015 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f20: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed38 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000015 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed34 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffeeb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000015 (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f28: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed30 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 80016010 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f2c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed20, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed2c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed20 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f34: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000de38, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000de38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000de38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e80502e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe80502e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000de38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000dcbc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000a (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000de38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8a2603 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8a2603 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcbc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r20=6fffee84, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a1c (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcc0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12c0c33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12c0c33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcc0: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 0000000d (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 24 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412989b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412989b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcc4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 0000000d (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 19 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41260933 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41260933 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcc8: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 12 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dccc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12a2423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12a2423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dccc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r20=6fffee84, r12=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dccc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 20 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcd0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8091a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8091a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcd0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcd0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcd4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcd4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000de38 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcd4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcd8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcd8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000c120 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcd8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcdc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a29 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 6fffeeb4 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dce0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dce0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dce0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dce4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dce4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed60 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dce4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dce8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dce8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed5c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 6fffee84 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dce8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcec: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed58 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcf0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcf0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed54 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcf4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcf4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed50 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 6fffee84 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 0000000d (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcf4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcf8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcf8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed4c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80014a29 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcf8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dcfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dcfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed48 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dcfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412c83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412c83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd00: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed44 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12d03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12d03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd04: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed40, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed40 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed40 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 26 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd08: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000dd0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000dd0c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000c120, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c120 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000dd0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c120 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa5ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa5ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c120: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000c120 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c120 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0c4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0c4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80002e14 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0c8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0c8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed98 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a29 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0c8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0cc +DEBUG ../../../simX/enc.cpp:105: Curr Code: b2423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb2423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0cc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r22=6fffee84, r0=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee8c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0cc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0d0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b2223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb2223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0d0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r22=6fffee84, r0=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffee88 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0d0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0d4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0d4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed94 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0d4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed90 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0dc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0e0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 6fffee84 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0e8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed80 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80014a1c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0ec: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed7c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0f0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffed70, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffed78 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffed70 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0f4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000c0f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000c0f8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80002e14, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80002e14 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000c0f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80002e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cd0fe06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcd0fe06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80002e14: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800012e4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80002e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: cc5783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xcc5783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012e4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r24=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 24 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 407f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x407f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012ec: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800012f4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80002e14 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1ec12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1ec12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012f4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=492 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef8c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000f74 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80014a29 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012f8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=488 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef88 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 0000000d (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800012fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800012fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffedac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: d +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 0000000d (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800012fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001300 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001300: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=484 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef84 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 80014a1c (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001300 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001304 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001304: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=480 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef80 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001304 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001308 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1dc12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1dc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001308: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=476 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef7c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001308 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000130c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000130c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=472 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef78 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000130c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001310 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001310: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=468 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef74 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 80014a1c (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001310 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001314 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1d012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1d012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001314: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=464 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef70 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001314 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001318 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1cc12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1cc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001318: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=460 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef6c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 80016364 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001318 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000131c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000131c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=456 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef68 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000131c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001320 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c412c83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c412c83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001320: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=452 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef64 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 80016010 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001320 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 25 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001324 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c012d03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c012d03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001324: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=448 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef60 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 26 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001328 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1bc12d83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1bc12d83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001328: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffeda0, imm=444 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef5c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffeda0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001328 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 27 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000132c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1f010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1f010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000132c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000132c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80001330 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80001330: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000f74, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000f74 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000f74 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80001330 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800000ac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f78: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000f7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000f7c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=800000ac, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800000ac +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 800000ac (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000f7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000ac: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefd0, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffeffc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000044 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 0000000d (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000b0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffefd0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800000b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800000b8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000044, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000044 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800000b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000044 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4ad0006f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4ad0006f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000044: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000cf0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6ffff000 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000044 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cf0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cf0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cf4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cf4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cf4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cf8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cf8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeff0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeff8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cf8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000cfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000cfc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffeff0, r1=80000044, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffeffc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000cfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000044 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 7d9020ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x7d9020ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d04: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003cdc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cdc +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cdc: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cdc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ce0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ce0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefd8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ce0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ce4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c01aa03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c01aa03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ce4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=448 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169c8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ce4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003ce8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003ce8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r12=0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ce8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003ce8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r1=80000d08, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cf0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 148a2903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x148a2903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cf0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r20=80016010, imm=328 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016158 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8001615c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cf0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cf4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cf4: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r8=0, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cf4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cf8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cf8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r9=0, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cf8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003cfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003cfc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003cfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d00: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefd4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r16=0, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefd0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r18=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefc8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4090063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4090063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d10: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d14: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58b93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58b93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100a93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100a93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 492483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x492483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=8001615c, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff48413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff48413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d28: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2044263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2044263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d2c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 249493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x249493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000004 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 9904b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x9904b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d34: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 18 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40b8463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40b8463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d38: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003d80 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 23 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d80 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 492783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x492783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d80: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=8001615c, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 71000020 (0) + %r14: 0000000d (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d80 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d84 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 44a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x44a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d84: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r9=80016160, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016164 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000d20 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d84 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d88 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff78793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff78793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d88: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d88 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d8c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4878e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4878e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d8c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003de8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d8c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003de8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 892223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x892223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003de8: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r18=8001615c, r8=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003de8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dec +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa9ff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa9ff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dec: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003d94 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d94 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fa0688e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfa0688e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d94: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d94 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d98 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 18892783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x18892783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d98: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=8001615c, imm=392 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800162e4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 0000000d (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d98 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d9c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8a9733 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8a9733 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d9c: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d9c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 21 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003da0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 492c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x492c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003da0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=8001615c, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003da0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003da4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f777b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf777b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003da4: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003da4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003da8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2079263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2079263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003da8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003da8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 680e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x680e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dac: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r13=80000d20, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000d20 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d24: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r8=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 800167b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x800167b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d28: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80016437 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80016437 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d2c: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 440413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x440413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 80016000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 478793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x478793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d34: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 80016004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 408787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x408787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d38: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d3c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r9=80016160, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d40: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r1=80003db0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4027d493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4027d493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2048063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2048063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d48: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000d68 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d68: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80003db0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016004 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d6c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d70: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016160 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d74: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d78: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80003db0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003db0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003db0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 492703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x492703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003db0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r18=8001615c, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016160 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003db0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003db4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 148a2783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x148a2783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003db4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r20=80016010, imm=328 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016158 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8001615c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003db4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003db8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1871463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1871463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003db8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003db8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 24 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dbc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f8f904e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf8f904e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dbc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003d44 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dbc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff40413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff40413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: ffffffff (0) + %r 9: 80016160 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc48493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc48493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: ffffffff (0) + %r 9: 8001615c (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff3416e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff3416e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d4c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 4294967295 rsrc1 : 4294967295 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80003db0 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: ffffffff (0) + %r 9: 8001615c (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d50: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000d08 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: ffffffff (0) + %r 9: 8001615c (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d54: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 8001615c (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d58: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 8001615c (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d5c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: ffffffff (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d60 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d60: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 80016010 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d60 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d64: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefd8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000001 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d68: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefd4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d6c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefd0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d70 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d70: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d70 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d74 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d74: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefc8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d74 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 24 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d78 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d78: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d78 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003d7c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003d7c: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000d08, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000d08 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003d7c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c01a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c01a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d08: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=448 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169c8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 8001615c (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3c52783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3c52783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d0c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=60 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001604c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800040e0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d10: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d08 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d14: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=800040e0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 800040e0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8000d5b7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8000d5b7 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040e0: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d000 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 63458593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x63458593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800040e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 210006f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x210006f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800040e8: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004908 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800040e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004908 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004908: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004908 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004908 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004908 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004908 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000490c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000490c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r12=0, imm=32 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000490c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004910 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004910: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r13=0, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004910 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004914 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004914: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r14=0, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefd8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004914 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004914 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 20 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004918 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1512a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1512a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004918: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r15=0, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefd4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004918 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 21 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000491c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1612823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1612823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000491c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r16=0, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefd0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000491c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 22 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004920 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1712623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1712623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004920: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r17=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004920 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 23 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004924 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004924: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r1=80000d18, imm=44 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004924 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004928 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004928: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r8=0, imm=40 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004928 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000492c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000492c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefc0, r9=0, imm=36 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000492c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004930 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50a93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50a93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004930: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004930 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004934 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58b93 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58b93 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004934: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004934 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004938 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2e050b13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2e050b13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004938: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004938 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000493c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a13 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa13 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000493c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000493c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004940 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 100993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x100993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004940: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004940 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004940 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004940 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004940 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004944 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004944: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004944 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004948 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4b2483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4b2483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004948: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r22=800162f0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800162f4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 3 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000003 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004948 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000494c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8b2403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8b2403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000494c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r22=800162f0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800162f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000003 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000494c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004950 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff48493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff48493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004950: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000002 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004950 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004954 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 204c863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x204c863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004954: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000002 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 800040e0 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004954 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004958 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004958: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000002 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004958 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000495c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff48493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff48493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000495c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000495c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004960 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f9fe63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf9fe63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004960: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004960 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004964 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004964: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 8000d634 (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004964 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004968 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004968: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004968 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000496c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a8513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa8513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000496c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000496c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004970 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1278663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1278663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004970: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004970 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004974 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b80e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb80e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004974: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r23=8000d634, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d634 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004974 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d634 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d634: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d638 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d638: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r1=80004978, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d63c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d63c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r8=800162fc, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d63c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5785 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d640 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d640: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r9=1, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5786 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5787 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5788 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5789 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d644 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d644: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r12=ffffffff, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d644 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5790 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d648 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2058063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2058063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d648: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d648 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5791 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5792 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5793 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5794 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5795 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5796 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d64c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d64c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d64c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5797 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d650 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d650: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d650 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5798 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d654 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d654: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d654 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5799 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5800 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5801 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5802 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5803 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5804 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d658 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3852783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3852783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d658: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d658 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5805 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d65c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a078c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa078c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d65c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d65c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5806 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5807 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5808 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5809 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5810 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5811 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5812 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d660 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d660: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d660 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5813 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d664 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2079263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2079263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d664: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 4 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d688 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d664 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5814 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5815 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5816 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5817 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5818 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5819 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5820 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d688 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d688: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5821 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5822 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5823 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5824 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d68c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d68c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d68c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5825 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d690 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f6cf60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf6cf60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d690: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003dfc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d690 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5826 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5827 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5828 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5829 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5830 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5831 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800162fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5832 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5833 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5834 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5835 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5836 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r8=800162fc, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5837 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r13=1, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5838 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r1=8000d694, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5839 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5840 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e10: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r9=80016010, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5841 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e14: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r12=ffffffff, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 80000d20 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5842 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5843 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5844 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5845 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10069a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10069a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e24: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5846 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5847 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5848 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5849 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5850 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5851 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e28: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00001000 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5852 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5853 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 45a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x45a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e30: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800162fc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016300 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000004 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5854 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e34: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5855 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5856 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f59623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf59623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=800162fc, rf=804, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5857 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 18d05463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x18d05463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e3c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003fc4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5858 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5859 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5860 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5861 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5862 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5863 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5864 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003fc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3c5a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3c5a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003fc4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800162fc, imm=60 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016338 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5865 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5866 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5867 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5868 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003fc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e6e04ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe6e04ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003fc8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5869 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5870 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5871 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5872 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5873 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5874 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5875 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003fcc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f4dff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf4dff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003fcc: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fcc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5876 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5877 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5878 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5879 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5880 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5881 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5882 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d694 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5883 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f20: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5884 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5885 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f28: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5886 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f2c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5887 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5888 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f34: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d694, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d694 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5889 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5890 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5891 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5892 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5893 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5894 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d694 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c42783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c42783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d694: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016328 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80009434 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d694 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5895 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d698 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d698: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d698 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5896 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d69c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d69c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d69c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5897 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5898 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5899 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5900 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5901 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5902 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c42583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c42583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016318 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5903 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5904 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80009434, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009434 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800162fc (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5905 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5906 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5907 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5908 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5909 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5910 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009434 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e59583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe59583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009434: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800162fc, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 804 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5911 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5912 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5913 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5914 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009438 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a00406f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a00406f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009438: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009438 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5915 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5916 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5917 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5918 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5919 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5920 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5d8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5921 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5922 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5923 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5924 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5dc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r8=800162fc, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5925 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r9=80016010, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5926 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5927 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5928 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5929 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5ec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r1=8000d6ac, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5930 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5931 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d31f20ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd31f20ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000324 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5932 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5933 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5934 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5935 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5936 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5937 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000324 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000324: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d5f8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d5f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5938 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5939 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5940 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5941 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5942 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5943 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5944 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5945 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5946 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5947 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5fc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5948 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5949 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5950 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5951 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5952 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5953 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5954 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d600 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d600: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d6ac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d600 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5955 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d604 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d604: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d604 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5956 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d608 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d608: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d608 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5957 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d60c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d60c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d60c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5958 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d610 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d610: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6ac, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6ac +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5959 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5960 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5961 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5962 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5963 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5964 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6054c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6054c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6ac: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5965 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5966 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5967 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5968 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5969 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5970 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 804 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000804 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5971 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 807f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x807f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5972 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6079e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6079e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5973 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5974 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5975 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5976 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5977 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5978 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5979 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5980 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3042583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3042583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=48 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 8001632c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5981 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6c0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5982 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5983 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5984 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5985 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5986 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5987 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5988 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5989 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5990 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4442583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4442583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800162fc, imm=68 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016340 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5991 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6dc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5992 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5993 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5994 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5995 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5996 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5997 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5998 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: d19f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd19f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6ec: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004404 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 5999 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6000 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6001 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6002 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6003 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6004 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004404 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004404: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6f0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6f0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6005 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6006 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6007 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6008 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6009 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6010 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6011 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6012 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6013 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800162fc, r0=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016308 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6014 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d15f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd15f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004408 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f8 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6015 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6016 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6017 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6018 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6019 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6020 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004408 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004408: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6f8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f8 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004408 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6021 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6022 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6023 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6024 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6025 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6026 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80004978 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6027 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800162fc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6028 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d700 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d700: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6029 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6030 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6031 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6032 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d704 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d704: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d704 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6033 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d708 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d708: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d708 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6034 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d70c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d70c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d70c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6035 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d710 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d710: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80004978, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004978 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d710 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6036 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6037 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6038 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6039 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6040 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6041 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004978 +DEBUG ../../../simX/enc.cpp:105: Curr Code: aa6a33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xaa6a33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004978: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800162fc (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004978 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 20 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6042 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000497c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6840413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6840413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000497c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000497c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6043 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd249ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd249ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004980: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 4294967295 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004958 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6044 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6045 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6046 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6047 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6048 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6049 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6050 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6051 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6052 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004958 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004958: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000001 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004958 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6053 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000495c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff48493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff48493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000495c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000495c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6054 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004960 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f9fe63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf9fe63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004960: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004960 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6055 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6056 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6057 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6058 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6059 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6060 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004964 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004964: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004964 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6061 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004968 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004968: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004968 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6062 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000496c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a8513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa8513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000496c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000496c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6063 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004970 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1278663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1278663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004970: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004970 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6064 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6065 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6066 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6067 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6068 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6069 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004974 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b80e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb80e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004974: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r23=8000d634, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d634 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004974 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6070 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6071 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6072 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6073 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6074 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6075 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d634 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d634: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6076 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d638 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d638: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r1=80004978, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6077 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d63c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d63c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d63c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6078 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d640 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d640: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r9=0, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6079 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6080 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d644 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d644: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r12=ffffffff, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d644 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6081 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d648 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2058063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2058063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d648: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d648 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6082 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6083 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6084 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6085 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6086 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6087 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d64c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d64c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d64c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6088 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d650 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d650: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d650 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6089 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d654 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d654: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d654 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6090 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6091 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6092 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6093 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6094 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6095 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d658 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3852783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3852783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d658: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d658 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6096 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d65c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a078c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa078c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d65c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000001 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d65c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6097 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6098 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6099 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6100 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6101 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6102 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6103 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d660 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d660: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d660 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6104 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d664 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2079263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2079263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d664: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 10377 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d688 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d664 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6105 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6106 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6107 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6108 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6109 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6110 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6111 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d688 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d688: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6112 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d68c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d68c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d68c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6113 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d690 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f6cf60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf6cf60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d690: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003dfc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d690 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6114 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6115 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6116 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6117 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6118 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6119 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6120 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6121 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r8=80016364, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6122 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r13=1, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6123 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r1=8000d694, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6124 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6125 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e10: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r9=80016010, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6126 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e14: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r12=ffffffff, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6127 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6128 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6129 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6130 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10069a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10069a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e24: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 8 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f38 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6131 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6132 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6133 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6134 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6135 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6136 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 105a903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x105a903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f38: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6137 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6138 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6139 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6140 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc090ee3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc090ee3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f3c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 18 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6141 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6142 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6143 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6144 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6145 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6146 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6147 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f40 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5a483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5a483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f40: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000008 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f40 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6148 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f44 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1079713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1079713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f44: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000008 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 28890000 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f44 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6149 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f48 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1075713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1075713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f48: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000008 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00002889 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f48 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6150 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f4c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 377713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x377713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f4c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000008 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f4c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6151 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f50 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 125a023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x125a023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f50: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=80016364, r12=10000008, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 10000008 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6152 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f50 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6153 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f54 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412484b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412484b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f54: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6154 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f54 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6155 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f58 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f58: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f58 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6156 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f5c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 71463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x71463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f5c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f64 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f5c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6157 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6158 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6159 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6160 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6161 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6162 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f64 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f42423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf42423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f64: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, rf=0, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 8001636c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f64 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6163 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f68 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 904863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x904863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f68: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f68 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6164 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6165 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6166 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6167 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6168 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6169 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f6c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fadff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfadff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f6c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f6c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6170 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6171 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6172 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6173 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6174 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6175 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6176 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d694 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6177 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f20: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6178 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 10000008 (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6179 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f28: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6180 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f2c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6181 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6182 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f34: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d694, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d694 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000000 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6183 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6184 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6185 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6186 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6187 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6188 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d694 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c42783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c42783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d694: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016390 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80009434 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d694 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6189 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d698 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d698: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d698 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6190 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d69c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d69c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d69c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6191 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6192 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6193 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6194 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6195 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6196 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c42583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c42583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016380 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6197 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6198 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80009434, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009434 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016364 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6199 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6200 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6201 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6202 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6203 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6204 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009434 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e59583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe59583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009434: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016364, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6205 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6206 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6207 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6208 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009438 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a00406f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a00406f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009438: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009438 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6209 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6210 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6211 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6212 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6213 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6214 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5d8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6215 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5dc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6216 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r9=80016010, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6217 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6218 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6219 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6220 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5ec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r1=8000d6ac, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6221 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6222 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d31f20ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd31f20ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000324 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6223 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6224 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6225 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6226 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6227 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6228 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000324 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000324: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d5f8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d5f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 80009434 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6229 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6230 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6231 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6232 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6233 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6234 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6235 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5fc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6236 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6237 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6238 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6239 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6240 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6241 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6242 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d600 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d600: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d6ac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d600 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6243 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d604 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d604: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d604 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6244 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d608 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d608: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d608 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6245 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d60c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d60c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d60c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6246 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d610 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d610: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6ac, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6ac +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6247 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6248 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6249 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6250 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6251 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6252 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6054c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6054c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6ac: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: ffffffff (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6253 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6254 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6255 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6256 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6257 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6258 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 12889 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00002889 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6259 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 807f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x807f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6260 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6079e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6079e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 128 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d734 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 00000001 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6261 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6262 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6263 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6264 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6265 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6266 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6267 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6268 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d734 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1042583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1042583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d734: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016374 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000008 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 00000001 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d734 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6269 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d734 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6270 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d734 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6271 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d734 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6272 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d738 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d738: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d738 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6273 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d73c +DEBUG ../../../simX/enc.cpp:105: Curr Code: e29f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe29f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d73c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004564 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d73c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6274 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6275 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6276 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6277 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6278 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6279 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004564 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12058463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12058463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004564: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6280 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6281 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6282 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004564 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6283 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6284 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6285 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6286 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6287 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6288 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004568 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004568: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004568 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6289 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000456c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000456c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r8=80016364, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000456c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6290 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004570 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004570: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r9=80016010, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004570 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6291 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004574 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004574: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004574 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6292 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004574 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6293 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004578 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004578: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004578 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6294 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000457c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000457c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r1=8000d740, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000457c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6295 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004580 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 225030ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x225030ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004580: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007fa4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6296 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6297 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6298 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004580 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6299 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6300 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6301 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6302 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6303 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6304 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007fa4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007fa4: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80004584, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004584 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 6100000c (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6305 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6306 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6307 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6308 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6309 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6310 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6311 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6312 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6313 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004584 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc42803 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc42803 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004584: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=10000008, imm=4294967292 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 10000004 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 409 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 00000001 (0) + %r15: 00000080 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004584 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6314 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004588 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff840713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff840713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004588: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 10000000 (0) + %r15: 00000080 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004588 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6315 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000458c +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffe87793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffe87793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000458c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 0000000d (0) + %r13: 00000008 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000458c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6316 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004590 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f70633 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf70633 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004590: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 10000008 (0) + %r12: 10000408 (0) + %r13: 00000008 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004590 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 14 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6317 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004594 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c3018593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc3018593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004594: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000008 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004594 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6318 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004598 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 462683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x462683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004598: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r12=10000408, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 1000040c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: bf9 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf9 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004598 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6319 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004598 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 12 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6320 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000459c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 85a503 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x85a503 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000459c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=80016438, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016440 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 10000408 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf9 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000459c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6321 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800045a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ffc6f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xffc6f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800045a0: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf8 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800045a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 13 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6322 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800045a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1ac50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1ac50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800045a4: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004750 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf8 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000409 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800045a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6323 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800045a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6324 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800045a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6325 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6326 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6327 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6328 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6329 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6330 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004750 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 187813 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x187813 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004750: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf8 (0) + %r14: 10000000 (0) + %r15: 00000408 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004750 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6331 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004750 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6332 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004750 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6333 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004750 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 16 rs1: 16 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6334 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004754 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d787b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd787b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004754: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf8 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004754 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6335 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004758 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2081063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2081063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004758: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 1 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004778 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 10000408 (0) + %r13: 00000bf8 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004758 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 16 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6336 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6337 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6338 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6339 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6340 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6341 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004778 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 17e613 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x17e613 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004778: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00000bf8 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004778 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 12 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6342 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000477c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1e01a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1e01a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000477c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r3=80016808, imm=480 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800169e8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20000 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000477c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 3 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6343 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c72223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc72223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004780: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r14=10000000, rc=1001, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 10000004 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6344 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6345 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6346 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 14 rs2: 12 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6347 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004784 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e5a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe5a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004784: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=80016438, re=10000000, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016440 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004784 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6348 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004788 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ead7e8e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xead7e8e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004788: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:6 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004638 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 10000008 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004788 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6349 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6350 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6351 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6352 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6353 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6354 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004638 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004638: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004584 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6355 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6356 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6357 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6358 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000463c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000463c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d740 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 10000408 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000463c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6359 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004640 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004640: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6360 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6361 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6362 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6363 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004644 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004644: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004644 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6364 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004648 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004648: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004648 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6365 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000464c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 15d0306f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x15d0306f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000464c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80007fa8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000464c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6366 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6367 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6368 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6369 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6370 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6371 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80007fa8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80007fa8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d740, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d740 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6372 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6373 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6374 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80007fa8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6375 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6376 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6377 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6378 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6379 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6380 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d740 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f7dff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf7dff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d740: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6bc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 80016438 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6381 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6382 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6383 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d740 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6384 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6385 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6386 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6387 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6388 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6389 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3042583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3042583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=48 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016394 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6390 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6c0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6391 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6392 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6393 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6394 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6395 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6396 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6397 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4442583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4442583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=80016364, imm=68 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163a8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6398 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6dc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d740 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6399 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6400 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6401 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6402 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6403 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6404 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6405 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: d19f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd19f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6ec: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004404 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6406 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6407 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6408 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6409 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6410 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6411 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004404 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004404: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6f0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6f0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6412 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6413 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6414 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6415 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6416 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6417 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6418 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6419 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6420 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=80016364, r0=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016370 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6421 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d15f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd15f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004408 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f8 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6422 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6423 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6424 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6425 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6426 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6427 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004408 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004408: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6f8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f8 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004408 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6428 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6429 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6430 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6431 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6432 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6433 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80004978 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6434 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016364 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6435 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d700 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d700: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 80016010 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6436 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d704 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d704: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d704 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6437 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d708 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d708: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d708 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6438 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d70c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d70c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d70c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6439 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d710 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d710: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80004978, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004978 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d710 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6440 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6441 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6442 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6443 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6444 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6445 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004978 +DEBUG ../../../simX/enc.cpp:105: Curr Code: aa6a33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xaa6a33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004978: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016364 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004978 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 20 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6446 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000497c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6840413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6840413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000497c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000497c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6447 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd249ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd249ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004980: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 4294967295 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004958 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00001000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6448 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6449 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6450 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6451 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6452 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6453 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004958 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004958: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004958 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6454 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000495c +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff48493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff48493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000495c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000495c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6455 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004960 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f9fe63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf9fe63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004960: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:7 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004960 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 19 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6456 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6457 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6458 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6459 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6460 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6461 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004964 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004964: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004964 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6462 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004968 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004968: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004968 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6463 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000496c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a8513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa8513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000496c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000496c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 21 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6464 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004970 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1278663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1278663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004970: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004970 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6465 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6466 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6467 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6468 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6469 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6470 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004974 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b80e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb80e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004974: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r23=8000d634, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d634 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004974 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 23 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6471 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6472 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6473 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6474 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6475 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6476 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d634 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d634: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6477 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6478 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6479 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6480 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d638 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d638: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r1=80004978, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d638 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6481 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d63c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d63c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r8=800163cc, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d63c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6482 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d640 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d640: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r9=ffffffff, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6483 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6484 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6485 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d640 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6486 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d644 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212023 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212023 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d644: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefb0, r12=ffffffff, imm=0 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d644 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6487 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d648 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2058063 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2058063 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d648: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d648 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6488 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6489 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6490 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6491 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6492 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6493 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d64c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d64c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d64c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6494 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d650 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50493 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50493 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d650: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d650 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6495 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d654 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50663 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50663 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d654: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000002 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d654 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6496 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6497 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6498 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6499 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6500 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6501 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d658 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3852783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3852783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d658: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r10=80016010, imm=56 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016048 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000001 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d658 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6502 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d65c +DEBUG ../../../simX/enc.cpp:105: Curr Code: a078c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa078c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d65c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000001 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d65c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6503 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6504 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6505 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6506 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6507 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6508 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6509 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d660 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c41783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc41783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d660: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d660 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6510 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d664 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2079263 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2079263 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d664: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 18 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d688 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d664 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6511 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6512 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6513 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6514 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6515 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6516 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6517 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d688 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40593 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40593 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d688: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d688 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6518 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d68c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d68c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d68c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6519 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d690 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f6cf60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf6cf60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d690: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003dfc +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d690 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6520 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6521 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6522 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6523 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6524 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6525 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003dfc +DEBUG ../../../simX/enc.cpp:105: Curr Code: c59783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc59783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003dfc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800163cc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20012 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003dfc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6526 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e00 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fe010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfe010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e00: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6527 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6528 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6529 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e00 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6530 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e04 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812c23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812c23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e04: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r8=800163cc, imm=24 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e04 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6531 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e08 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1312623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1312623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e08: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r13=1, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e08 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 19 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6532 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e0c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112e23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112e23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e0c: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r1=8000d694, imm=28 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6533 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e0c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6534 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e10 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912a23 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912a23 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e10: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r9=80016010, imm=20 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e10 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6535 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e14 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1212823 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1212823 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e14: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffef90, r12=ffffffff, imm=16 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00020000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e14 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6536 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 87f693 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x87f693 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6537 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e1c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6538 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50993 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50993 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e20: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6539 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 10069a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x10069a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e24: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 10000000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 13 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6540 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6541 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6542 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6543 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6544 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6545 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1737 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1737 into: lui + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e28: lui +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:810: LUI_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00001000 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6546 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 80070713 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x80070713 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e2c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 14 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6547 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 45a683 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x45a683 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e30: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800163cc, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000012 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 13 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6548 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e7e7b3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe7e7b3 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e34: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6549 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6550 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e38 +DEBUG ../../../simX/enc.cpp:105: Curr Code: f59623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf59623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e38: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r11=800163cc, rf=812, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e38 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6551 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003e3c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 18d05463 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x18d05463 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003e3c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:5 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003fc4 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000800 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003e3c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 13 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6552 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6553 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6554 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6555 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6556 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6557 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6558 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003fc4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3c5a703 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3c5a703 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003fc4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800163cc, imm=60 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016408 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 14 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6559 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003fc8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e6e04ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe6e04ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003fc8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fc8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 0 rs2: 14 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6560 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6561 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6562 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6563 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6564 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6565 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6566 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6567 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6568 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003fcc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f4dff06f +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf4dff06f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003fcc: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80003f18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003fcc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6569 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6570 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6571 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6572 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6573 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6574 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6575 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6576 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6577 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6578 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f1c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d694 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6579 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f20 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f20: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800163cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f20 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6580 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f24 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f24: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f24 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6581 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f28 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f28: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 80016010 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f28 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6582 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f2c +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f2c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffef90, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffef9c +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 1 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffef90 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f2c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6583 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f30 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f30: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f30 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6584 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80003f34 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80003f34: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d694, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d694 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80003f34 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6585 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6586 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6587 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6588 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6589 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6590 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d694 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c42783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c42783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d694: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163f8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80009434 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d694 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6591 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d698 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50913 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50913 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d698: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d698 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6592 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d69c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 78a63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x78a63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d69c: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d69c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6593 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6594 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6595 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6596 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6597 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6598 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c42583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c42583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163e8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800163cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000000 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6599 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 48513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x48513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d694 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 9 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6600 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 780e7 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x780e7 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6a8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r15=80009434, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80009434 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 800163cc (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6601 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6602 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6603 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6604 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6605 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6606 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009434 +DEBUG ../../../simX/enc.cpp:105: Curr Code: e59583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xe59583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009434: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r11=800163cc, imm=14 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20812 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009434 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6607 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80009438 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1a00406f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1a00406f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80009438: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80009438 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6608 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6609 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6610 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6611 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6612 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6613 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: ff010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xff010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5d8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6614 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5dc: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r8=800163cc, imm=8 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 8 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6615 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 912223 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x912223 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r9=80016010, imm=4 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 9 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6616 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 50413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x50413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 80016010 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6617 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 10 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6618 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5e8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5e8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5e8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 11 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6619 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: 112623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x112623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5ec: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r2=6fffefa0, r1=8000d6ac, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 2 rs2: 1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6620 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2401a423 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2401a423 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r3=80016808, r0=0, imm=584 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 80016a50 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 3 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6621 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d31f20ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd31f20ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000324 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6622 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6623 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6624 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6625 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6626 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6627 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000324 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000324: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d5f8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d5f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 80009434 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000324 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6628 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6629 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6630 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6631 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6632 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6633 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fff00793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfff00793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5f8: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6634 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d5fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: f50c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xf50c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d5fc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d5f8 (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d5fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 15 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6635 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6636 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6637 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6638 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6639 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6640 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6641 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d600 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d600: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefac +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 8000d6ac +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016010 (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d600 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6642 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d600 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6643 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d600 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6644 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d600 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6645 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d604 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d604: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800163cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d604 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6646 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d608 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d608: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefa0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefa4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80016010 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefa0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d608 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6647 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d60c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d60c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d60c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6648 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d610 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d610: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6ac, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6ac +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d610 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6649 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6650 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6651 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6652 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6653 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6654 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6054c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6054c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6ac: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:4 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: ffffffff (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6655 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6656 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6657 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6658 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6659 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6660 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c45783 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc45783 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 20812 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000812 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6661 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 807f793 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x807f793 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 15 rs1: 15 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6662 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6079e63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6079e63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6b8: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000002 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 15 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6663 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6664 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6665 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6666 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6667 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6668 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6669 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6670 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6bc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3042583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3042583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6bc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=48 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800163fc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6bc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6671 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6c0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58c63 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58c63 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6c0: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6d8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6c0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6672 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6673 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6674 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6675 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6676 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6677 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6678 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6d8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 4442583 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x4442583 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6d8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r8=800163cc, imm=68 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 80016410 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6d8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 11 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6679 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6dc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 58863 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x58863 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6dc: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6ec +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6ac (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6dc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 11 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6680 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6681 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6682 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6683 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6684 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6685 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6686 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6ec +DEBUG ../../../simX/enc.cpp:105: Curr Code: d19f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd19f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6ec: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004404 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6ec +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6687 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6688 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6689 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6690 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6691 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6692 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004404 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004404: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6f0, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6f0 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004404 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6693 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6694 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6695 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6696 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6697 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6698 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 41623 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x41623 into: store + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f0: store +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:715: S_INST: r8=800163cc, r0=0, imm=12 +DEBUG ../../../simX/instruction.cpp:718: STORE MEM ADDRESS: 800163d8 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f0 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 8 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 1 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6699 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: d15f60ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0xd15f60ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f4: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004408 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f8 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6700 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6701 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6702 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6703 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6704 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6705 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004408 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004408: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=8000d6f8, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 8000d6f8 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 8000d6f8 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004408 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6706 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6707 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6708 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6709 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6710 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6711 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6f8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6f8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefbc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80004978 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6f8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6712 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d6fc +DEBUG ../../../simX/enc.cpp:105: Curr Code: 812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d6fc: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=8 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 800163cc +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: 80016010 (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d6fc +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6713 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d700 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d700: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=4 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000002 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6714 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6715 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6716 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d700 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6717 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d704 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 90513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x90513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d704: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d704 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 18 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6718 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d708 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 12903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x12903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d708: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefb0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefb0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: ffffffff +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefb0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d708 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6719 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d70c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d70c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d70c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6720 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000d710 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000d710: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80004978, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80004978 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000d710 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6721 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6722 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6723 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6724 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6725 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6726 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004978 +DEBUG ../../../simX/enc.cpp:105: Curr Code: aa6a33 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xaa6a33 into: r_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004978: r_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 800163cc (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004978 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 20 rs2: 10 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6727 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000497c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 6840413 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x6840413 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000497c: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016434 (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000497c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6728 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004980 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fd249ce3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfd249ce3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004980: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 4294967295 rsrc1 : 4294967295 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016434 (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 800162f0 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004980 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 9 rs2: 18 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6729 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6730 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6731 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6732 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6733 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6734 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004984 +DEBUG ../../../simX/enc.cpp:105: Curr Code: b2b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xb2b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004984: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r22=800162f0, imm=0 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 800162f0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016434 (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004984 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 22 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6735 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004988 +DEBUG ../../../simX/enc.cpp:105: Curr Code: fc0b10e3 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xfc0b10e3 into: branch + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004988: branch +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:755: func3:1 + +DEBUG ../../../simX/instruction.cpp:768: rsrc0: 0 rsrc1 : 0 + +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80004978 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016434 (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004988 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: 22 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6736 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6737 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6738 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:610: &&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6739 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6740 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6741 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6742 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000498c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2c12083 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2c12083 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000498c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=44 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefec +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 80000d18 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 80016434 (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000498c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6743 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004990 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2812403 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2812403 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004990: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=40 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: ffffffff (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004990 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 8 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6744 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004994 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2412483 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2412483 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004994: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=36 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: ffffffff (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004994 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 9 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6745 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80004998 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 2012903 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x2012903 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80004998: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=32 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefe0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000001 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80004998 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 18 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6746 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x8000499c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1c12983 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1c12983 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x8000499c: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=28 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefdc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 80016010 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 8000499c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 19 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6747 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049a0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1412a83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1412a83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049a0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=20 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefd4 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049a0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 21 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6748 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049a4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1012b03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1012b03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049a4: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=16 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefd0 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 8000d634 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049a4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 22 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6749 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049a8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: c12b83 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xc12b83 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049a8: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=12 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefcc +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049a8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 23 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6750 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049ac +DEBUG ../../../simX/enc.cpp:105: Curr Code: a0513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0xa0513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049ac: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049ac +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 20 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6751 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049b0 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 1812a03 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x1812a03 into: load + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049b0: load +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:589: L_INST: r2=6fffefc0, imm=24 +DEBUG ../../../simX/instruction.cpp:597: LOAD MEM ADDRESS: 6fffefd8 +DEBUG ../../../simX/instruction.cpp:598: LOAD MEM DATA: 0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffefc0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049b0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 20 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 1 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6752 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049b4 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 3010113 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x3010113 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049b4: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049b4 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 2 rs1: 2 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6753 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x800049b8 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 8067 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x8067 into: jalr + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x800049b8: jalr +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:829: JALR_INST: r1=80000d18, imm=0 +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000d18 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 800049b8 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6754 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6755 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6756 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6757 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6758 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6759 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d18 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 40513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x40513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d18: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d18 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d18 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 8 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6760 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000d1c +DEBUG ../../../simX/enc.cpp:105: Curr Code: 915ff0ef +DEBUG ../../../simX/enc.cpp:327: Decoded 0x915ff0ef into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000d1c: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000630 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d20 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000d1c +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6761 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6762 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6763 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6764 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6765 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6766 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000630 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 513 +DEBUG ../../../simX/enc.cpp:327: Decoded 0x513 into: i_type + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000630: i_type +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d20 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000630 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6767 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000630 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6768 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000630 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6769 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000630 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 10 rs1: 0 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6770 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000634 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 14c0006f +DEBUG ../../../simX/enc.cpp:327: Decoded 0x14c0006f into: jal + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000634: jal +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:818: JAL_INST +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/instruction.cpp:2449: Next PC: 80000780 +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0: 00000000 (0) + %r 1: 80000d20 (0) + %r 2: 6fffeff0 (0) + %r 3: 80016808 (0) + %r 4: 00000000 (0) + %r 5: 80000e64 (0) + %r 6: 00000005 (0) + %r 7: 00000000 (0) + %r 8: 00000000 (0) + %r 9: 00000000 (0) + %r10: 00000000 (0) + %r11: 00000000 (0) + %r12: 00001001 (0) + %r13: 00000000 (0) + %r14: 00000000 (0) + %r15: 00000000 (0) + %r16: 00000001 (0) + %r17: 00000000 (0) + %r18: 00000000 (0) + %r19: 00000000 (0) + %r20: 00000000 (0) + %r21: 00000000 (0) + %r22: 00000000 (0) + %r23: 00000000 (0) + %r24: 00000000 (0) + %r25: 00000000 (0) + %r26: 00000000 (0) + %r27: 00000000 (0) + %r28: 00000003 (0) + %r29: 00000000 (0) + %r30: 00000000 (0) + %r31: 00000000 (0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 1 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 1 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000634 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6771 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6772 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6773 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6774 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6775 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 1, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:692: Warp ID 0 is running +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6776 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 0 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:417: Core step stepping warp 0[1] +DEBUG ../../../simX/core.cpp:770: in step pc=0x80000780 +DEBUG ../../../simX/enc.cpp:105: Curr Code: 5006b +DEBUG ../../../simX/enc.cpp:327: Decoded 0x5006b into: gpgpu + +DEBUG ../../../simX/core.cpp:790: Fetched at 0x80000780: gpgpu +DEBUG ../../../simX/instruction.cpp:350: Begin instruction execute. +DEBUG ../../../simX/instruction.cpp:1072: TMC +DEBUG ../../../simX/instruction.cpp:2428: End instruction execute. +DEBUG ../../../simX/core.cpp:803: Register state: + %r 0:(0) + %r 1:(0) + %r 2:(0) + %r 3:(0) + %r 4:(0) + %r 5:(0) + %r 6:(0) + %r 7:(0) + %r 8:(0) + %r 9:(0) + %r10:(0) + %r11:(0) + %r12:(0) + %r13:(0) + %r14:(0) + %r15:(0) + %r16:(0) + %r17:(0) + %r18:(0) + %r19:(0) + %r20:(0) + %r21:(0) + %r22:(0) + %r23:(0) + %r24:(0) + %r25:(0) + %r26:(0) + %r27:(0) + %r28:(0) + %r29:(0) + %r30:(0) + %r31:(0) +DEBUG ../../../simX/core.cpp:812: Thread mask: + 0 0 0 0 + + +DEBUG ../../../simX/core.cpp:421: Now 0 active threads in 0 +DEBUG ../../../simX/core.cpp:424: Got cache delays +DEBUG ../../../simX/core.cpp:429: staled warps + +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 3 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6777 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 2 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6778 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 1 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6779 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 1 +DEBUG ../../../simX/core.cpp:85: PC: 80000780 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: 0 rs1: 10 rs2: 0 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 1 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6780 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6781 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 2 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0 +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6782 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 3 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6783 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 0 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +DEBUG ../../../simX/core.cpp:172: + + +------------------------------------------------------ +DEBUG ../../../simX/core.cpp:174: Started core::step +DEBUG ../../../simX/core.cpp:178: CYCLE: 6784 +DEBUG ../../../simX/core.cpp:180: Stalled Warps: 1 0 0 0 +DEBUG ../../../simX/core.cpp:557: $$$$$$$$$$$$$$$$$$$ EXE START + +DEBUG ../../../simX/core.cpp:624: EXECUTE END +DEBUG ../../../simX/core.cpp:402: Threads: +DEBUG ../../../simX/core.cpp:431: About to schedule warp + +DEBUG ../../../simX/core.cpp:433: Scheduled warp +DEBUG ../../../simX/core.cpp:442: Printing trace +DEBUG ../../../simX/core.cpp:83: ********************************** Fetch ********************************* +DEBUG ../../../simX/core.cpp:84: valid: 0 +DEBUG ../../../simX/core.cpp:85: PC: 0 +DEBUG ../../../simX/core.cpp:86: wid: 1 +DEBUG ../../../simX/core.cpp:87: rd: -1 rs1: -1 rs2: -1 +DEBUG ../../../simX/core.cpp:88: is_lw: 0 +DEBUG ../../../simX/core.cpp:89: is_sw: 0 +DEBUG ../../../simX/core.cpp:90: fetch_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:91: mem_stall_cycles: 0 +DEBUG ../../../simX/core.cpp:93: stall_warp: 0 +DEBUG ../../../simX/core.cpp:94: wspawn: 0 +DEBUG ../../../simX/core.cpp:95: stalled: 0 +DEBUG ../../../simX/core.cpp:444: printed trace +DEBUG ../../../simX/core.cpp:447: active threads: 0, 0, 0, 0, +DEBUG ../../../simX/core.cpp:214: released warp +DEBUG ../../../simX/core.cpp:215: Finished core::step +Device ready... +Device shutdown... diff --git a/driver/tests/results.txt b/driver/tests/results.txt new file mode 100644 index 000000000..e69de29bb diff --git a/old_rtl/Makefile b/old_rtl/Makefile new file mode 100644 index 000000000..9d64eacf1 --- /dev/null +++ b/old_rtl/Makefile @@ -0,0 +1,52 @@ +all: RUNFILE + +# /rf2_256x128_wm1/ +BaseMEM=../models/memory/cln28hpm + +INCLUDE=-I. -Ishared_memory -Icache -I$(BaseMEM)/rf2_128x128_wm1/ -I$(BaseMEM)/rf2_256x128_wm1/ -I$(BaseMEM)/rf2_256x19_wm0/ -I$(BaseMEM)/rf2_32x128_wm1/ -Iinterfaces/ -Ipipe_regs/ -Isimulate + +FILE=Vortex.v + +EXE=--exe ./simulate/test_bench.cpp + +COMP=--compiler gcc + +WNO=-Wno-UNDRIVEN --Wno-PINMISSING -Wno-STMTDLY -Wno-WIDTH -Wno-UNSIGNED -Wno-UNOPTFLAT +# WNO= + +# LIGHTW= +LIGHTW=-Wno-UNOPTFLAT +# LIB=-LDFLAGS '-L/usr/local/systemc/' +LIB= + +CF=-CFLAGS '-std=c++11 -O3' + +DEB=--trace --prof-cfuncs -DVL_DEBUG=1 + + +MAKECPP=(cd obj_dir && make -j -f VVortex.mk OPT='-DVL_DEBUG' VL_DEBUG=1 DVL_DEBUG=1) + +# -LDFLAGS '-lsystemc' +VERILATOR: + echo "#define VCD_OFF" > simulate/tb_debug.h + verilator $(COMP) -cc $(FILE) $(INCLUDE) $(EXE) $(LIB) $(CF) $(LIGHTW) + +VERILATORnoWarnings: + echo "#define VCD_OFF" > simulate/tb_debug.h + verilator $(COMP) -cc $(FILE) $(INCLUDE) $(EXE) $(LIB) $(CF) $(WNO) $(DEB) + +compdebug: + echo "#define VCD_OUTPUT" > simulate/tb_debug.h + verilator_bin_dbg $(COMP) -cc $(FILE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '-std=c++11 -DVL_DEBUG' $(WNO) $(DEB) + +RUNFILE: VERILATOR + $(MAKECPP) + +debug: compdebug + $(MAKECPP) + +w: VERILATORnoWarnings + $(MAKECPP) + +clean: + rm -rf obj_dir \ No newline at end of file diff --git a/old_rtl/VX_alu.v b/old_rtl/VX_alu.v new file mode 100644 index 000000000..9688aad28 --- /dev/null +++ b/old_rtl/VX_alu.v @@ -0,0 +1,139 @@ +`include "VX_define.v" + +module VX_alu( + input wire[31:0] in_1, + input wire[31:0] in_2, + input wire in_rs2_src, + input wire[31:0] in_itype_immed, + input wire[19:0] in_upper_immed, + input wire[4:0] in_alu_op, + input wire[31:0] in_curr_PC, + output reg[31:0] out_alu_result + ); + + + `ifdef SYN_FUNC + wire which_in2; + + wire[31:0] ALU_in1; + wire[31:0] ALU_in2; + wire[63:0] ALU_in1_mult; + wire[63:0] ALU_in2_mult; + wire[31:0] upper_immed; + wire[31:0] div_result; + wire[31:0] rem_result; + + + assign which_in2 = in_rs2_src == `RS2_IMMED; + + assign ALU_in1 = in_1; + + assign ALU_in2 = which_in2 ? in_itype_immed : in_2; + + + assign upper_immed = {in_upper_immed, {12{1'b0}}}; + + + + //always @(posedge `MUL) begin + + + /* verilator lint_off UNUSED */ + + + wire[63:0] alu_in1_signed = {{32{ALU_in1[31]}}, ALU_in1}; + wire[63:0] alu_in2_signed = {{32{ALU_in2[31]}}, ALU_in2}; + assign ALU_in1_mult = (in_alu_op == `MULHU || in_alu_op == `DIVU || in_alu_op == `REMU) ? {32'b0, ALU_in1} : alu_in1_signed; + assign ALU_in2_mult = (in_alu_op == `MULHU || in_alu_op == `MULHSU || in_alu_op == `DIVU || in_alu_op == `REMU) ? {32'b0, ALU_in2} : alu_in2_signed; + wire[63:0] mult_result = ALU_in1_mult * ALU_in2_mult; + + /* verilator lint_on UNUSED */ + + always @(in_alu_op or ALU_in1 or ALU_in2) begin + case(in_alu_op) + `ADD: out_alu_result = $signed(ALU_in1) + $signed(ALU_in2); + `SUB: out_alu_result = $signed(ALU_in1) - $signed(ALU_in2); + `SLLA: out_alu_result = ALU_in1 << ALU_in2[4:0]; + `SLT: out_alu_result = ($signed(ALU_in1) < $signed(ALU_in2)) ? 32'h1 : 32'h0; + `SLTU: out_alu_result = ALU_in1 < ALU_in2 ? 32'h1 : 32'h0; + `XOR: out_alu_result = ALU_in1 ^ ALU_in2; + `SRL: out_alu_result = ALU_in1 >> ALU_in2[4:0]; + `SRA: out_alu_result = $signed(ALU_in1) >>> ALU_in2[4:0]; + `OR: out_alu_result = ALU_in1 | ALU_in2; + `AND: out_alu_result = ALU_in2 & ALU_in1; + `SUBU: out_alu_result = (ALU_in1 >= ALU_in2) ? 32'h0 : 32'hffffffff; + `LUI_ALU: out_alu_result = upper_immed; + `AUIPC_ALU: out_alu_result = $signed(in_curr_PC) + $signed(upper_immed); + `MUL: out_alu_result = mult_result[31:0]; + `MULH: out_alu_result = mult_result[63:32]; + `MULHSU: out_alu_result = mult_result[63:32]; + `MULHU: out_alu_result = mult_result[63:32]; + `DIV: out_alu_result = (ALU_in2 == 0) ? 32'hffffffff : $signed($signed(ALU_in1) / $signed(ALU_in2)); + `DIVU: out_alu_result = (ALU_in2 == 0) ? 32'hffffffff : ALU_in1 / ALU_in2; + `REM: out_alu_result = (ALU_in2 == 0) ? ALU_in1 : $signed($signed(ALU_in1) % $signed(ALU_in2)); + `REMU: out_alu_result = (ALU_in2 == 0) ? ALU_in1 : ALU_in1 % ALU_in2; + default: out_alu_result = 32'h0; + endcase // in_alu_op + end + + `else + wire which_in2; + + wire[31:0] ALU_in1; + wire[31:0] ALU_in2; + wire[31:0] upper_immed; + + + assign which_in2 = in_rs2_src == `RS2_IMMED; + + assign ALU_in1 = in_1; + + assign ALU_in2 = which_in2 ? in_itype_immed : in_2; + + + assign upper_immed = {in_upper_immed, {12{1'b0}}}; + + + + // always @(*) begin + // $display("EXECUTE CURR_PC: %h",in_curr_PC); + // end + + /* verilator lint_off UNUSED */ + wire[63:0] mult_unsigned_result = ALU_in1 * ALU_in2; + wire[63:0] mult_signed_result = $signed(ALU_in1) * $signed(ALU_in2); + + wire[63:0] alu_in1_signed = {{32{ALU_in1[31]}}, ALU_in1}; + + wire[63:0] mult_signed_un_result = alu_in1_signed * ALU_in2; + /* verilator lint_on UNUSED */ + + always @(in_alu_op or ALU_in1 or ALU_in2) begin + case(in_alu_op) + `ADD: out_alu_result = $signed(ALU_in1) + $signed(ALU_in2); + `SUB: out_alu_result = $signed(ALU_in1) - $signed(ALU_in2); + `SLLA: out_alu_result = ALU_in1 << ALU_in2[4:0]; + `SLT: out_alu_result = ($signed(ALU_in1) < $signed(ALU_in2)) ? 32'h1 : 32'h0; + `SLTU: out_alu_result = ALU_in1 < ALU_in2 ? 32'h1 : 32'h0; + `XOR: out_alu_result = ALU_in1 ^ ALU_in2; + `SRL: out_alu_result = ALU_in1 >> ALU_in2[4:0]; + `SRA: out_alu_result = $signed(ALU_in1) >>> ALU_in2[4:0]; + `OR: out_alu_result = ALU_in1 | ALU_in2; + `AND: out_alu_result = ALU_in2 & ALU_in1; + `SUBU: out_alu_result = (ALU_in1 >= ALU_in2) ? 32'h0 : 32'hffffffff; + `LUI_ALU: out_alu_result = upper_immed; + `AUIPC_ALU: out_alu_result = $signed(in_curr_PC) + $signed(upper_immed); + `MUL: begin out_alu_result = mult_signed_result[31:0]; end + `MULH: out_alu_result = mult_signed_result[63:32]; + `MULHSU: out_alu_result = mult_signed_un_result[63:32]; + `MULHU: out_alu_result = mult_unsigned_result[63:32]; + `DIV: out_alu_result = (ALU_in2 == 0) ? 32'hffffffff : $signed($signed(ALU_in1) / $signed(ALU_in2)); + `DIVU: out_alu_result = (ALU_in2 == 0) ? 32'hffffffff : ALU_in1 / ALU_in2; + `REM: out_alu_result = (ALU_in2 == 0) ? ALU_in1 : $signed($signed(ALU_in1) % $signed(ALU_in2)); + `REMU: out_alu_result = (ALU_in2 == 0) ? ALU_in1 : ALU_in1 % ALU_in2; + default: out_alu_result = 32'h0; + endcase // in_alu_op + end + `endif + +endmodule // VX_alu \ No newline at end of file diff --git a/old_rtl/VX_back_end.v b/old_rtl/VX_back_end.v new file mode 100644 index 000000000..640def5f1 --- /dev/null +++ b/old_rtl/VX_back_end.v @@ -0,0 +1,133 @@ +`include "VX_define.v" + +module VX_back_end ( + input wire clk, + input wire reset, + input wire schedule_delay, + + output wire out_mem_delay, + output wire gpr_stage_delay, + VX_jal_response_inter VX_jal_rsp, + VX_branch_response_inter VX_branch_rsp, + + VX_frE_to_bckE_req_inter VX_bckE_req, + VX_wb_inter VX_writeback_inter, + + VX_warp_ctl_inter VX_warp_ctl, + + VX_dcache_response_inter VX_dcache_rsp, + VX_dcache_request_inter VX_dcache_req + +); + + +VX_wb_inter VX_writeback_temp(); +assign VX_writeback_inter.wb = VX_writeback_temp.wb; +assign VX_writeback_inter.rd = VX_writeback_temp.rd; +assign VX_writeback_inter.write_data = VX_writeback_temp.write_data; +assign VX_writeback_inter.wb_valid = VX_writeback_temp.wb_valid; +assign VX_writeback_inter.wb_warp_num = VX_writeback_temp.wb_warp_num; + +// assign VX_writeback_inter(VX_writeback_temp); + + +VX_mw_wb_inter VX_mw_wb(); +wire no_slot_mem; + + +VX_mem_req_inter VX_exe_mem_req(); +VX_mem_req_inter VX_mem_req(); + + + +// LSU input + output +VX_lsu_req_inter VX_lsu_req(); +VX_inst_mem_wb_inter VX_mem_wb(); + +// Exec unit input + output +VX_exec_unit_req_inter VX_exec_unit_req(); +VX_inst_exec_wb_inter VX_inst_exec_wb(); + + +// GPU unit input +VX_gpu_inst_req_inter VX_gpu_inst_req(); + +// CSR unit inputs +VX_csr_req_inter VX_csr_req(); +VX_csr_wb_inter VX_csr_wb(); +wire no_slot_csr; +wire stall_gpr_csr; + +VX_gpr_stage VX_gpr_stage( + .clk (clk), + .reset (reset), + .schedule_delay (schedule_delay), + .VX_writeback_inter(VX_writeback_temp), + .VX_bckE_req (VX_bckE_req), + // New + .VX_exec_unit_req(VX_exec_unit_req), + .VX_lsu_req (VX_lsu_req), + .VX_gpu_inst_req (VX_gpu_inst_req), + .VX_csr_req (VX_csr_req), + .stall_gpr_csr (stall_gpr_csr), + // End new + .memory_delay (out_mem_delay), + .gpr_stage_delay (gpr_stage_delay) + ); + + +VX_lsu load_store_unit( + .clk (clk), + .reset (reset), + .VX_lsu_req (VX_lsu_req), + .VX_mem_wb (VX_mem_wb), + .VX_dcache_rsp(VX_dcache_rsp), + .VX_dcache_req(VX_dcache_req), + .out_delay (out_mem_delay), + .no_slot_mem (no_slot_mem) + ); + + +VX_execute_unit VX_execUnit( + .clk (clk), + .reset (reset), + .VX_exec_unit_req(VX_exec_unit_req), + .VX_inst_exec_wb (VX_inst_exec_wb), + .VX_jal_rsp (VX_jal_rsp), + .VX_branch_rsp (VX_branch_rsp) + ); + + +VX_gpgpu_inst VX_gpgpu_inst( + .VX_gpu_inst_req(VX_gpu_inst_req), + .VX_warp_ctl (VX_warp_ctl) + ); + +// VX_csr_wrapper VX_csr_wrapper( +// .VX_csr_req(VX_csr_req), +// .VX_csr_wb (VX_csr_wb) +// ); + +VX_csr_pipe VX_csr_pipe( + .clk (clk), + .reset (reset), + .no_slot_csr (no_slot_csr), + .VX_csr_req (VX_csr_req), + .VX_writeback(VX_writeback_temp), + .VX_csr_wb (VX_csr_wb), + .stall_gpr_csr(stall_gpr_csr) + ); + +VX_writeback VX_wb( + .clk (clk), + .reset (reset), + .VX_mem_wb (VX_mem_wb), + .VX_inst_exec_wb (VX_inst_exec_wb), + .VX_csr_wb (VX_csr_wb), + + .VX_writeback_inter(VX_writeback_temp), + .no_slot_mem (no_slot_mem), + .no_slot_csr (no_slot_csr) + ); + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_countones.v b/old_rtl/VX_countones.v new file mode 100644 index 000000000..62f20e167 --- /dev/null +++ b/old_rtl/VX_countones.v @@ -0,0 +1,22 @@ +module VX_countones + #( + parameter N = 10 + ) + ( + + input wire[N-1:0] valids, + output reg[$clog2(N):0] count + +); + + integer i; + always @(*) begin + count = 0; + for (i = N-1; i >= 0; i = i - 1) begin + if (valids[i]) begin + count = count + 1; + end + end + end + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_csr_data.v b/old_rtl/VX_csr_data.v new file mode 100644 index 000000000..ab62aa238 --- /dev/null +++ b/old_rtl/VX_csr_data.v @@ -0,0 +1,82 @@ +`include "../VX_define.v" + +module VX_csr_data ( + input wire clk, // Clock + input wire reset, + + input wire[11:0] in_read_csr_address, + + input wire in_write_valid, + input wire[31:0] in_write_csr_data, + input wire[11:0] in_write_csr_address, + + output wire[31:0] out_read_csr_data, + + // For instruction retire counting + input wire in_writeback_valid + +); + + + // wire[`NT_M1:0][31:0] thread_ids; + // wire[`NT_M1:0][31:0] warp_ids; + + // genvar cur_t; + // for (cur_t = 0; cur_t < `NT; cur_t = cur_t + 1) begin + // assign thread_ids[cur_t] = cur_t; + // end + + // genvar cur_tw; + // for (cur_tw = 0; cur_tw < `NT; cur_tw = cur_tw + 1) begin + // assign warp_ids[cur_tw] = {{(31-`NW_M1){1'b0}}, in_read_warp_num}; + // end + + reg[11:0] csr[1023:0]; + reg[63:0] cycle; + reg[63:0] instret; + + + wire read_cycle; + wire read_cycleh; + wire read_instret; + wire read_instreth; + + assign read_cycle = in_read_csr_address == 12'hC00; + assign read_cycleh = in_read_csr_address == 12'hC80; + assign read_instret = in_read_csr_address == 12'hC02; + assign read_instreth = in_read_csr_address == 12'hC82; + + // wire thread_select = in_read_csr_address == 12'h20; + // wire warp_select = in_read_csr_address == 12'h21; + + // assign out_read_csr_data = thread_select ? thread_ids : + // warp_select ? warp_ids : + // 0; + + integer curr_e; + always @(posedge clk or posedge reset) begin + if (reset) begin + for (curr_e = 0; curr_e < 1024; curr_e=curr_e+1) begin + assign csr[curr_e] = 0; + end + cycle <= 0; + instret <= 0; + end else begin + cycle <= cycle + 1; + if (in_write_valid) begin + csr[in_write_csr_address] <= in_write_csr_data[11:0]; + end + if (in_writeback_valid) begin + instret <= instret + 1; + end + end + end + + + assign out_read_csr_data = read_cycle ? cycle[31:0] : + read_cycleh ? cycle[63:32] : + read_instret ? instret[31:0] : + read_instreth ? instret[63:32] : + {{20{1'b0}}, csr[in_read_csr_address]}; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_csr_handler.v b/old_rtl/VX_csr_handler.v new file mode 100644 index 000000000..b6b4e84ab --- /dev/null +++ b/old_rtl/VX_csr_handler.v @@ -0,0 +1,84 @@ + + +module VX_csr_handler ( + input wire clk, + input wire[11:0] in_decode_csr_address, // done + VX_csr_write_request_inter VX_csr_w_req, + input wire in_wb_valid, + output wire[31:0] out_decode_csr_data // done + ); + + wire in_mem_is_csr; + wire[11:0] in_mem_csr_address; + /* verilator lint_off UNUSED */ + wire[31:0] in_mem_csr_result; + /* verilator lint_on UNUSED */ + + + assign in_mem_is_csr = VX_csr_w_req.is_csr; + assign in_mem_csr_address = VX_csr_w_req.csr_address; + assign in_mem_csr_result = VX_csr_w_req.csr_result; + + + reg[1024:0][11:0] csr; + reg[63:0] cycle; + reg[63:0] instret; + reg[11:0] decode_csr_address; + + + wire read_cycle; + wire read_cycleh; + wire read_instret; + wire read_instreth; + + initial begin + cycle = 0; + instret = 0; + decode_csr_address = 0; + end + + + always @(posedge clk) begin + cycle <= cycle + 1; + decode_csr_address <= in_decode_csr_address; + if (in_wb_valid) begin + instret <= instret + 1; + end + end + + reg[11:0] data_read; + always @(posedge clk) begin + if(in_mem_is_csr) begin + csr[in_mem_csr_address] <= in_mem_csr_result[11:0]; + end + end + + assign data_read = csr[decode_csr_address]; + + + assign read_cycle = decode_csr_address == 12'hC00; + assign read_cycleh = decode_csr_address == 12'hC80; + assign read_instret = decode_csr_address == 12'hC02; + assign read_instreth = decode_csr_address == 12'hC82; + + + /* verilator lint_off WIDTH */ + assign out_decode_csr_data = read_cycle ? cycle[31:0] : + read_cycleh ? cycle[63:32] : + read_instret ? instret[31:0] : + read_instreth ? instret[63:32] : + {{20{1'b0}}, data_read}; + /* verilator lint_on WIDTH */ + + + + + +endmodule // VX_csr_handler + + + + + + + diff --git a/old_rtl/VX_csr_pipe.v b/old_rtl/VX_csr_pipe.v new file mode 100644 index 000000000..a5727c60c --- /dev/null +++ b/old_rtl/VX_csr_pipe.v @@ -0,0 +1,105 @@ + +module VX_csr_pipe ( + input wire clk, // Clock + input wire reset, + input wire no_slot_csr, + VX_csr_req_inter VX_csr_req, + VX_wb_inter VX_writeback, + VX_csr_wb_inter VX_csr_wb, + output wire stall_gpr_csr + +); + + wire[`NT_M1:0] valid_s2; + wire[`NW_M1:0] warp_num_s2; + wire[4:0] rd_s2; + wire[1:0] wb_s2; + wire[4:0] alu_op_s2; + wire is_csr_s2; + wire[11:0] csr_address_s2; + wire[31:0] csr_read_data_s2; + wire[31:0] csr_updated_data_s2; + + wire[31:0] csr_read_data_unqual; + wire[31:0] csr_read_data; + + assign stall_gpr_csr = no_slot_csr && VX_csr_req.is_csr && |(VX_csr_req.valid); + + assign csr_read_data = (csr_address_s2 == VX_csr_req.csr_address) ? csr_updated_data_s2 : csr_read_data_unqual; + + wire writeback = |VX_writeback.wb_valid; + VX_csr_data VX_csr_data( + .clk (clk), + .reset (reset), + .in_read_csr_address (VX_csr_req.csr_address), + + .in_write_valid (is_csr_s2), + .in_write_csr_data (csr_updated_data_s2), + .in_write_csr_address(csr_address_s2), + + .out_read_csr_data (csr_read_data_unqual), + + .in_writeback_valid (writeback) + ); + + + + reg[31:0] csr_updated_data; + always @(*) begin + case(VX_csr_req.alu_op) + `CSR_ALU_RW: csr_updated_data = VX_csr_req.csr_mask; + `CSR_ALU_RS: csr_updated_data = csr_read_data | VX_csr_req.csr_mask; + `CSR_ALU_RC: csr_updated_data = csr_read_data & (32'hFFFFFFFF - VX_csr_req.csr_mask); + default: csr_updated_data = 32'hdeadbeef; + endcase + end + + wire zero = 0; + + VX_generic_register #(.N(`NT + `NW_M1 + 1 + 5 + 2 + 5 + 12 + 64)) csr_reg_s2 ( + .clk (clk), + .reset(reset), + .stall(no_slot_csr), + .flush(zero), + .in ({VX_csr_req.valid, VX_csr_req.warp_num, VX_csr_req.rd, VX_csr_req.wb, VX_csr_req.is_csr, VX_csr_req.csr_address, csr_read_data , csr_updated_data }), + .out ({valid_s2 , warp_num_s2 , rd_s2 , wb_s2 , is_csr_s2 , csr_address_s2 , csr_read_data_s2, csr_updated_data_s2}) + ); + + + wire[`NT_M1:0][31:0] final_csr_data; + + wire[`NT_M1:0][31:0] thread_ids; + wire[`NT_M1:0][31:0] warp_ids; + wire[`NT_M1:0][31:0] csr_vec_read_data_s2; + + genvar cur_t; + for (cur_t = 0; cur_t < `NT; cur_t = cur_t + 1) begin + assign thread_ids[cur_t] = cur_t; + end + + genvar cur_tw; + for (cur_tw = 0; cur_tw < `NT; cur_tw = cur_tw + 1) begin + assign warp_ids[cur_tw] = {{(31-`NW_M1){1'b0}}, warp_num_s2}; + end + + genvar cur_v; + for (cur_v = 0; cur_v < `NT; cur_v = cur_v + 1) begin + assign csr_vec_read_data_s2[cur_v] = csr_read_data_s2; + end + + wire thread_select = csr_address_s2 == 12'h20; + wire warp_select = csr_address_s2 == 12'h21; + + assign final_csr_data = thread_select ? thread_ids : + warp_select ? warp_ids : + csr_vec_read_data_s2; + + + + assign VX_csr_wb.valid = valid_s2; + assign VX_csr_wb.warp_num = warp_num_s2; + assign VX_csr_wb.rd = rd_s2; + assign VX_csr_wb.wb = wb_s2; + assign VX_csr_wb.csr_result = final_csr_data; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_csr_wrapper.v b/old_rtl/VX_csr_wrapper.v new file mode 100644 index 000000000..0988ca674 --- /dev/null +++ b/old_rtl/VX_csr_wrapper.v @@ -0,0 +1,38 @@ + +`include "VX_define.v" + +module VX_csr_wrapper ( + VX_csr_req_inter VX_csr_req, + + VX_csr_wb_inter VX_csr_wb +); + + + wire[`NT_M1:0][31:0] thread_ids; + wire[`NT_M1:0][31:0] warp_ids; + + genvar cur_t; + for (cur_t = 0; cur_t < `NT; cur_t = cur_t + 1) begin + assign thread_ids[cur_t] = cur_t; + end + + genvar cur_tw; + for (cur_tw = 0; cur_tw < `NT; cur_tw = cur_tw + 1) begin + assign warp_ids[cur_tw] = {{(31-`NW_M1){1'b0}}, VX_csr_req.warp_num}; + end + + + assign VX_csr_wb.valid = VX_csr_req.valid; + assign VX_csr_wb.warp_num = VX_csr_req.warp_num; + assign VX_csr_wb.rd = VX_csr_req.rd; + assign VX_csr_wb.wb = VX_csr_req.wb; + + + wire thread_select = VX_csr_req.csr_address == 12'h20; + wire warp_select = VX_csr_req.csr_address == 12'h21; + + assign VX_csr_wb.csr_result = thread_select ? thread_ids : + warp_select ? warp_ids : + 0; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_decode.v b/old_rtl/VX_decode.v new file mode 100644 index 000000000..4f33bbd12 --- /dev/null +++ b/old_rtl/VX_decode.v @@ -0,0 +1,361 @@ + +`include "VX_define.v" + +module VX_decode( + // Fetch Inputs + VX_inst_meta_inter fd_inst_meta_de, + + // Outputs + VX_frE_to_bckE_req_inter VX_frE_to_bckE_req, + VX_wstall_inter VX_wstall, + VX_join_inter VX_join, + + output wire terminate_sim + +); + + wire[31:0] in_instruction = fd_inst_meta_de.instruction; + wire[31:0] in_curr_PC = fd_inst_meta_de.inst_pc; + wire[`NW_M1:0] in_warp_num = fd_inst_meta_de.warp_num; + + assign VX_frE_to_bckE_req.curr_PC = in_curr_PC; + + wire[`NT_M1:0] in_valid = fd_inst_meta_de.valid; + + wire[6:0] curr_opcode; + + wire is_itype; + wire is_rtype; + wire is_stype; + wire is_btype; + wire is_linst; + wire is_jal; + wire is_jalr; + wire is_lui; + wire is_auipc; + wire is_csr; + wire is_csr_immed; + wire is_e_inst; + + wire is_gpgpu; + wire is_wspawn; + wire is_tmc; + wire is_split; + wire is_join; + wire is_barrier; + + wire[2:0] func3; + wire[6:0] func7; + wire[11:0] u_12; + + + wire[7:0] jal_b_19_to_12; + wire jal_b_11; + wire[9:0] jal_b_10_to_1; + wire jal_b_20; + wire jal_b_0; + wire[20:0] jal_unsigned_offset; + wire[31:0] jal_1_offset; + + wire[11:0] jalr_immed; + wire[31:0] jal_2_offset; + + wire jal_sys_cond1; + wire jal_sys_cond2; + wire jal_sys_jal; + wire[31:0] jal_sys_off; + + wire csr_cond1; + wire csr_cond2; + + wire[11:0] alu_tempp; + wire alu_shift_i; + wire[11:0] alu_shift_i_immed; + + wire[1:0] csr_type; + + reg[4:0] csr_alu; + reg[4:0] alu_op; + reg[4:0] mul_alu; + reg[19:0] temp_upper_immed; + reg temp_jal; + reg[31:0] temp_jal_offset; + reg[31:0] temp_itype_immed; + reg[2:0] temp_branch_type; + reg temp_branch_stall; + + // always @(posedge reset) begin + + // end + + assign VX_frE_to_bckE_req.valid = fd_inst_meta_de.valid; + + assign VX_frE_to_bckE_req.warp_num = in_warp_num; + + + assign curr_opcode = in_instruction[6:0]; + + + assign VX_frE_to_bckE_req.rd = in_instruction[11:7]; + assign VX_frE_to_bckE_req.rs1 = in_instruction[19:15]; + assign VX_frE_to_bckE_req.rs2 = in_instruction[24:20]; + assign func3 = in_instruction[14:12]; + assign func7 = in_instruction[31:25]; + assign u_12 = in_instruction[31:20]; + + + assign VX_frE_to_bckE_req.PC_next = in_curr_PC + 32'h4; + + + // Write Back sigal + assign is_rtype = (curr_opcode == `R_INST); + assign is_linst = (curr_opcode == `L_INST); + assign is_itype = (curr_opcode == `ALU_INST) || is_linst; + assign is_stype = (curr_opcode == `S_INST); + assign is_btype = (curr_opcode == `B_INST); + assign is_jal = (curr_opcode == `JAL_INST); + assign is_jalr = (curr_opcode == `JALR_INST); + assign is_lui = (curr_opcode == `LUI_INST); + assign is_auipc = (curr_opcode == `AUIPC_INST); + assign is_csr = (curr_opcode == `SYS_INST) && (func3 != 0); + assign is_csr_immed = (is_csr) && (func3[2] == 1); + // assign is_e_inst = (curr_opcode == `SYS_INST) && (func3 == 0); + assign is_e_inst = in_instruction == 32'h00000073; + + assign is_gpgpu = (curr_opcode == `GPGPU_INST); + + assign is_tmc = is_gpgpu && (func3 == 0); // Goes to BE + assign is_wspawn = is_gpgpu && (func3 == 1); // Goes to BE + assign is_barrier = is_gpgpu && (func3 == 4); // Goes to BE + assign is_split = is_gpgpu && (func3 == 2); // Goes to BE + assign is_join = is_gpgpu && (func3 == 3); // Doesn't go to BE + + + assign VX_join.is_join = is_join; + assign VX_join.join_warp_num = in_warp_num; + + + assign VX_frE_to_bckE_req.is_wspawn = is_wspawn; + assign VX_frE_to_bckE_req.is_tmc = is_tmc; + assign VX_frE_to_bckE_req.is_split = is_split; + assign VX_frE_to_bckE_req.is_barrier = is_barrier; + + + + assign VX_frE_to_bckE_req.csr_immed = is_csr_immed; + assign VX_frE_to_bckE_req.is_csr = is_csr; + + + assign VX_frE_to_bckE_req.wb = (is_jal || is_jalr || is_e_inst) ? `WB_JAL : + is_linst ? `WB_MEM : + (is_itype || is_rtype || is_lui || is_auipc || is_csr) ? `WB_ALU : + `NO_WB; + + + assign VX_frE_to_bckE_req.rs2_src = (is_itype || is_stype) ? `RS2_IMMED : `RS2_REG; + + // MEM signals + assign VX_frE_to_bckE_req.mem_read = (is_linst) ? func3 : `NO_MEM_READ; + assign VX_frE_to_bckE_req.mem_write = (is_stype) ? func3 : `NO_MEM_WRITE; + + // UPPER IMMEDIATE + always @(*) begin + case(curr_opcode) + `LUI_INST: temp_upper_immed = {func7, VX_frE_to_bckE_req.rs2, VX_frE_to_bckE_req.rs1, func3}; + `AUIPC_INST: temp_upper_immed = {func7, VX_frE_to_bckE_req.rs2, VX_frE_to_bckE_req.rs1, func3}; + default: temp_upper_immed = 20'h0; + endcase // curr_opcode + end + + assign VX_frE_to_bckE_req.upper_immed = temp_upper_immed; + + + assign jal_b_19_to_12 = in_instruction[19:12]; + assign jal_b_11 = in_instruction[20]; + assign jal_b_10_to_1 = in_instruction[30:21]; + assign jal_b_20 = in_instruction[31]; + assign jal_b_0 = 1'b0; + assign jal_unsigned_offset = {jal_b_20, jal_b_19_to_12, jal_b_11, jal_b_10_to_1, jal_b_0}; + assign jal_1_offset = {{11{jal_b_20}}, jal_unsigned_offset}; + + + assign jalr_immed = {func7, VX_frE_to_bckE_req.rs2}; + assign jal_2_offset = {{20{jalr_immed[11]}}, jalr_immed}; + + + assign jal_sys_cond1 = func3 == 3'h0; + assign jal_sys_cond2 = u_12 < 12'h2; + + assign jal_sys_jal = (jal_sys_cond1 && jal_sys_cond2) ? 1'b1 : 1'b0; + assign jal_sys_off = (jal_sys_cond1 && jal_sys_cond2) ? 32'hb0000000 : 32'hdeadbeef; + + // JAL + always @(*) begin + case(curr_opcode) + `JAL_INST: + begin + temp_jal = 1'b1 && (|in_valid); + temp_jal_offset = jal_1_offset; + end + `JALR_INST: + begin + temp_jal = 1'b1 && (|in_valid); + temp_jal_offset = jal_2_offset; + end + `SYS_INST: + begin + // $display("SYS EBREAK %h", (jal_sys_jal && (|in_valid)) ); + temp_jal = jal_sys_jal && (|in_valid); + temp_jal_offset = jal_sys_off; + end + default: + begin + temp_jal = 1'b0 && (|in_valid); + temp_jal_offset = 32'hdeadbeef; + end + endcase + end + + assign VX_frE_to_bckE_req.jalQual = is_jal; + assign VX_frE_to_bckE_req.jal = temp_jal; + assign VX_frE_to_bckE_req.jal_offset = temp_jal_offset; + + // wire is_ebreak; + + + // assign is_ebreak = is_e_inst; + wire ebreak = (curr_opcode == `SYS_INST) && (jal_sys_jal && (|in_valid)); + assign VX_frE_to_bckE_req.ebreak = ebreak; + wire out_ebreak = ebreak; + assign terminate_sim = is_e_inst; + + + // CSR + + assign csr_cond1 = func3 != 3'h0; + assign csr_cond2 = u_12 >= 12'h2; + + assign VX_frE_to_bckE_req.csr_address = (csr_cond1 && csr_cond2) ? u_12 : 12'h55; + + + // ITYPE IMEED + assign alu_shift_i = (func3 == 3'h1) || (func3 == 3'h5); + assign alu_shift_i_immed = {{7{1'b0}}, VX_frE_to_bckE_req.rs2}; + assign alu_tempp = alu_shift_i ? alu_shift_i_immed : u_12; + + + always @(*) begin + case(curr_opcode) + `ALU_INST: temp_itype_immed = {{20{alu_tempp[11]}}, alu_tempp}; + `S_INST: temp_itype_immed = {{20{func7[6]}}, func7, VX_frE_to_bckE_req.rd}; + `L_INST: temp_itype_immed = {{20{u_12[11]}}, u_12}; + `B_INST: temp_itype_immed = {{20{in_instruction[31]}}, in_instruction[31], in_instruction[7], in_instruction[30:25], in_instruction[11:8]}; + default: temp_itype_immed = 32'hdeadbeef; + endcase + end + + assign VX_frE_to_bckE_req.itype_immed = temp_itype_immed; + + + + always @(*) begin + case(curr_opcode) + `B_INST: + begin + // $display("BRANCH IN DECODE"); + temp_branch_stall = 1'b1 && (|in_valid); + case(func3) + 3'h0: temp_branch_type = `BEQ; + 3'h1: temp_branch_type = `BNE; + 3'h4: temp_branch_type = `BLT; + 3'h5: temp_branch_type = `BGT; + 3'h6: temp_branch_type = `BLTU; + 3'h7: temp_branch_type = `BGTU; + default: temp_branch_type = `NO_BRANCH; + endcase + end + + `JAL_INST: + begin + temp_branch_type = `NO_BRANCH; + temp_branch_stall = 1'b1 && (|in_valid); + end + `JALR_INST: + begin + temp_branch_type = `NO_BRANCH; + temp_branch_stall = 1'b1 && (|in_valid); + end + default: + begin + temp_branch_type = `NO_BRANCH; + temp_branch_stall = 1'b0 && (|in_valid); + end + endcase + end + + assign VX_frE_to_bckE_req.branch_type = temp_branch_type; + + assign VX_wstall.wstall = (temp_branch_stall || is_tmc || is_split || is_barrier) && (|in_valid); + assign VX_wstall.warp_num = in_warp_num; + + always @(*) begin + // ALU OP + case(func3) + 3'h0: alu_op = (curr_opcode == `ALU_INST) ? `ADD : (func7 == 7'h0 ? `ADD : `SUB); + 3'h1: alu_op = `SLLA; + 3'h2: alu_op = `SLT; + 3'h3: alu_op = `SLTU; + 3'h4: alu_op = `XOR; + 3'h5: alu_op = (func7 == 7'h0) ? `SRL : `SRA; + 3'h6: alu_op = `OR; + 3'h7: alu_op = `AND; + default: alu_op = `NO_ALU; + endcase + end + + always @(*) begin + // ALU OP + case(func3) + 3'h0: mul_alu = `MUL; + 3'h1: mul_alu = `MULH; + 3'h2: mul_alu = `MULHSU; + 3'h3: mul_alu = `MULHU; + 3'h4: mul_alu = `DIV; + 3'h5: mul_alu = `DIVU; + 3'h6: mul_alu = `REM; + 3'h7: mul_alu = `REMU; + default: mul_alu = `NO_ALU; + endcase + end + + assign csr_type = func3[1:0]; + + always @(*) begin + case(csr_type) + 2'h1: csr_alu = `CSR_ALU_RW; + 2'h2: csr_alu = `CSR_ALU_RS; + 2'h3: csr_alu = `CSR_ALU_RC; + default: csr_alu = `NO_ALU; + endcase + end + + wire[4:0] temp_final_alu; + + assign temp_final_alu = is_btype ? ((VX_frE_to_bckE_req.branch_type < `BLTU) ? `SUB : `SUBU) : + is_lui ? `LUI_ALU : + is_auipc ? `AUIPC_ALU : + is_csr ? csr_alu : + (is_stype || is_linst) ? `ADD : + alu_op; + + assign VX_frE_to_bckE_req.alu_op = ((func7[0] == 1'b1) && is_rtype) ? mul_alu : temp_final_alu; + +endmodule + + + + + + + + diff --git a/old_rtl/VX_define.v b/old_rtl/VX_define.v new file mode 100644 index 000000000..f177fbfbe --- /dev/null +++ b/old_rtl/VX_define.v @@ -0,0 +1,269 @@ +`include "./VX_define_synth.v" + + + +`define NT_M1 (`NT-1) + +// NW_M1 is actually log2(NW) +`define NW_M1 (`CLOG2(`NW)) + +// Uncomment the below line if NW=1 +// `define ONLY + +// `define SYN 1 +// `define ASIC 1 +// `define SYN_FUNC 1 + +`define NUM_BARRIERS 4 + +`define R_INST 7'd51 +`define L_INST 7'd3 +`define ALU_INST 7'd19 +`define S_INST 7'd35 +`define B_INST 7'd99 +`define LUI_INST 7'd55 +`define AUIPC_INST 7'd23 +`define JAL_INST 7'd111 +`define JALR_INST 7'd103 +`define SYS_INST 7'd115 +`define GPGPU_INST 7'h6b + + +`define WB_ALU 2'h1 +`define WB_MEM 2'h2 +`define WB_JAL 2'h3 +`define NO_WB 2'h0 + + +`define RS2_IMMED 1 +`define RS2_REG 0 + + +`define NO_MEM_READ 3'h7 +`define LB_MEM_READ 3'h0 +`define LH_MEM_READ 3'h1 +`define LW_MEM_READ 3'h2 +`define LBU_MEM_READ 3'h4 +`define LHU_MEM_READ 3'h5 + + +`define NO_MEM_WRITE 3'h7 +`define SB_MEM_WRITE 3'h0 +`define SH_MEM_WRITE 3'h1 +`define SW_MEM_WRITE 3'h2 + + +`define NO_BRANCH 3'h0 +`define BEQ 3'h1 +`define BNE 3'h2 +`define BLT 3'h3 +`define BGT 3'h4 +`define BLTU 3'h5 +`define BGTU 3'h6 + + +`define NO_ALU 5'd15 +`define ADD 5'd0 +`define SUB 5'd1 +`define SLLA 5'd2 +`define SLT 5'd3 +`define SLTU 5'd4 +`define XOR 5'd5 +`define SRL 5'd6 +`define SRA 5'd7 +`define OR 5'd8 +`define AND 5'd9 +`define SUBU 5'd10 +`define LUI_ALU 5'd11 +`define AUIPC_ALU 5'd12 +`define CSR_ALU_RW 5'd13 +`define CSR_ALU_RS 5'd14 +`define CSR_ALU_RC 5'd15 +`define MUL 5'd16 +`define MULH 5'd17 +`define MULHSU 5'd18 +`define MULHU 5'd19 +`define DIV 5'd20 +`define DIVU 5'd21 +`define REM 5'd22 +`define REMU 5'd23 + + + +// WRITEBACK +`define WB_ALU 2'h1 +`define WB_MEM 2'h2 +`define WB_JAL 2'h3 +`define NO_WB 2'h0 + + +// JAL +`define JUMP 1'h1 +`define NO_JUMP 1'h0 + +// STALLS +`define STALL 1'h1 +`define NO_STALL 1'h0 + + +`define TAKEN 1'b1 +`define NOT_TAKEN 1'b0 + + +`define ZERO_REG 5'h0 + +`define CLOG2(x) \ + (x <= 2) ? 1 : \ + (x <= 4) ? 2 : \ + (x <= 8) ? 3 : \ + (x <= 16) ? 4 : \ + (x <= 32) ? 5 : \ + (x <= 64) ? 6 : \ + (x <= 128) ? 7 : \ + (x <= 256) ? 8 : \ + (x <= 512) ? 9 : \ + (x <= 1024) ? 10 : \ + -199 + + +// `define PARAM + +// oooooo + +//Cache configurations +//Cache configurations + //Bytes +`define ICACHE_SIZE 4096 +`define ICACHE_WAYS 2 +//Bytes +`define ICACHE_BLOCK 64 +`define ICACHE_BANKS 4 +`define ICACHE_LOG_NUM_BANKS `CLOG2(`ICACHE_BANKS) + +`define ICACHE_NUM_WORDS_PER_BLOCK (`ICACHE_BLOCK / (`ICACHE_BANKS * 4)) +`define ICACHE_NUM_REQ 1 +`define ICACHE_LOG_NUM_REQ `CLOG2(`ICACHE_NUM_REQ) + + //set this to 1 if CACHE_WAYS is 1 +`define ICACHE_WAY_INDEX `CLOG2(`ICACHE_WAYS) +//`define ICACHE_WAY_INDEX 1 +`define ICACHE_BLOCK_PER_BANK (`ICACHE_BLOCK / `ICACHE_BANKS) + +// Offset +`define ICACHE_OFFSET_NB (`CLOG2(`ICACHE_NUM_WORDS_PER_BLOCK)) + +`define ICACHE_ADDR_OFFSET_ST (2+$clog2(`ICACHE_BANKS)) +`define ICACHE_ADDR_OFFSET_ED (`ICACHE_ADDR_OFFSET_ST+(`ICACHE_OFFSET_NB)-1) + + +`define ICACHE_ADDR_OFFSET_RNG `ICACHE_ADDR_OFFSET_ED:`ICACHE_ADDR_OFFSET_ST +`define ICACHE_OFFSET_SIZE_RNG (`CLOG2(`ICACHE_NUM_WORDS_PER_BLOCK)-1):0 +`define ICACHE_OFFSET_ST 0 +`define ICACHE_OFFSET_ED ($clog2(`ICACHE_NUM_WORDS_PER_BLOCK)-1) + +// Index +// `define ICACHE_NUM_IND (`ICACHE_SIZE / (`ICACHE_WAYS * `ICACHE_BLOCK_PER_BANK)) +`define ICACHE_NUM_IND (`ICACHE_SIZE / (`ICACHE_WAYS * `ICACHE_BLOCK)) +`define ICACHE_IND_NB ($clog2(`ICACHE_NUM_IND)) + +`define ICACHE_IND_ST (`ICACHE_ADDR_OFFSET_ED+1) +`define ICACHE_IND_ED (`ICACHE_IND_ST+`ICACHE_IND_NB-1) + +`define ICACHE_ADDR_IND_RNG `ICACHE_IND_ED:`ICACHE_IND_ST +`define ICACHE_IND_SIZE_RNG `ICACHE_IND_NB-1:0 + +`define ICACHE_IND_SIZE_START 0 +`define ICACHE_IND_SIZE_END `ICACHE_IND_NB-1 + + +// Tag +`define ICACHE_ADDR_TAG_RNG 31:(`ICACHE_IND_ED+1) +`define ICACHE_TAG_SIZE_RNG (32-(`ICACHE_IND_ED+1)-1):0 +`define ICACHE_TAG_SIZE_START 0 +`define ICACHE_TAG_SIZE_END (32-(`ICACHE_IND_ED+1)-1) +`define ICACHE_ADDR_TAG_START (`ICACHE_IND_ED+1) +`define ICACHE_ADDR_TAG_END 31 + +//Cache configurations +//Bytes +`define DCACHE_SIZE 4096 +`define DCACHE_WAYS 2 + +//Bytes +`define DCACHE_BLOCK 64 +`define DCACHE_BANKS 4 +`define DCACHE_LOG_NUM_BANKS $clog2(`DCACHE_BANKS) +`define DCACHE_NUM_WORDS_PER_BLOCK (`DCACHE_BLOCK / (`DCACHE_BANKS * 4)) +`define DCACHE_NUM_REQ `NT +`define DCACHE_LOG_NUM_REQ $clog2(`DCACHE_NUM_REQ) + +//set this to 1 if CACHE_WAYS is 1 +`define DCACHE_WAY_INDEX $clog2(`DCACHE_WAYS) +//`define DCACHE_WAY_INDEX 1 +`define DCACHE_BLOCK_PER_BANK (`DCACHE_BLOCK / `DCACHE_BANKS) + +// Offset +`define DCACHE_OFFSET_NB ($clog2(`DCACHE_NUM_WORDS_PER_BLOCK)) + +`define DCACHE_ADDR_OFFSET_ST (2+$clog2(`DCACHE_BANKS)) +`define DCACHE_ADDR_OFFSET_ED (`DCACHE_ADDR_OFFSET_ST+(`DCACHE_OFFSET_NB)-1) + + +`define DCACHE_ADDR_OFFSET_RNG `DCACHE_ADDR_OFFSET_ED:`DCACHE_ADDR_OFFSET_ST +`define DCACHE_OFFSET_SIZE_RNG ($clog2(`DCACHE_NUM_WORDS_PER_BLOCK)-1):0 +`define DCACHE_OFFSET_ST 0 +`define DCACHE_OFFSET_ED ($clog2(`DCACHE_NUM_WORDS_PER_BLOCK)-1) + +// Index +// `define DCACHE_NUM_IND (`DCACHE_SIZE / (`DCACHE_WAYS * `DCACHE_BLOCK_PER_BANK)) +`define DCACHE_NUM_IND (`DCACHE_SIZE / (`DCACHE_WAYS * `DCACHE_BLOCK)) +`define DCACHE_IND_NB ($clog2(`DCACHE_NUM_IND)) + +`define DCACHE_IND_ST (`DCACHE_ADDR_OFFSET_ED+1) +`define DCACHE_IND_ED (`DCACHE_IND_ST+`DCACHE_IND_NB-1) + +`define DCACHE_ADDR_IND_RNG `DCACHE_IND_ED:`DCACHE_IND_ST +`define DCACHE_IND_SIZE_RNG `DCACHE_IND_NB-1:0 + +`define DCACHE_IND_SIZE_START 0 +`define DCACHE_IND_SIZE_END `DCACHE_IND_NB-1 + + +// Tag +`define DCACHE_ADDR_TAG_RNG 31:(`DCACHE_IND_ED+1) +`define DCACHE_TAG_SIZE_RNG (32-(`DCACHE_IND_ED+1)-1):0 +`define DCACHE_TAG_SIZE_START 0 +`define DCACHE_TAG_SIZE_END (32-(`DCACHE_IND_ED+1)-1) +`define DCACHE_ADDR_TAG_START (`DCACHE_IND_ED+1) +`define DCACHE_ADDR_TAG_END 31 + +// Mask +`define DCACHE_MEM_REQ_ADDR_MASK (32'hffffffff - (`DCACHE_BLOCK-1)) +`define ICACHE_MEM_REQ_ADDR_MASK (32'hffffffff - (`ICACHE_BLOCK-1)) + + + +/////// + +//`define SHARED_MEMORY_SIZE 4096 +`define SHARED_MEMORY_SIZE 8192 +`define SHARED_MEMORY_BANKS 4 +//`define SHARED_MEMORY_BYTES_PER_READ 16 +//`define SHARED_MEMORY_HEIGHT ((`SHARED_MEMORY_SIZE) / (`SHARED_MEMORY_BANKS * `SHARED_MEMORY_BYTES_PER_READ)) + +//`define SHARED_MEMORY_SIZE 16384 +//`define SHARED_MEMORY_BANKS 8 +`define SHARED_MEMORY_BYTES_PER_READ 16 +//`define SHARED_MEMORY_BITS_PER_BANK 3 +`define SHARED_MEMORY_BITS_PER_BANK `CLOG2(`SHARED_MEMORY_BANKS) +`define SHARED_MEMORY_NUM_REQ `NT +`define SHARED_MEMORY_WORDS_PER_READ (`SHARED_MEMORY_BYTES_PER_READ / 4) +`define SHARED_MEMORY_LOG_WORDS_PER_READ $clog2(`SHARED_MEMORY_WORDS_PER_READ) +`define SHARED_MEMORY_HEIGHT ((`SHARED_MEMORY_SIZE) / (`SHARED_MEMORY_BANKS * `SHARED_MEMORY_BYTES_PER_READ)) + +`define SHARED_MEMORY_BANK_OFFSET_ST (2) +`define SHARED_MEMORY_BANK_OFFSET_ED (2+$clog2(`SHARED_MEMORY_BANKS)-1) +`define SHARED_MEMORY_BLOCK_OFFSET_ST (`SHARED_MEMORY_BANK_OFFSET_ED + 1) +`define SHARED_MEMORY_BLOCK_OFFSET_ED (`SHARED_MEMORY_BLOCK_OFFSET_ST +`SHARED_MEMORY_LOG_WORDS_PER_READ-1) +`define SHARED_MEMORY_INDEX_OFFSET_ST (`SHARED_MEMORY_BLOCK_OFFSET_ED + 1) +`define SHARED_MEMORY_INDEX_OFFSET_ED (`SHARED_MEMORY_INDEX_OFFSET_ST + $clog2(`SHARED_MEMORY_HEIGHT)-1) diff --git a/old_rtl/VX_define_synth.v b/old_rtl/VX_define_synth.v new file mode 100644 index 000000000..0444fe941 --- /dev/null +++ b/old_rtl/VX_define_synth.v @@ -0,0 +1,2 @@ +`define NT 4 +`define NW 8 diff --git a/old_rtl/VX_dmem_controller.v b/old_rtl/VX_dmem_controller.v new file mode 100644 index 000000000..39d10b643 --- /dev/null +++ b/old_rtl/VX_dmem_controller.v @@ -0,0 +1,188 @@ + +`include "VX_define.v" + +module VX_dmem_controller ( + input wire clk, + input wire reset, + // MEM-RAM + VX_dram_req_rsp_inter VX_dram_req_rsp, + VX_dram_req_rsp_inter VX_dram_req_rsp_icache, + // MEM-Processor + VX_icache_request_inter VX_icache_req, + VX_icache_response_inter VX_icache_rsp, + VX_dcache_request_inter VX_dcache_req, + VX_dcache_response_inter VX_dcache_rsp +); + + + wire to_shm = VX_dcache_req.out_cache_driver_in_address[0][31:24] == 8'hFF; + + wire[`NT_M1:0] sm_driver_in_valid = VX_dcache_req.out_cache_driver_in_valid & {`NT{to_shm}}; + wire[`NT_M1:0] cache_driver_in_valid = VX_dcache_req.out_cache_driver_in_valid & {`NT{~to_shm}}; + + wire read_or_write = (VX_dcache_req.out_cache_driver_in_mem_write != `NO_MEM_WRITE) && (|cache_driver_in_valid); + + + + wire[`NT_M1:0][31:0] cache_driver_in_address = VX_dcache_req.out_cache_driver_in_address; + wire[2:0] cache_driver_in_mem_read = !(|cache_driver_in_valid) ? `NO_MEM_READ : VX_dcache_req.out_cache_driver_in_mem_read; + wire[2:0] cache_driver_in_mem_write = !(|cache_driver_in_valid) ? `NO_MEM_WRITE : VX_dcache_req.out_cache_driver_in_mem_write; + wire[`NT_M1:0][31:0] cache_driver_in_data = VX_dcache_req.out_cache_driver_in_data; + + + wire[2:0] sm_driver_in_mem_read = !(|sm_driver_in_valid) ? `NO_MEM_READ : VX_dcache_req.out_cache_driver_in_mem_read; + wire[2:0] sm_driver_in_mem_write = !(|sm_driver_in_valid) ? `NO_MEM_WRITE : VX_dcache_req.out_cache_driver_in_mem_write; + + + wire[`NT_M1:0][31:0] cache_driver_out_data; + wire[`NT_M1:0][31:0] sm_driver_out_data; + wire[`NT_M1:0] cache_driver_out_valid; // Not used for now + wire sm_delay; + wire cache_delay; + + + // I_Cache Signals + + wire[31:0] icache_instruction_out; + wire icache_delay; + wire icache_driver_in_valid = VX_icache_req.out_cache_driver_in_valid; + wire[31:0] icache_driver_in_address = VX_icache_req.pc_address; + wire[2:0] icache_driver_in_mem_read = !(|icache_driver_in_valid) ? `NO_MEM_READ : VX_icache_req.out_cache_driver_in_mem_read; + wire[2:0] icache_driver_in_mem_write = !(|icache_driver_in_valid) ? `NO_MEM_WRITE : VX_icache_req.out_cache_driver_in_mem_write; + wire[31:0] icache_driver_in_data = VX_icache_req.out_cache_driver_in_data; + wire read_or_write_ic = (VX_icache_req.out_cache_driver_in_mem_write != `NO_MEM_WRITE) && (|icache_driver_in_valid); + + wire valid_read_cache = !cache_delay && cache_driver_in_valid[0]; + + + VX_shared_memory #( + .SM_SIZE (`SHARED_MEMORY_SIZE), + .SM_BANKS (`SHARED_MEMORY_BANKS), + .SM_BYTES_PER_READ (`SHARED_MEMORY_BYTES_PER_READ), + .SM_WORDS_PER_READ (`SHARED_MEMORY_WORDS_PER_READ), + .SM_LOG_WORDS_PER_READ (`SHARED_MEMORY_LOG_WORDS_PER_READ), + .SM_BANK_OFFSET_START (`SHARED_MEMORY_BANK_OFFSET_ST), + .SM_BANK_OFFSET_END (`SHARED_MEMORY_BANK_OFFSET_ED), + .SM_BLOCK_OFFSET_START (`SHARED_MEMORY_BLOCK_OFFSET_ST), + .SM_BLOCK_OFFSET_END (`SHARED_MEMORY_BLOCK_OFFSET_ED), + .SM_INDEX_START (`SHARED_MEMORY_INDEX_OFFSET_ST), + .SM_INDEX_END (`SHARED_MEMORY_INDEX_OFFSET_ED), + .SM_HEIGHT (`SHARED_MEMORY_HEIGHT), + .NUM_REQ (`SHARED_MEMORY_NUM_REQ), + .BITS_PER_BANK (`SHARED_MEMORY_BITS_PER_BANK) + ) + shared_memory + ( + .clk (clk), + .reset (reset), + .in_valid (sm_driver_in_valid), + .in_address(cache_driver_in_address), + .in_data (cache_driver_in_data), + .mem_read (sm_driver_in_mem_read), + .mem_write (sm_driver_in_mem_write), + .out_valid (cache_driver_out_valid), + .out_data (sm_driver_out_data), + .stall (sm_delay) + ); + + + VX_d_cache#( + .CACHE_SIZE (`DCACHE_SIZE), + .CACHE_WAYS (`DCACHE_WAYS), + .CACHE_BLOCK (`DCACHE_BLOCK), + .CACHE_BANKS (`DCACHE_BANKS), + .LOG_NUM_BANKS (`DCACHE_LOG_NUM_BANKS), + .NUM_REQ (`DCACHE_NUM_REQ), + .LOG_NUM_REQ (`DCACHE_LOG_NUM_REQ), + .NUM_IND (`DCACHE_NUM_IND), + .CACHE_WAY_INDEX (`DCACHE_WAY_INDEX), + .NUM_WORDS_PER_BLOCK (`DCACHE_NUM_WORDS_PER_BLOCK), + .OFFSET_SIZE_START (`DCACHE_OFFSET_ST), + .OFFSET_SIZE_END (`DCACHE_OFFSET_ED), + .TAG_SIZE_START (`DCACHE_TAG_SIZE_START), + .TAG_SIZE_END (`DCACHE_TAG_SIZE_END), + .IND_SIZE_START (`DCACHE_IND_SIZE_START), + .IND_SIZE_END (`DCACHE_IND_SIZE_END), + .ADDR_TAG_START (`DCACHE_ADDR_TAG_START), + .ADDR_TAG_END (`DCACHE_ADDR_TAG_END), + .ADDR_OFFSET_START (`DCACHE_ADDR_OFFSET_ST), + .ADDR_OFFSET_END (`DCACHE_ADDR_OFFSET_ED), + .ADDR_IND_START (`DCACHE_IND_ST), + .ADDR_IND_END (`DCACHE_IND_ED), + .MEM_ADDR_REQ_MASK (`DCACHE_MEM_REQ_ADDR_MASK) + ) + dcache + ( + .clk (clk), + .rst (reset), + .i_p_valid (cache_driver_in_valid), + .i_p_addr (cache_driver_in_address), + .i_p_writedata (cache_driver_in_data), + .i_p_read_or_write (read_or_write), + .i_p_mem_read (cache_driver_in_mem_read), + .i_p_mem_write (cache_driver_in_mem_write), + .o_p_readdata (cache_driver_out_data), + .o_p_delay (cache_delay), + .o_m_evict_addr (VX_dram_req_rsp.o_m_evict_addr), + .o_m_read_addr (VX_dram_req_rsp.o_m_read_addr), + .o_m_valid (VX_dram_req_rsp.o_m_valid), + .o_m_writedata (VX_dram_req_rsp.o_m_writedata), + .o_m_read_or_write (VX_dram_req_rsp.o_m_read_or_write), + .i_m_readdata (VX_dram_req_rsp.i_m_readdata), + .i_m_ready (VX_dram_req_rsp.i_m_ready) + ); + + +VX_d_cache#( + .CACHE_SIZE (`ICACHE_SIZE), + .CACHE_WAYS (`ICACHE_WAYS), + .CACHE_BLOCK (`ICACHE_BLOCK), + .CACHE_BANKS (`ICACHE_BANKS), + .LOG_NUM_BANKS (`ICACHE_LOG_NUM_BANKS), + .NUM_REQ (`ICACHE_NUM_REQ), + .LOG_NUM_REQ (`ICACHE_LOG_NUM_REQ), + .NUM_IND (`ICACHE_NUM_IND), + .CACHE_WAY_INDEX (`ICACHE_WAY_INDEX), + .NUM_WORDS_PER_BLOCK (`ICACHE_NUM_WORDS_PER_BLOCK), + .OFFSET_SIZE_START (`ICACHE_OFFSET_ST), + .OFFSET_SIZE_END (`ICACHE_OFFSET_ED), + .TAG_SIZE_START (`ICACHE_TAG_SIZE_START), + .TAG_SIZE_END (`ICACHE_TAG_SIZE_END), + .IND_SIZE_START (`ICACHE_IND_SIZE_START), + .IND_SIZE_END (`ICACHE_IND_SIZE_END), + .ADDR_TAG_START (`ICACHE_ADDR_TAG_START), + .ADDR_TAG_END (`ICACHE_ADDR_TAG_END), + .ADDR_OFFSET_START (`ICACHE_ADDR_OFFSET_ST), + .ADDR_OFFSET_END (`ICACHE_ADDR_OFFSET_ED), + .ADDR_IND_START (`ICACHE_IND_ST), + .ADDR_IND_END (`ICACHE_IND_ED), + .MEM_ADDR_REQ_MASK (`ICACHE_MEM_REQ_ADDR_MASK) + ) icache + ( + .clk (clk), + .rst (reset), + .i_p_valid (icache_driver_in_valid), + .i_p_addr (icache_driver_in_address), + .i_p_writedata (icache_driver_in_data), + .i_p_read_or_write (read_or_write_ic), + .i_p_mem_read (icache_driver_in_mem_read), + .i_p_mem_write (icache_driver_in_mem_write), + .o_p_readdata (icache_instruction_out), + .o_p_delay (icache_delay), + .o_m_evict_addr (VX_dram_req_rsp_icache.o_m_evict_addr), + .o_m_read_addr (VX_dram_req_rsp_icache.o_m_read_addr), + .o_m_valid (VX_dram_req_rsp_icache.o_m_valid), + .o_m_writedata (VX_dram_req_rsp_icache.o_m_writedata), + .o_m_read_or_write (VX_dram_req_rsp_icache.o_m_read_or_write), + .i_m_readdata (VX_dram_req_rsp_icache.i_m_readdata), + .i_m_ready (VX_dram_req_rsp_icache.i_m_ready) + ); + + assign VX_dcache_rsp.in_cache_driver_out_data = to_shm ? sm_driver_out_data : cache_driver_out_data; + assign VX_dcache_rsp.delay = sm_delay || cache_delay; + + assign VX_icache_rsp.instruction = icache_instruction_out; + assign VX_icache_rsp.delay = icache_delay; + + +endmodule diff --git a/old_rtl/VX_execute_unit.v b/old_rtl/VX_execute_unit.v new file mode 100644 index 000000000..c64c11814 --- /dev/null +++ b/old_rtl/VX_execute_unit.v @@ -0,0 +1,168 @@ +`include "VX_define.v" + +module VX_execute_unit ( + input wire clk, + input wire reset, + // Request + VX_exec_unit_req_inter VX_exec_unit_req, + + // Output + // Writeback + VX_inst_exec_wb_inter VX_inst_exec_wb, + // JAL Response + VX_jal_response_inter VX_jal_rsp, + // Branch Response + VX_branch_response_inter VX_branch_rsp +); + + + + wire[`NT_M1:0][31:0] in_a_reg_data; + wire[`NT_M1:0][31:0] in_b_reg_data; + wire[4:0] in_alu_op; + wire in_rs2_src; + wire[31:0] in_itype_immed; + wire[2:0] in_branch_type; + wire[19:0] in_upper_immed; + wire in_jal; + wire[31:0] in_jal_offset; + wire[31:0] in_curr_PC; + + assign in_a_reg_data = VX_exec_unit_req.a_reg_data; + assign in_b_reg_data = VX_exec_unit_req.b_reg_data; + assign in_alu_op = VX_exec_unit_req.alu_op; + assign in_rs2_src = VX_exec_unit_req.rs2_src; + assign in_itype_immed = VX_exec_unit_req.itype_immed; + assign in_branch_type = VX_exec_unit_req.branch_type; + assign in_upper_immed = VX_exec_unit_req.upper_immed; + assign in_jal = VX_exec_unit_req.jal; + assign in_jal_offset = VX_exec_unit_req.jal_offset; + assign in_curr_PC = VX_exec_unit_req.curr_PC; + + + wire[`NT_M1:0][31:0] alu_result; + genvar index_out_reg; + generate + for (index_out_reg = 0; index_out_reg < `NT; index_out_reg = index_out_reg + 1) + begin + VX_alu vx_alu( + // .in_reg_data (in_reg_data[1:0]), + .in_1 (in_a_reg_data[index_out_reg]), + .in_2 (in_b_reg_data[index_out_reg]), + .in_rs2_src (in_rs2_src), + .in_itype_immed(in_itype_immed), + .in_upper_immed(in_upper_immed), + .in_alu_op (in_alu_op), + .in_curr_PC (in_curr_PC), + .out_alu_result(alu_result[index_out_reg]) + ); + end + endgenerate + + + wire [$clog2(`NT)-1:0] jal_branch_use_index; + wire jal_branch_found_valid; + VX_generic_priority_encoder #(.N(`NT)) choose_alu_result( + .valids(VX_exec_unit_req.valid), + .index (jal_branch_use_index), + .found (jal_branch_found_valid) + ); + + wire[31:0] branch_use_alu_result = alu_result[jal_branch_use_index]; + + reg temp_branch_dir; + always @(*) + begin + case(VX_exec_unit_req.branch_type) + `BEQ: temp_branch_dir = (branch_use_alu_result == 0) ? `TAKEN : `NOT_TAKEN; + `BNE: temp_branch_dir = (branch_use_alu_result == 0) ? `NOT_TAKEN : `TAKEN; + `BLT: temp_branch_dir = (branch_use_alu_result[31] == 0) ? `NOT_TAKEN : `TAKEN; + `BGT: temp_branch_dir = (branch_use_alu_result[31] == 0) ? `TAKEN : `NOT_TAKEN; + `BLTU: temp_branch_dir = (branch_use_alu_result[31] == 0) ? `NOT_TAKEN : `TAKEN; + `BGTU: temp_branch_dir = (branch_use_alu_result[31] == 0) ? `TAKEN : `NOT_TAKEN; + `NO_BRANCH: temp_branch_dir = `NOT_TAKEN; + default: temp_branch_dir = `NOT_TAKEN; + endcase // in_branch_type + end + + + wire[`NT_M1:0][31:0] duplicate_PC_data; + genvar i; + generate + for (i = 0; i < `NT; i=i+1) + begin + assign duplicate_PC_data[i] = VX_exec_unit_req.PC_next; + end + endgenerate + + + // VX_inst_exec_wb_inter VX_inst_exec_wb_temp(); + // JAL Response + VX_jal_response_inter VX_jal_rsp_temp(); + // Branch Response + VX_branch_response_inter VX_branch_rsp_temp(); + + // Actual Writeback + assign VX_inst_exec_wb.rd = VX_exec_unit_req.rd; + assign VX_inst_exec_wb.wb = VX_exec_unit_req.wb; + assign VX_inst_exec_wb.wb_valid = VX_exec_unit_req.valid; + assign VX_inst_exec_wb.wb_warp_num = VX_exec_unit_req.warp_num; + assign VX_inst_exec_wb.alu_result = VX_exec_unit_req.jal ? duplicate_PC_data : alu_result; + + assign VX_inst_exec_wb.exec_wb_pc = in_curr_PC; + // Jal rsp + assign VX_jal_rsp_temp.jal = in_jal; + assign VX_jal_rsp_temp.jal_dest = $signed(in_a_reg_data[jal_branch_use_index]) + $signed(in_jal_offset); + assign VX_jal_rsp_temp.jal_warp_num = VX_exec_unit_req.warp_num; + + // Branch rsp + assign VX_branch_rsp_temp.valid_branch = (VX_exec_unit_req.branch_type != `NO_BRANCH) && (|VX_exec_unit_req.valid); + assign VX_branch_rsp_temp.branch_dir = temp_branch_dir; + assign VX_branch_rsp_temp.branch_warp_num = VX_exec_unit_req.warp_num; + assign VX_branch_rsp_temp.branch_dest = $signed(VX_exec_unit_req.curr_PC) + ($signed(VX_exec_unit_req.itype_immed) << 1); // itype_immed = branch_offset + + + wire zero = 0; + + // VX_generic_register #(.N(174)) exec_reg( + // .clk (clk), + // .reset(reset), + // .stall(zero), + // .flush(zero), + // .in ({VX_inst_exec_wb_temp.rd, VX_inst_exec_wb_temp.wb, VX_inst_exec_wb_temp.wb_valid, VX_inst_exec_wb_temp.wb_warp_num, VX_inst_exec_wb_temp.alu_result, VX_inst_exec_wb_temp.exec_wb_pc}), + // .out ({VX_inst_exec_wb.rd , VX_inst_exec_wb.wb , VX_inst_exec_wb.wb_valid , VX_inst_exec_wb.wb_warp_num , VX_inst_exec_wb.alu_result , VX_inst_exec_wb.exec_wb_pc }) + // ); + + VX_generic_register #(.N(33 + `NW_M1 + 1)) jal_reg( + .clk (clk), + .reset(reset), + .stall(zero), + .flush(zero), + .in ({VX_jal_rsp_temp.jal, VX_jal_rsp_temp.jal_dest, VX_jal_rsp_temp.jal_warp_num}), + .out ({VX_jal_rsp.jal , VX_jal_rsp.jal_dest , VX_jal_rsp.jal_warp_num}) + ); + + VX_generic_register #(.N(34 + `NW_M1 + 1)) branch_reg( + .clk (clk), + .reset(reset), + .stall(zero), + .flush(zero), + .in ({VX_branch_rsp_temp.valid_branch, VX_branch_rsp_temp.branch_dir, VX_branch_rsp_temp.branch_warp_num, VX_branch_rsp_temp.branch_dest}), + .out ({VX_branch_rsp.valid_branch , VX_branch_rsp.branch_dir , VX_branch_rsp.branch_warp_num , VX_branch_rsp.branch_dest }) + ); + + // always @(*) begin + // case(in_alu_op) + // `CSR_ALU_RW: out_csr_result = in_csr_mask; + // `CSR_ALU_RS: out_csr_result = in_csr_data | in_csr_mask; + // `CSR_ALU_RC: out_csr_result = in_csr_data & (32'hFFFFFFFF - in_csr_mask); + // default: out_csr_result = 32'hdeadbeef; + // endcase + + // end + + + // assign out_is_csr = VX_exec_unit_req.is_csr; + // assign out_csr_address = VX_exec_unit_req.csr_address; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_fetch.v b/old_rtl/VX_fetch.v new file mode 100644 index 000000000..d71df00ff --- /dev/null +++ b/old_rtl/VX_fetch.v @@ -0,0 +1,103 @@ + +`include "VX_define.v" + +module VX_fetch ( + input wire clk, + input wire reset, + VX_wstall_inter VX_wstall, + VX_join_inter VX_join, + input wire schedule_delay, + VX_icache_response_inter icache_response, + VX_icache_request_inter icache_request, + + output wire out_ebreak, + VX_jal_response_inter VX_jal_rsp, + VX_branch_response_inter VX_branch_rsp, + VX_inst_meta_inter fe_inst_meta_fd, + VX_warp_ctl_inter VX_warp_ctl +); + + // Locals + wire pipe_stall; + + + assign pipe_stall = schedule_delay || icache_response.delay; + + wire[`NT_M1:0] thread_mask; + wire[`NW_M1:0] warp_num; + wire[31:0] warp_pc; + wire scheduled_warp; + VX_warp_scheduler warp_scheduler( + .clk (clk), + .reset (reset), + .stall (pipe_stall), + + .is_barrier (VX_warp_ctl.is_barrier), + .barrier_id (VX_warp_ctl.barrier_id), + .num_warps (VX_warp_ctl.num_warps), + .barrier_warp_num (VX_warp_ctl.warp_num), + + // Wspawn + .wspawn (VX_warp_ctl.wspawn), + .wsapwn_pc (VX_warp_ctl.wspawn_pc), + .wspawn_new_active(VX_warp_ctl.wspawn_new_active), + // CTM + .ctm (VX_warp_ctl.change_mask), + .ctm_mask (VX_warp_ctl.thread_mask), + .ctm_warp_num (VX_warp_ctl.warp_num), + // WHALT + .whalt (VX_warp_ctl.ebreak), + .whalt_warp_num (VX_warp_ctl.warp_num), + // Wstall + .wstall (VX_wstall.wstall), + .wstall_warp_num (VX_wstall.warp_num), + + // Join + .is_join (VX_join.is_join), + .join_warp_num (VX_join.join_warp_num), + + // Split + .is_split (VX_warp_ctl.is_split), + .dont_split (VX_warp_ctl.dont_split), + .split_new_mask (VX_warp_ctl.split_new_mask), + .split_later_mask (VX_warp_ctl.split_later_mask), + .split_save_pc (VX_warp_ctl.split_save_pc), + .split_warp_num (VX_warp_ctl.warp_num), + + // JAL + .jal (VX_jal_rsp.jal), + .jal_dest (VX_jal_rsp.jal_dest), + .jal_warp_num (VX_jal_rsp.jal_warp_num), + + // Branch + .branch_valid (VX_branch_rsp.valid_branch), + .branch_dir (VX_branch_rsp.branch_dir), + .branch_dest (VX_branch_rsp.branch_dest), + .branch_warp_num (VX_branch_rsp.branch_warp_num), + + // Outputs + .thread_mask (thread_mask), + .warp_num (warp_num), + .warp_pc (warp_pc), + .out_ebreak (out_ebreak), + .scheduled_warp (scheduled_warp) + ); + + // always @(*) begin + // $display("Inside verilog instr: %h, pc: %h", icache_response.instruction, warp_pc); + // end + + assign icache_request.pc_address = warp_pc; + assign icache_request.out_cache_driver_in_valid = !schedule_delay && scheduled_warp; + assign icache_request.out_cache_driver_in_mem_read = `LW_MEM_READ; + assign icache_request.out_cache_driver_in_mem_write = `NO_MEM_WRITE; + assign icache_request.out_cache_driver_in_data = 32'b0; + + assign fe_inst_meta_fd.warp_num = warp_num; + assign fe_inst_meta_fd.valid = thread_mask; + + assign fe_inst_meta_fd.instruction = (thread_mask == 0) ? 32'b0 : icache_response.instruction; + assign fe_inst_meta_fd.inst_pc = warp_pc; + + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_front_end.v b/old_rtl/VX_front_end.v new file mode 100644 index 000000000..eaf5e8c9d --- /dev/null +++ b/old_rtl/VX_front_end.v @@ -0,0 +1,89 @@ +`include "VX_define.v" + +module VX_front_end ( + input wire clk, + input wire reset, + + input wire schedule_delay, + + VX_warp_ctl_inter VX_warp_ctl, + + VX_icache_response_inter icache_response_fe, + VX_icache_request_inter icache_request_fe, + + VX_jal_response_inter VX_jal_rsp, + VX_branch_response_inter VX_branch_rsp, + + VX_frE_to_bckE_req_inter VX_bckE_req, + + output wire fetch_ebreak +); + + +VX_inst_meta_inter fe_inst_meta_fd(); + +VX_frE_to_bckE_req_inter VX_frE_to_bckE_req(); +VX_inst_meta_inter fd_inst_meta_de(); + +wire total_freeze = schedule_delay; + +/* verilator lint_off UNUSED */ +// wire real_fetch_ebreak; +/* verilator lint_on UNUSED */ + +wire vortex_ebreak; +wire terminate_sim; + +assign fetch_ebreak = vortex_ebreak || terminate_sim; + + +VX_wstall_inter VX_wstall(); +VX_join_inter VX_join(); + +VX_fetch vx_fetch( + .clk (clk), + .reset (reset), + .VX_wstall (VX_wstall), + .VX_join (VX_join), + .schedule_delay (schedule_delay), + .VX_jal_rsp (VX_jal_rsp), + .icache_response (icache_response_fe), + .VX_warp_ctl (VX_warp_ctl), + + .icache_request (icache_request_fe), + .VX_branch_rsp (VX_branch_rsp), + .out_ebreak (vortex_ebreak), // fetch_ebreak + .fe_inst_meta_fd (fe_inst_meta_fd) + ); + +VX_f_d_reg vx_f_d_reg( + .clk (clk), + .reset (reset), + .in_freeze (total_freeze), + .fe_inst_meta_fd(fe_inst_meta_fd), + .fd_inst_meta_de(fd_inst_meta_de) + ); + + +VX_decode vx_decode( + .fd_inst_meta_de (fd_inst_meta_de), + .VX_frE_to_bckE_req(VX_frE_to_bckE_req), + .VX_wstall (VX_wstall), + .VX_join (VX_join), + .terminate_sim (terminate_sim) + ); + +wire no_br_stall = 0; + +VX_d_e_reg vx_d_e_reg( + .clk (clk), + .reset (reset), + .in_branch_stall(no_br_stall), + .in_freeze (total_freeze), + .VX_frE_to_bckE_req(VX_frE_to_bckE_req), + .VX_bckE_req (VX_bckE_req) + ); + +endmodule + + diff --git a/old_rtl/VX_generic_priority_encoder.v b/old_rtl/VX_generic_priority_encoder.v new file mode 100644 index 000000000..6bef1a4f4 --- /dev/null +++ b/old_rtl/VX_generic_priority_encoder.v @@ -0,0 +1,27 @@ +`include "../VX_define.v" + +module VX_generic_priority_encoder + #( + parameter N = 1 + ) + ( + input wire[N-1:0] valids, + //output reg[$clog2(N)-1:0] index, + output reg[(`CLOG2(N))-1:0] index, + //output reg[`CLOG2(N):0] index, // eh + output reg found + ); + + integer i; + always @(*) begin + index = 0; + found = 0; + for (i = N-1; i >= 0; i = i - 1) begin + if (valids[i]) begin + //index = i[$clog2(N)-1:0]; + index = i[(`CLOG2(N))-1:0]; + found = 1; + end + end + end +endmodule \ No newline at end of file diff --git a/old_rtl/VX_generic_register.v b/old_rtl/VX_generic_register.v new file mode 100644 index 000000000..7a1a023da --- /dev/null +++ b/old_rtl/VX_generic_register.v @@ -0,0 +1,34 @@ + + +module VX_generic_register + #( + parameter N = 1 + ) + ( + input clk, + input reset, + input stall, + input flush, + input[N-1:0] in, + output [N-1:0] out + ); + + + reg[N-1:0] value; + + + + always @(posedge clk or posedge reset) begin + if (reset) begin + value <= 0; + end else if (flush) begin + value <= 0; + end else if (~stall) begin + value <= in; + end + end + + + assign out = value; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_generic_stack.v b/old_rtl/VX_generic_stack.v new file mode 100644 index 000000000..cdac974fd --- /dev/null +++ b/old_rtl/VX_generic_stack.v @@ -0,0 +1,38 @@ +module VX_generic_stack + #( + parameter WIDTH = 40, + parameter DEPTH = 2 + ) + ( + input wire clk, + input wire reset, + input wire push, + input wire pop, + input reg [WIDTH - 1:0] q1, + input reg [WIDTH - 1:0] q2, + output wire[WIDTH - 1:0] d + ); + + + reg [DEPTH - 1:0] ptr; + reg [WIDTH - 1:0] stack [0:(1 << DEPTH) - 1]; + + integer i; + always @(posedge clk) begin + if (reset) begin + ptr <= 0; + for (i = 0; i < (1 << DEPTH); i=i+1) stack[i] <= 0; + end else if (push) begin + stack[ptr] <= q1; + stack[ptr+1] <= q2; + ptr <= ptr + 2; + end else if (pop) begin + ptr <= ptr - 1; + end + + end + + + assign d = stack[ptr - 1]; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_gpgpu_inst.v b/old_rtl/VX_gpgpu_inst.v new file mode 100644 index 000000000..01a50515a --- /dev/null +++ b/old_rtl/VX_gpgpu_inst.v @@ -0,0 +1,85 @@ +`include "VX_define.v" + +module VX_gpgpu_inst ( + // Input + VX_gpu_inst_req_inter VX_gpu_inst_req, + + // Output + VX_warp_ctl_inter VX_warp_ctl +); + + + wire[`NT_M1:0] curr_valids = VX_gpu_inst_req.valid; + wire is_split = (VX_gpu_inst_req.is_split); + + wire[`NT_M1:0] tmc_new_mask; + genvar curr_t; + for (curr_t = 0; curr_t < `NT; curr_t=curr_t+1) + begin + assign tmc_new_mask[curr_t] = curr_t < VX_gpu_inst_req.a_reg_data[0]; + end + + wire valid_inst = (|curr_valids); + + assign VX_warp_ctl.warp_num = VX_gpu_inst_req.warp_num; + assign VX_warp_ctl.change_mask = (VX_gpu_inst_req.is_tmc) && valid_inst; + assign VX_warp_ctl.thread_mask = VX_gpu_inst_req.is_tmc ? tmc_new_mask : 0; + + // assign VX_warp_ctl.ebreak = (VX_gpu_inst_req.a_reg_data[0] == 0) && valid_inst; + assign VX_warp_ctl.ebreak = VX_warp_ctl.change_mask && (VX_warp_ctl.thread_mask == 0); + + + wire wspawn = VX_gpu_inst_req.is_wspawn; + wire[31:0] wspawn_pc = VX_gpu_inst_req.rd2; + wire[`NW-1:0] wspawn_new_active; + genvar curr_w; + for (curr_w = 0; curr_w < `NW; curr_w=curr_w+1) + begin + assign wspawn_new_active[curr_w] = curr_w < VX_gpu_inst_req.a_reg_data[0]; + end + + + assign VX_warp_ctl.is_barrier = VX_gpu_inst_req.is_barrier && valid_inst; + assign VX_warp_ctl.barrier_id = VX_gpu_inst_req.a_reg_data[0]; + + wire[31:0] num_warps_m1 = VX_gpu_inst_req.rd2 - 1; + assign VX_warp_ctl.num_warps = num_warps_m1[$clog2(`NW):0]; + + assign VX_warp_ctl.wspawn = wspawn; + assign VX_warp_ctl.wspawn_pc = wspawn_pc; + assign VX_warp_ctl.wspawn_new_active = wspawn_new_active; + + wire[`NT_M1:0] split_new_use_mask; + wire[`NT_M1:0] split_new_later_mask; + + // VX_gpu_inst_req.pc + genvar curr_s_t; + for (curr_s_t = 0; curr_s_t < `NT; curr_s_t=curr_s_t+1) begin + wire curr_bool = (VX_gpu_inst_req.a_reg_data[curr_s_t] == 32'b1); + + assign split_new_use_mask[curr_s_t] = curr_valids[curr_s_t] & (curr_bool); + assign split_new_later_mask[curr_s_t] = curr_valids[curr_s_t] & (!curr_bool); + end + + wire[$clog2(`NT):0] num_valids; + + VX_countones #(.N(`NT)) valids_counter ( + .valids(curr_valids), + .count (num_valids) + ); + + // wire[`NW_M1:0] num_valids = $countones(curr_valids); + + + assign VX_warp_ctl.is_split = is_split && (num_valids > 1); + assign VX_warp_ctl.dont_split = VX_warp_ctl.is_split && ((split_new_use_mask == 0) || (split_new_use_mask == {`NT{1'b1}})); + assign VX_warp_ctl.split_new_mask = split_new_use_mask; + assign VX_warp_ctl.split_later_mask = split_new_later_mask; + assign VX_warp_ctl.split_save_pc = VX_gpu_inst_req.pc_next; + assign VX_warp_ctl.split_warp_num = VX_gpu_inst_req.warp_num; + + // VX_gpu_inst_req.is_wspawn + // VX_gpu_inst_req.is_split + // VX_gpu_inst_req.is_barrier + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_gpr.v b/old_rtl/VX_gpr.v new file mode 100644 index 000000000..6f239c51c --- /dev/null +++ b/old_rtl/VX_gpr.v @@ -0,0 +1,172 @@ + +`include "VX_define.v" + +module VX_gpr ( + input wire clk, + input wire reset, + input wire valid_write_request, + VX_gpr_read_inter VX_gpr_read, + VX_wb_inter VX_writeback_inter, + + output reg[`NT_M1:0][31:0] out_a_reg_data, + output reg[`NT_M1:0][31:0] out_b_reg_data +); + + + + wire write_enable; + + + `ifndef ASIC + assign write_enable = valid_write_request && ((VX_writeback_inter.wb != 0)) && (VX_writeback_inter.rd != 0); + + byte_enabled_simple_dual_port_ram first_ram( + .we (write_enable), + .clk (clk), + .reset (reset), + .waddr (VX_writeback_inter.rd), + .raddr1(VX_gpr_read.rs1), + .raddr2(VX_gpr_read.rs2), + .be (VX_writeback_inter.wb_valid), + .wdata (VX_writeback_inter.write_data), + .q1 (out_a_reg_data), + .q2 (out_b_reg_data) + ); + + `else + + assign write_enable = valid_write_request && ((VX_writeback_inter.wb != 0)); + + + wire going_to_write = write_enable & (|VX_writeback_inter.wb_valid); + + + wire[`NT_M1:0][31:0] write_bit_mask; + + genvar curr_t; + for (curr_t = 0; curr_t < `NT; curr_t=curr_t+1) begin + wire local_write = write_enable & VX_writeback_inter.wb_valid[curr_t]; + assign write_bit_mask[curr_t] = {32{~local_write}}; + end + + + + // wire cenb = !going_to_write; + wire cenb = 0; + + // wire cena_1 = (VX_gpr_read.rs1 == 0); + // wire cena_2 = (VX_gpr_read.rs2 == 0); + wire cena_1 = 0; + wire cena_2 = 0; + + wire[`NT_M1:0][31:0] temp_a; + wire[`NT_M1:0][31:0] temp_b; + + + `ifndef SYN + genvar thread; + genvar curr_bit; + for (thread = 0; thread < `NT; thread = thread + 1) + begin + for (curr_bit = 0; curr_bit < 32; curr_bit=curr_bit+1) + begin + assign out_a_reg_data[thread][curr_bit] = ((temp_a[thread][curr_bit] === 1'dx) || cena_1 )? 1'b0 : temp_a[thread][curr_bit]; + assign out_b_reg_data[thread][curr_bit] = ((temp_b[thread][curr_bit] === 1'dx) || cena_2) ? 1'b0 : temp_b[thread][curr_bit]; + end + end + + `else + + assign out_a_reg_data = temp_a; + assign out_b_reg_data = temp_b; + + `endif + + + wire[`NT_M1:0][31:0] to_write = (VX_writeback_inter.rd != 0) ? VX_writeback_inter.write_data : 0; + + genvar curr_base_thread; + for (curr_base_thread = 0; curr_base_thread < 'NT; curr_base_thread=curr_base_thread+4) + begin + /* verilator lint_off PINCONNECTEMPTY */ + rf2_32x128_wm1 first_ram ( + .CENYA(), + .AYA(), + .CENYB(), + .WENYB(), + .AYB(), + .QA(temp_a[(curr_base_thread+3):(curr_base_thread)]), + .SOA(), + .SOB(), + .CLKA(clk), + .CENA(cena_1), + .AA(VX_gpr_read.rs1[(curr_base_thread+3):(curr_base_thread)]), + .CLKB(clk), + .CENB(cenb), + .WENB(write_bit_mask[(curr_base_thread+3):(curr_base_thread)]), + .AB(VX_writeback_inter.rd[(curr_base_thread+3):(curr_base_thread)]), + .DB(to_write[(curr_base_thread+3):(curr_base_thread)]), + .EMAA(3'b011), + .EMASA(1'b0), + .EMAB(3'b011), + .TENA(1'b1), + .TCENA(1'b0), + .TAA(5'b0), + .TENB(1'b1), + .TCENB(1'b0), + .TWENB(128'b0), + .TAB(5'b0), + .TDB(128'b0), + .RET1N(1'b1), + .SIA(2'b0), + .SEA(1'b0), + .DFTRAMBYP(1'b0), + .SIB(2'b0), + .SEB(1'b0), + .COLLDISN(1'b1) + ); + /* verilator lint_on PINCONNECTEMPTY */ + + /* verilator lint_off PINCONNECTEMPTY */ + rf2_32x128_wm1 second_ram ( + .CENYA(), + .AYA(), + .CENYB(), + .WENYB(), + .AYB(), + .QA(temp_b[(curr_base_thread+3):(curr_base_thread)]), + .SOA(), + .SOB(), + .CLKA(clk), + .CENA(cena_2), + .AA(VX_gpr_read.rs2[(curr_base_thread+3):(curr_base_thread)]), + .CLKB(clk), + .CENB(cenb), + .WENB(write_bit_mask[(curr_base_thread+3):(curr_base_thread)]), + .AB(VX_writeback_inter.rd[(curr_base_thread+3):(curr_base_thread)]), + .DB(to_write[(curr_base_thread+3):(curr_base_thread)]), + .EMAA(3'b011), + .EMASA(1'b0), + .EMAB(3'b011), + .TENA(1'b1), + .TCENA(1'b0), + .TAA(5'b0), + .TENB(1'b1), + .TCENB(1'b0), + .TWENB(128'b0), + .TAB(5'b0), + .TDB(128'b0), + .RET1N(1'b1), + .SIA(2'b0), + .SEA(1'b0), + .DFTRAMBYP(1'b0), + .SIB(2'b0), + .SEB(1'b0), + .COLLDISN(1'b1) + ); + /* verilator lint_on PINCONNECTEMPTY */ + end + + `endif + +endmodule diff --git a/old_rtl/VX_gpr_stage.v b/old_rtl/VX_gpr_stage.v new file mode 100644 index 000000000..22fea9d69 --- /dev/null +++ b/old_rtl/VX_gpr_stage.v @@ -0,0 +1,223 @@ + +`include "VX_define.v" + +module VX_gpr_stage ( + input wire clk, + input wire reset, + input wire schedule_delay, + + input wire memory_delay, + input wire stall_gpr_csr, + output wire gpr_stage_delay, + + // inputs + // Instruction Information + VX_frE_to_bckE_req_inter VX_bckE_req, + + // WriteBack inputs + VX_wb_inter VX_writeback_inter, + + + + + // Outputs + VX_exec_unit_req_inter VX_exec_unit_req, + VX_lsu_req_inter VX_lsu_req, + VX_gpu_inst_req_inter VX_gpu_inst_req, + VX_csr_req_inter VX_csr_req +); + + + wire[31:0] curr_PC = VX_bckE_req.curr_PC; + wire[2:0] branchType = VX_bckE_req.branch_type; + + wire is_store = (VX_bckE_req.mem_write != `NO_MEM_WRITE); + wire is_load = (VX_bckE_req.mem_read != `NO_MEM_READ); + + + wire jalQual = VX_bckE_req.jalQual; + + VX_gpr_read_inter VX_gpr_read(); + assign VX_gpr_read.rs1 = VX_bckE_req.rs1; + assign VX_gpr_read.rs2 = VX_bckE_req.rs2; + assign VX_gpr_read.warp_num = VX_bckE_req.warp_num; + + `ifndef ASIC + VX_gpr_jal_inter VX_gpr_jal(); + assign VX_gpr_jal.is_jal = VX_bckE_req.jalQual; + assign VX_gpr_jal.curr_PC = VX_bckE_req.curr_PC; + `else + VX_gpr_jal_inter VX_gpr_jal(); + assign VX_gpr_jal.is_jal = VX_exec_unit_req.jalQual; + assign VX_gpr_jal.curr_PC = VX_exec_unit_req.curr_PC; + `endif + + + VX_gpr_data_inter VX_gpr_datf(); + + + VX_gpr_wrapper vx_grp_wrapper( + .clk (clk), + .reset (reset), + .VX_writeback_inter(VX_writeback_inter), + .VX_gpr_read (VX_gpr_read), + .VX_gpr_jal (VX_gpr_jal), + + .out_a_reg_data (VX_gpr_datf.a_reg_data), + .out_b_reg_data (VX_gpr_datf.b_reg_data) + ); + + // assign VX_bckE_req.is_csr = is_csr; + // assign VX_bckE_req_out.csr_mask = (VX_bckE_req.sr_immed == 1'b1) ? {27'h0, VX_bckE_req.rs1} : VX_gpr_data.a_reg_data[0]; + + // Outputs + VX_exec_unit_req_inter VX_exec_unit_req_temp(); + VX_lsu_req_inter VX_lsu_req_temp(); + VX_gpu_inst_req_inter VX_gpu_inst_req_temp(); + VX_csr_req_inter VX_csr_req_temp(); + + VX_inst_multiplex VX_inst_mult( + .VX_bckE_req (VX_bckE_req), + .VX_gpr_data (VX_gpr_datf), + .VX_exec_unit_req(VX_exec_unit_req_temp), + .VX_lsu_req (VX_lsu_req_temp), + .VX_gpu_inst_req (VX_gpu_inst_req_temp), + .VX_csr_req (VX_csr_req_temp) + ); + + wire is_lsu = (|VX_lsu_req_temp.valid); + + wire stall_rest = 0; + wire flush_rest = schedule_delay; + + + wire stall_lsu = memory_delay; + wire flush_lsu = schedule_delay && !stall_lsu; + + assign gpr_stage_delay = stall_lsu || (stall_gpr_csr && VX_bckE_req.is_csr && (|VX_bckE_req.valid)); + + `ifdef ASIC + wire delayed_lsu_last_cycle; + + VX_generic_register #(.N(1)) delayed_reg ( + .clk (clk), + .reset(reset), + .stall(stall_rest), + .flush(stall_rest), + .in (stall_lsu), + .out (delayed_lsu_last_cycle) + ); + + + wire[`NT_M1:0][31:0] temp_store_data; + wire[`NT_M1:0][31:0] temp_base_address; // A reg data + + wire[`NT_M1:0][31:0] real_store_data; + wire[`NT_M1:0][31:0] real_base_address; // A reg data + + wire store_curr_real = !delayed_lsu_last_cycle && stall_lsu; + + VX_generic_register #(.N(`NT*32*2)) lsu_data( + .clk (clk), + .reset(reset), + .stall(!store_curr_real), + .flush(stall_rest), + .in ({real_store_data, real_base_address}), + .out ({temp_store_data, temp_base_address}) + ); + + assign real_store_data = VX_lsu_req_temp.store_data; + assign real_base_address = VX_lsu_req_temp.base_address; + + + assign VX_lsu_req.store_data = (delayed_lsu_last_cycle) ? temp_store_data : real_store_data; + assign VX_lsu_req.base_address = (delayed_lsu_last_cycle) ? temp_base_address : real_base_address; + + + VX_generic_register #(.N(77 + `NW_M1 + 1 + (`NT))) lsu_reg( + .clk (clk), + .reset(reset), + .stall(stall_lsu), + .flush(flush_lsu), + .in ({VX_lsu_req_temp.valid, VX_lsu_req_temp.lsu_pc, VX_lsu_req_temp.warp_num, VX_lsu_req_temp.offset, VX_lsu_req_temp.mem_read, VX_lsu_req_temp.mem_write, VX_lsu_req_temp.rd, VX_lsu_req_temp.wb}), + .out ({VX_lsu_req.valid , VX_lsu_req.lsu_pc ,VX_lsu_req.warp_num , VX_lsu_req.offset , VX_lsu_req.mem_read , VX_lsu_req.mem_write , VX_lsu_req.rd , VX_lsu_req.wb }) + ); + + VX_generic_register #(.N(224 + `NW_M1 + 1 + (`NT))) exec_unit_reg( + .clk (clk), + .reset(reset), + .stall(stall_rest), + .flush(flush_rest), + .in ({VX_exec_unit_req_temp.valid, VX_exec_unit_req_temp.warp_num, VX_exec_unit_req_temp.curr_PC, VX_exec_unit_req_temp.PC_next, VX_exec_unit_req_temp.rd, VX_exec_unit_req_temp.wb, VX_exec_unit_req_temp.alu_op, VX_exec_unit_req_temp.rs1, VX_exec_unit_req_temp.rs2, VX_exec_unit_req_temp.rs2_src, VX_exec_unit_req_temp.itype_immed, VX_exec_unit_req_temp.upper_immed, VX_exec_unit_req_temp.branch_type, VX_exec_unit_req_temp.jalQual, VX_exec_unit_req_temp.jal, VX_exec_unit_req_temp.jal_offset, VX_exec_unit_req_temp.ebreak, VX_exec_unit_req_temp.wspawn, VX_exec_unit_req_temp.is_csr, VX_exec_unit_req_temp.csr_address, VX_exec_unit_req_temp.csr_immed, VX_exec_unit_req_temp.csr_mask}), + .out ({VX_exec_unit_req.valid , VX_exec_unit_req.warp_num , VX_exec_unit_req.curr_PC , VX_exec_unit_req.PC_next , VX_exec_unit_req.rd , VX_exec_unit_req.wb , VX_exec_unit_req.alu_op , VX_exec_unit_req.rs1 , VX_exec_unit_req.rs2 , VX_exec_unit_req.rs2_src , VX_exec_unit_req.itype_immed , VX_exec_unit_req.upper_immed , VX_exec_unit_req.branch_type , VX_exec_unit_req.jalQual , VX_exec_unit_req.jal , VX_exec_unit_req.jal_offset , VX_exec_unit_req.ebreak , VX_exec_unit_req.wspawn , VX_exec_unit_req.is_csr , VX_exec_unit_req.csr_address , VX_exec_unit_req.csr_immed , VX_exec_unit_req.csr_mask }) + ); + + assign VX_exec_unit_req.a_reg_data = real_base_address; + assign VX_exec_unit_req.b_reg_data = real_store_data; + + VX_generic_register #(.N(36 + `NW_M1 + 1 + (`NT))) gpu_inst_reg( + .clk (clk), + .reset(reset), + .stall(stall_rest), + .flush(flush_rest), + .in ({VX_gpu_inst_req_temp.valid, VX_gpu_inst_req_temp.warp_num, VX_gpu_inst_req_temp.is_wspawn, VX_gpu_inst_req_temp.is_tmc, VX_gpu_inst_req_temp.is_split, VX_gpu_inst_req_temp.is_barrier, VX_gpu_inst_req_temp.pc_next}), + .out ({VX_gpu_inst_req.valid , VX_gpu_inst_req.warp_num , VX_gpu_inst_req.is_wspawn , VX_gpu_inst_req.is_tmc , VX_gpu_inst_req.is_split , VX_gpu_inst_req.is_barrier , VX_gpu_inst_req.pc_next }) + ); + + assign VX_gpu_inst_req.a_reg_data = real_base_address; + assign VX_gpu_inst_req.rd2 = real_store_data; + + VX_generic_register #(.N(`NW_M1 + 1 + `NT + 58)) csr_reg( + .clk (clk), + .reset(reset), + .stall(stall_gpr_csr), + .flush(flush_rest), + .in ({VX_csr_req_temp.valid, VX_csr_req_temp.warp_num, VX_csr_req_temp.rd, VX_csr_req_temp.wb, VX_csr_req_temp.alu_op, VX_csr_req_temp.is_csr, VX_csr_req_temp.csr_address, VX_csr_req_temp.csr_immed, VX_csr_req_temp.csr_mask}), + .out ({VX_csr_req.valid , VX_csr_req.warp_num , VX_csr_req.rd , VX_csr_req.wb , VX_csr_req.alu_op , VX_csr_req.is_csr , VX_csr_req.csr_address , VX_csr_req.csr_immed , VX_csr_req.csr_mask }) + ); + + + // assign + + `else + + // 341 + VX_generic_register #(.N(77 + `NW_M1 + 1 + 65*(`NT))) lsu_reg( + .clk (clk), + .reset(reset), + .stall(stall_lsu), + .flush(flush_lsu), + .in ({VX_lsu_req_temp.valid, VX_lsu_req_temp.lsu_pc, VX_lsu_req_temp.warp_num, VX_lsu_req_temp.store_data, VX_lsu_req_temp.base_address, VX_lsu_req_temp.offset, VX_lsu_req_temp.mem_read, VX_lsu_req_temp.mem_write, VX_lsu_req_temp.rd, VX_lsu_req_temp.wb}), + .out ({VX_lsu_req.valid , VX_lsu_req.lsu_pc , VX_lsu_req.warp_num , VX_lsu_req.store_data , VX_lsu_req.base_address , VX_lsu_req.offset , VX_lsu_req.mem_read , VX_lsu_req.mem_write , VX_lsu_req.rd , VX_lsu_req.wb }) + ); + + VX_generic_register #(.N(224 + `NW_M1 + 1 + 65*(`NT))) exec_unit_reg( + .clk (clk), + .reset(reset), + .stall(stall_rest), + .flush(flush_rest), + .in ({VX_exec_unit_req_temp.valid, VX_exec_unit_req_temp.warp_num, VX_exec_unit_req_temp.curr_PC, VX_exec_unit_req_temp.PC_next, VX_exec_unit_req_temp.rd, VX_exec_unit_req_temp.wb, VX_exec_unit_req_temp.a_reg_data, VX_exec_unit_req_temp.b_reg_data, VX_exec_unit_req_temp.alu_op, VX_exec_unit_req_temp.rs1, VX_exec_unit_req_temp.rs2, VX_exec_unit_req_temp.rs2_src, VX_exec_unit_req_temp.itype_immed, VX_exec_unit_req_temp.upper_immed, VX_exec_unit_req_temp.branch_type, VX_exec_unit_req_temp.jalQual, VX_exec_unit_req_temp.jal, VX_exec_unit_req_temp.jal_offset, VX_exec_unit_req_temp.ebreak, VX_exec_unit_req_temp.wspawn, VX_exec_unit_req_temp.is_csr, VX_exec_unit_req_temp.csr_address, VX_exec_unit_req_temp.csr_immed, VX_exec_unit_req_temp.csr_mask}), + .out ({VX_exec_unit_req.valid , VX_exec_unit_req.warp_num , VX_exec_unit_req.curr_PC , VX_exec_unit_req.PC_next , VX_exec_unit_req.rd , VX_exec_unit_req.wb , VX_exec_unit_req.a_reg_data , VX_exec_unit_req.b_reg_data , VX_exec_unit_req.alu_op , VX_exec_unit_req.rs1 , VX_exec_unit_req.rs2 , VX_exec_unit_req.rs2_src , VX_exec_unit_req.itype_immed , VX_exec_unit_req.upper_immed , VX_exec_unit_req.branch_type , VX_exec_unit_req.jalQual , VX_exec_unit_req.jal , VX_exec_unit_req.jal_offset , VX_exec_unit_req.ebreak , VX_exec_unit_req.wspawn , VX_exec_unit_req.is_csr , VX_exec_unit_req.csr_address , VX_exec_unit_req.csr_immed , VX_exec_unit_req.csr_mask }) + ); + + VX_generic_register #(.N(68 + `NW_M1 + 1 + 33*(`NT))) gpu_inst_reg( + .clk (clk), + .reset(reset), + .stall(stall_rest), + .flush(flush_rest), + .in ({VX_gpu_inst_req_temp.valid, VX_gpu_inst_req_temp.warp_num, VX_gpu_inst_req_temp.is_wspawn, VX_gpu_inst_req_temp.is_tmc, VX_gpu_inst_req_temp.is_split, VX_gpu_inst_req_temp.is_barrier, VX_gpu_inst_req_temp.pc_next, VX_gpu_inst_req_temp.a_reg_data, VX_gpu_inst_req_temp.rd2}), + .out ({VX_gpu_inst_req.valid , VX_gpu_inst_req.warp_num , VX_gpu_inst_req.is_wspawn , VX_gpu_inst_req.is_tmc , VX_gpu_inst_req.is_split , VX_gpu_inst_req.is_barrier , VX_gpu_inst_req.pc_next , VX_gpu_inst_req.a_reg_data , VX_gpu_inst_req.rd2 }) + ); + + VX_generic_register #(.N(`NW_M1 + 1 + `NT + 58)) csr_reg( + .clk (clk), + .reset(reset), + .stall(stall_gpr_csr), + .flush(flush_rest), + .in ({VX_csr_req_temp.valid, VX_csr_req_temp.warp_num, VX_csr_req_temp.rd, VX_csr_req_temp.wb, VX_csr_req_temp.alu_op, VX_csr_req_temp.is_csr, VX_csr_req_temp.csr_address, VX_csr_req_temp.csr_immed, VX_csr_req_temp.csr_mask}), + .out ({VX_csr_req.valid , VX_csr_req.warp_num , VX_csr_req.rd , VX_csr_req.wb , VX_csr_req.alu_op , VX_csr_req.is_csr , VX_csr_req.csr_address , VX_csr_req.csr_immed , VX_csr_req.csr_mask }) + ); + + `endif + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_gpr_wrapper.v b/old_rtl/VX_gpr_wrapper.v new file mode 100644 index 000000000..2f2ec4e0b --- /dev/null +++ b/old_rtl/VX_gpr_wrapper.v @@ -0,0 +1,70 @@ +`include "VX_define.v" + +module VX_gpr_wrapper ( + input wire clk, + input wire reset, + VX_gpr_read_inter VX_gpr_read, + VX_wb_inter VX_writeback_inter, + VX_gpr_jal_inter VX_gpr_jal, + + output wire[`NT_M1:0][31:0] out_a_reg_data, + output wire[`NT_M1:0][31:0] out_b_reg_data + +); + + wire[`NW-1:0][`NT_M1:0][31:0] temp_a_reg_data; + wire[`NW-1:0][`NT_M1:0][31:0] temp_b_reg_data; + + wire[`NT_M1:0][31:0] jal_data; + genvar index; + for (index = 0; index <= `NT_M1; index = index + 1) begin + assign jal_data[index] = VX_gpr_jal.curr_PC; + end + + + `ifndef ASIC + assign out_a_reg_data = (VX_gpr_jal.is_jal ? jal_data : (temp_a_reg_data[VX_gpr_read.warp_num])); + assign out_b_reg_data = (temp_b_reg_data[VX_gpr_read.warp_num]); + `else + + wire zer = 0; + + wire[`NW_M1:0] old_warp_num; + VX_generic_register #(`NW_M1+1) store_wn( + .clk (clk), + .reset(reset), + .stall(zer), + .flush(zer), + .in (VX_gpr_read.warp_num), + .out (old_warp_num) + ); + + assign out_a_reg_data = (VX_gpr_jal.is_jal ? jal_data : (temp_a_reg_data[old_warp_num])); + assign out_b_reg_data = (temp_b_reg_data[old_warp_num]); + + `endif + + genvar warp_index; + generate + + for (warp_index = 0; warp_index < `NW; warp_index = warp_index + 1) begin + + wire valid_write_request = warp_index == VX_writeback_inter.wb_warp_num; + VX_gpr vx_gpr( + .clk (clk), + .reset (reset), + .valid_write_request(valid_write_request), + .VX_gpr_read (VX_gpr_read), + .VX_writeback_inter (VX_writeback_inter), + .out_a_reg_data (temp_a_reg_data[warp_index]), + .out_b_reg_data (temp_b_reg_data[warp_index]) + ); + + end + + endgenerate + + +endmodule + + diff --git a/old_rtl/VX_inst_multiplex.v b/old_rtl/VX_inst_multiplex.v new file mode 100644 index 000000000..86da67def --- /dev/null +++ b/old_rtl/VX_inst_multiplex.v @@ -0,0 +1,95 @@ +`include "VX_define.v" + +module VX_inst_multiplex ( + // Inputs + VX_frE_to_bckE_req_inter VX_bckE_req, + VX_gpr_data_inter VX_gpr_data, + + // Outputs + VX_exec_unit_req_inter VX_exec_unit_req, + VX_lsu_req_inter VX_lsu_req, + VX_gpu_inst_req_inter VX_gpu_inst_req, + VX_csr_req_inter VX_csr_req +); + + wire[`NT_M1:0] is_mem_mask; + wire[`NT_M1:0] is_gpu_mask; + wire[`NT_M1:0] is_csr_mask; + + wire is_mem = (VX_bckE_req.mem_write != `NO_MEM_WRITE) || (VX_bckE_req.mem_read != `NO_MEM_READ); + wire is_gpu = (VX_bckE_req.is_wspawn || VX_bckE_req.is_tmc || VX_bckE_req.is_barrier || VX_bckE_req.is_split); + wire is_csr = VX_bckE_req.is_csr; + // wire is_gpu = 0; + + genvar currT; + for (currT = 0; currT < `NT; currT = currT + 1) begin + assign is_mem_mask[currT] = is_mem; + assign is_gpu_mask[currT] = is_gpu; + assign is_csr_mask[currT] = is_csr; + end + + // LSU Unit + assign VX_lsu_req.valid = VX_bckE_req.valid & is_mem_mask; + assign VX_lsu_req.warp_num = VX_bckE_req.warp_num; + assign VX_lsu_req.base_address = VX_gpr_data.a_reg_data; + assign VX_lsu_req.store_data = VX_gpr_data.b_reg_data; + + assign VX_lsu_req.offset = VX_bckE_req.itype_immed; + + assign VX_lsu_req.mem_read = VX_bckE_req.mem_read; + assign VX_lsu_req.mem_write = VX_bckE_req.mem_write; + assign VX_lsu_req.rd = VX_bckE_req.rd; + assign VX_lsu_req.wb = VX_bckE_req.wb; + assign VX_lsu_req.lsu_pc = VX_bckE_req.curr_PC; + + + // Execute Unit + assign VX_exec_unit_req.valid = VX_bckE_req.valid & (~is_mem_mask & ~is_gpu_mask & ~is_csr_mask); + assign VX_exec_unit_req.warp_num = VX_bckE_req.warp_num; + assign VX_exec_unit_req.curr_PC = VX_bckE_req.curr_PC; + assign VX_exec_unit_req.PC_next = VX_bckE_req.PC_next; + assign VX_exec_unit_req.rd = VX_bckE_req.rd; + assign VX_exec_unit_req.wb = VX_bckE_req.wb; + assign VX_exec_unit_req.a_reg_data = VX_gpr_data.a_reg_data; + assign VX_exec_unit_req.b_reg_data = VX_gpr_data.b_reg_data; + assign VX_exec_unit_req.alu_op = VX_bckE_req.alu_op; + assign VX_exec_unit_req.rs1 = VX_bckE_req.rs1; + assign VX_exec_unit_req.rs2 = VX_bckE_req.rs2; + assign VX_exec_unit_req.rs2_src = VX_bckE_req.rs2_src; + assign VX_exec_unit_req.itype_immed = VX_bckE_req.itype_immed; + assign VX_exec_unit_req.upper_immed = VX_bckE_req.upper_immed; + assign VX_exec_unit_req.branch_type = VX_bckE_req.branch_type; + assign VX_exec_unit_req.jalQual = VX_bckE_req.jalQual; + assign VX_exec_unit_req.jal = VX_bckE_req.jal; + assign VX_exec_unit_req.jal_offset = VX_bckE_req.jal_offset; + assign VX_exec_unit_req.ebreak = VX_bckE_req.ebreak; + + + // GPR Req + assign VX_gpu_inst_req.valid = VX_bckE_req.valid & is_gpu_mask; + assign VX_gpu_inst_req.warp_num = VX_bckE_req.warp_num; + assign VX_gpu_inst_req.is_wspawn = VX_bckE_req.is_wspawn; + assign VX_gpu_inst_req.is_tmc = VX_bckE_req.is_tmc; + assign VX_gpu_inst_req.is_split = VX_bckE_req.is_split; + assign VX_gpu_inst_req.is_barrier = VX_bckE_req.is_barrier; + assign VX_gpu_inst_req.a_reg_data = VX_gpr_data.a_reg_data; + assign VX_gpu_inst_req.rd2 = VX_gpr_data.b_reg_data[0]; + assign VX_gpu_inst_req.pc_next = VX_bckE_req.PC_next; + + + // CSR Req + assign VX_csr_req.valid = VX_bckE_req.valid & is_csr_mask; + assign VX_csr_req.warp_num = VX_bckE_req.warp_num; + assign VX_csr_req.rd = VX_bckE_req.rd; + assign VX_csr_req.wb = VX_bckE_req.wb; + assign VX_csr_req.alu_op = VX_bckE_req.alu_op; + assign VX_csr_req.is_csr = VX_bckE_req.is_csr; + assign VX_csr_req.csr_address = VX_bckE_req.csr_address; + assign VX_csr_req.csr_immed = VX_bckE_req.csr_immed; + assign VX_csr_req.csr_mask = VX_bckE_req.csr_mask; + +endmodule + + + + diff --git a/old_rtl/VX_lsu.v b/old_rtl/VX_lsu.v new file mode 100644 index 000000000..05def0728 --- /dev/null +++ b/old_rtl/VX_lsu.v @@ -0,0 +1,106 @@ + +`include "VX_define.v" + + +module VX_lsu ( + input wire clk, + input wire reset, + input wire no_slot_mem, + VX_lsu_req_inter VX_lsu_req, + + // Write back to GPR + VX_inst_mem_wb_inter VX_mem_wb, + + VX_dcache_response_inter VX_dcache_rsp, + VX_dcache_request_inter VX_dcache_req, + output wire out_delay + ); + + // VX_inst_mem_wb_inter VX_mem_wb_temp(); + + assign out_delay = VX_dcache_rsp.delay || no_slot_mem; + + + // Generate Addresses + wire[`NT_M1:0][31:0] address; + VX_lsu_addr_gen VX_lsu_addr_gen + ( + .base_address(VX_lsu_req.base_address), + .offset (VX_lsu_req.offset), + .address (address) + ); + + + wire[`NT_M1:0][31:0] use_address; + wire[`NT_M1:0][31:0] use_store_data; + wire[`NT_M1:0] use_valid; + wire[2:0] use_mem_read; + wire[2:0] use_mem_write; + wire[4:0] use_rd; + wire[`NW_M1:0] use_warp_num; + wire[1:0] use_wb; + wire[31:0] use_pc; + + + + wire zero = 0; + + VX_generic_register #(.N(45 + `NW_M1 + 1 + `NT*65)) lsu_buffer( + .clk (clk), + .reset(reset), + .stall(out_delay), + .flush(zero), + .in ({address , VX_lsu_req.store_data, VX_lsu_req.valid, VX_lsu_req.mem_read, VX_lsu_req.mem_write, VX_lsu_req.rd, VX_lsu_req.warp_num, VX_lsu_req.wb, VX_lsu_req.lsu_pc}), + .out ({use_address, use_store_data , use_valid , use_mem_read , use_mem_write , use_rd , use_warp_num , use_wb , use_pc }) + ); + + + genvar index; + for (index = 0; index <= `NT_M1; index = index + 1) begin + assign VX_dcache_req.out_cache_driver_in_address[index] = use_address[index]; + assign VX_dcache_req.out_cache_driver_in_data[index] = use_store_data[index]; + assign VX_dcache_req.out_cache_driver_in_valid[index] = (use_valid[index]); + + assign VX_mem_wb.loaded_data[index] = VX_dcache_rsp.in_cache_driver_out_data[index]; + end + + assign VX_dcache_req.out_cache_driver_in_mem_read = use_mem_read; + assign VX_dcache_req.out_cache_driver_in_mem_write = use_mem_write; + + + assign VX_mem_wb.rd = use_rd; + assign VX_mem_wb.wb = use_wb & {!VX_dcache_rsp.delay, !VX_dcache_rsp.delay}; + assign VX_mem_wb.wb_valid = use_valid; + assign VX_mem_wb.wb_warp_num = use_warp_num; + + assign VX_mem_wb.mem_wb_pc = use_pc; + + // integer curr_t; + // always @(negedge clk) begin + // for (int curr_t = 0; curr_t < `NT; curr_t=curr_t+1) + // if ((VX_dcache_req.out_cache_driver_in_valid[curr_t]) && !out_delay) begin + // if (VX_dcache_req.out_cache_driver_in_mem_read != `NO_MEM_READ) begin + // $display("Reading addr: %x val: %x", address[0], VX_mem_wb.loaded_data[0]); + // end + + // if (VX_dcache_req.out_cache_driver_in_mem_write != `NO_MEM_WRITE) begin + // $display("Writing addr: %x val: %x", address[0], VX_dcache_req.out_cache_driver_in_data[0]); + // end + // end + // end + + // wire zero_temp = 0; + // VX_generic_register #(.N(142)) register_wb_data + // ( + // .clk (clk), + // .reset(reset), + // .stall(zero_temp), + // .flush(out_delay), + // .in ({VX_mem_wb_temp.loaded_data, VX_mem_wb_temp.rd, VX_mem_wb_temp.wb, VX_mem_wb_temp.wb_valid, VX_mem_wb_temp.wb_warp_num}), + // .out ({VX_mem_wb.loaded_data , VX_mem_wb.rd , VX_mem_wb.wb , VX_mem_wb.wb_valid , VX_mem_wb.wb_warp_num }) + // ); + + +endmodule // Memory + + diff --git a/old_rtl/VX_lsu_addr_gen.v b/old_rtl/VX_lsu_addr_gen.v new file mode 100644 index 000000000..85811da5b --- /dev/null +++ b/old_rtl/VX_lsu_addr_gen.v @@ -0,0 +1,17 @@ +`include "VX_define.v" + +module VX_lsu_addr_gen ( + input wire[`NT_M1:0][31:0] base_address, + input wire[31:0] offset, + output wire[`NT_M1:0][31:0] address + +); + + + genvar index; + for (index = 0; index < `NT; index = index + 1) + begin + assign address[index] = base_address[index] + offset; + end + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_priority_encoder.v b/old_rtl/VX_priority_encoder.v new file mode 100644 index 000000000..a0f7934f4 --- /dev/null +++ b/old_rtl/VX_priority_encoder.v @@ -0,0 +1,20 @@ +`include "VX_define.v" + +module VX_priority_encoder ( + input wire[`NW-1:0] valids, + output reg[`NW_M1:0] index, + output reg found + ); + + integer i; + always @(*) begin + index = 0; + found = 0; + for (i = `NW-1; i >= 0; i = i - 1) begin + if (valids[i]) begin + index = i[`NW_M1:0]; + found = 1; + end + end + end +endmodule \ No newline at end of file diff --git a/old_rtl/VX_priority_encoder_w_mask.v b/old_rtl/VX_priority_encoder_w_mask.v new file mode 100644 index 000000000..fcd9d8651 --- /dev/null +++ b/old_rtl/VX_priority_encoder_w_mask.v @@ -0,0 +1,32 @@ +`include "../VX_define.v" +module VX_priority_encoder_w_mask + #( + parameter N = 10 + ) + ( + input wire[N-1:0] valids, + output reg [N-1:0] mask, + //output reg[$clog2(N)-1:0] index, + output reg[(`CLOG2(N))-1:0] index, + //output reg[`CLOG2(N):0] index, // eh + output reg found + ); + + integer i; + always @(valids) begin + index = 0; + found = 0; + // mask = 0; + for (i = 0; i < N; i=i+1) begin + if (valids[i]) begin + //index = i[$clog2(N)-1:0]; + index = i[(`CLOG2(N))-1:0]; + found = 1; + // mask[index] = (1 << i); + // $display("%h",(1 << i)); + end + end + end + + assign mask = found ? (1 << index) : 0; +endmodule \ No newline at end of file diff --git a/old_rtl/VX_scheduler.v b/old_rtl/VX_scheduler.v new file mode 100644 index 000000000..ce54db63e --- /dev/null +++ b/old_rtl/VX_scheduler.v @@ -0,0 +1,69 @@ + + +`include "VX_define.v" + +module VX_scheduler ( + input wire clk, + input wire reset, + input wire memory_delay, + input wire gpr_stage_delay, + VX_frE_to_bckE_req_inter VX_bckE_req, + VX_wb_inter VX_writeback_inter, + + output wire schedule_delay + +); + + + + reg[31:0] rename_table[`NW-1:0]; + + wire valid_wb = (VX_writeback_inter.wb != 0) && (|VX_writeback_inter.wb_valid) && (VX_writeback_inter.rd != 0); + wire wb_inc = (VX_bckE_req.wb != 0) && (VX_bckE_req.rd != 0); + + wire rs1_rename = rename_table[VX_bckE_req.warp_num][VX_bckE_req.rs1]; + wire rs2_rename = rename_table[VX_bckE_req.warp_num][VX_bckE_req.rs2]; + + wire is_store = (VX_bckE_req.mem_write != `NO_MEM_WRITE); + wire is_load = (VX_bckE_req.mem_read != `NO_MEM_READ); + + wire is_mem = is_store || is_load; + + + wire rs1_pass = ((valid_wb && (VX_writeback_inter.rd == VX_bckE_req.rs1))); + wire rs2_pass = ((valid_wb && (VX_writeback_inter.rd == VX_bckE_req.rs2))); + + // wire rs1_pass = 0; + // wire rs2_pass = 0; + + wire using_rs2 = (VX_bckE_req.rs2_src == `RS2_REG) || is_store || VX_bckE_req.is_barrier || VX_bckE_req.is_wspawn; + + wire rs1_rename_qual = ((rs1_rename || (rs1_pass && 0)) && (VX_bckE_req.rs1 != 0)); + wire rs2_rename_qual = ((rs2_rename || (rs2_pass && 0)) && (VX_bckE_req.rs2 != 0 && using_rs2)); + + + wire rename_valid = rs1_rename_qual || rs2_rename_qual ; + + + assign schedule_delay = ((rename_valid) && (|VX_bckE_req.valid)) || (memory_delay && (is_mem)) || (gpr_stage_delay && is_mem); + + integer i; + integer w; + always @(posedge clk or posedge reset) begin + + if (reset) begin + for (w = 0; w < `NW; w=w+1) + begin + for (i = 0; i < 32; i = i + 1) + begin + rename_table[w][i] <= 0; + end + end + end else begin + if (valid_wb ) rename_table[VX_writeback_inter.wb_warp_num][VX_writeback_inter.rd] <= 0; + if (!schedule_delay && wb_inc) rename_table[VX_bckE_req.warp_num ][VX_bckE_req.rd] <= 1; + end + end + + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_warp.v b/old_rtl/VX_warp.v new file mode 100644 index 000000000..05712c8f7 --- /dev/null +++ b/old_rtl/VX_warp.v @@ -0,0 +1,86 @@ +`include "VX_define.v" + + +module VX_warp ( + input wire clk, + input wire reset, + input wire stall, + input wire remove, + input wire[`NT_M1:0] in_thread_mask, + input wire in_change_mask, + input wire in_jal, + input wire[31:0] in_jal_dest, + input wire in_branch_dir, + input wire[31:0] in_branch_dest, + input wire in_wspawn, + input wire[31:0] in_wspawn_pc, + + output wire[31:0] out_PC, + output wire[`NT_M1:0] out_valid +); + + reg[31:0] real_PC; + var[31:0] temp_PC; + var[31:0] use_PC; + reg[`NT_M1:0] valid; + + reg[`NT_M1:0] valid_zero; + + integer ini_cur_th = 0; + initial begin + real_PC = 0; + for (ini_cur_th = 1; ini_cur_th < `NT; ini_cur_th=ini_cur_th+1) begin + valid[ini_cur_th] = 0; // Thread 1 active + valid_zero[ini_cur_th] = 0; + end + valid[0] = 1; + valid_zero[0] = 0; + end + + + always @(posedge clk, posedge reset) begin + if (remove) begin + valid <= valid_zero; + end else if (in_change_mask) begin + valid <= in_thread_mask; + end + end + + + genvar out_cur_th; + generate + for (out_cur_th = 0; out_cur_th < `NT; out_cur_th = out_cur_th+1) + assign out_valid[out_cur_th] = in_change_mask ? in_thread_mask[out_cur_th] : stall ? 1'b0 : valid[out_cur_th]; + endgenerate + + + always @(*) begin + if (in_jal == 1'b1) begin + temp_PC = in_jal_dest; + // $display("LINKING TO %h", temp_PC); + end else if (in_branch_dir == 1'b1) begin + temp_PC = in_branch_dest; + end else begin + temp_PC = real_PC; + end + end + + assign use_PC = temp_PC; + assign out_PC = temp_PC; + + always @(posedge clk or posedge reset) begin + if (reset) begin + real_PC <= 0; + end else if (in_wspawn == 1'b1) begin + // $display("Inside warp ***** Spawn @ %H",in_wspawn_pc); + real_PC <= in_wspawn_pc; + end else if (!stall) begin + real_PC <= use_PC + 32'h4; + end else begin + real_PC <= use_PC; + end + + end + + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_warp_scheduler.v b/old_rtl/VX_warp_scheduler.v new file mode 100644 index 000000000..0ee349408 --- /dev/null +++ b/old_rtl/VX_warp_scheduler.v @@ -0,0 +1,321 @@ +`include "VX_define.v" + +module VX_warp_scheduler ( + input wire clk, // Clock + input wire reset, + input wire stall, + // Wspawn + input wire wspawn, + input wire[31:0] wsapwn_pc, + input wire[`NW-1:0] wspawn_new_active, + + // CTM + input wire ctm, + input wire[`NT_M1:0] ctm_mask, + input wire[`NW_M1:0] ctm_warp_num, + + // WHALT + input wire whalt, + input wire[`NW_M1:0] whalt_warp_num, + + input wire is_barrier, + input wire[31:0] barrier_id, + input wire[$clog2(`NW):0] num_warps, + input wire[`NW_M1:0] barrier_warp_num, + + // WSTALL + input wire wstall, + input wire[`NW_M1:0] wstall_warp_num, + + // Split + input wire is_split, + input wire dont_split, + input wire[`NT_M1:0] split_new_mask, + input wire[`NT_M1:0] split_later_mask, + input wire[31:0] split_save_pc, + input wire[`NW_M1:0] split_warp_num, + + // Join + input wire is_join, + input wire[`NW_M1:0] join_warp_num, + + // JAL + input wire jal, + input wire[31:0] jal_dest, + input wire[`NW_M1:0] jal_warp_num, + + // Branch + input wire branch_valid, + input wire branch_dir, + input wire[31:0] branch_dest, + input wire[`NW_M1:0] branch_warp_num, + + output wire[`NT_M1:0] thread_mask, + output wire[`NW_M1:0] warp_num, + output wire[31:0] warp_pc, + output wire out_ebreak, + output wire scheduled_warp + +); + + wire update_use_wspawn; + + wire update_visible_active; + + wire[(1+32+`NT_M1):0] d[`NW-1:0]; + + wire join_fall; + wire[31:0] join_pc; + wire[`NT_M1:0] join_tm; + + wire in_wspawn = wspawn; + wire in_ctm = ctm; + wire in_whalt = whalt; + wire in_wstall = wstall; + + reg[`NW-1:0] warp_active; + reg[`NW-1:0] warp_stalled; + + reg[`NW-1:0] visible_active; + wire[`NW-1:0] use_active; + + wire wstall_this_cycle; + + reg[`NT_M1:0] thread_masks[`NW-1:0]; + reg[31:0] warp_pcs[`NW-1:0]; + + // barriers + reg[`NW-1:0] barrier_stall_mask[(`NUM_BARRIERS-1):0]; + wire reached_barrier_limit; + wire[`NW-1:0] curr_barrier_mask; + wire[$clog2(`NW):0] curr_barrier_count; + + // wsapwn + reg[31:0] use_wsapwn_pc; + reg[`NW-1:0] use_wsapwn; + + wire[`NW_M1:0] warp_to_schedule; + wire schedule; + + wire hazard; + wire global_stall; + + wire real_schedule; + + wire[31:0] new_pc; + + reg[`NW-1:0] total_barrier_stall; + + reg didnt_split; + + /* verilator lint_off UNUSED */ + // wire[$clog2(`NW):0] num_active; + /* verilator lint_on UNUSED */ + + integer curr_w_help; + integer curr_barrier; + always @(posedge clk or posedge reset) begin + if (reset) begin + for (curr_barrier = 0; curr_barrier < `NUM_BARRIERS; curr_barrier=curr_barrier+1) begin + barrier_stall_mask[curr_barrier] <= 0; + end + use_wsapwn_pc <= 0; + use_wsapwn <= 0; + warp_pcs[0] <= (32'h80000000 - 4); + warp_active[0] <= 1; // Activating first warp + visible_active[0] <= 1; // Activating first warp + thread_masks[0] <= 1; // Activating first thread in first warp + warp_stalled <= 0; + didnt_split <= 0; + // total_barrier_stall = 0; + for (curr_w_help = 1; curr_w_help < `NW; curr_w_help=curr_w_help+1) begin + warp_pcs[curr_w_help] <= 0; + warp_active[curr_w_help] <= 0; // Activating first warp + visible_active[curr_w_help] <= 0; // Activating first warp + thread_masks[curr_w_help] <= 1; // Activating first thread in first warp + end + + end else begin + // Wsapwning warps + if (wspawn) begin + warp_active <= wspawn_new_active; + use_wsapwn_pc <= wsapwn_pc; + use_wsapwn <= wspawn_new_active & (~`NW'b1); + end + + if (is_barrier) begin + warp_stalled[barrier_warp_num] <= 0; + if (reached_barrier_limit) begin + barrier_stall_mask[barrier_id] <= 0; + end else begin + barrier_stall_mask[barrier_id][barrier_warp_num] <= 1; + end + end else if (ctm) begin + thread_masks[ctm_warp_num] <= ctm_mask; + warp_stalled[ctm_warp_num] <= 0; + end else if (is_join && !didnt_split) begin + if (!join_fall) begin + warp_pcs[join_warp_num] <= join_pc; + end + thread_masks[join_warp_num] <= join_tm; + didnt_split <= 0; + end else if (is_split) begin + warp_stalled[split_warp_num] <= 0; + if (!dont_split) begin + thread_masks[split_warp_num] <= split_new_mask; + didnt_split <= 0; + end else begin + didnt_split <= 1; + end + end + + if (whalt) begin + warp_active[whalt_warp_num] <= 0; + visible_active[whalt_warp_num] <= 0; + end + + if (update_use_wspawn) begin + use_wsapwn[warp_to_schedule] <= 0; + thread_masks[warp_to_schedule] <= 1; + end + + + // Stalling the scheduling of warps + if (wstall) begin + warp_stalled[wstall_warp_num] <= 1; + visible_active[wstall_warp_num] <= 0; + end + + // Refilling active warps + if (update_visible_active) begin + visible_active <= warp_active & (~warp_stalled) & (~total_barrier_stall); + end + + // Don't change state if stall + if (!global_stall && real_schedule && (thread_mask != 0)) begin + visible_active[warp_to_schedule] <= 0; + warp_pcs[warp_to_schedule] <= new_pc; + end + + // Jal + if (jal) begin + warp_pcs[jal_warp_num] <= jal_dest; + warp_stalled[jal_warp_num] <= 0; + end + + // Branch + if (branch_valid) begin + if (branch_dir) warp_pcs[branch_warp_num] <= branch_dest; + warp_stalled[branch_warp_num] <= 0; + end + end + end + + VX_countones #(.N(`NW)) barrier_count( + .valids(curr_barrier_mask), + .count (curr_barrier_count) + ); + + wire[$clog2(`NW):0] count_visible_active; + VX_countones #(.N(`NW)) num_visible( + .valids(visible_active), + .count (count_visible_active) + ); + + // assign curr_barrier_count = $countones(curr_barrier_mask); + + assign curr_barrier_mask = barrier_stall_mask[barrier_id][`NW-1:0]; + assign reached_barrier_limit = curr_barrier_count == (num_warps); + + assign wstall_this_cycle = wstall && (wstall_warp_num == warp_to_schedule); // Maybe bug + + assign total_barrier_stall = barrier_stall_mask[0] | barrier_stall_mask[1] | barrier_stall_mask[2] | barrier_stall_mask[3]; + // integer curr_b; + // always @(*) begin + // total_barrier_stall = 0; + // for (curr_b = 0; curr_b < `NUM_BARRIERS; curr_b=curr_b+1) + // begin + // total_barrier_stall[`NW-1:0] = total_barrier_stall[`NW-1:0] | barrier_stall_mask[curr_b]; + // end + // end + + + assign update_visible_active = (count_visible_active < 1) && !(stall || wstall_this_cycle || hazard || is_join); + + wire[(1+32+`NT_M1):0] q1 = {1'b1, 32'b0 , thread_masks[split_warp_num]}; + wire[(1+32+`NT_M1):0] q2 = {1'b0, split_save_pc , split_later_mask}; + + + assign {join_fall, join_pc, join_tm} = d[join_warp_num]; + + + + genvar curr_warp; + for (curr_warp = 0; curr_warp < `NW; curr_warp = curr_warp + 1) begin + wire correct_warp_s = (curr_warp == split_warp_num); + wire correct_warp_j = (curr_warp == join_warp_num); + + wire push = (is_split && !dont_split) && correct_warp_s; + wire pop = is_join && correct_warp_j; + VX_generic_stack #(.WIDTH(1+32+`NT), .DEPTH($clog2(`NT)+1)) ipdom_stack( + .clk (clk), + .reset(reset), + .push (push), + .pop (pop), + .d (d[curr_warp]), + .q1 (q1), + .q2 (q2) + ); + end + + // wire should_stall = stall || (jal && (warp_to_schedule == jal_warp_num)) || (branch_dir && (warp_to_schedule == branch_warp_num)); + + wire should_jal = (jal && (warp_to_schedule == jal_warp_num)); + wire should_bra = (branch_dir && (warp_to_schedule == branch_warp_num)); + + assign hazard = (should_jal || should_bra) && schedule; + + assign real_schedule = schedule && !warp_stalled[warp_to_schedule] && !total_barrier_stall[warp_to_schedule]; + + assign global_stall = (stall || wstall_this_cycle || hazard || !real_schedule || is_join); + + assign scheduled_warp = !(wstall_this_cycle || hazard || !real_schedule || is_join); + + wire real_use_wspawn = use_wsapwn[warp_to_schedule]; + + assign warp_pc = real_use_wspawn ? use_wsapwn_pc : warp_pcs[warp_to_schedule]; + assign thread_mask = (global_stall) ? 0 : (real_use_wspawn ? `NT'b1 : thread_masks[warp_to_schedule]); + assign warp_num = warp_to_schedule; + + assign update_use_wspawn = use_wsapwn[warp_to_schedule] && !global_stall; + + assign new_pc = warp_pc + 4; + + + assign use_active = (count_visible_active < 1) ? (warp_active & (~warp_stalled) & (~total_barrier_stall)) : visible_active; + + // Choosing a warp to schedule + VX_priority_encoder choose_schedule( + .valids(use_active), + .index (warp_to_schedule), + .found (schedule) + ); + + // always @(*) begin + // $display("WarpPC: %h",warp_pc); + // $display("real_schedule: %d, schedule: %d, warp_stalled: %d, warp_to_schedule: %d, total_barrier_stall: %d",real_schedule, schedule, warp_stalled[warp_to_schedule], warp_to_schedule, total_barrier_stall[warp_to_schedule]); + // end + + + // Valid counter + // assign num_active = $countones(visible_active); + // VX_one_counter valid_counter( + // .valids(visible_active), + // .ones_found() + // ); + + + wire ebreak = (warp_active == 0); + assign out_ebreak = ebreak; + +endmodule \ No newline at end of file diff --git a/old_rtl/VX_writeback.v b/old_rtl/VX_writeback.v new file mode 100644 index 000000000..2f684baea --- /dev/null +++ b/old_rtl/VX_writeback.v @@ -0,0 +1,111 @@ + +`include "VX_define.v" + + +module VX_writeback ( + input wire clk, + input wire reset, + // Mem WB info + VX_inst_mem_wb_inter VX_mem_wb, + // EXEC Unit WB info + VX_inst_exec_wb_inter VX_inst_exec_wb, + // CSR Unit WB info + VX_csr_wb_inter VX_csr_wb, + + // Actual WB to GPR + VX_wb_inter VX_writeback_inter, + output wire no_slot_mem, + output wire no_slot_csr + ); + + + VX_wb_inter VX_writeback_tempp(); + + wire exec_wb = (VX_inst_exec_wb.wb != 0) && (|VX_inst_exec_wb.wb_valid); + wire mem_wb = (VX_mem_wb.wb != 0) && (|VX_mem_wb.wb_valid); + wire csr_wb = (VX_csr_wb.wb != 0) && (|VX_csr_wb.valid); + + + assign no_slot_mem = mem_wb && (exec_wb || csr_wb); + assign no_slot_csr = csr_wb && (exec_wb); + + assign VX_writeback_tempp.write_data = exec_wb ? VX_inst_exec_wb.alu_result : + csr_wb ? VX_csr_wb.csr_result : + mem_wb ? VX_mem_wb.loaded_data : + 0; + + + assign VX_writeback_tempp.wb_valid = exec_wb ? VX_inst_exec_wb.wb_valid : + csr_wb ? VX_csr_wb.valid : + mem_wb ? VX_mem_wb.wb_valid : + 0; + + assign VX_writeback_tempp.rd = exec_wb ? VX_inst_exec_wb.rd : + csr_wb ? VX_csr_wb.rd : + mem_wb ? VX_mem_wb.rd : + 0; + + assign VX_writeback_tempp.wb = exec_wb ? VX_inst_exec_wb.wb : + csr_wb ? VX_csr_wb.wb : + mem_wb ? VX_mem_wb.wb : + 0; + + assign VX_writeback_tempp.wb_warp_num = exec_wb ? VX_inst_exec_wb.wb_warp_num : + csr_wb ? VX_csr_wb.warp_num : + mem_wb ? VX_mem_wb.wb_warp_num : + 0; + + + + assign VX_writeback_tempp.wb_pc = exec_wb ? VX_inst_exec_wb.exec_wb_pc : + csr_wb ? 32'hdeadbeef : + mem_wb ? VX_mem_wb.mem_wb_pc : + 32'hdeadbeef; + + + wire zero = 0; + + wire[`NT-1:0][31:0] use_wb_data; + + reg prev_is_mem; + + always @(posedge clk, posedge reset) begin + if (reset) + begin + prev_is_mem = 0; + end begin + prev_is_mem = mem_wb && !no_slot_mem; + end + end + + VX_generic_register #(.N(39 + `NW_M1 + 1 + `NT*33)) wb_register( + .clk (clk), + .reset(reset), + .stall(zero), + .flush(zero), + .in ({VX_writeback_tempp.write_data, VX_writeback_tempp.wb_valid, VX_writeback_tempp.rd, VX_writeback_tempp.wb, VX_writeback_tempp.wb_warp_num, VX_writeback_tempp.wb_pc}), + .out ({use_wb_data , VX_writeback_inter.wb_valid, VX_writeback_inter.rd, VX_writeback_inter.wb, VX_writeback_inter.wb_warp_num, VX_writeback_inter.wb_pc}) + ); + + reg[31:0] last_data_wb; + always @(posedge clk) begin + if ((|VX_writeback_inter.wb_valid) && (VX_writeback_inter.wb != 0) && (VX_writeback_inter.rd == 28)) begin + last_data_wb <= use_wb_data[0]; + end + end + + `ifdef SYN + assign VX_writeback_inter.write_data = prev_is_mem ? VX_writeback_tempp.write_data : use_wb_data; + `else + assign VX_writeback_inter.write_data = use_wb_data; + `endif + + +endmodule // VX_writeback + + + + + + + diff --git a/old_rtl/Vortex.v b/old_rtl/Vortex.v new file mode 100644 index 000000000..f4b13e7d7 --- /dev/null +++ b/old_rtl/Vortex.v @@ -0,0 +1,249 @@ + +`include "../VX_define.v" + + +module Vortex + /*#( + parameter CACHE_SIZE = 4096, // Bytes + parameter CACHE_WAYS = 2, + parameter CACHE_BLOCK = 128, // Bytes + parameter CACHE_BANKS = 8, + parameter NUM_WORDS_PER_BLOCK = 4 + )*/ + ( + input wire clk, + input wire reset, + input wire[31:0] icache_response_instruction, + output wire[31:0] icache_request_pc_address, + // IO + output wire io_valid, + output wire[31:0] io_data, + + // Req D Mem + output reg [31:0] o_m_read_addr_d, + output reg [31:0] o_m_evict_addr_d, + output reg o_m_valid_d, + output reg [31:0] o_m_writedata_d[`DCACHE_BANKS - 1:0][`DCACHE_NUM_WORDS_PER_BLOCK-1:0], + output reg o_m_read_or_write_d, + + // Rsp D Mem + input wire [31:0] i_m_readdata_d[`DCACHE_BANKS - 1:0][`DCACHE_NUM_WORDS_PER_BLOCK-1:0], + input wire i_m_ready_d, + + // Req I Mem + output reg [31:0] o_m_read_addr_i, + output reg [31:0] o_m_evict_addr_i, + output reg o_m_valid_i, + output reg [31:0] o_m_writedata_i[`ICACHE_BANKS - 1:0][`ICACHE_NUM_WORDS_PER_BLOCK-1:0], + output reg o_m_read_or_write_i, + + // Rsp I Mem + input wire [31:0] i_m_readdata_i[`ICACHE_BANKS - 1:0][`ICACHE_NUM_WORDS_PER_BLOCK-1:0], + input wire i_m_ready_i, + output wire out_ebreak + ); + + +reg[31:0] icache_banks = `ICACHE_BANKS; +reg[31:0] icache_num_words_per_block = `ICACHE_NUM_WORDS_PER_BLOCK; + + +reg[31:0] dcache_banks = `DCACHE_BANKS; +reg[31:0] dcache_num_words_per_block = `DCACHE_NUM_WORDS_PER_BLOCK; + +reg[31:0] number_threads = `NT; +reg[31:0] number_warps = `NW; + +always @(posedge clk) begin + icache_banks <= icache_banks; + icache_num_words_per_block <= icache_num_words_per_block; + + dcache_banks <= dcache_banks; + dcache_num_words_per_block <= dcache_num_words_per_block; + + number_threads <= number_threads; + number_warps <= number_warps; +end + +wire memory_delay; +wire gpr_stage_delay; +wire schedule_delay; + + +// Dcache Interface +VX_dcache_response_inter VX_dcache_rsp(); +VX_dcache_request_inter VX_dcache_req(); + +wire temp_io_valid = (!memory_delay) && (|VX_dcache_req.out_cache_driver_in_valid) && (VX_dcache_req.out_cache_driver_in_mem_write != `NO_MEM_WRITE) && (VX_dcache_req.out_cache_driver_in_address[0] == 32'h00010000); +wire[31:0] temp_io_data = VX_dcache_req.out_cache_driver_in_data[0]; +assign io_valid = temp_io_valid; +assign io_data = temp_io_data; + + +VX_dram_req_rsp_inter #( + .NUMBER_BANKS(`DCACHE_BANKS), + .NUM_WORDS_PER_BLOCK(`DCACHE_NUM_WORDS_PER_BLOCK)) VX_dram_req_rsp(); + + VX_icache_response_inter icache_response_fe(); + VX_icache_request_inter icache_request_fe(); + VX_dram_req_rsp_inter #( + .NUMBER_BANKS(`ICACHE_BANKS), + .NUM_WORDS_PER_BLOCK(`ICACHE_NUM_WORDS_PER_BLOCK)) VX_dram_req_rsp_icache(); + + //assign icache_response_fe.instruction = icache_response_instruction; + assign icache_request_pc_address = icache_request_fe.pc_address; + + // Need to fix this so that it is only 1 set of outputs + // o_m Values + + // L2 Cache + /* + assign VX_L2cache_req.out_cache_driver_in_valid = VX_dram_req_rsp.o_m_valid || VX_dram_req_rsp_icache.o_m_valid; // Ask about this (width) + // Ask about the adress + assign VX_L2cache_req.out_cache_driver_in_address = (VX_dram_req_rsp_icache.o_m_valid) ? icache_request_fe.pc_address: VX_dcache_req.out_cache_driver_in_address; + //assign VX_L2cache_req.out_cache_driver_in_address = (VX_dram_req_rsp_icache.o_m_valid) ? VX_dram_req_rsp_icache.o_m_read_addr: VX_dram_req_rsp.o_m_read_addr; + //assign VX_L2cache_req.out_cache_driver_in_address = (VX_dram_req_rsp_icache.o_m_valid) ? VX_dram_req_rsp_icache.o_m_evict_addr : VX_dram_req_rsp.o_m_evict_addr; + assign VX_L2cache_req.out_cache_driver_in_mem_read = (VX_dram_req_rsp_icache.o_m_valid) ? (VX_dram_req_rsp_icache.o_m_read_or_write ? icache_request_fe.out_cache_driver_in_mem_write : icache_request_fe.out_cache_driver_in_mem_read) + : (VX_dram_req_rsp.o_m_read_or_write ? VX_dcache_req.out_cache_driver_in_mem_write : VX_dcache_req.out_cache_driver_in_mem_read); + //assign VX_dram_req_rsp.i_m_ready = i_m_ready && !VX_dram_req_rsp_icache.o_m_valid && VX_dram_req_rsp.o_m_valid; + //assign VX_dram_req_rsp_icache.i_m_ready = i_m_ready && VX_dram_req_rsp_icache.o_m_valid; + genvar cur_bank; + genvar cur_word; + for (cur_bank = 0; cur_bank < CACHE_BANKS; cur_bank = cur_bank + 1) begin + for (cur_word = 0; cur_word < NUM_WORDS_PER_BLOCK; cur_word = cur_word + 1) begin + assign VX_L2cache_req.out_cache_driver_in_data[cur_bank][cur_word] = (VX_dram_req_rsp_icache.o_m_valid) ? VX_dram_req_rsp_icache.o_m_writedata[cur_bank][cur_word] + : VX_dram_req_rsp.o_m_writedata[cur_bank][cur_word]; + assign VX_dram_req_rsp.i_m_readdata[cur_bank][cur_word] = VX_dram_req_rsp_L2.i_m_readdata[cur_bank][cur_word]; // fill in correct response data + assign VX_dram_req_rsp_icache.i_m_readdata[cur_bank][cur_word] = VX_dram_req_rsp_L2.i_m_readdata[cur_bank][cur_word]; // fill in correct response data + end + end + */ + + + assign o_m_valid_i = VX_dram_req_rsp_icache.o_m_valid; + assign o_m_valid_d = VX_dram_req_rsp.o_m_valid; + assign o_m_read_addr_i = VX_dram_req_rsp_icache.o_m_read_addr; + assign o_m_read_addr_d = VX_dram_req_rsp.o_m_read_addr; + assign o_m_evict_addr_i = VX_dram_req_rsp_icache.o_m_evict_addr; + assign o_m_evict_addr_d = VX_dram_req_rsp.o_m_evict_addr; + assign o_m_read_or_write_i = VX_dram_req_rsp_icache.o_m_read_or_write; + assign o_m_read_or_write_d = VX_dram_req_rsp.o_m_read_or_write; + assign VX_dram_req_rsp.i_m_ready = i_m_ready_d; + assign VX_dram_req_rsp_icache.i_m_ready = i_m_ready_i; + genvar curr_bank; + genvar curr_word; + /* + for (curr_bank = 0; curr_bank < CACHE_BANKS; curr_bank = curr_bank + 1) begin + for (curr_word = 0; curr_word < NUM_WORDS_PER_BLOCK; curr_word = curr_word + 1) begin + assign o_m_writedata_i[curr_bank][curr_word] = VX_dram_req_rsp_icache.o_m_writedata[curr_bank][curr_word]; + assign o_m_writedata_d[curr_bank][curr_word] = VX_dram_req_rsp.o_m_writedata[curr_bank][curr_word]; + assign VX_dram_req_rsp.i_m_readdata[curr_bank][curr_word] = i_m_readdata_d[curr_bank][curr_word]; // fixed + assign VX_dram_req_rsp_icache.i_m_readdata[curr_bank][curr_word] = i_m_readdata_i[curr_bank][curr_word]; // fixed + end + end + */ + +for (curr_bank = 0; curr_bank < `DCACHE_BANKS; curr_bank = curr_bank + 1) begin + for (curr_word = 0; curr_word < `DCACHE_NUM_WORDS_PER_BLOCK; curr_word = curr_word + 1) begin + + assign o_m_writedata_d[curr_bank][curr_word] = VX_dram_req_rsp.o_m_writedata[curr_bank][curr_word]; + assign VX_dram_req_rsp.i_m_readdata[curr_bank][curr_word] = i_m_readdata_d[curr_bank][curr_word]; // fixed + + end +end + + +for (curr_bank = 0; curr_bank < `ICACHE_BANKS; curr_bank = curr_bank + 1) begin + for (curr_word = 0; curr_word < `ICACHE_NUM_WORDS_PER_BLOCK; curr_word = curr_word + 1) begin + assign o_m_writedata_i[curr_bank][curr_word] = VX_dram_req_rsp_icache.o_m_writedata[curr_bank][curr_word]; + assign VX_dram_req_rsp_icache.i_m_readdata[curr_bank][curr_word] = i_m_readdata_i[curr_bank][curr_word]; // fixed + end +end + + +///////////////////////////////////////////////////////////////////////// + + + +// Front-end to Back-end +VX_frE_to_bckE_req_inter VX_bckE_req(); // New instruction request to EXE/MEM + +// Back-end to Front-end +VX_wb_inter VX_writeback_inter(); // Writeback to GPRs +VX_branch_response_inter VX_branch_rsp(); // Branch Resolution to Fetch +VX_jal_response_inter VX_jal_rsp(); // Jump resolution to Fetch + +// CSR Buses +// VX_csr_write_request_inter VX_csr_w_req(); + + +VX_warp_ctl_inter VX_warp_ctl(); + + +VX_front_end vx_front_end( + .clk (clk), + .reset (reset), + .VX_warp_ctl (VX_warp_ctl), + .VX_bckE_req (VX_bckE_req), + .schedule_delay (schedule_delay), + .icache_response_fe (icache_response_fe), + .icache_request_fe (icache_request_fe), + .VX_jal_rsp (VX_jal_rsp), + .VX_branch_rsp (VX_branch_rsp), + .fetch_ebreak (out_ebreak) + ); + +VX_scheduler schedule( + .clk (clk), + .reset (reset), + .memory_delay (memory_delay), + .gpr_stage_delay (gpr_stage_delay), + .VX_bckE_req (VX_bckE_req), + .VX_writeback_inter(VX_writeback_inter), + .schedule_delay (schedule_delay) + ); + +VX_back_end vx_back_end( + .clk (clk), + .reset (reset), + .schedule_delay (schedule_delay), + .VX_warp_ctl (VX_warp_ctl), + .VX_bckE_req (VX_bckE_req), + .VX_jal_rsp (VX_jal_rsp), + .VX_branch_rsp (VX_branch_rsp), + .VX_dcache_rsp (VX_dcache_rsp), + .VX_dcache_req (VX_dcache_req), + .VX_writeback_inter (VX_writeback_inter), + .out_mem_delay (memory_delay), + .gpr_stage_delay (gpr_stage_delay) + ); + + +VX_dmem_controller VX_dmem_controller( + .clk (clk), + .reset (reset), + .VX_dram_req_rsp (VX_dram_req_rsp), + .VX_dram_req_rsp_icache (VX_dram_req_rsp_icache), + .VX_icache_req (icache_request_fe), + .VX_icache_rsp (icache_response_fe), + .VX_dcache_req (VX_dcache_req), + .VX_dcache_rsp (VX_dcache_rsp) + ); +// VX_csr_handler vx_csr_handler( +// .clk (clk), +// .in_decode_csr_address(decode_csr_address), +// .VX_csr_w_req (VX_csr_w_req), +// .in_wb_valid (VX_writeback_inter.wb_valid[0]), + +// .out_decode_csr_data (csr_decode_csr_data) +// ); + + + + +endmodule // Vortex + + + + + diff --git a/old_rtl/byte_enabled_simple_dual_port_ram.v b/old_rtl/byte_enabled_simple_dual_port_ram.v new file mode 100644 index 000000000..7a1173d54 --- /dev/null +++ b/old_rtl/byte_enabled_simple_dual_port_ram.v @@ -0,0 +1,53 @@ + +`include "VX_define.v" + + +module byte_enabled_simple_dual_port_ram +( + input we, clk, + input wire reset, + input wire[4:0] waddr, raddr1, raddr2, + input wire[`NT_M1:0] be, + input wire[`NT_M1:0][31:0] wdata, + output reg[`NT_M1:0][31:0] q1, q2 +); + + // integer regi; + // integer threadi; + + // Thread Byte Bit + logic [`NT_M1:0][3:0][7:0] GPR[31:0]; + + // initial begin + // for (ini = 0; ini < 32; ini = ini + 1) GPR[ini] = 0; + // end + + integer ini; + always@(posedge clk, posedge reset) begin + if (reset) begin + for (ini = 0; ini < 32; ini = ini + 1) GPR[ini] <= 0; + end else if(we) begin + integer thread_ind; + for (thread_ind = 0; thread_ind <= `NT_M1; thread_ind = thread_ind + 1) begin + if(be[thread_ind]) GPR[waddr][thread_ind][0] <= wdata[thread_ind][7:0]; + if(be[thread_ind]) GPR[waddr][thread_ind][1] <= wdata[thread_ind][15:8]; + if(be[thread_ind]) GPR[waddr][thread_ind][2] <= wdata[thread_ind][23:16]; + if(be[thread_ind]) GPR[waddr][thread_ind][3] <= wdata[thread_ind][31:24]; + end + end + // $display("^^^^^^^^^^^^^^^^^^^^^^^"); + // for (regi = 0; regi <= 31; regi = regi + 1) begin + // for (threadi = 0; threadi <= `NT_M1; threadi = threadi + 1) begin + // if (GPR[regi][threadi] != 0) $display("$%d: %h",regi, GPR[regi][threadi]); + // end + // end + + end + + assign q1 = GPR[raddr1]; + assign q2 = GPR[raddr2]; + + // assign q1 = (raddr1 == waddr && (we)) ? wdata : GPR[raddr1]; + // assign q2 = (raddr2 == waddr && (we)) ? wdata : GPR[raddr2]; + +endmodule diff --git a/old_rtl/cache/Makefile b/old_rtl/cache/Makefile new file mode 100644 index 000000000..3e92307aa --- /dev/null +++ b/old_rtl/cache/Makefile @@ -0,0 +1,12 @@ +all: RUNFILE + + +VERILATOR: + verilator --compiler gcc --Wno-UNOPTFLAT -Wall --trace -cc VX_d_cache_encapsulate.v -Irtl --exe d_cache_test_bench.cpp -CFLAGS -std=c++11 + +RUNFILE: VERILATOR + (cd obj_dir && make -j -f VVX_d_cache_encapsulate.mk) + +clean: + rm ./obj_dir/* + diff --git a/old_rtl/cache/Notes b/old_rtl/cache/Notes new file mode 100644 index 000000000..0458c659a --- /dev/null +++ b/old_rtl/cache/Notes @@ -0,0 +1,46 @@ +Notes + + +8 kB L1 Data Cache | 16 kB L1 I cache (maybe) +[tag index offset_remaining_block bank wordOffset], use a blocksize of 128 bytes between memory and cache. So each bank gets 16 bytes. + total offset is b its + 4 bits new offset, 2 bits block, 2 bits word offset + xxxxxxxIIIIIIIIoobbbyy + 9876543210 + bbbyyyyy + o = index into block offset + b = bank + y = word offset + I = index into cach + 6 bits indexes (64 indeces) No ways || 16 indexes with 4 ways + Rest of the bits are tag bits + +blocks / banks = 16 bytes, 8 banks. 128 bytes. 256 indexes (height). width is 16 bytes. 4 words per block (per bank). 17 bit tag + +gtkwave ___.vcd + + +// Splitting it up + +// word byte +wire[127:0][3:0] data_from_ram; + + +// word byte bank +wire[15:0][3:0] bank_data_n[3:0] + +integer i; +for (i = 0; i < something; i+=8) +{ + bank_data_n[0][i/8] = data_from_ram[i+0] + bank_data_n[1][i/8] = data_from_ram[i+1] + bank_data_n[2][i/8] = data_from_ram[i+2] + bank_data_n[3][i/8] = data_from_ram[i+3] + bank_data_n[4][i/8] = data_from_ram[i+4] + bank_data_n[5][i/8] = data_from_ram[i+5] + bank_data_n[6][i/8] = data_from_ram[i+6] + bank_data_n[7][i/8] = data_from_ram[i+7] +} + + +With Cache. If miss. Go to memory, grab all data, replace that data in the cache. Generate a new request, feed that into the cache (this one will hit), return that diff --git a/old_rtl/cache/VX_Cache_Bank.v b/old_rtl/cache/VX_Cache_Bank.v new file mode 100644 index 000000000..e3251e728 --- /dev/null +++ b/old_rtl/cache/VX_Cache_Bank.v @@ -0,0 +1,253 @@ +// To Do: Change way_id_out to an internal register which holds when in between access and finished. +// Also add a bit about wheter the "Way ID" is valid / being held or if it is just default +// Also make sure all possible output states are transmitted back to the bank correctly + +`include "../VX_define.v" +// `include "VX_cache_data.v" + + +module VX_Cache_Bank + #( + parameter CACHE_SIZE = 4096, // Bytes + parameter CACHE_WAYS = 1, + parameter CACHE_BLOCK = 128, // Bytes + parameter CACHE_BANKS = 8, + parameter LOG_NUM_BANKS = 3, + parameter NUM_REQ = 8, + parameter LOG_NUM_REQ = 3, + parameter NUM_IND = 8, + parameter CACHE_WAY_INDEX = 1, + parameter NUM_WORDS_PER_BLOCK = 4, + parameter OFFSET_SIZE_START = 0, + parameter OFFSET_SIZE_END = 1, + parameter TAG_SIZE_START = 0, + parameter TAG_SIZE_END = 16, + parameter IND_SIZE_START = 0, + parameter IND_SIZE_END = 7, + parameter ADDR_TAG_START = 15, + parameter ADDR_TAG_END = 31, + parameter ADDR_OFFSET_START = 5, + parameter ADDR_OFFSET_END = 6, + parameter ADDR_IND_START = 7, + parameter ADDR_IND_END = 14 + ) + ( + clk, + rst, + state, + read_or_write, // Read = 0 | Write = 1 + i_p_mem_read, + i_p_mem_write, + valid_in, + //write_from_mem, + actual_index, + o_tag, + block_offset, + writedata, + fetched_writedata, + + byte_select, + + readdata, + hit, + //miss, + + eviction_wb, // Need to evict + eviction_addr, // What's the eviction tag + + data_evicted, + evicted_way + ); + + // localparam NUMBER_BANKS = `CACHE_BANKS; + // localparam CACHE_BLOCK_PER_BANK = (`CACHE_BLOCK / `CACHE_BANKS); + // localparam NUM_WORDS_PER_BLOCK = `CACHE_BLOCK / (`CACHE_BANKS*4); + // localparam NUMBER_INDEXES = `NUM_IND; + + localparam CACHE_IDLE = 0; // Idle + localparam SEND_MEM_REQ = 1; // Write back this block into memory + localparam RECIV_MEM_RSP = 2; + + + localparam BLOCK_NUM_BITS = `CLOG2(CACHE_BLOCK); + // Inputs + input wire rst; + input wire clk; + input wire [3:0] state; +//input wire write_from_mem; + + // Reading Data + input wire[IND_SIZE_END:IND_SIZE_START] actual_index; + + + input wire[TAG_SIZE_END:TAG_SIZE_START] o_tag; // When write_from_mem = 1, o_tag is the new tag + input wire[OFFSET_SIZE_END:OFFSET_SIZE_START] block_offset; + + + input wire[31:0] writedata; + input wire valid_in; + input wire read_or_write; // Specifies if it is a read or write operation + + input wire[NUM_WORDS_PER_BLOCK-1:0][31:0] fetched_writedata; + input wire[2:0] i_p_mem_read; + input wire[2:0] i_p_mem_write; + input wire[1:0] byte_select; + + + input wire[CACHE_WAY_INDEX-1:0] evicted_way; + + // Outputs + // Normal shit + output wire[31:0] readdata; + output wire hit; + //output wire miss; + + // Eviction Data (Notice) + output wire eviction_wb; // Need to evict + output wire[31:0] eviction_addr; // What's the eviction tag + + // Eviction Data (Extraction) + output wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_evicted; + + + + wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_use; + wire[TAG_SIZE_END:TAG_SIZE_START] tag_use; + wire[TAG_SIZE_END:TAG_SIZE_START] eviction_tag; + wire valid_use; + wire dirty_use; + wire access; + wire write_from_mem; + wire miss; // -10/21 + + + + wire[CACHE_WAY_INDEX-1:0] way_to_update; + + assign miss = (tag_use != o_tag) && valid_use && valid_in; + + + assign data_evicted = data_use; + + // assign eviction_wb = miss && (dirty_use != 1'b0) && valid_use; + assign eviction_wb = (dirty_use != 1'b0); + assign eviction_tag = tag_use; + assign access = (state == CACHE_IDLE) && valid_in; + assign write_from_mem = (state == RECIV_MEM_RSP) && valid_in; // TODO + assign hit = (access && (tag_use == o_tag) && valid_use); + //assign eviction_addr = {eviction_tag, actual_index, block_offset, 5'b0}; // Fix with actual data + assign eviction_addr = {eviction_tag, actual_index, {(BLOCK_NUM_BITS){1'b0}}}; // Fix with actual data + + + + wire lw = (i_p_mem_read == `LW_MEM_READ); + wire lb = (i_p_mem_read == `LB_MEM_READ); + wire lh = (i_p_mem_read == `LH_MEM_READ); + wire lhu = (i_p_mem_read == `LHU_MEM_READ); + wire lbu = (i_p_mem_read == `LBU_MEM_READ); + + wire sw = (i_p_mem_write == `SW_MEM_WRITE); + wire sb = (i_p_mem_write == `SB_MEM_WRITE); + wire sh = (i_p_mem_write == `SH_MEM_WRITE); + + wire b0 = (byte_select == 0); + wire b1 = (byte_select == 1); + wire b2 = (byte_select == 2); + wire b3 = (byte_select == 3); + + wire[31:0] data_unQual = (b0 || lw) ? (data_use[block_offset] ) : + b1 ? (data_use[block_offset] >> 8) : + b2 ? (data_use[block_offset] >> 16) : + (data_use[block_offset] >> 24); + + + wire[31:0] lb_data = (data_unQual[7] ) ? (data_unQual | 32'hFFFFFF00) : (data_unQual & 32'hFF); + wire[31:0] lh_data = (data_unQual[15]) ? (data_unQual | 32'hFFFF0000) : (data_unQual & 32'hFFFF); + wire[31:0] lbu_data = (data_unQual & 32'hFF); + wire[31:0] lhu_data = (data_unQual & 32'hFFFF); + wire[31:0] lw_data = (data_unQual); + + + wire[31:0] sw_data = writedata; + + wire[31:0] sb_data = b1 ? {{16{1'b0}}, writedata[7:0], { 8{1'b0}}} : + b2 ? {{ 8{1'b0}}, writedata[7:0], {16{1'b0}}} : + b3 ? {{ 0{1'b0}}, writedata[7:0], {24{1'b0}}} : + writedata; + + wire[31:0] sh_data = b2 ? {writedata[15:0], {16{1'b0}}} : writedata; + + + + wire[31:0] use_write_data = sb ? sb_data : + sh ? sh_data : + sw_data; + + + wire[31:0] data_Qual = lb ? lb_data : + lh ? lh_data : + lhu ? lhu_data : + lbu ? lbu_data : + lw_data; + + + assign readdata = (access) ? data_Qual : 32'b0; // Fix with actual data + + + wire[3:0] sb_mask = (b0 ? 4'b0001 : (b1 ? 4'b0010 : (b2 ? 4'b0100 : 4'b1000))); + wire[3:0] sh_mask = (b0 ? 4'b0011 : 4'b1100); + + + wire[NUM_WORDS_PER_BLOCK-1:0][3:0] we; + wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_write; + genvar g; + for (g = 0; g < NUM_WORDS_PER_BLOCK; g = g + 1) begin + wire normal_write = (read_or_write && ((access && (block_offset == g))) && !miss); + + assign we[g] = (write_from_mem) ? 4'b1111 : + (normal_write && sw) ? 4'b1111 : + (normal_write && sb) ? sb_mask : + (normal_write && sh) ? sh_mask : + 4'b0000; + + + // assign we[g] = (normal_write || (write_from_mem)) ? 1'b1 : 1'b0; + assign data_write[g] = write_from_mem ? fetched_writedata[g] : use_write_data; + assign way_to_update = evicted_way; + end + + + VX_cache_data_per_index #( + .CACHE_WAYS (CACHE_WAYS), + .NUM_IND (NUM_IND), + .CACHE_WAY_INDEX (CACHE_WAY_INDEX), + .NUM_WORDS_PER_BLOCK(NUM_WORDS_PER_BLOCK), + .TAG_SIZE_START (TAG_SIZE_START), + .TAG_SIZE_END (TAG_SIZE_END), + .IND_SIZE_START (IND_SIZE_START), + .IND_SIZE_END (IND_SIZE_END)) data_structures( + .clk (clk), + .rst (rst), + .valid_in (valid_in), + .state (state), + // Inputs + .addr (actual_index), + .we (we), + .evict (write_from_mem), + .data_write (data_write), + .tag_write (o_tag), + .way_to_update(way_to_update), + // Outputs + .tag_use (tag_use), + .data_use (data_use), + .valid_use (valid_use), + .dirty_use (dirty_use) + ); + + + +endmodule + + + + diff --git a/old_rtl/cache/VX_cache_bank_valid.v b/old_rtl/cache/VX_cache_bank_valid.v new file mode 100644 index 000000000..48759b773 --- /dev/null +++ b/old_rtl/cache/VX_cache_bank_valid.v @@ -0,0 +1,30 @@ +`include "../VX_define.v" + +module VX_cache_bank_valid +#( + parameter NUMBER_BANKS = 8, + parameter LOG_NUM_BANKS = 3, + parameter NUM_REQ = 1 +) +( + input wire [NUM_REQ-1:0] i_p_valid, + input wire [NUM_REQ-1:0][31:0] i_p_addr, + output reg [NUMBER_BANKS - 1 : 0][NUM_REQ-1:0] thread_track_banks +); + + generate + integer t_id; + always @(*) begin + thread_track_banks = 0; + for (t_id = 0; t_id < NUM_REQ; t_id = t_id + 1) + begin + if (NUMBER_BANKS != 1) begin + thread_track_banks[i_p_addr[t_id][2+LOG_NUM_BANKS-1:2]][t_id] = i_p_valid[t_id]; + end else begin + thread_track_banks[0][t_id] = i_p_valid[t_id]; + end + end + end + endgenerate + +endmodule diff --git a/old_rtl/cache/VX_cache_data.v b/old_rtl/cache/VX_cache_data.v new file mode 100644 index 000000000..6b6c91b1b --- /dev/null +++ b/old_rtl/cache/VX_cache_data.v @@ -0,0 +1,233 @@ + + +`include "../VX_define.v" + +module VX_cache_data + #( + parameter NUM_IND = 8, + parameter NUM_WORDS_PER_BLOCK = 4, + parameter TAG_SIZE_START = 0, + parameter TAG_SIZE_END = 16, + parameter IND_SIZE_START = 0, + parameter IND_SIZE_END = 7 + ) + ( + input wire clk, rst, // Clock + + // `ifdef PARAM + // Addr + input wire[IND_SIZE_END:IND_SIZE_START] addr, + // WE + input wire[NUM_WORDS_PER_BLOCK-1:0][3:0] we, + input wire evict, + // Data + input wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_write, + input wire[TAG_SIZE_END:TAG_SIZE_START] tag_write, + + + output wire[TAG_SIZE_END:TAG_SIZE_START] tag_use, + output wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_use, + output wire valid_use, + output wire dirty_use + // `else + // // Addr + // input wire[7:0] addr, + // // WE + // input wire[NUM_WORDS_PER_BLOCK-1:0][3:0] we, + // input wire evict, + // // Data + // input wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_write, // Update Data + // input wire[16:0] tag_write, + + + // output wire[16:0] tag_use, + // output wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_use, + // output wire valid_use, + // output wire dirty_use + // `endif + +); + + //localparam NUMBER_BANKS = CACHE_BANKS; + //localparam CACHE_BLOCK_PER_BANK = (CACHE_BLOCK / CACHE_BANKS); + // localparam NUM_WORDS_PER_BLOCK = CACHE_BLOCK / (CACHE_BANKS*4); + //localparam NUMBER_INDEXES = NUM_IND; + + wire currently_writing = (|we); + wire update_dirty = ((!dirty_use) && currently_writing) || (evict); + + wire dirt_new = evict ? 0 : (|we); + + + `ifndef SYN + + // (3:0) 4 bytes + reg[NUM_WORDS_PER_BLOCK-1:0][3:0][7:0] data[NUM_IND-1:0]; // Actual Data + reg[TAG_SIZE_END:TAG_SIZE_START] tag[NUM_IND-1:0]; + reg valid[NUM_IND-1:0]; + reg dirty[NUM_IND-1:0]; + + + // 16 bytes + assign data_use = data[addr]; // Read Port + assign tag_use = tag[addr]; + assign valid_use = valid[addr]; + assign dirty_use = dirty[addr]; + + integer f; + integer ini_ind; + always @(posedge clk, posedge rst) begin : update_all + if (rst) begin + for (ini_ind = 0; ini_ind < NUM_IND; ini_ind=ini_ind+1) begin + data[ini_ind] <= 0; + tag[ini_ind] <= 0; + valid[ini_ind] <= 0; + dirty[ini_ind] <= 0; + end + end else begin + if (update_dirty) dirty[addr] <= dirt_new; // WRite Port + if (evict) tag[addr] <= tag_write; + if (evict) valid[addr] <= 1; + + for (f = 0; f < NUM_WORDS_PER_BLOCK; f = f + 1) begin + if (we[f][0]) data[addr][f][0] <= data_write[f][7 :0 ]; + if (we[f][1]) data[addr][f][1] <= data_write[f][15:8 ]; + if (we[f][2]) data[addr][f][2] <= data_write[f][23:16]; + if (we[f][3]) data[addr][f][3] <= data_write[f][31:24]; + end + end + end + + `else + + wire[IND_SIZE_END:IND_SIZE_START] use_addr = addr; + + wire cena = 1; + + wire cenb_d = (|we); + wire[NUM_WORDS_PER_BLOCK-1:0][31:0] wdata_d = data_write; + wire[NUM_WORDS_PER_BLOCK-1:0][31:0] write_bit_mask_d; + wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_out_d; + genvar cur_b; + for (cur_b = 0; cur_b < NUM_WORDS_PER_BLOCK; cur_b=cur_b+1) begin + assign write_bit_mask_d[cur_b] = {32{~we[cur_b]}}; + end + assign data_use = data_out_d; + + + // Using ASIC MEM + /* verilator lint_off PINCONNECTEMPTY */ + rf2_32x128_wm1 data ( + .CENYA(), + .AYA(), + .CENYB(), + .WENYB(), + .AYB(), + .QA(data_out_d), + .SOA(), + .SOB(), + .CLKA(clk), + .CENA(cena), + .AA(use_addr), + .CLKB(clk), + .CENB(cenb_d), + .WENB(write_bit_mask_d), + .AB(use_addr), + .DB(wdata_d), + .EMAA(3'b011), + .EMASA(1'b0), + .EMAB(3'b011), + .TENA(1'b1), + .TCENA(1'b0), + .TAA(5'b0), + .TENB(1'b1), + .TCENB(1'b0), + .TWENB(128'b0), + .TAB(5'b0), + .TDB(128'b0), + .RET1N(1'b1), + .SIA(2'b0), + .SEA(1'b0), + .DFTRAMBYP(1'b0), + .SIB(2'b0), + .SEB(1'b0), + .COLLDISN(1'b1) + ); + /* verilator lint_on PINCONNECTEMPTY */ + + + + + + wire[16:0] old_tag; + wire old_valid; + wire old_dirty; + + wire[16:0] new_tag = evict ? tag_write : old_tag; + wire new_valid = evict ? 1 : old_valid; + wire new_dirty = update_dirty ? dirt_new : old_dirty; + + + wire cenb_m = (evict || update_dirty); + wire[19-1:0][31:0] write_bit_mask_m = cenb_m ? 19'b0 : 19'b1; + + + + // Try to fix the error in memory conneciton, modified by Lingjun Zhu on Oct. 28 2019 + // wire[NUM_WORDS_PER_BLOCK-1:0][31:0] wdata_m = {new_tag, new_dirty, new_valid}; + // wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_out_m; + + wire[19-1:0] wdata_m = {new_tag, new_dirty, new_valid}; + + wire[19-1:0] data_out_m; + + assign {old_tag, old_dirty, old_valid} = data_out_m; + + + assign dirty_use = old_dirty; + assign valid_use = old_valid; + assign tag_use = old_tag; + + /* verilator lint_off PINCONNECTEMPTY */ + rf2_32x19_wm0 meta ( + .CENYA(), + .AYA(), + .CENYB(), + // .WENYB(), + .AYB(), + .QA(data_out_m), + .SOA(), + .SOB(), + .CLKA(clk), + .CENA(cena), + .AA(use_addr), + .CLKB(clk), + .CENB(cenb_m), + // .WENB(write_bit_mask_m), + .AB(use_addr), + .DB(wdata_m), + .EMAA(3'b011), + .EMASA(1'b0), + .EMAB(3'b011), + .TENA(1'b1), + .TCENA(1'b0), + .TAA(5'b0), + .TENB(1'b1), + .TCENB(1'b0), + // .TWENB(128'b0), + .TAB(5'b0), + .TDB(19'b0), + .RET1N(1'b1), + .SIA(2'b0), + .SEA(1'b0), + .DFTRAMBYP(1'b0), + .SIB(2'b0), + .SEB(1'b0), + .COLLDISN(1'b1) + ); + /* verilator lint_on PINCONNECTEMPTY */ + + + `endif + +endmodule diff --git a/old_rtl/cache/VX_cache_data_per_index.v b/old_rtl/cache/VX_cache_data_per_index.v new file mode 100644 index 000000000..4e95a42d1 --- /dev/null +++ b/old_rtl/cache/VX_cache_data_per_index.v @@ -0,0 +1,163 @@ + + +`include "../VX_define.v" + +module VX_cache_data_per_index + #( + parameter CACHE_WAYS = 1, + parameter NUM_IND = 8, + parameter CACHE_WAY_INDEX = 1, + parameter NUM_WORDS_PER_BLOCK = 4, + parameter TAG_SIZE_START = 0, + parameter TAG_SIZE_END = 16, + parameter IND_SIZE_START = 0, + parameter IND_SIZE_END = 7 + ) + ( + input wire clk, // Clock + input wire rst, + input wire valid_in, + input wire [3:0] state, + // Addr + input wire[IND_SIZE_END:IND_SIZE_START] addr, + // WE + input wire[NUM_WORDS_PER_BLOCK-1:0][3:0] we, + input wire evict, + input wire[CACHE_WAY_INDEX-1:0] way_to_update, + // Data + input wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_write, // Update Data + input wire[TAG_SIZE_END:TAG_SIZE_START] tag_write, + + + output wire[TAG_SIZE_END:TAG_SIZE_START] tag_use, + output wire[NUM_WORDS_PER_BLOCK-1:0][31:0] data_use, + output wire valid_use, + output wire dirty_use + +); + //localparam NUMBER_BANKS = CACHE_BANKS; + //localparam CACHE_BLOCK_PER_BANK = (CACHE_BLOCK / CACHE_BANKS); + // localparam NUM_WORDS_PER_BLOCK = CACHE_BLOCK / (CACHE_BANKS*4); + //localparam NUMBER_INDEXES = `DCACHE_NUM_IND; + + wire [CACHE_WAYS-1:0][TAG_SIZE_END:TAG_SIZE_START] tag_use_per_way; + wire [CACHE_WAYS-1:0][NUM_WORDS_PER_BLOCK-1:0][31:0] data_use_per_way; + wire [CACHE_WAYS-1:0] valid_use_per_way; + wire [CACHE_WAYS-1:0] dirty_use_per_way; + wire [CACHE_WAYS-1:0] hit_per_way; + // reg [CACHE_WAY_INDEX-1:0] eviction_way_index; + wire [CACHE_WAYS-1:0][NUM_WORDS_PER_BLOCK-1:0][3:0] we_per_way; + wire [CACHE_WAYS-1:0][NUM_WORDS_PER_BLOCK-1:0][31:0] data_write_per_way; + wire [CACHE_WAYS-1:0] write_from_mem_per_way; + wire invalid_found; + + wire [CACHE_WAY_INDEX-1:0] way_index; + wire [CACHE_WAY_INDEX-1:0] invalid_index; + + + localparam CACHE_IDLE = 0; // Idle + localparam SEND_MEM_REQ = 1; // Write back this block into memory + localparam RECIV_MEM_RSP = 2; + + if(CACHE_WAYS != 1) begin + VX_generic_priority_encoder #(.N(CACHE_WAYS)) valid_index + ( + .valids(~valid_use_per_way), + .index (invalid_index), + .found (invalid_found) + ); + + VX_generic_priority_encoder #(.N(CACHE_WAYS)) way_indexing + ( + .valids(hit_per_way), + .index (way_index), + .found () + ); + end + else begin + assign way_index = 0; + assign invalid_found = (valid_use_per_way == 1'b0) ? 1 : 0; + assign invalid_index = 0; + end + + + + + // wire hit = |hit_per_way; + // wire miss = ~hit; + // wire update = |we && !miss; + // wire valid = &valid_use_per_way; + + wire[CACHE_WAY_INDEX-1:0] way_use_Qual; + + assign way_use_Qual = (state != CACHE_IDLE) ? way_to_update : way_index; + + assign tag_use = tag_use_per_way[way_use_Qual]; + assign data_use = data_use_per_way[way_use_Qual]; + assign valid_use = valid_use_per_way[way_use_Qual]; + assign dirty_use = dirty_use_per_way[way_use_Qual]; + + // assign tag_use = hit ? tag_use_per_way[way_index] : (valid ? tag_use_per_way[eviction_way_index] : (invalid_found ? tag_use_per_way[invalid_index] : 0)); + // assign data_use = hit ? data_use_per_way[way_index] : (valid ? data_use_per_way[eviction_way_index] : (invalid_found ? data_use_per_way[invalid_index] : 0)); + // assign valid_use = hit ? valid_use_per_way[way_index] : (valid ? valid_use_per_way[eviction_way_index] : (invalid_found ? valid_use_per_way[invalid_index] : 0)); + // assign dirty_use = hit ? dirty_use_per_way[way_index] : (valid ? dirty_use_per_way[eviction_way_index] : (invalid_found ? dirty_use_per_way[invalid_index] : 0)); + + + + genvar ways; + for(ways=0; ways < CACHE_WAYS; ways = ways + 1) begin : each_way + + + assign hit_per_way[ways] = ((valid_use_per_way[ways] == 1'b1) && (tag_use_per_way[ways] == tag_write)) ? 1'b1 : 0; + + + assign write_from_mem_per_way[ways] = evict && (ways == way_use_Qual); + assign we_per_way[ways] = (ways == way_use_Qual) ? (we) : 0; + assign data_write_per_way[ways] = data_write; + + + // assign hit_per_way[ways] = ((valid_use_per_way[ways] == 1'b1) && (tag_use_per_way[ways] == tag_write)) ? 1'b1 : 0; + + // assign we_per_way[ways] = (evict == 1'b1) || (update == 1'b1) ? ((ways == way_use_Qual) ? (we) : 0) : 0; + // assign data_write_per_way[ways] = (evict == 1'b1) || (update == 1'b1) ? ((ways == way_use_Qual) ? data_write : 0) : 0; + // assign write_from_mem_per_way[ways] = (evict == 1'b1) ? ((ways == way_use_Qual) ? 1 : 0) : 0; + + VX_cache_data #( + .NUM_IND (NUM_IND), + .NUM_WORDS_PER_BLOCK (NUM_WORDS_PER_BLOCK), + .TAG_SIZE_START (TAG_SIZE_START), + .TAG_SIZE_END (TAG_SIZE_END), + .IND_SIZE_START (IND_SIZE_START), + .IND_SIZE_END (IND_SIZE_END)) data_structures( + .clk (clk), + .rst (rst), + // Inputs + .addr (addr), + .we (we_per_way[ways]), + .evict (write_from_mem_per_way[ways]), + .data_write(data_write_per_way[ways]), + .tag_write (tag_write), + // Outputs + .tag_use (tag_use_per_way[ways]), + .data_use (data_use_per_way[ways]), + .valid_use (valid_use_per_way[ways]), + .dirty_use (dirty_use_per_way[ways]) + ); + end + + // always @(posedge clk or posedge rst) begin + // if (rst) begin + // eviction_way_index <= 0; + // end else begin + // // if((miss && dirty_use && valid_use && !evict && valid_in)) begin // can be either evict or invalid cache entries + // if((state == SEND_MEM_REQ)) begin // can be either evict or invalid cache entries + // if((eviction_way_index+1) == CACHE_WAYS) begin + // eviction_way_index <= 0; + // end else begin + // eviction_way_index <= (eviction_way_index + 1); + // end + // end + // end + // end + +endmodule diff --git a/old_rtl/cache/VX_d_cache.v b/old_rtl/cache/VX_d_cache.v new file mode 100644 index 000000000..78b407f7f --- /dev/null +++ b/old_rtl/cache/VX_d_cache.v @@ -0,0 +1,387 @@ +// Cache Memory (8way 4word) // +// i_ means input port // +// o_ means output port // +// _p_ means data exchange with processor // +// _m_ means data exchange with memory // + + +// TO DO: +// - Send in a response from memory of what the data is from the test bench + +`include "../VX_define.v" +//`include "VX_priority_encoder.v" +// `include "VX_Cache_Bank.v" +//`include "cache_set.v" + +module VX_d_cache + #( + parameter CACHE_SIZE = 4096, // Bytes + parameter CACHE_WAYS = 1, + parameter CACHE_BLOCK = 128, // Bytes + parameter CACHE_BANKS = 8, + parameter LOG_NUM_BANKS = 3, + parameter NUM_REQ = 8, + parameter LOG_NUM_REQ = 3, + parameter NUM_IND = 8, + parameter CACHE_WAY_INDEX = 1, + parameter NUM_WORDS_PER_BLOCK = 4, + parameter OFFSET_SIZE_START = 0, + parameter OFFSET_SIZE_END = 1, + parameter TAG_SIZE_START = 0, + parameter TAG_SIZE_END = 16, + parameter IND_SIZE_START = 0, + parameter IND_SIZE_END = 7, + parameter ADDR_TAG_START = 15, + parameter ADDR_TAG_END = 31, + parameter ADDR_OFFSET_START = 5, + parameter ADDR_OFFSET_END = 6, + parameter ADDR_IND_START = 7, + parameter ADDR_IND_END = 14, + parameter MEM_ADDR_REQ_MASK = 32'hffffffc0 + ) + ( + clk, + rst, + i_p_addr, + //i_p_byte_en, + i_p_writedata, + i_p_read_or_write, // 0 = Read | 1 = Write + i_p_mem_read, + i_p_mem_write, + i_p_valid, + //i_p_write, + o_p_readdata, + o_p_delay, // 0 = all threads done | 1 = Still threads that need to + + o_m_evict_addr, + o_m_read_addr, + + o_m_writedata, + + o_m_read_or_write, // 0 = Read | 1 = Write + o_m_valid, + i_m_readdata, + + i_m_ready + ); + + //parameter NUMBER_BANKS = `CACHE_BANKS; + //localparam NUM_WORDS_PER_BLOCK = `CACHE_BLOCK / (`CACHE_BANKS*4); + + //localparam CACHE_BLOCK_PER_BANK = (`CACHE_BLOCK / `CACHE_BANKS); + + localparam CACHE_IDLE = 0; // Idle + localparam SEND_MEM_REQ = 1; // Write back this block into memory + localparam RECIV_MEM_RSP = 2; + + + //parameter cache_entry = 9; + input wire clk, rst; + input wire [NUM_REQ-1:0] i_p_valid; + input wire [NUM_REQ-1:0][31:0] i_p_addr; // FIXME + input wire [NUM_REQ-1:0][31:0] i_p_writedata; + input wire i_p_read_or_write; //, i_p_write; + output reg [NUM_REQ-1:0][31:0] o_p_readdata; + output wire o_p_delay; + output reg [31:0] o_m_evict_addr; // Address is xxxxxxxxxxoooobbbyy + output reg [31:0] o_m_read_addr; + output reg o_m_valid; + output reg[CACHE_BANKS - 1:0][NUM_WORDS_PER_BLOCK-1:0][31:0] o_m_writedata; + output reg o_m_read_or_write; //, o_m_write; + input wire[CACHE_BANKS - 1:0][NUM_WORDS_PER_BLOCK-1:0][31:0] i_m_readdata; + input wire i_m_ready; + + input wire[2:0] i_p_mem_read; + input wire[2:0] i_p_mem_write; + + + // Buffer for final data + reg [NUM_REQ-1:0][31:0] final_data_read; + reg [NUM_REQ-1:0][31:0] new_final_data_read; + wire[NUM_REQ-1:0][31:0] new_final_data_read_Qual; + + assign o_p_readdata = new_final_data_read_Qual; + + + reg[CACHE_WAY_INDEX-1:0] global_way_to_evict; + + + wire[CACHE_BANKS - 1 : 0][NUM_REQ-1:0] thread_track_banks; // Valid thread mask per bank + wire[CACHE_BANKS - 1 : 0][LOG_NUM_REQ-1:0] index_per_bank; // Index of thread each bank will try to service + wire[CACHE_BANKS - 1 : 0][NUM_REQ-1:0] use_mask_per_bank; // A mask of index_per_bank + wire[CACHE_BANKS - 1 : 0] valid_per_bank; // Valid request going to each bank + wire[CACHE_BANKS - 1 : 0][NUM_REQ-1:0] threads_serviced_per_bank; // Bank successfully serviced per bank + + wire[CACHE_BANKS-1:0][31:0] readdata_per_bank; // Data read from each bank + wire[CACHE_BANKS-1:0] hit_per_bank; // Whether each bank got a hit or a miss + wire[CACHE_BANKS-1:0] eviction_wb; + reg[CACHE_BANKS-1:0] eviction_wb_old; + + + // wire[CACHE_BANKS -1 : 0][CACHE_WAY_INDEX-1:0] evicted_way_new; + // reg [CACHE_BANKS -1 : 0][CACHE_WAY_INDEX-1:0] evicted_way_old; + // wire[CACHE_BANKS -1 : 0][CACHE_WAY_INDEX-1:0] way_used; + + // Internal State + reg [3:0] state; + wire[3:0] new_state; + + wire[NUM_REQ-1:0] use_valid; // Valid used throught the code + reg[NUM_REQ-1:0] stored_valid; // Saving the threads still left (bank conflict or bank miss) + wire[NUM_REQ-1:0] new_stored_valid; // New stored valid + + + + reg[CACHE_BANKS - 1 : 0][31:0] eviction_addr_per_bank; + + reg[31:0] miss_addr; + // reg[31:0] evict_addr; + + wire curr_processor_request_valid = (|i_p_valid); + + + assign use_valid = (stored_valid == 0) ? i_p_valid : stored_valid; + + + + + + + VX_cache_bank_valid #(.NUMBER_BANKS (CACHE_BANKS), + .LOG_NUM_BANKS (LOG_NUM_BANKS), + .NUM_REQ (NUM_REQ)) multip_banks( + .i_p_valid (use_valid), + .i_p_addr (i_p_addr), + .thread_track_banks(thread_track_banks) + ); + + + reg[NUM_REQ-1:0] threads_serviced_Qual; + + reg[NUM_REQ-1:0] debug_hit_per_bank_mask[CACHE_BANKS-1:0]; + + genvar bid; + for (bid = 0; bid < CACHE_BANKS; bid=bid+1) + begin + wire[NUM_REQ-1:0] use_threads_track_banks = thread_track_banks[bid]; + wire[LOG_NUM_REQ-1:0] use_thread_index = index_per_bank[bid]; + wire use_write_final_data = hit_per_bank[bid]; + wire[31:0] use_data_final_data = readdata_per_bank[bid]; + VX_priority_encoder_w_mask #(.N(NUM_REQ)) choose_thread( + .valids(use_threads_track_banks), + .mask (use_mask_per_bank[bid]), + .index (index_per_bank[bid]), + .found (valid_per_bank[bid]) + ); + + assign debug_hit_per_bank_mask[bid] = {NUM_REQ{hit_per_bank[bid]}}; + assign threads_serviced_per_bank[bid] = use_mask_per_bank[bid] & debug_hit_per_bank_mask[bid]; + end + + integer test_bid; + always @(*) begin + new_final_data_read = 0; + for (test_bid=0; test_bid < CACHE_BANKS; test_bid=test_bid+1) + begin + if (hit_per_bank[test_bid]) begin + new_final_data_read[index_per_bank[test_bid]] = readdata_per_bank[test_bid]; + end + end + end + + + wire[CACHE_BANKS - 1 : 0] detect_bank_miss; + //assign threads_serviced_Qual = threads_serviced_per_bank[0] | threads_serviced_per_bank[1] | + // threads_serviced_per_bank[2] | threads_serviced_per_bank[3] | + // threads_serviced_per_bank[4] | threads_serviced_per_bank[5] | + // threads_serviced_per_bank[6] | threads_serviced_per_bank[7]; + integer bbid; + always @(*) begin + threads_serviced_Qual = 0; + for (bbid = 0; bbid < CACHE_BANKS; bbid=bbid+1) + begin + threads_serviced_Qual = threads_serviced_Qual | threads_serviced_per_bank[bbid]; + end + end + + + + genvar tid; + for (tid = 0; tid < NUM_REQ; tid =tid+1) + begin + assign new_final_data_read_Qual[tid] = threads_serviced_Qual[tid] ? new_final_data_read[tid] : final_data_read[tid]; + end + + + assign detect_bank_miss = (valid_per_bank & ~hit_per_bank); + + wire delay; + assign delay = (new_stored_valid != 0) || (state != CACHE_IDLE); // add other states + + assign o_p_delay = delay; + + wire[CACHE_BANKS - 1 : 0][LOG_NUM_REQ-1:0] send_index_to_bank = index_per_bank; + + + wire[LOG_NUM_BANKS-1:0] miss_bank_index; + wire miss_found; + VX_generic_priority_encoder #(.N(CACHE_BANKS)) get_miss_index + ( + .valids(detect_bank_miss), + .index (miss_bank_index), + .found (miss_found) + ); + + + + assign new_state = ((state == CACHE_IDLE) && (|detect_bank_miss)) ? SEND_MEM_REQ : + (state == SEND_MEM_REQ) ? RECIV_MEM_RSP : + ((state == RECIV_MEM_RSP) && !i_m_ready) ? RECIV_MEM_RSP : + CACHE_IDLE; + + // Handle if there is more than one miss + assign new_stored_valid = use_valid & (~threads_serviced_Qual); + + + wire update_global_way_to_evict = ((state == RECIV_MEM_RSP) && (new_state == CACHE_IDLE)) && (CACHE_WAYS > 1); + +/////////////////////////////////////////////////////////////////////// + genvar cur_t; + integer init_b; + always @(posedge clk, posedge rst) begin + if (rst) begin + final_data_read <= 0; + // new_final_data_read = 0; + state <= 0; + stored_valid <= 0; + // eviction_addr_per_bank <= 0; + miss_addr <= 0; + // evict_addr <= 0; + // threads_serviced_Qual = 0; + // for (init_b = 0; init_b < NUMBER_BANKS; init_b=init_b+1) + // begin + // debug_hit_per_bank_mask[init_b] <= 0; + // end + // evicted_way_old <= 0; + // eviction_wb_old <= 0; + global_way_to_evict <= 0; + + end else begin + + global_way_to_evict <= (update_global_way_to_evict) ? (global_way_to_evict+1) : global_way_to_evict; + + state <= new_state; + + stored_valid <= new_stored_valid; + + if (state == CACHE_IDLE) begin + if (miss_found) begin + miss_addr <= i_p_addr[send_index_to_bank[miss_bank_index]]; + // evict_addr <= eviction_addr_per_bank[miss_bank_index]; + end else begin + miss_addr <= 0; + // evict_addr <= 0; + end + end + + final_data_read <= new_final_data_read_Qual; + // evicted_way_old <= evicted_way_new; + // eviction_wb_old <= eviction_wb; + end + end + + + genvar bank_id; + generate + for (bank_id = 0; bank_id < CACHE_BANKS; bank_id = bank_id + 1) + begin + wire[31:0] bank_addr = (state == SEND_MEM_REQ) ? miss_addr : + (state == RECIV_MEM_RSP) ? miss_addr : + i_p_addr[send_index_to_bank[bank_id]]; + + // assign evicted_way_new[bank_id] = (state == SEND_MEM_REQ) ? way_used[bank_id] : + // (state == RECIV_MEM_RSP) ? evicted_way_old[bank_id] : + // 0; + + wire[1:0] byte_select = bank_addr[1:0]; + wire[TAG_SIZE_END:TAG_SIZE_START] cache_tag = bank_addr[ADDR_TAG_END:ADDR_TAG_START]; + + `ifdef SYN_FUNC + wire[OFFSET_SIZE_END:OFFSET_SIZE_START] cache_offset = 0; + wire[IND_SIZE_END:IND_SIZE_START] cache_index = 0; + `else + wire[OFFSET_SIZE_END:OFFSET_SIZE_START] cache_offset = bank_addr[ADDR_OFFSET_END:ADDR_OFFSET_START]; + wire[IND_SIZE_END:IND_SIZE_START] cache_index = bank_addr[ADDR_IND_END:ADDR_IND_START]; + `endif + + + wire normal_valid_in = valid_per_bank[bank_id]; + wire use_valid_in = ((state == RECIV_MEM_RSP) && i_m_ready) ? 1'b1 : + ((state == RECIV_MEM_RSP) && !i_m_ready) ? 1'b0 : + ((state == SEND_MEM_REQ)) ? 1'b0 : + normal_valid_in; + + + VX_Cache_Bank #( + .CACHE_SIZE (CACHE_SIZE), + .CACHE_WAYS (CACHE_WAYS), + .CACHE_BLOCK (CACHE_BLOCK), + .CACHE_BANKS (CACHE_BANKS), + .LOG_NUM_BANKS (LOG_NUM_BANKS), + .NUM_REQ (NUM_REQ), + .LOG_NUM_REQ (LOG_NUM_REQ), + .NUM_IND (NUM_IND), + .CACHE_WAY_INDEX (CACHE_WAY_INDEX), + .NUM_WORDS_PER_BLOCK (NUM_WORDS_PER_BLOCK), + .OFFSET_SIZE_START (OFFSET_SIZE_START), + .OFFSET_SIZE_END (OFFSET_SIZE_END), + .TAG_SIZE_START (TAG_SIZE_START), + .TAG_SIZE_END (TAG_SIZE_END), + .IND_SIZE_START (IND_SIZE_START), + .IND_SIZE_END (IND_SIZE_END), + .ADDR_TAG_START (ADDR_TAG_START), + .ADDR_TAG_END (ADDR_TAG_END), + .ADDR_OFFSET_START (ADDR_OFFSET_START), + .ADDR_OFFSET_END (ADDR_OFFSET_END), + .ADDR_IND_START (ADDR_IND_START), + .ADDR_IND_END (ADDR_IND_END) + ) bank_structure ( + .clk (clk), + .rst (rst), + .state (state), + .valid_in (use_valid_in), + .actual_index (cache_index), + .o_tag (cache_tag), + .block_offset (cache_offset), + .writedata (i_p_writedata[send_index_to_bank[bank_id]]), + .read_or_write (i_p_read_or_write), + .i_p_mem_read (i_p_mem_read), + .i_p_mem_write (i_p_mem_write), + .byte_select (byte_select), + .hit (hit_per_bank[bank_id]), + .readdata (readdata_per_bank[bank_id]), // Data read + .eviction_addr (eviction_addr_per_bank[bank_id]), + .data_evicted (o_m_writedata[bank_id]), + .eviction_wb (eviction_wb[bank_id]), // Something needs to be written back + .fetched_writedata(i_m_readdata[bank_id]), // Data From memory + .evicted_way (global_way_to_evict) + ); + + end + endgenerate + + // Mem Rsp + + // Req to mem: + assign o_m_evict_addr = (eviction_addr_per_bank[0]) & MEM_ADDR_REQ_MASK; // Could be anything because tag+index are same + assign o_m_read_addr = miss_addr & MEM_ADDR_REQ_MASK; + assign o_m_valid = (state == SEND_MEM_REQ); + assign o_m_read_or_write = (state == SEND_MEM_REQ) && (|eviction_wb); + //end + +endmodule + + + + + diff --git a/old_rtl/cache/VX_d_cache_encapsulate.v b/old_rtl/cache/VX_d_cache_encapsulate.v new file mode 100644 index 000000000..a35c322a2 --- /dev/null +++ b/old_rtl/cache/VX_d_cache_encapsulate.v @@ -0,0 +1,118 @@ + +`include "../VX_define.v" + +// `define NUM_WORDS_PER_BLOCK 4 + +module VX_d_cache_encapsulate ( + clk, + rst, + + i_p_initial_request, + i_p_addr, + i_p_writedata, + i_p_read_or_write, + i_p_valid, + + o_p_readdata, + o_p_readdata_valid, + o_p_waitrequest, + + o_m_addr, + o_m_writedata, + o_m_read_or_write, + o_m_valid, + + i_m_readdata, + i_m_ready +); + + parameter NUMBER_BANKS = 8; + + + + + //parameter cache_entry = 9; + input wire clk, rst; + + input wire i_p_valid[`NT_M1:0]; + input wire [31:0] i_p_addr[`NT_M1:0]; + input wire i_p_initial_request; + input wire [31:0] i_p_writedata[`NT_M1:0]; + input wire i_p_read_or_write; + + input wire [31:0] i_m_readdata[NUMBER_BANKS - 1:0][`NUM_WORDS_PER_BLOCK-1:0]; + input wire i_m_ready; + + output reg [31:0] o_p_readdata[`NT_M1:0]; + output reg o_p_readdata_valid[`NT_M1:0] ; + output reg o_p_waitrequest; + + output reg [31:0] o_m_addr; + output reg o_m_valid; + output reg [31:0] o_m_writedata[NUMBER_BANKS - 1:0][`NUM_WORDS_PER_BLOCK-1:0]; + output reg o_m_read_or_write; + + + // Inter + wire [`NT_M1:0] i_p_valid_inter; + wire [`NT_M1:0][31:0] i_p_addr_inter; + wire [`NT_M1:0][31:0] i_p_writedata_inter; + + reg [`NT_M1:0][31:0] o_p_readdata_inter; + reg [`NT_M1:0] o_p_readdata_valid_inter; + + reg[NUMBER_BANKS - 1:0][`NUM_WORDS_PER_BLOCK-1:0][31:0] o_m_writedata_inter; + wire[NUMBER_BANKS - 1:0][`NUM_WORDS_PER_BLOCK-1:0][31:0] i_m_readdata_inter; + + + genvar curr_thraed; + for (curr_thraed = 0; curr_thraed < `NT; curr_thraed = curr_thraed + 1) begin + assign i_p_valid_inter[curr_thraed] = i_p_valid[curr_thraed]; + assign i_p_addr_inter[curr_thraed] = i_p_addr[curr_thraed]; + assign i_p_writedata_inter[curr_thraed] = i_p_writedata[curr_thraed]; + assign o_p_readdata[curr_thraed] = o_p_readdata_inter[curr_thraed]; + assign o_p_readdata_valid[curr_thraed] = o_p_readdata_valid_inter[curr_thraed]; + end + + + genvar curr_bank; + genvar curr_word; + for (curr_bank = 0; curr_bank < NUMBER_BANKS; curr_bank = curr_bank + 1) begin + + for (curr_word = 0; curr_word < `NUM_WORDS_PER_BLOCK; curr_word = curr_word + 1) begin + + assign o_m_writedata[curr_bank][curr_word] = o_m_writedata_inter[curr_bank][curr_word]; + assign i_m_readdata_inter[curr_bank][curr_word] = i_m_readdata[curr_bank][curr_word]; + + end + end + +VX_d_cache dcache( + .clk (clk), + .rst (rst), + .i_p_valid (i_p_valid_inter), + .i_p_addr (i_p_addr_inter), + .i_p_initial_request(i_p_initial_request), + .i_p_writedata (i_p_writedata_inter), + .i_p_read_or_write (i_p_read_or_write), + .o_p_readdata (o_p_readdata_inter), + .o_p_readdata_valid (o_p_readdata_valid_inter), + .o_p_waitrequest (o_p_waitrequest), + .o_m_addr (o_m_addr), + .o_m_valid (o_m_valid), + .o_m_writedata (o_m_writedata_inter), + .o_m_read_or_write (o_m_read_or_write), + .i_m_readdata (i_m_readdata_inter), + .i_m_ready (i_m_ready) + ); + + +endmodule + + + + + + + + diff --git a/old_rtl/cache/VX_d_cache_tb.v b/old_rtl/cache/VX_d_cache_tb.v new file mode 100644 index 000000000..4f5681c3b --- /dev/null +++ b/old_rtl/cache/VX_d_cache_tb.v @@ -0,0 +1,58 @@ +`include "VX_define.v" +`include "VX_d_cache.v" + +module VX_d_cache_tb; + + parameter NUMBER_BANKS = 8; + + reg clk, reset, im_ready; + reg [`NT_M1:0] i_p_valid; + reg [`NT_M1:0][13:0] i_p_addr; // FIXME + reg i_p_initial_request; + reg [`NT_M1:0][31:0] i_p_writedata; + reg i_p_read_or_write; //, i_p_write; + reg [`NT_M1:0][31:0] o_p_readdata; + reg [`NT_M1:0] o_p_readdata_valid; + reg o_p_waitrequest; + reg [13:0] o_m_addr; // Only one address is sent out at a time to memory + reg o_m_valid; + reg [(NUMBER_BANKS * 32) - 1:0] o_m_writedata; + reg o_m_read_or_write; //, o_m_write; + reg [(NUMBER_BANKS * 32) - 1:0] i_m_readdata; // Read Data that is passed from the memory module back to the controller + + + VX_d_cache d_cache(.clk(clk), + .rst(reset), + .i_p_initial_request(i_p_initial_request), + .i_p_addr(i_p_addr), + .i_p_writedata(i_p_writedata), + .i_p_read_or_write(i_p_read_or_write), // 0 = Read | 1 = Write + .i_p_valid(i_p_valid), + .o_p_readdata(o_p_readdata), + .o_p_readdata_valid(o_p_readdata_valid), + .o_p_waitrequest(o_p_waitrequest), // 0 = all threads done | 1 = Still threads that need to + .o_m_addr(o_m_addr), + .o_m_writedata(o_m_writedata), + .o_m_read_or_write(o_m_read_or_write), // 0 = Read | 1 = Write + .o_m_valid(o_m_valid), + .i_m_readdata(i_m_readdata), + .i_m_ready(im_ready) + //cnt_r, + //cnt_w, + //cnt_hit_r, + //cnt_hit_w + ); + + + + initial + begin + clk = 0; + reset = 0; + + end + + always + #5 clk = ! clk; + +endmodule \ No newline at end of file diff --git a/old_rtl/cache/VX_generic_pe.v b/old_rtl/cache/VX_generic_pe.v new file mode 100644 index 000000000..4ff3cc173 --- /dev/null +++ b/old_rtl/cache/VX_generic_pe.v @@ -0,0 +1,24 @@ +module VX_generic_pe + #( + parameter N = 8 + ) + ( + input wire[N-1:0] valids, + output reg[$clog2(N)-1:0] index, + output reg found + ); + +parameter my_secret = 0; + + integer i; + always @(*) begin + index = 0; + found = 0; + for (i = N-1; i >= 0; i = i - 1) begin + if (valids[i]) begin + index = i[$clog2(N)-1:0]; + found = 1; + end + end + end +endmodule \ No newline at end of file diff --git a/old_rtl/cache/cache_set.v b/old_rtl/cache/cache_set.v new file mode 100644 index 000000000..4f2445ea8 --- /dev/null +++ b/old_rtl/cache/cache_set.v @@ -0,0 +1,233 @@ +// To Do: Change way_id_out to an internal register which holds when in between access and finished. +// Also add a bit about wheter the "Way ID" is valid / being held or if it is just default +// Also make sure all possible output states are transmitted back to the bank correctly + +// `include "VX_define.v" +module cache_set(clk, + rst, + // These next 4 are possible modes that the Set could be in, I am making them 4 different variables for indexing purposes + access, // First + find_evict, + write_from_mem, + idle, + // entry, + o_tag, + writedata, + //byte_en, + write, + //word_en, + //way_id_in, + //way_id_out, + readdata, + //wb_addr, + hit, + eviction_wb, + eviction_tag, + //eviction_data, + //modify, + miss + //valid_data + //read_miss + ); + + parameter cache_entry = 14; + parameter ways_per_set = 4; + + input wire clk, rst; + input wire access; + input wire find_evict; + input wire write_from_mem; + input wire idle; + //input wire [cache_entry-1:0] entry; + input wire [1:0] o_tag; + input wire [31:0] writedata; + //input wire [3:0] byte_en; + input wire write; // 0 == False + //input wire [3:0] word_en; + //input wire read_miss; + //input wire [1:0] way_id_in; + //output reg [1:0] way_id_out; + output reg [31:0] readdata; + //output reg [3:0] hit; + output reg hit; + output reg miss; + output wire eviction_wb; + output wire [1:0] eviction_tag; + reg [31:0] eviction_data; + //output wire [22:0] wb_addr; + //output wire modify, valid_data; + + + + //wire [2:0] i_tag; + //wire dirty; + //wire [24-cache_entry:0] write_tag_data; + + // Table for one set + reg [2:0] counter; // Determines which to evict + reg valid [ways_per_set-1:0]; + reg [1:0] tag [ways_per_set-1:0]; + reg clean [ways_per_set-1:0]; + reg [31:0] data [ways_per_set-1:0]; + + + assign eviction_wb = miss && clean[counter[1:0]] != 1'b1 && valid[counter[1:0]] == 1'b1; + assign eviction_tag = tag[counter[1:0]]; + //assign eviction_data = data[counter[1:0]]; + //assign hit = valid_data && (o_tag == i_tag); + //assign modify = valid_data && (o_tag != i_tag) && dirty; + //assign miss = !valid_data || ((o_tag != i_tag) && !dirty); + + //assign wb_addr = {i_tag, entry}; + always @(posedge clk) begin + if (rst) begin + + end + if (find_evict) begin + if (tag[0] == o_tag && valid[0]) begin + readdata <= data[0]; + end else if (tag[1] == o_tag && valid[1]) begin + readdata <= data[1]; + end else if (tag[2] == o_tag && valid[2]) begin + readdata <= data[2]; + end else if (tag[3] == o_tag && valid[3]) begin + readdata <= data[3]; + end + end else if (access) begin + //tag[`NT_M1:0] <= i_p_addr[`NT_M1:0][13:12]; + counter <= ((counter + 1) ^ 3'b100); // Counter determining which to evict in the event of miss only increment when miss !!! NEED TO FIX LOGIC + // Hit in First Column + if (tag[0] == o_tag && valid[0]) begin + if (write == 1'b0) begin // if it is a read + if (clean[0] == 1'b1 ) begin + //hit <= 4'b0001; + hit <= 1'b1; + readdata <= data[0]; + miss <= 1'b0; + end else begin + //hit <= 4'b0000; // SHOULD PROBABLY TRACK WHERE THIS MISS IS IN A DIFFERENT VARIABLE + hit <= 1'b0; + readdata <= 32'b0; + miss <= 1'b1; + end + end else if (write == 1'b1) begin + data[0] <= writedata; + clean[0] <= 1'b0; + //hit <= 4'b0001; + hit <= 1'b1; + end + end + // Hit in Second Column + else if (tag[1] == o_tag && valid[1]) begin + if (write == 1'b0) begin // if it is a read + if (clean[1] == 1'b1 ) begin + //hit <= 4'b0010; + hit <= 1'b1; + readdata <= data[1]; + miss <= 1'b0; + end else begin + //hit <= 4'b0000; + hit <= 1'b0; + readdata <= 32'b0; + miss <= 1'b1; + end + end else if (write == 1'b1) begin + data[1] <= writedata; + clean[1] <= 1'b0; + //hit <= 4'b0010; + hit <= 1'b1; + end + end + // Hit in Third Column + else if (tag[2] == o_tag && valid[2]) begin + if (write == 1'b0) begin // if it is a read + if (clean[2] == 1'b1 ) begin + //hit <= 4'b0100; + hit <= 1'b1; + readdata <= data[2]; + miss <= 1'b0; + end else begin + //hit <= 4'b0000; + hit <= 1'b0; + readdata <= 32'b0; + miss <= 1'b1; + end + end else if (write == 1'b1) begin + data[2] <= writedata; + clean[2] <= 1'b0; + //hit <= 4'b0100; + hit <= 1'b1; + end + end + // Hit in Fourth Column + else if (tag[3] == o_tag && valid[3]) begin + if (write == 1'b0) begin // if it is a read + if (clean[3] == 1'b1 ) begin + //hit <= 4'b1000; + hit <= 1'b1; + readdata <= data[3]; + miss <= 1'b0; + end else begin + //hit <= 4'b0000; + hit <= 1'b0; + readdata <= 32'b0; + miss <= 1'b1; + end + end else if (write == 1'b1) begin + data[3] <= writedata; + clean[3] <= 1'b0; + //hit <= 4'b1000; + hit <= 1'b1; + end + end + // Miss + else begin + //way_id_out <= counter; + miss <= 1'b1; + if (write == 1'b0) begin // Read Miss + clean[counter[1:0]] <= 1'b1; + data[counter[1:0]] <= 32'h7FF; // FIX WITH ACTUAL MEMORY ACCESS + end else if (write == 1'b1) begin // Write Miss + clean[counter[1:0]] <= 1'b1; + data[counter[1:0]] <= writedata; + end + end + + end + if (write_from_mem) begin + tag[counter[1:0]] <= o_tag; + valid[counter[1:0]] <= 1'b1; + hit <= 1'b1; + if (write == 1'b0) begin // Read Miss + clean[counter[1:0]] <= 1'b1; + data[counter[1:0]] <= 32'h7FF; // FIX WITH ACTUAL MEMORY ACCESS + end else if (write == 1'b1) begin // Write Miss + clean[counter[1:0]] <= 1'b0; + data[counter[1:0]] <= writedata; + end + end + if (idle) begin // Set "way" register equal to invalid value + hit <= 1'b1; // set to know it is ready + miss <= 1'b0; + readdata <= 32'hFFFFFFFF; + end + if (find_evict) begin // Keep "way" value the same !!!! Fix. Need to send back data with matching tag. Also need to ensure evicted data doesnt get lost + if (tag[3] == o_tag && valid[3]) begin + readdata <= data[3]; + end else if (tag[1] == o_tag && valid[1]) begin + readdata <= data[1]; + end else if (tag[2] == o_tag && valid[2]) begin + readdata <= data[2]; + end else if (tag[0] == o_tag && valid[0]) begin + readdata <= data[0]; + end else begin + readdata <= eviction_data; + end + hit <= 1'b1; + miss <= 1'b0; + end + counter <= ((counter + 1) ^ 3'b100); // Counter determining which to evict in the event of miss only increment when miss !!! NEED TO FIX LOGIC + eviction_data <= data[counter[1:0]]; + end + +endmodule \ No newline at end of file diff --git a/old_rtl/cache/d_cache_test_bench.cpp b/old_rtl/cache/d_cache_test_bench.cpp new file mode 100644 index 000000000..e7fb32141 --- /dev/null +++ b/old_rtl/cache/d_cache_test_bench.cpp @@ -0,0 +1,29 @@ + + +#include "d_cache_test_bench.h" + +//#define NUM_TESTS 46 + +int main(int argc, char **argv) +{ + + Verilated::commandArgs(argc, argv); + + Verilated::traceEverOn(true); + + + VX_d_cache v; + + + bool curr = v.simulate(); + //if ( curr) std::cerr << GREEN << "Test Passed: " << testing << std::endl; + //if (!curr) std::cerr << RED << "Test Failed: " << testing << std::endl; + if ( curr) std::cerr << GREEN << "Test Passed: " << std::endl; + if (!curr) std::cerr << RED << "Test Failed: " << std::endl; + + return 0; + +} + + + diff --git a/old_rtl/cache/d_cache_test_bench.h b/old_rtl/cache/d_cache_test_bench.h new file mode 100644 index 000000000..112aeb9a5 --- /dev/null +++ b/old_rtl/cache/d_cache_test_bench.h @@ -0,0 +1,355 @@ +// C++ libraries +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "VX_define.h" +#include "VVX_d_cache_encapsulate.h" +#include "verilated.h" + +#include "d_cache_test_bench_debug.h" + + +#ifdef VCD_OUTPUT +#include +#endif + +// void set_Index (auto & var, int index, int size, auto val) +// { +// int real_shift +// } + +class VX_d_cache +{ + public: + VX_d_cache(); + ~VX_d_cache(); + bool simulate(); + bool operation(int, bool); + + VVX_d_cache_encapsulate * vx_d_cache_; + long int curr_cycle; + int stats_total_cycles = 0; + int stats_dram_accesses = 0; + #ifdef VCD_OUTPUT + VerilatedVcdC *m_trace; + #endif +}; + + + +VX_d_cache::VX_d_cache() : curr_cycle(0), stats_total_cycles(0), stats_dram_accesses(0) +{ + + this->vx_d_cache_ = new VVX_d_cache_encapsulate; + #ifdef VCD_OUTPUT + this->m_trace = new VerilatedVcdC; + this->vx_d_cache_->trace(m_trace, 99); + this->m_trace->open("trace.vcd"); + #endif + //this->results.open("../results.txt"); +} + +VX_d_cache::~VX_d_cache() +{ + + + delete this->vx_d_cache_; + #ifdef VCD_OUTPUT + m_trace->close(); + #endif +} + +bool VX_d_cache::operation(int counter_value, bool do_op) { + if (do_op) { + vx_d_cache_->i_p_initial_request = 1; + } else { + vx_d_cache_->i_p_initial_request = 0; + } + + if (counter_value == 0 && do_op) { // Write to bank 1-4 at index 64 + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 1; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_p_writedata[j] = 0x7f6f8f6f; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x30001004; // bank 1 + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x30001008; // bank 2 + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x3000100c; // bank 3 + } else { + vx_d_cache_->i_p_addr[3] = 0x30010010; // bank 4 -- This is serviced 1st, then the other 3 banks are at once + } + } + + } else if (counter_value == 1 && do_op) { // Write to bank 4-7 at index 108 + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 1; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_p_writedata[j] = 0xd1d2d2d3; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x30001c14; // bank 5 + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x30001c18; // bank 6 + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x30001c1c; // bank 7 + } else { + vx_d_cache_->i_p_addr[3] = 0x30001c10; // bank 4 + } + } + + } else if (counter_value == 2 && do_op) { // Read from bank 1-4 at those indexes + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 0; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_p_writedata[j] = 0x23232332; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x30001004; // bank 1 + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x30001c18; // bank 5 + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x3000100c; // bank 3 + } else { + vx_d_cache_->i_p_addr[3] = 0x30001c1c;; // bank 7 + } + } + } + } else if (counter_value == 3 && do_op) { // Write to Bank 1-5 (evictions will need to take place) + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 1; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x20001004; // bank 1 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb0; + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x20001008; // bank 2 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb1; + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x2000100c; // bank 3 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb2; + } else { + vx_d_cache_->i_p_addr[3] = 0x20001c14; // bank 5 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb3; + } + } + } else if (counter_value == 4 && do_op) { // Read from addresses that were just overwritten above ^^^ + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 0; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_p_writedata[j] = 0x23232332; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x20001004; // bank 1 + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x20001008; // bank 2 + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x2000100c; // bank 3 + } else { + vx_d_cache_->i_p_addr[3] = 0x20001c14; // bank 5 + } + } + } + /* These will check writing multiple threads writing to the same block + } else if (counter_value == 3 && do_op) { // Write to Bank 0 + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 1; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x30001f00; // bank 0 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb0; + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x30001c00; // bank 0 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb1; + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x30001a00; // bank 0 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb2; + } else { + vx_d_cache_->i_p_addr[3] = 0x30001904; // bank 1 + vx_d_cache_->i_p_writedata[j] = 0xaaaabbb3; + } + } + } else if (counter_value == 4 && do_op) { // Read from Bank 0 + vx_d_cache_->i_p_initial_request = 1; + vx_d_cache_->i_p_read_or_write = 0; + vx_d_cache_->i_m_ready = 0; + for (int j = 0; j < NT; j++) { + vx_d_cache_->i_p_valid[j] = 1; + vx_d_cache_->i_p_writedata[j] = 0x23232332; + vx_d_cache_->i_m_readdata[j][0] = 1; + if (j == 0) { + vx_d_cache_->i_p_addr[0] = 0x30001f00; // bank 0 + } else if (j == 1) { + vx_d_cache_->i_p_addr[1] = 0x30001c00; // bank 0 + } else if (j == 2) { + vx_d_cache_->i_p_addr[2] = 0x30001a00; // bank 0 + } else { + vx_d_cache_->i_p_addr[3] = 0x30001904; // bank 1 + } + } + } + */ + // Handle Memory Accesses + unsigned int read_data_from_mem = 0x1111 + counter_value + this->stats_total_cycles; + + if (vx_d_cache_->o_m_valid) { + this->stats_dram_accesses = this->stats_dram_accesses + 1; // (assuming memory access takes 20 cycles) + + this->stats_total_cycles += 1; + vx_d_cache_->clk = 0; + vx_d_cache_->eval(); + #ifdef VCD_OUTPUT + m_trace->dump(2*this->stats_total_cycles); + #endif + vx_d_cache_->clk = 1; + vx_d_cache_->eval(); + #ifdef VCD_OUTPUT + m_trace->dump((2*this->stats_total_cycles)+1); + #endif + + vx_d_cache_->i_m_ready = 1; + for (int j1 = 0; j1 < 8; j1++) { + for (int j2 = 0; j2 < 4; j2++) { + vx_d_cache_->i_m_readdata[j1][j2] = read_data_from_mem; + } + } + } else { + vx_d_cache_->i_m_ready = 0; + } + + + if (vx_d_cache_->o_p_waitrequest == 0) { + return true; + } else { + return false; + } + + +} + + +bool VX_d_cache::simulate() +{ + +// this->instruction_file_name = file_to_simulate; + // this->results << "\n****************\t" << file_to_simulate << "\t****************\n"; + +// this->ProcessFile(); + + // auto start_time = std::chrono::high_resolution_clock::now(); + + + //static bool stop = false; + //static int counter = 0; + //counter = 0; + //stop = false; + + // auto start_time = clock(); + + + vx_d_cache_->clk = 0; + vx_d_cache_->rst = 1; + //vortex->eval(); + //counter = 0; + vx_d_cache_->rst = 0; + + bool cont = false; + bool out_operation = false; + bool do_operation = true; + int other_counter = 0; + //while (this->stop && ((other_counter < 5))) + while (other_counter < 5) + { + + // std::cout << "************* Cycle: " << (this->stats_total_cycles) << "\n"; + // istop = ibus_driver(); + // dstop = !dbus_driver(); + + vx_d_cache_->clk = 1; + vx_d_cache_->eval(); + #ifdef VCD_OUTPUT + m_trace->dump(2*this->stats_total_cycles); + #endif + + //vortex->eval(); + //dstop = !dbus_driver(); + + out_operation = operation(other_counter, do_operation); + vx_d_cache_->clk = 0; + vx_d_cache_->eval(); + #ifdef VCD_OUTPUT + m_trace->dump((2*this->stats_total_cycles)+1); + #endif + //vortex->eval(); + + /* + // stop = istop && dstop; + stop = vortex->out_ebreak; + if (stop || cont) + { + cont = true; + counter++; + } else + { + counter = 0; + } + */ + if (out_operation) { + other_counter++; + do_operation = true; + } else { + do_operation = false; + } + ++(this->stats_total_cycles); + + if (this->stats_total_cycles > 5000) { + break; + } + + } + + std::cerr << "New Total Cycles: " << (this->stats_total_cycles + (this->stats_dram_accesses * 20)) << "\n"; + + //uint32_t status; + //ram.getWord(0, &status); + + //this->print_stats(); + + + + return (true); +} + + + + + + + + + diff --git a/old_rtl/cache/d_cache_test_bench_debug.h b/old_rtl/cache/d_cache_test_bench_debug.h new file mode 100644 index 000000000..54afa11a1 --- /dev/null +++ b/old_rtl/cache/d_cache_test_bench_debug.h @@ -0,0 +1 @@ +#define VCD_OUTPUT \ No newline at end of file diff --git a/old_rtl/interfaces/VX_branch_response_inter.v b/old_rtl/interfaces/VX_branch_response_inter.v new file mode 100644 index 000000000..b25b47c91 --- /dev/null +++ b/old_rtl/interfaces/VX_branch_response_inter.v @@ -0,0 +1,18 @@ + +`include "../VX_define.v" + +`ifndef VX_BRANCH_RSP + +`define VX_BRANCH_RSP + +interface VX_branch_response_inter (); + wire valid_branch; + wire branch_dir; + wire[31:0] branch_dest; + wire[`NW_M1:0] branch_warp_num; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_csr_req_inter.v b/old_rtl/interfaces/VX_csr_req_inter.v new file mode 100644 index 000000000..9080f0e15 --- /dev/null +++ b/old_rtl/interfaces/VX_csr_req_inter.v @@ -0,0 +1,24 @@ + +`include "../VX_define.v" + +`ifndef VX_CSR_REQ + +`define VX_CSR_REQ + +interface VX_csr_req_inter (); + + wire[`NT_M1:0] valid; + wire[`NW_M1:0] warp_num; + wire[4:0] rd; + wire[1:0] wb; + wire[4:0] alu_op; + wire is_csr; + wire[11:0] csr_address; + wire csr_immed; + wire[31:0] csr_mask; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_csr_wb_inter.v b/old_rtl/interfaces/VX_csr_wb_inter.v new file mode 100644 index 000000000..d8389cdb9 --- /dev/null +++ b/old_rtl/interfaces/VX_csr_wb_inter.v @@ -0,0 +1,21 @@ + +`include "../VX_define.v" + +`ifndef VX_CSR_WB_REQ + +`define VX_CSR_WB_REQ + +interface VX_csr_wb_inter (); + + wire[`NT_M1:0] valid; + wire[`NW_M1:0] warp_num; + wire[4:0] rd; + wire[1:0] wb; + + wire[`NT_M1:0][31:0] csr_result; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_dcache_request_inter.v b/old_rtl/interfaces/VX_dcache_request_inter.v new file mode 100644 index 000000000..ac841a766 --- /dev/null +++ b/old_rtl/interfaces/VX_dcache_request_inter.v @@ -0,0 +1,19 @@ + +`include "../VX_define.v" + +`ifndef VX_DCACHE_REQ + +`define VX_DCACHE_REQ + +interface VX_dcache_request_inter (); + + wire[`NT_M1:0][31:0] out_cache_driver_in_address; + wire[2:0] out_cache_driver_in_mem_read; + wire[2:0] out_cache_driver_in_mem_write; + wire[`NT_M1:0] out_cache_driver_in_valid; + wire[`NT_M1:0][31:0] out_cache_driver_in_data; + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_dcache_response_inter.v b/old_rtl/interfaces/VX_dcache_response_inter.v new file mode 100644 index 000000000..98ed58a3c --- /dev/null +++ b/old_rtl/interfaces/VX_dcache_response_inter.v @@ -0,0 +1,16 @@ + +`include "../VX_define.v" + +`ifndef VX_DCACHE_RSP + +`define VX_DCACHE_RSP + +interface VX_dcache_response_inter (); + + wire[`NT_M1:0][31:0] in_cache_driver_out_data; + wire delay; + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_dram_req_rsp_inter.v b/old_rtl/interfaces/VX_dram_req_rsp_inter.v new file mode 100644 index 000000000..f4d7012d7 --- /dev/null +++ b/old_rtl/interfaces/VX_dram_req_rsp_inter.v @@ -0,0 +1,27 @@ + +`include "../VX_define.v" + +`ifndef VX_DRAM_REQ_RSP_INTER + +`define VX_DRAM_REQ_RSP_INTER + +interface VX_dram_req_rsp_inter #( + parameter NUMBER_BANKS = 8, + parameter NUM_WORDS_PER_BLOCK = 4) (); + + // Req + wire [31:0] o_m_evict_addr; + wire [31:0] o_m_read_addr; + wire o_m_valid; + wire[NUMBER_BANKS - 1:0][NUM_WORDS_PER_BLOCK-1:0][31:0] o_m_writedata; + wire o_m_read_or_write; + + // Rsp + wire[NUMBER_BANKS - 1:0][NUM_WORDS_PER_BLOCK-1:0][31:0] i_m_readdata; + wire i_m_ready; + + +endinterface + + +`endif diff --git a/old_rtl/interfaces/VX_exec_unit_req_inter.v b/old_rtl/interfaces/VX_exec_unit_req_inter.v new file mode 100644 index 000000000..aab6c1301 --- /dev/null +++ b/old_rtl/interfaces/VX_exec_unit_req_inter.v @@ -0,0 +1,51 @@ + +`include "../VX_define.v" + +`ifndef VX_EXE_UNIT_REQ_INTER + +`define VX_EXE_UNIT_REQ_INTER + +interface VX_exec_unit_req_inter (); + + // Meta + wire[`NT_M1:0] valid; + wire[`NW_M1:0] warp_num; + wire[31:0] curr_PC; + wire[31:0] PC_next; + + // Write Back Info + wire[4:0] rd; + wire[1:0] wb; + + // Data and alu op + wire[`NT_M1:0][31:0] a_reg_data; + wire[`NT_M1:0][31:0] b_reg_data; + wire[4:0] alu_op; + wire[4:0] rs1; + wire[4:0] rs2; + wire rs2_src; + wire[31:0] itype_immed; + wire[19:0] upper_immed; + + // Branch type + wire[2:0] branch_type; + + // Jal info + wire jalQual; + wire jal; + wire[31:0] jal_offset; + + /* verilator lint_off UNUSED */ + wire ebreak; + wire wspawn; + /* verilator lint_on UNUSED */ + + // CSR info + wire is_csr; + wire[11:0] csr_address; + wire csr_immed; + wire[31:0] csr_mask; +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_frE_to_bckE_req_inter.v b/old_rtl/interfaces/VX_frE_to_bckE_req_inter.v new file mode 100644 index 000000000..610d3525c --- /dev/null +++ b/old_rtl/interfaces/VX_frE_to_bckE_req_inter.v @@ -0,0 +1,46 @@ + +`include "../VX_define.v" + +`ifndef VX_FrE_to_BE_INTER + +`define VX_FrE_to_BE_INTER + +interface VX_frE_to_bckE_req_inter (); + + wire[11:0] csr_address; + wire is_csr; + wire csr_immed; + wire[31:0] csr_mask; + wire[4:0] rd; + wire[4:0] rs1; + wire[4:0] rs2; + wire[4:0] alu_op; + wire[1:0] wb; + wire rs2_src; + wire[31:0] itype_immed; + wire[2:0] mem_read; + wire[2:0] mem_write; + wire[2:0] branch_type; + wire[19:0] upper_immed; + wire[31:0] curr_PC; + /* verilator lint_off UNUSED */ + wire ebreak; + /* verilator lint_on UNUSED */ + wire jalQual; + wire jal; + wire[31:0] jal_offset; + wire[31:0] PC_next; + wire[`NT_M1:0] valid; + wire[`NW_M1:0] warp_num; + + // GPGPU stuff + wire is_wspawn; + wire is_tmc; + wire is_split; + wire is_barrier; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_gpr_clone_inter.v b/old_rtl/interfaces/VX_gpr_clone_inter.v new file mode 100644 index 000000000..26053ac94 --- /dev/null +++ b/old_rtl/interfaces/VX_gpr_clone_inter.v @@ -0,0 +1,18 @@ + +`include "../VX_define.v" + +`ifndef VX_GPR_CLONE_INTER + +`define VX_GPR_CLONE_INTER + + +interface VX_gpr_clone_inter (); +/* verilator lint_off UNUSED */ +wire is_clone; +wire[`NW_M1:0] warp_num; +/* verilator lint_on UNUSED */ +endinterface + + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_gpr_data_inter.v b/old_rtl/interfaces/VX_gpr_data_inter.v new file mode 100644 index 000000000..912f04a1b --- /dev/null +++ b/old_rtl/interfaces/VX_gpr_data_inter.v @@ -0,0 +1,14 @@ + +`include "../VX_define.v" + +`ifndef VX_gpr_data_INTER + +`define VX_gpr_data_INTER + +interface VX_gpr_data_inter (); + wire[`NT_M1:0][31:0] a_reg_data; + wire[`NT_M1:0][31:0] b_reg_data; +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_gpr_jal_inter.v b/old_rtl/interfaces/VX_gpr_jal_inter.v new file mode 100644 index 000000000..0c4b7afb8 --- /dev/null +++ b/old_rtl/interfaces/VX_gpr_jal_inter.v @@ -0,0 +1,14 @@ +`include "../VX_define.v" +`ifndef VX_GPR_JAL_INTER + +`define VX_GPR_JAL_INTER + + +interface VX_gpr_jal_inter (); + wire is_jal; + wire[31:0] curr_PC; +endinterface + + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_gpr_read_inter.v b/old_rtl/interfaces/VX_gpr_read_inter.v new file mode 100644 index 000000000..ccac96c06 --- /dev/null +++ b/old_rtl/interfaces/VX_gpr_read_inter.v @@ -0,0 +1,17 @@ +`include "../VX_define.v" +`ifndef VX_GPR_READ + +`define VX_GPR_READ + + +interface VX_gpr_read_inter (); + + wire[4:0] rs1; + wire[4:0] rs2; + wire[`NW_M1:0] warp_num; + +endinterface + + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_gpr_wspawn_inter.v b/old_rtl/interfaces/VX_gpr_wspawn_inter.v new file mode 100644 index 000000000..dfa0fc4ca --- /dev/null +++ b/old_rtl/interfaces/VX_gpr_wspawn_inter.v @@ -0,0 +1,18 @@ +`include "../VX_define.v" +`ifndef VX_GPR_WSPAWN_INTER + +`define VX_GPR_WSPAWN_INTER + + +interface VX_gpr_wspawn_inter (); + /* verilator lint_off UNUSED */ + wire is_wspawn; + wire[`NW_M1:0] which_wspawn; + // wire[`NW_M1:0] warp_num; + /* verilator lint_on UNUSED */ + +endinterface + + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_gpu_inst_req_inter.v b/old_rtl/interfaces/VX_gpu_inst_req_inter.v new file mode 100644 index 000000000..1d24c9609 --- /dev/null +++ b/old_rtl/interfaces/VX_gpu_inst_req_inter.v @@ -0,0 +1,27 @@ +`include "../VX_define.v" + +`ifndef VX_GPU_INST_REQ_IN + +`define VX_GPU_INST_REQ_IN + +interface VX_gpu_inst_req_inter(); + + wire[`NT_M1:0] valid; + wire[`NW_M1:0] warp_num; + wire is_wspawn; + wire is_tmc; + wire is_split; + + wire is_barrier; + + wire[31:0] pc_next; + + wire[`NT_M1:0][31:0] a_reg_data; + wire[31:0] rd2; + + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_icache_request_inter.v b/old_rtl/interfaces/VX_icache_request_inter.v new file mode 100644 index 000000000..9de1312b2 --- /dev/null +++ b/old_rtl/interfaces/VX_icache_request_inter.v @@ -0,0 +1,19 @@ + +`include "../VX_define.v" + +`ifndef VX_ICACHE_REQ + +`define VX_ICACHE_REQ + +interface VX_icache_request_inter (); + + wire[31:0] pc_address; + wire[2:0] out_cache_driver_in_mem_read; + wire[2:0] out_cache_driver_in_mem_write; + wire out_cache_driver_in_valid; + wire[31:0] out_cache_driver_in_data; + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_icache_response_inter.v b/old_rtl/interfaces/VX_icache_response_inter.v new file mode 100644 index 000000000..2373046b7 --- /dev/null +++ b/old_rtl/interfaces/VX_icache_response_inter.v @@ -0,0 +1,18 @@ +`include "../VX_define.v" + +`ifndef VX_ICACHE_RSP + +`define VX_ICACHE_RSP + +interface VX_icache_response_inter (); + + // wire ready; + // wire stall; + wire[31:0] instruction; + wire delay; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_inst_exec_wb_inter.v b/old_rtl/interfaces/VX_inst_exec_wb_inter.v new file mode 100644 index 000000000..929ba88da --- /dev/null +++ b/old_rtl/interfaces/VX_inst_exec_wb_inter.v @@ -0,0 +1,21 @@ + +`include "../VX_define.v" + +`ifndef VX_EXEC_UNIT_WB_INST_INTER + +`define VX_EXEC_UNIT_WB_INST_INTER + +interface VX_inst_exec_wb_inter (); + + wire[`NT_M1:0][31:0] alu_result; + wire[31:0] exec_wb_pc; + wire[4:0] rd; + wire[1:0] wb; + wire[`NT_M1:0] wb_valid; + wire[`NW_M1:0] wb_warp_num; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_inst_mem_wb_inter.v b/old_rtl/interfaces/VX_inst_mem_wb_inter.v new file mode 100644 index 000000000..d752a3a63 --- /dev/null +++ b/old_rtl/interfaces/VX_inst_mem_wb_inter.v @@ -0,0 +1,21 @@ + +`include "../VX_define.v" + +`ifndef VX_MEM_WB_INST_INTER + +`define VX_MEM_WB_INST_INTER + +interface VX_inst_mem_wb_inter (); + + wire[`NT_M1:0][31:0] loaded_data; + wire[31:0] mem_wb_pc; + wire[4:0] rd; + wire[1:0] wb; + wire[`NT_M1:0] wb_valid; + wire[`NW_M1:0] wb_warp_num; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_inst_meta_inter.v b/old_rtl/interfaces/VX_inst_meta_inter.v new file mode 100644 index 000000000..2fd686253 --- /dev/null +++ b/old_rtl/interfaces/VX_inst_meta_inter.v @@ -0,0 +1,16 @@ +`include "../VX_define.v" + +`ifndef VX_F_D_INTER + +`define VX_F_D_INTER + +interface VX_inst_meta_inter (); + wire[31:0] instruction; + wire[31:0] inst_pc; + wire[`NW_M1:0] warp_num; + wire[`NT_M1:0] valid; + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_jal_response_inter.v b/old_rtl/interfaces/VX_jal_response_inter.v new file mode 100644 index 000000000..e93a2d0a2 --- /dev/null +++ b/old_rtl/interfaces/VX_jal_response_inter.v @@ -0,0 +1,17 @@ + +`include "../VX_define.v" + +`ifndef VX_JAL_RSP + +`define VX_JAL_RSP + +interface VX_jal_response_inter (); + + wire jal; + wire[31:0] jal_dest; + wire[`NW_M1:0] jal_warp_num; + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_join_inter.v b/old_rtl/interfaces/VX_join_inter.v new file mode 100644 index 000000000..a465bf65f --- /dev/null +++ b/old_rtl/interfaces/VX_join_inter.v @@ -0,0 +1,17 @@ + +`include "../VX_define.v" + +`ifndef VX_JOIN_INTER + +`define VX_JOIN_INTER + +interface VX_join_inter (); + + wire is_join; + wire[`NW_M1:0] join_warp_num; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_lsu_req_inter.v b/old_rtl/interfaces/VX_lsu_req_inter.v new file mode 100644 index 000000000..408791f62 --- /dev/null +++ b/old_rtl/interfaces/VX_lsu_req_inter.v @@ -0,0 +1,24 @@ + +`include "../VX_define.v" + +`ifndef VX_LSU_REQ_INTER + +`define VX_LSU_REQ_INTER + +interface VX_lsu_req_inter (); + + wire[`NT_M1:0] valid; + wire[31:0] lsu_pc; + wire[`NW_M1:0] warp_num; + wire[`NT_M1:0][31:0] store_data; + wire[`NT_M1:0][31:0] base_address; // A reg data + wire[31:0] offset; // itype_immed + wire[2:0] mem_read; + wire[2:0] mem_write; + wire[4:0] rd; + wire[1:0] wb; + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_mem_req_inter.v b/old_rtl/interfaces/VX_mem_req_inter.v new file mode 100644 index 000000000..ee2a975de --- /dev/null +++ b/old_rtl/interfaces/VX_mem_req_inter.v @@ -0,0 +1,28 @@ +`include "../VX_define.v" + +`ifndef VX_MEM_REQ_IN + +`define VX_MEM_REQ_IN + +interface VX_mem_req_inter (); + + wire[`NT_M1:0][31:0] alu_result; + wire[2:0] mem_read; + wire[2:0] mem_write; + wire[4:0] rd; + wire[1:0] wb; + wire[4:0] rs1; + wire[4:0] rs2; + wire[`NT_M1:0][31:0] rd2; + wire[31:0] PC_next; + wire[31:0] curr_PC; + wire[31:0] branch_offset; + wire[2:0] branch_type; + wire[`NT_M1:0] valid; + wire[`NW_M1:0] warp_num; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_mw_wb_inter.v b/old_rtl/interfaces/VX_mw_wb_inter.v new file mode 100644 index 000000000..bbf4733ee --- /dev/null +++ b/old_rtl/interfaces/VX_mw_wb_inter.v @@ -0,0 +1,22 @@ + +`include "../VX_define.v" + +`ifndef VX_MW_WB_INTER + +`define VX_MW_WB_INTER + +interface VX_mw_wb_inter (); + + wire[`NT_M1:0][31:0] alu_result; + wire[`NT_M1:0][31:0] mem_result; + wire[4:0] rd; + wire[1:0] wb; + wire[31:0] PC_next; + wire[`NT_M1:0] valid; + wire [`NW_M1:0] warp_num; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_warp_ctl_inter.v b/old_rtl/interfaces/VX_warp_ctl_inter.v new file mode 100644 index 000000000..53dec2a15 --- /dev/null +++ b/old_rtl/interfaces/VX_warp_ctl_inter.v @@ -0,0 +1,36 @@ + +`include "../VX_define.v" + +`ifndef VX_WARP_CTL_INTER + +`define VX_WARP_CTL_INTER + +interface VX_warp_ctl_inter (); + + wire[`NW_M1:0] warp_num; + wire change_mask; + wire[`NT_M1:0] thread_mask; + + wire wspawn; + wire[31:0] wspawn_pc; + wire[`NW-1:0] wspawn_new_active; + + wire ebreak; + + // barrier + wire is_barrier; + wire[31:0] barrier_id; + wire[$clog2(`NW):0] num_warps; + + wire is_split; + wire dont_split; + wire[`NW_M1:0] split_warp_num; + wire[`NT_M1:0] split_new_mask; + wire[`NT_M1:0] split_later_mask; + wire[31:0] split_save_pc; + + +endinterface + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_wb_inter.v b/old_rtl/interfaces/VX_wb_inter.v new file mode 100644 index 000000000..c40cf4fe7 --- /dev/null +++ b/old_rtl/interfaces/VX_wb_inter.v @@ -0,0 +1,21 @@ +`include "../VX_define.v" + +`ifndef VX_WB_INTER + +`define VX_WB_INTER + + +interface VX_wb_inter (); + + wire[`NT_M1:0][31:0] write_data; + wire[31:0] wb_pc; + wire[4:0] rd; + wire[1:0] wb; + wire[`NT_M1:0] wb_valid; + wire[`NW_M1:0] wb_warp_num; + +endinterface + + + +`endif \ No newline at end of file diff --git a/old_rtl/interfaces/VX_wstall_inter.v b/old_rtl/interfaces/VX_wstall_inter.v new file mode 100644 index 000000000..8699b0223 --- /dev/null +++ b/old_rtl/interfaces/VX_wstall_inter.v @@ -0,0 +1,15 @@ +`include "../VX_define.v" + +`ifndef VX_WSTALL_INTER + +`define VX_WSTALL_INTER + + +interface VX_wstall_inter(); + wire wstall; + wire[`NW_M1:0] warp_num; +endinterface + + + +`endif \ No newline at end of file diff --git a/old_rtl/modelsim/Makefile b/old_rtl/modelsim/Makefile new file mode 100644 index 000000000..7a3a4efd5 --- /dev/null +++ b/old_rtl/modelsim/Makefile @@ -0,0 +1,124 @@ + + +ALL:sim + +#TOOL INPUT +SRC = \ + vortex_dpi.cpp \ + vortex_tb.v \ +../VX_define.v \ +../VX_define_synth.v \ +../interfaces/VX_branch_response_inter.v \ +../interfaces/VX_csr_req_inter.v \ +../interfaces/VX_csr_wb_inter.v \ +../interfaces/VX_dcache_request_inter.v \ +../interfaces/VX_dcache_response_inter.v \ +../interfaces/VX_dram_req_rsp_inter.v \ +../interfaces/VX_exec_unit_req_inter.v \ +../interfaces/VX_frE_to_bckE_req_inter.v \ +../interfaces/VX_gpr_clone_inter.v \ +../interfaces/VX_gpr_data_inter.v \ +../interfaces/VX_gpr_jal_inter.v \ +../interfaces/VX_gpr_read_inter.v \ +../interfaces/VX_gpr_wspawn_inter.v \ +../interfaces/VX_gpu_inst_req_inter.v \ +../interfaces/VX_icache_request_inter.v \ +../interfaces/VX_icache_response_inter.v \ +../interfaces/VX_inst_exec_wb_inter.v \ +../interfaces/VX_inst_mem_wb_inter.v \ +../interfaces/VX_inst_meta_inter.v \ +../interfaces/VX_jal_response_inter.v \ +../interfaces/VX_join_inter.v \ +../interfaces/VX_lsu_req_inter.v \ +../interfaces/VX_mem_req_inter.v \ +../interfaces/VX_mw_wb_inter.v \ +../interfaces/VX_warp_ctl_inter.v \ +../interfaces/VX_wb_inter.v \ +../interfaces/VX_wstall_inter.v \ +../VX_alu.v \ +../VX_back_end.v \ +../VX_csr_handler.v \ +../VX_csr_wrapper.v \ +../VX_decode.v \ +../VX_dmem_controller.v \ +../VX_execute_unit.v \ +../VX_fetch.v \ +../VX_front_end.v \ +../VX_generic_priority_encoder.v \ +../VX_generic_register.v \ +../VX_generic_stack.v \ +../VX_gpgpu_inst.v \ +../VX_gpr.v \ +../VX_gpr_stage.v \ +../VX_gpr_wrapper.v \ +../VX_inst_multiplex.v \ +../VX_lsu.v \ +../VX_lsu_addr_gen.v \ +../VX_priority_encoder.v \ +../VX_priority_encoder_w_mask.v \ +../VX_scheduler.v \ +../VX_warp.v \ +../VX_countones.v \ +../VX_warp_scheduler.v \ +../VX_writeback.v \ +../Vortex.v \ +../byte_enabled_simple_dual_port_ram.v \ +../cache/VX_Cache_Bank.v \ +../cache/VX_cache_bank_valid.v \ +../cache/VX_cache_data.v \ +../cache/VX_d_cache.v \ +../cache/VX_generic_pe.v \ +../cache/cache_set.v \ +../cache/VX_cache_data_per_index.v \ +../pipe_regs/VX_d_e_reg.v \ +../pipe_regs/VX_f_d_reg.v \ +../shared_memory/VX_bank_valids.v \ +../shared_memory/VX_priority_encoder_sm.v \ +../shared_memory/VX_shared_memory.v \ +../shared_memory/VX_shared_memory_block.v \ +../../models/memory/cln28hpm/rf2_128x128_wm1/rf2_128x128_wm1.v \ +../../models/memory/cln28hpm/rf2_256x128_wm1/rf2_256x128_wm1.v \ +../../models/memory/cln28hpm/rf2_256x19_wm0/rf2_256x19_wm0.v \ +../../models/memory/cln28hpm/rf2_32x128_wm1/rf2_32x128_wm1.v \ +../../models/memory/cln28hpm/rf2_32x19_wm0/rf2_32x19_wm0.v + +# ../../models/memory/cln28hpc/rf2_32x128_wm1/rf2_32x128_wm1.v + +# vortex_dpi.h + + +CMD= \ +-do "VoptFlow = 0; \ + vcd file vortex.vcd; \ + vcd add -r /vortex_tb/*; \ + vcd add -r /vortex/*; \ + run -all; \ + quit -f" + + +OPT=-sv -sv12compat + +LIB = vortex_lib + +# LOG=-logfile vortex_tb.log +LOG= + +# setup: source cshrc.modelsim +# vlib + +lib: + vlib vortex_lib + +comp: + vlog $(OPT) -work $(LIB) $(SRC) + # vlog -O0 -dpiheader vortex_dpi.h $(OPT) -work $(LIB) $(SRC) + + +sim: comp + # vsim vortex_tb $(LOG) -c -lib $(LIB) $(CMD) > vortex_sim.log + vsim -novopt vortex_tb $(LOG) -c -lib $(LIB) $(CMD) > vortex_sim.log + + + + + diff --git a/old_rtl/modelsim/cshrc.modelsim b/old_rtl/modelsim/cshrc.modelsim new file mode 100644 index 000000000..8f9133d70 --- /dev/null +++ b/old_rtl/modelsim/cshrc.modelsim @@ -0,0 +1,8 @@ + setenv PATH "${PATH}:/tools/mentor/modelsim/ms106a/modeltech/bin" + setenv MTI_VCO_MODE 1 +if (${?LM_LICENSE_FILE}) then + setenv LM_LICENSE_FILE "1717@ece-linlic.ece.gatech.edu:${LM_LICENSE_FILE}" + else + setenv LM_LICENSE_FILE "1717@ece-linlic.ece.gatech.edu" +endif +setenv MGLS_LICENSE_FILE 1717@ece-linlic.ece.gatech.edu \ No newline at end of file diff --git a/old_rtl/modelsim/modelsim.mpf b/old_rtl/modelsim/modelsim.mpf new file mode 100644 index 000000000..b1898d0c1 --- /dev/null +++ b/old_rtl/modelsim/modelsim.mpf @@ -0,0 +1,2275 @@ +; vsim modelsim.ini file, version 10.4 +[Version] +INIVersion = "10.6a" + +; Copyright 1991-2017 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +vital2000 = $MODEL_TECH/../vital2000 +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +verilog = $MODEL_TECH/../verilog +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +sv_std = $MODEL_TECH/../sv_std +mtiAvm = $MODEL_TECH/../avm +mtiRnm = $MODEL_TECH/../rnm +mtiOvm = $MODEL_TECH/../ovm-2.1.2 +mtiUvm = $MODEL_TECH/../uvm-1.1d +mtiUPF = $MODEL_TECH/../upf_lib +mtiPA = $MODEL_TECH/../pa_lib +floatfixlib = $MODEL_TECH/../floatfixlib +mc2_lib = $MODEL_TECH/../mc2_lib +osvvm = $MODEL_TECH/../osvvm + +; added mapping for ADMS +mgc_ams = $MODEL_TECH/../mgc_ams +ieee_env = $MODEL_TECH/../ieee_env + +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib +infact = $MODEL_TECH/../infact +vhdlopt_lib = $MODEL_TECH/../vhdlopt_lib + +; Automatically perform logical->physical mapping for physical libraries that +; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/'). +; The tail of the filesystem path name is chosen as the logical library name. +; For example, in the command “vopt -L ./path/to/lib1 –o opttop top”, +; vopt automatically performs the mapping “lib1 -> ./path/to/lib1”. +; See the User Manual for more details. +; +; AutoLibMapping = 0 + +work = work +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset " syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +; Value of 4 or ams99 for VHDL-AMS-1999 +; Value of 5 or ams07 for VHDL-AMS-2007 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable Rapid Expression Coverage mode for conditions and expressions. +; Disabling this would convert non-masking conditions in FEC tables to matching +; input patterns. +; CoverREC = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; NOTE: Enabling this may have a negative impact on simulation performance. +; CoverExpandReductionPrefix = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with total size in bytes equal to or more than the sparse memory +; threshold gets marked as sparse automatically, unless specified otherwise +; in source code or by the +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with total size equal +; to or greater than 1Mb are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable Rapid Expression Coverage mode for conditions and expressions. +; Disabling this would convert non-masking conditions in FEC tables to matching +; input patterns. +; CoverREC = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; NOTE: Enabling this may have a negative impact on simulation performance. +; CoverExpandReductionPrefix = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SvFileSuffixes = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2001 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "package_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. +; SvExtensions = [+|-][,[+|-]*] + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +; Controls how $unit library entries are named. Valid options are: +; "file" (generate name based on the first file on the command line) +; "du" (generate name based on first design unit following an item +; found in $unit scope) +; CUAutoName = file + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Specify the compiler version from the list of support GNU compilers. +; examples 4.3.3, 4.5.0 +; CppInstall = 4.5.0 + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off. +; Sc22Mode = 1 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +; Enable use of UVMC library. Default is off. +; UseUvmc = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Controls set of CoverConstructs that are being considered for Coverage +; Collection. +; Some of Valid options are: default,set1,set2 +; Covermode = default + +; Controls set of HDL cover constructs that would be considered(or not considered) +; for Coverage Collection. (Default corresponds to covermode default). +; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs". +; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. +; SvExtensions = [+|-][,[+|-]*] + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Disable SystemVerilog elaboration system task messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 10000000 + +; Specify libraries to be searched for precompiled modules +; LibrarySearchPath = [ ...] + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; License feature mappings for Verilog and VHDL +; qhsimvh Single language VHDL license +; qhsimvl Single language Verilog license +; msimhdlsim Language neutral license for either Verilog or VHDL +; msimhdlmix Second language only, language neutral license for either +; Verilog or VHDL +; +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately checkout and hold a VHDL license (i.e., one of +; qhsimvh, msimhdlsim, or msimhdlmix) +; vlog Immediately checkout and hold a Verilog license (i.e., one of +; qhsimvl, msimhdlsim, or msimhdlmix) +; plus Immediately checkout and hold a VHDL license and a Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer license feature (PE ONLY) +; noslvhdl Disable checkout of qhsimvh license feature +; noslvlog Disable checkout of qhsimvl license feature +; nomix Disable checkout of msimhdlmix license feature +; nolnl Disable checkout of msimhdlsim license feature +; mixedonly Disable checkout of qhsimvh and qhsimvl license features +; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features +; +; Examples (remove ";" comment character to activate licensing directives): +; Single directive: +; License = plus +; Multi-directive (Note: space delimited directives): +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog severity system task +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog severity system task that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Severity level of a tool message which will cause a running simulation to +; stop. This value is ignored during elaboration. Default is to not break. +; 0 = Note 1 = Warning 2 = Error 3 = Fatal +;BreakOnMessage = 2 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is disabled (0). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase, wreal +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified. +; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0. +; BatchMode = 1 + +; File for saving command transcript when -batch option used +; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero +; default is unset so command transcript only goes to stdout for better performance +; BatchTranscriptFile = transcript + +; File for saving command transcript, this option is ignored when -batch option is used +TranscriptFile = transcript + +; Transcript file long line wrapping mode(s) +; mode == 0 :: no wrapping, line recorded as is +; mode == 1 :: wrap at first whitespace after WSColumn +; or at Column. +; mode == 2 :: wrap as above, but add continuation +; character ('\') at end of each wrapped line +; +; WrapMode = 0 +; WrapColumn = 30000 +; WrapWSColumn = 27000 + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + +; Enable simulation statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb,eor] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; If nonzero, close files as soon as there is either an explicit call to +; file_close, or when the file variable's scope is closed. When zero, a +; file opened in append mode is not closed in case it is immediately +; reopened in append mode; otherwise, the file will be closed at the +; point it is reopened. +; AppendClose = 1 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Set this to 1 to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote the value. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable more efficient logging of VHDL Variables. +; Logging VHDL variables without this enabled, while possible, is very +; inefficient. Enabling this will provide a more efficient logging methodology +; at the expense of more memory usage. By default this feature is disabled (0). +; To enabled this feature, set this variable to 1. +; VhdlVariableLogging = 1 + +; Enable logging of VHDL access type variables and their designated objects. +; This setting will allow both variables of an access type ("access variables") +; and their designated objects ("access objects") to be logged. Logging a +; variable of an access type will automatically also cause the designated +; object(s) of that variable to be logged as the simulation progresses. +; Further, enabling this allows access objects to be logged by name. By default +; this feature is disabled (0). To enable this feature, set this variable to 1. +; Enabling this will automatically enable the VhdlVariableLogging feature also. +; AccessObjDebug = 1 + +; Make each VHDL package in a PDU has its own separate copy of the package instead +; of sharing the package between PDUs. The default is to share packages. +; To ensure that each PDU has its own set of packages, set this variable to 1. +; VhdlSeparatePduPackage = 1 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = /bin/gcc + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file in live simulation. +; The interval is given in seconds. +; The value is the smallest interval between WLF file updates. The WLF file +; will be flushed (updated) after (at least) the interval has elapsed, ensuring +; that the data is correct when viewed from a separate viewer. +; A value of 0 means that no updating will occur. +; The default value is 10 seconds. +; WLFUpdateInterval = 10 + +; Specify the WLF cache size limit for WLF files. +; The value is given in megabytes. A value of 0 turns off the cache. +; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes). +; On Windows, the default value is 1000 (megabytes) to help to avoid filling +; process memory. +; WLFSimCacheSize allows a different cache size to be set for a live simulation +; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize +; is not set, it defaults to the WLFCacheSize value. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines. +; If 0, no threads will be used; if 1, threads will be used if the system has +; more than one processor. +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Specify the depth of stack frames returned by $stacktrace([level]). +; This depth will be picked up when the optional 'level' argument +; is not specified or its value is not a positive integer. +; StackTraceDepth = 100 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Enable calling of the DPI export taks/functions from the +; SystemC start_of_simulation() callback. +; The default is off. +; EnableDpiSosCb = 1 + + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result. Default is 0. +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of each run command and end of simulation +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCover = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable, -1 (don't care), allows the tool to determine +; the effective value, based on factors related to capacity and optimization. +; The type_option.merge_instances appears in the GUI and coverage reports as either +; auto(1) or auto(0), depending on whether the effective value was determined to +; be a 1 or a 0. +; SVCovergroupMergeInstancesDefault = -1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins +; MaxSVCoverpointBinsInst = 1048576 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins +; MaxSVCrossBinsInst = 67108864 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Generate the stub definitions for the undefined symbols in the shared libraries being +; loaded in the simulation. When this flow is turned on, the undefined symbols will not +; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error. +; The valid arguments are: on, off, verbose. +; on : turn on the automatic generation of stub definitions. +; off: turn off the flow. The undefined symbols will trigger an immediate load failure. +; verbose: Turn on the flow and report the undefined symbols for each shared library. +; NOTE: This variable can be overriden with vsim switch "-undefsyms". +; The default is on. +; +; UndefSyms = off + +; Enable the support for checkpointing foreign C++ libraries. +; The valid arguments are: 1 and 0. +; 1 : turn on the support +; 0 : turn off the support (default) +; This option is not supported on the Windows platforms. +; +; AllowCheckpointCpp = 1 + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specify if the solver should attempt to ignore overflow/underflow semantics +; for arithmetic constraints (multiply, addition, subtraction) in order to +; improve performance. The "solveignoreoverflow" attribute can be specified on +; a per-call basis to randomize() to override this setting. +; The default value is 0 (overflow/underflow is not ignored). Set to 1 to +; ignore overflow/underflow. +; SolveIgnoreOverflow = 0 + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 10000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 10000 + +; Error message severity when randomize() failure is detected. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 0 (no error). +; SolveFailSeverity = 0 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Error message severity for suppressible errors that are related to +; solve engine capacity limits +; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity" +; command line switch. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; The default is 3 (failure). +; SolveEngineErrorSeverity = 3 + +; Enable/disable debug information for randomize() failures. +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; The default is 0 (disabled). Set to 1 to enable basic debug (with no +; performance penalty). Set to 2 for enhanced debug (will result in slower +; runtime performance). +; SolveFailDebug = 0 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify solver timeout threshold (in seconds). randomize() will fail if the +; CPU time required to evaluate any randset exceeds the specified timeout. +; The default value is 500. A value of 0 will disable timeout failures. +; SolveTimeout = 500 + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify the maximum number of tests that the ACT solver may evaluate before +; abandoning an attempt to solve a particular constraint scenario. +; The default value is 2000000. A value of 0 indicates no limit. +; SolveACTMaxTests = 2000000 + +; Specify the maximum number of operations that the ACT solver may perform +; before abandoning an attempt to solve a particular constraint scenario. The +; value is specified in 1000000s of operations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveACTMaxOps = 10000 + +; Specify the number of times the ACT solver will retry to evaluate a constraint +; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. +; The default value is 0 (no retry). +; SolveACTRetryCount = 0 + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $MODEL_TECH/.. + +; Location of InFact installation. The default is $MODEL_TECH/../../infact +; +; InFactHome = $MODEL_TECH/../../infact + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Enable/disable non-LRM compliant SystemVerilog language extensions. +; Valid extensions are: +; cfce - generate an error if $cast fails as a function +; dfsp - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks +; expdfmt - enable format string extensions for $display/$sformatf +; extscan - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi) +; fmtcap - prints capital hex digits with %X/%H in display calls +; iddp - ignore DPI disable protocol check +; noexptc - ignore DPI export type name overloading check +; lfmt - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h") +; realrand - support randomize() with real variables and constraints (Default) +; SvExtensions = [+|-][,[+|-]*] + +; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions. +; Valid extensions are: +; deepcheck - allow randomize(null) to recursively consider constraints from member rand class handles +; forkjoinstab - preserve parent thread random stability when seeding fork/join sub-threads (Default) +; nonrandstab - disable seeding of "non-random" class instances (Default) +; nodist - interpret 'dist' constraint as 'inside' (ACT only) +; noorder - ignore solve/before ordering constraints (ACT only) +; packrandidx - allow random index for packed variable in constraint (Default) +; promotedist - promote priority of 'dist' constraint if LHS has no solve/before +; randskew - skew randomize results (ACT only) +; SvRandExtensions = [+|-][,[+|-]*] + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I use spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L limits the output to lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C limits the output to characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F limits the output to of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E limits the output to of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D suppresses the output of sub-elements below . +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. SVPrettyPrintFlags=R shows the output of specifier %p as per the specifed radix. +; It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)). +; (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format. +; 8. Items 1-7 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3601 +; suppress = 3009,CNNODP,3601,TFMPC +; suppress = 8683,8684 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +; Controls number of displays of a particluar message +; default value is 5 +; MsgLimitCount = 5 + +[utils] +; Default Library Type (while creating a library with "vlib") +; 0 - legacy library using subdirectories for design units +; 2 - flat library +; DefaultLibType = 2 + +; Flat Library Page Size (while creating a library with "vlib") +; Set the size in bytes for flat library file pages. Libraries containing +; very large files may benefit from a larger value. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage (while creating a library with "vlib") +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold (while creating a library with "vlib") +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + +[Project] +; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 56 +Project_File_0 = /nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_shared_memory.v +Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 54 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_1 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_clone_inter.v +Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 31 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_2 = /nethome/felsabbagh3/research/Vortex/rtl/icarus/vortex_tb.v +Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 0 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 14 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_3 = /nethome/felsabbagh3/research/Vortex/rtl/VX_front_end.v +Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1572058635 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 11 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_4 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_lsu_req_inter.v +Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 44 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_5 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_branch_response_inter.v +Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 23 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_6 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_wstall_inter.v +Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 49 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_7 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_wspawn_inter.v +Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 35 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_8 = /nethome/felsabbagh3/research/Vortex/rtl/VX_generic_priority_encoder.v +Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1572058635 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 12 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_9 = /nethome/felsabbagh3/research/Vortex/rtl/cache/cache_set.v +Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 16 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_10 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_exec_wb_inter.v +Project_File_P_10 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 39 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_11 = /nethome/felsabbagh3/research/Vortex/rtl/cache/VX_cache_bank_valid.v +Project_File_P_11 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 18 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_12 = /nethome/felsabbagh3/research/Vortex/rtl/VX_alu.v +Project_File_P_12 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1571845660 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_13 = /nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_bank_valids.v +Project_File_P_13 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 51 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_14 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_join_inter.v +Project_File_P_14 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 43 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_15 = /nethome/felsabbagh3/research/Vortex/rtl/VX_csr_handler.v +Project_File_P_15 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1571845660 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_16 = /nethome/felsabbagh3/research/Vortex/rtl/VX_dmem_controller.v +Project_File_P_16 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1572058635 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 8 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_17 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_frE_to_bckE_req_inter.v +Project_File_P_17 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1571845660 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 30 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_18 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_exec_unit_req_inter.v +Project_File_P_18 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 29 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_19 = /nethome/felsabbagh3/research/Vortex/rtl/cache/VX_cache_data.v +Project_File_P_19 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 20 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_20 = /nethome/felsabbagh3/research/Vortex/rtl/VX_generic_register.v +Project_File_P_20 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1571845660 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 13 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_21 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_jal_response_inter.v +Project_File_P_21 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 42 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_22 = /nethome/felsabbagh3/research/Vortex/rtl/cache/VX_Cache_Bank.v +Project_File_P_22 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 17 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_23 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_wb_inter.v +Project_File_P_23 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 25 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_24 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpu_inst_req_inter.v +Project_File_P_24 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 36 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_25 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_wb_inter.v +Project_File_P_25 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 48 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_26 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_response_inter.v +Project_File_P_26 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 38 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_27 = /nethome/felsabbagh3/research/Vortex/rtl/VX_csr_wrapper.v +Project_File_P_27 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1572061058 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_28 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_read_inter.v +Project_File_P_28 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 34 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_29 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_mw_wb_inter.v +Project_File_P_29 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 46 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_30 = /nethome/felsabbagh3/research/Vortex/rtl/byte_enabled_simple_dual_port_ram.v +Project_File_P_30 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1571845660 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_31 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_request_inter.v +Project_File_P_31 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 26 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_32 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_data_inter.v +Project_File_P_32 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 32 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_33 = /nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_set_bit.v +Project_File_P_33 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 53 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_34 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_response_inter.v +Project_File_P_34 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 27 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_35 = /nethome/felsabbagh3/research/Vortex/rtl/VX_define.v +Project_File_P_35 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1572058635 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 7 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_36 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_req_inter.v +Project_File_P_36 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 24 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_37 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_mem_wb_inter.v +Project_File_P_37 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 40 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_38 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_request_inter.v +Project_File_P_38 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 37 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_39 = /nethome/felsabbagh3/research/Vortex/rtl/VX_execute_unit.v +Project_File_P_39 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 0 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 9 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_40 = /nethome/felsabbagh3/research/Vortex/rtl/cache/bank.v +Project_File_P_40 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 15 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_41 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_mem_req_inter.v +Project_File_P_41 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 45 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_42 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dram_req_rsp_inter.v +Project_File_P_42 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1572058636 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 28 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_43 = /nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_priority_encoder_sm.v +Project_File_P_43 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 52 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_44 = /nethome/felsabbagh3/research/Vortex/rtl/VX_back_end.v +Project_File_P_44 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1572058635 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_45 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_warp_ctl_inter.v +Project_File_P_45 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 47 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_46 = /nethome/felsabbagh3/research/Vortex/rtl/cache/VX_Cache_Block_DM.v +Project_File_P_46 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 19 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_47 = /nethome/felsabbagh3/research/Vortex/rtl/VX_fetch.v +Project_File_P_47 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1571845660 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 10 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_48 = /nethome/felsabbagh3/research/Vortex/rtl/Vortex.v +Project_File_P_48 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1572058635 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_49 = /nethome/felsabbagh3/research/Vortex/rtl/VX_decode.v +Project_File_P_49 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1571845660 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 6 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_50 = /nethome/felsabbagh3/research/Vortex/rtl/cache/VX_d_cache_encapsulate.v +Project_File_P_50 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 22 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_51 = /nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_shared_memory_block.v +Project_File_P_51 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1571845660 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 55 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_52 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_meta_inter.v +Project_File_P_52 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 41 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_53 = /nethome/felsabbagh3/research/Vortex/rtl/pipe_regs/VX_d_e_reg.v +Project_File_P_53 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 50 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_54 = /nethome/felsabbagh3/research/Vortex/rtl/cache/VX_d_cache.v +Project_File_P_54 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1572058635 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 21 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_55 = /nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_jal_inter.v +Project_File_P_55 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1571845660 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 33 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +TDB_DoubleClick = Edit +TDB_CustomDoubleClick = +UPF_DoubleClick = Edit +UPF_CustomDoubleClick = +PCF_DoubleClick = Edit +PCF_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +VRM_DoubleClick = Edit +VRM_CustomDoubleClick = +DEBUGDATABASE_DoubleClick = Edit +DEBUGDATABASE_CustomDoubleClick = +DEBUGARCHIVE_DoubleClick = Edit +DEBUGARCHIVE_CustomDoubleClick = +Project_Major_Version = 10 +Project_Minor_Version = 6 diff --git a/old_rtl/modelsim/vortex_dpi.cpp b/old_rtl/modelsim/vortex_dpi.cpp new file mode 100644 index 000000000..67af68ab4 --- /dev/null +++ b/old_rtl/modelsim/vortex_dpi.cpp @@ -0,0 +1,328 @@ + +// #include + +// #include "VX_define.h" + + +#include <../simulate/ram.h> +#include +#include +#include "svdpi.h" + +#include "../simulate/VX_define.h" + +// #include "vortex_dpi.h" + +extern "C" { + void load_file (char * filename); + void ibus_driver (bool clk, unsigned o_m_read_addr, unsigned o_m_evict_addr, bool o_m_valid, svLogicVecVal * o_m_writedata, bool o_m_read_or_write, unsigned cache_banks, unsigned num_words_per_block, svLogicVecVal * i_m_readdata, bool * i_m_ready); + void dbus_driver (bool clk, unsigned o_m_read_addr, unsigned o_m_evict_addr, bool o_m_valid, svLogicVecVal * o_m_writedata, bool o_m_read_or_write, unsigned cache_banks, unsigned num_words_per_block, svLogicVecVal * i_m_readdata, bool * i_m_ready); + void io_handler (bool clk, bool io_valid, unsigned io_data); + void gracefulExit(int); +} + +RAM ram; +bool refill; +unsigned refill_addr; +bool i_refill; +unsigned i_refill_addr; + +unsigned num_cycles; + +unsigned getIndex(int, int, int); +unsigned calculate_bits_per_bank_num(int); + +unsigned getIndex(int r, int c, int numCols) +{ + return (r * numCols) + c; +} + +unsigned calculate_bits_per_bank_num(int num) +{ + int shifted_num = 0; + for(int i = 0; i < num; i++){ + shifted_num = (shifted_num << 1)| 1 ; + } + return shifted_num; +} + + +void load_file(char * filename) +{ + num_cycles = 0; + // printf("\n\n\n\n**********************\n"); + // printf("Inside load_file\n"); + + fprintf(stderr, "\n\n\n\n**********************\n"); + loadHexImpl(filename, &ram); + // printf("Filename: %s\n", filename); + refill = false; + i_refill = false; +} + +void ibus_driver(bool clk, unsigned o_m_read_addr, unsigned o_m_evict_addr, bool o_m_valid, svLogicVecVal * o_m_writedata, bool o_m_read_or_write, unsigned cache_banks, unsigned num_words_per_block, svLogicVecVal * i_m_readdata, bool * i_m_ready) +{ + + + // Default values + { + s_vpi_vecval * real_i_m_readdata = (s_vpi_vecval *) i_m_readdata; + (*i_m_ready) = false; + for (int i = 0; i < cache_banks; i++) + { + for (int j = 0; j < num_words_per_block; j++) + { + + unsigned index = getIndex(i,j, num_words_per_block); + + real_i_m_readdata[index].aval = 0x506070; + + // svGetArrElemPtr2(i_m_readdata, i, j); + // svPutLogicArrElem2VecVal(i_m_readdata, i, j); + // i_m_readdata[getIndex(i,j, num_words_per_block)] = 0; + } + } + } + + + if (clk) + { + // Do nothing on positive edge + } + else + { + + if (i_refill) + { + // svGetArrElemPtr2((*i_m_readdata), 0,0); + // fprintf(stderr, "--------------------------------\n"); + i_refill = false; + + + *i_m_ready = true; + s_vpi_vecval * real_i_m_readdata = (s_vpi_vecval *) i_m_readdata; + for (int curr_e = 0; curr_e < (cache_banks*num_words_per_block); curr_e++) + { + unsigned new_addr = i_refill_addr + (4*curr_e); + + + unsigned addr_without_byte = new_addr >> 2; + + unsigned bits_per_bank = (int)log2(cache_banks); + // unsigned maskbits_per_bank = calculate_bits_per_bank_num(bits_per_bank); + unsigned maskbits_per_bank = cache_banks - 1; + unsigned bank_num = addr_without_byte & maskbits_per_bank; + unsigned addr_wihtout_bank = addr_without_byte >> bits_per_bank; + unsigned offset_num = addr_wihtout_bank & (num_words_per_block-1); + + unsigned value; + ram.getWord(new_addr, &value); + + fprintf(stdout, "-------- (%x) i_m_readdata[%d][%d] (%d) = %x\n", new_addr, bank_num, offset_num, curr_e, value); + unsigned index = getIndex(bank_num,offset_num, num_words_per_block); + + // fprintf(stderr, "Index: %d (%d, %d) = %x\n", index, bank_num, offset_num, value); + + real_i_m_readdata[index].aval = value; + + } + } + else + { + if (o_m_valid) + { + + s_vpi_vecval * real_o_m_writedata = (s_vpi_vecval *) o_m_writedata; + + if (o_m_read_or_write) + { + // fprintf(stderr, "++++++++++++++++++++++++++++++++\n"); + + for (int curr_e = 0; curr_e < (cache_banks*num_words_per_block); curr_e++) + { + unsigned new_addr = (o_m_evict_addr) + (4*curr_e); + + + unsigned addr_without_byte = new_addr >> 2; + unsigned bits_per_bank = (int)log2(cache_banks); + // unsigned maskbits_per_bank = calculate_bits_per_bank_num(bits_per_bank); + unsigned maskbits_per_bank = cache_banks - 1; + unsigned bank_num = addr_without_byte & maskbits_per_bank; + unsigned addr_wihtout_bank = addr_without_byte >> bits_per_bank; + unsigned offset_num = addr_wihtout_bank & (num_words_per_block-1); + // unsigned offset_num = addr_wihtout_bank & 0x3; + unsigned index = getIndex(bank_num,offset_num, num_words_per_block); + + + + unsigned new_value = real_o_m_writedata[index].aval; + + // new_value = (unsigned *) svGetArrElemPtr2(o_m_writedata, bank_num, offset_num); + // new_value = getElem(o_m_writedata, index); + // unsigned new_value = o_m_writedata[getIndex(bank_num,offset_num, num_words_per_block)]; + + + ram.writeWord( new_addr, &new_value); + + fprintf(stdout, "+++++++ (%x) writeback[%d][%d] (%d) = %x\n", new_addr, bank_num, offset_num, curr_e, new_value); + } + + } + + // Respond next cycle + i_refill = true; + i_refill_addr = o_m_read_addr; + } + } + + } +} + + +void dbus_driver(bool clk, unsigned o_m_read_addr, unsigned o_m_evict_addr, bool o_m_valid, svLogicVecVal * o_m_writedata, bool o_m_read_or_write, unsigned cache_banks, unsigned num_words_per_block, svLogicVecVal * i_m_readdata, bool * i_m_ready) +{ + + + // Default values + { + s_vpi_vecval * real_i_m_readdata = (s_vpi_vecval *) i_m_readdata; + (*i_m_ready) = false; + for (int i = 0; i < cache_banks; i++) + { + for (int j = 0; j < num_words_per_block; j++) + { + + unsigned index = getIndex(i,j, num_words_per_block); + + real_i_m_readdata[index].aval = 0x506070; + + // svGetArrElemPtr2(i_m_readdata, i, j); + // svPutLogicArrElem2VecVal(i_m_readdata, i, j); + // i_m_readdata[getIndex(i,j, num_words_per_block)] = 0; + } + } + } + + + if (clk) + { + // Do nothing on positive edge + } + else + { + + if (refill) + { + // svGetArrElemPtr2((*i_m_readdata), 0,0); + // fprintf(stderr, "--------------------------------\n"); + refill = false; + + + *i_m_ready = true; + s_vpi_vecval * real_i_m_readdata = (s_vpi_vecval *) i_m_readdata; + for (int curr_e = 0; curr_e < (cache_banks*num_words_per_block); curr_e++) + { + unsigned new_addr = refill_addr + (4*curr_e); + + + unsigned addr_without_byte = new_addr >> 2; + + unsigned bits_per_bank = (int)log2(cache_banks); + // unsigned maskbits_per_bank = calculate_bits_per_bank_num(bits_per_bank); + unsigned maskbits_per_bank = cache_banks - 1; + unsigned bank_num = addr_without_byte & maskbits_per_bank; + unsigned addr_wihtout_bank = addr_without_byte >> bits_per_bank; + unsigned offset_num = addr_wihtout_bank & (num_words_per_block-1); + + unsigned value; + ram.getWord(new_addr, &value); + + fprintf(stdout, "-------- (%x) i_m_readdata[%d][%d] (%d) = %x\n", new_addr, bank_num, offset_num, curr_e, value); + unsigned index = getIndex(bank_num,offset_num, num_words_per_block); + + // fprintf(stderr, "Index: %d (%d, %d) = %x\n", index, bank_num, offset_num, value); + + real_i_m_readdata[index].aval = value; + + } + } + else + { + if (o_m_valid) + { + + s_vpi_vecval * real_o_m_writedata = (s_vpi_vecval *) o_m_writedata; + + if (o_m_read_or_write) + { + // fprintf(stderr, "++++++++++++++++++++++++++++++++\n"); + + for (int curr_e = 0; curr_e < (cache_banks*num_words_per_block); curr_e++) + { + unsigned new_addr = (o_m_evict_addr) + (4*curr_e); + + + unsigned addr_without_byte = new_addr >> 2; + unsigned bits_per_bank = (int)log2(cache_banks); + // unsigned maskbits_per_bank = calculate_bits_per_bank_num(bits_per_bank); + unsigned maskbits_per_bank = cache_banks - 1; + unsigned bank_num = addr_without_byte & maskbits_per_bank; + unsigned addr_wihtout_bank = addr_without_byte >> bits_per_bank; + unsigned offset_num = addr_wihtout_bank & (num_words_per_block-1); + // unsigned offset_num = addr_wihtout_bank & 0x3; + unsigned index = getIndex(bank_num,offset_num, num_words_per_block); + + + + unsigned new_value = real_o_m_writedata[index].aval; + + // new_value = (unsigned *) svGetArrElemPtr2(o_m_writedata, bank_num, offset_num); + // new_value = getElem(o_m_writedata, index); + // unsigned new_value = o_m_writedata[getIndex(bank_num,offset_num, num_words_per_block)]; + + + ram.writeWord( new_addr, &new_value); + + fprintf(stdout, "+++++++ (%x) writeback[%d][%d] (%d) = %x\n", new_addr, bank_num, offset_num, curr_e, new_value); + } + + } + + // Respond next cycle + refill = true; + refill_addr = o_m_read_addr; + } + } + + } +} + + +void io_handler(bool clk, bool io_valid, unsigned io_data) +{ + // printf("Inside io_handler\n"); + if (clk) + { + // Do nothing + } + else + { + if (io_valid) + { + uint32_t data_write = (uint32_t) (io_data); + + fprintf(stderr, "%c", (char) data_write); + fflush(stderr); + } + } +} + +void gracefulExit(int cycles) +{ + fprintf(stderr, "*********************\n\n"); + fprintf(stderr, "DPI Cycle Num: %d\tVerilog Cycle Num: %d\n", num_cycles, cycles); +} + + + + diff --git a/old_rtl/modelsim/vortex_dpi.h b/old_rtl/modelsim/vortex_dpi.h new file mode 100644 index 000000000..4a3509d01 --- /dev/null +++ b/old_rtl/modelsim/vortex_dpi.h @@ -0,0 +1,8 @@ + +extern "C" { + void load_file (char * filename); + void dbus_driver(bool clk, unsigned o_m_read_addr, unsigned o_m_evict_addr, bool o_m_valid, svLogicVecVal * o_m_writedata, bool o_m_read_or_write, unsigned cache_banks, unsigned num_words_per_block, svLogicVecVal * i_m_readdata, bool * i_m_ready); + void ibus_driver(bool clk, unsigned o_m_read_addr, unsigned o_m_evict_addr, bool o_m_valid, svLogicVecVal * o_m_writedata, bool o_m_read_or_write, unsigned cache_banks, unsigned num_words_per_block, svLogicVecVal * i_m_readdata, bool * i_m_ready); + void io_handler (bool clk, bool io_valid, unsigned io_data); + void gracefulExit(); +} \ No newline at end of file diff --git a/old_rtl/modelsim/vortex_tb.v b/old_rtl/modelsim/vortex_tb.v new file mode 100644 index 000000000..1db58aedd --- /dev/null +++ b/old_rtl/modelsim/vortex_tb.v @@ -0,0 +1,160 @@ + +`include "../VX_define.v" + +//`define NUMBER_BANKS 8 +//`define NUM_WORDS_PER_BLOCK 4 + +`define ARM_UD_MODEL + +`timescale 1ns/1ps + +import "DPI-C" load_file = function void load_file(input string filename); + +/* +import "DPI-C" ibus_driver = function void ibus_driver(input logic clk, input int pc_addr, + output int instruction); + */ + +import "DPI-C" ibus_driver = function void ibus_driver( input logic clk, + input int o_m_read_addr, + input int o_m_evict_addr, + input logic o_m_valid, + input reg[31:0] o_m_writedata[`ICACHE_BANKS - 1:0][`ICACHE_NUM_WORDS_PER_BLOCK-1:0], + input logic o_m_read_or_write, + input int cache_banks, + input int words_per_block, + // Rsp + output reg[31:0] i_m_readdata[`ICACHE_BANKS - 1:0][`ICACHE_NUM_WORDS_PER_BLOCK-1:0], + output logic i_m_ready); + +import "DPI-C" dbus_driver = function void dbus_driver( input logic clk, + input int o_m_read_addr, + input int o_m_evict_addr, + input logic o_m_valid, + input reg[31:0] o_m_writedata[`DCACHE_BANKS - 1:0][`DCACHE_NUM_WORDS_PER_BLOCK-1:0], + input logic o_m_read_or_write, + input int cache_banks, + input int words_per_block, + // Rsp + output reg[31:0] i_m_readdata[`DCACHE_BANKS - 1:0][`DCACHE_NUM_WORDS_PER_BLOCK-1:0], + output logic i_m_ready); + + +import "DPI-C" io_handler = function void io_handler(input logic clk, input logic io_valid, input int io_data); + +import "DPI-C" gracefulExit = function void gracefulExit(input int cycle_num); + +module vortex_tb ( + +); + + int cycle_num; + +reg clk; +reg reset; +reg[31:0] icache_response_instruction; +reg[31:0] icache_request_pc_address; +// IO +reg io_valid; +reg[31:0] io_data; +// Req + reg [31:0] o_m_read_addr_d; + reg [31:0] o_m_evict_addr_d; + reg o_m_valid_d; + reg [31:0] o_m_writedata_d[`DCACHE_BANKS - 1:0][`DCACHE_NUM_WORDS_PER_BLOCK-1:0]; + reg o_m_read_or_write_d; + + // Rsp + reg [31:0] i_m_readdata_d[`DCACHE_BANKS - 1:0][`DCACHE_NUM_WORDS_PER_BLOCK-1:0]; + reg i_m_ready_d; + +// Req + reg [31:0] o_m_read_addr_i; + reg [31:0] o_m_evict_addr_i; + reg o_m_valid_i; + reg [31:0] o_m_writedata_i[`ICACHE_BANKS - 1:0][`ICACHE_NUM_WORDS_PER_BLOCK-1:0]; + reg o_m_read_or_write_i; + + // Rsp + reg [31:0] i_m_readdata_i[`ICACHE_BANKS - 1:0][`ICACHE_NUM_WORDS_PER_BLOCK-1:0]; + reg i_m_ready_i; +reg out_ebreak; + + + reg[31:0] hi; + + integer temp; + + initial begin + // $fdumpfile("vortex1.vcd"); + load_file("../../runtime/mains/simple/vx_simple_main.hex"); + // load_file("../../emulator/riscv_tests/rv32ui-p-add.hex"); + //load_file("../../kernel/vortex_test.hex"); + $dumpvars(0, vortex_tb); + reset = 1; + clk = 0; + #5 reset = 1; + clk = 1; + cycle_num = 0; + end + + Vortex vortex( + .clk (clk), + .reset (reset), + .icache_response_instruction (icache_response_instruction), + .icache_request_pc_address (icache_request_pc_address), + .io_valid (io_valid), + .io_data (io_data), + .o_m_read_addr_d (o_m_read_addr_d), + .o_m_evict_addr_d (o_m_evict_addr_d), + .o_m_valid_d (o_m_valid_d), + .o_m_writedata_d (o_m_writedata_d), + .o_m_read_or_write_d (o_m_read_or_write_d), + .i_m_readdata_d (i_m_readdata_d), + .i_m_ready_d (i_m_ready_d), + .o_m_read_addr_i (o_m_read_addr_i), + .o_m_evict_addr_i (o_m_evict_addr_i), + .o_m_valid_i (o_m_valid_i), + .o_m_writedata_i (o_m_writedata_i), + .o_m_read_or_write_i (o_m_read_or_write_i), + .i_m_readdata_i (i_m_readdata_i), + .i_m_ready_i (i_m_ready_i), + .out_ebreak (out_ebreak) + ); + + always @(negedge clk) begin + ibus_driver(clk, o_m_read_addr_i, o_m_evict_addr_i, o_m_valid_i, o_m_writedata_i, o_m_read_or_write_i, `ICACHE_BANKS, `ICACHE_NUM_WORDS_PER_BLOCK, i_m_readdata_i, i_m_ready_i); + dbus_driver(clk, o_m_read_addr_d, o_m_evict_addr_d, o_m_valid_d, o_m_writedata_d, o_m_read_or_write_d, `DCACHE_BANKS, `DCACHE_NUM_WORDS_PER_BLOCK, i_m_readdata_d, i_m_ready_d); + io_handler (clk, io_valid, io_data); + + end + + always @(posedge clk) begin + if (out_ebreak) begin + gracefulExit(cycle_num); + #40 $finish; + end + end + + always @(posedge clk) begin + cycle_num = cycle_num + 1; + end + + always @(clk, posedge reset) begin + if (reset) begin + reset = 0; + clk = 0; + end + + #5 clk <= ~clk; + + end + +endmodule + + + + + + + diff --git a/old_rtl/modelsim/work/_info b/old_rtl/modelsim/work/_info new file mode 100644 index 000000000..00edac9f9 --- /dev/null +++ b/old_rtl/modelsim/work/_info @@ -0,0 +1,1084 @@ +m255 +K4 +z2 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +d/nethome/felsabbagh3 +vbyte_enabled_simple_dual_port_ram +Z0 DXx6 sv_std 3 std 0 22 AD7iAPLo6nTIKk>?2fFo2 +R2 +!s105 VX_back_end_v_unit +S1 +R3 +R9 +8/nethome/felsabbagh3/research/Vortex/rtl/VX_back_end.v +F/nethome/felsabbagh3/research/Vortex/rtl/VX_back_end.v +L0 1 +R5 +r1 +!s85 0 +31 +!s108 1572060852.000000 +!s107 /nethome/felsabbagh3/research/Vortex/rtl/VX_back_end.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/VX_back_end.v| +!i113 0 +R7 +R8 +n@v@x_back_end +vVX_bank_valids +R0 +!s110 1572060870 +!i10b 1 +!s100 8J^J:@i9Meh3ejJzoMNRl1 +IVMcgc?onFY87NP^=[feO_0 +R2 +!s105 VX_bank_valids_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_bank_valids.v +F/nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_bank_valids.v +L0 4 +R5 +r1 +!s85 0 +31 +!s108 1572060870.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_bank_valids.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/shared_memory/VX_bank_valids.v| +!i113 0 +R7 +R8 +n@v@x_bank_valids +YVX_branch_response_inter +R0 +Z11 !s110 1572060860 +!i10b 1 +!s100 ?IdSOM2]VFSUk;4?QYfAj1 +IRZ9enLe49LL`mLAeG1dL41 +R2 +!s105 VX_branch_response_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_branch_response_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_branch_response_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +Z12 !s108 1572060860.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_branch_response_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_branch_response_inter.v| +!i113 0 +R7 +R8 +n@v@x_branch_response_inter +vVX_Cache_Bank +R0 +!s110 1572060857 +!i10b 1 +!s100 j9_Ic?]NV;A]SX?YSN022 +R2 +!s105 VX_csr_req_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_req_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_req_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R12 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_req_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_req_inter.v| +!i113 0 +R7 +R8 +n@v@x_csr_req_inter +YVX_csr_wb_inter +R0 +!s110 1572060861 +!i10b 1 +!s100 1VNJF?9koZ[iz<2a_AEIe3 +I_ALoZD>YDHkHgSF>F;>HQ2 +R2 +!s105 VX_csr_wb_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_wb_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_wb_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +!s108 1572060861.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_wb_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_csr_wb_inter.v| +!i113 0 +R7 +R8 +n@v@x_csr_wb_inter +vVX_csr_wrapper +R0 +!s110 1572061082 +!i10b 1 +!s100 LbYbMNCf=0AzhCB>CP4gV1 +ImWJ;a=;GMB9KeJ;cTDEl30 +R2 +!s105 VX_csr_wrapper_v_unit +S1 +R3 +w1572061058 +8/nethome/felsabbagh3/research/Vortex/rtl/VX_csr_wrapper.v +F/nethome/felsabbagh3/research/Vortex/rtl/VX_csr_wrapper.v +L0 3 +R5 +r1 +!s85 0 +31 +!s108 1572061081.000000 +!s107 /nethome/felsabbagh3/research/Vortex/rtl/VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/VX_csr_wrapper.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/VX_csr_wrapper.v| +!i113 0 +R7 +R8 +n@v@x_csr_wrapper +vVX_d_cache +R0 +!s110 1572060859 +!i10b 1 +!s100 OI>VY^XI_AKKhz`Z>2kf=0 +Ih:mHKdjd9hE?1H5WRS>;:2 +R2 +!s105 VX_d_cache_v_unit +S1 +R3 +R9 +8/nethome/felsabbagh3/research/Vortex/rtl/cache/VX_d_cache.v +F/nethome/felsabbagh3/research/Vortex/rtl/cache/VX_d_cache.v +L0 16 +R5 +r1 +!s85 0 +31 +!s108 1572060859.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/cache/VX_d_cache.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/cache/VX_d_cache.v| +!i113 0 +R7 +R8 +n@v@x_d_cache +YVX_dcache_request_inter +R0 +!s110 1572060862 +!i10b 1 +!s100 7cnI6Rc92LVQ67`57EET>1 +IMzzF:AXEm?=JAV9ceXl713 +R2 +!s105 VX_dcache_request_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_request_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_request_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +Z13 !s108 1572060862.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_request_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_request_inter.v| +!i113 0 +R7 +R8 +n@v@x_dcache_request_inter +YVX_dcache_response_inter +R0 +Z14 !s110 1572060863 +!i10b 1 +!s100 H9LFf[:T8ZFdGUznKiDN_2 +IZ1aNoi`DU3KPgF;LQFF[`3 +R2 +!s105 VX_dcache_response_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_response_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_response_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R13 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_response_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_dcache_response_inter.v| +!i113 0 +R7 +R8 +n@v@x_dcache_response_inter +vVX_decode +R0 +Z15 !s110 1572060854 +!i10b 1 +!s100 NVHcmOEV]oO`:ha0UUMGZ2 +I_H?4Go:N4bjfOHiN=@mYC3 +R2 +!s105 VX_decode_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/VX_decode.v +F/nethome/felsabbagh3/research/Vortex/rtl/VX_decode.v +L0 4 +R5 +r1 +!s85 0 +31 +Z16 !s108 1572060854.000000 +!s107 /nethome/felsabbagh3/research/Vortex/rtl/VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/VX_decode.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/VX_decode.v| +!i113 0 +R7 +R8 +n@v@x_decode +vVX_dmem_controller +R0 +R15 +!i10b 1 +!s100 RPQH;KGJ9lb=hPcTmNSPlAOAD3 +R2 +!s105 VX_fetch_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/VX_fetch.v +F/nethome/felsabbagh3/research/Vortex/rtl/VX_fetch.v +L0 4 +R5 +r1 +!s85 0 +31 +!s108 1572060855.000000 +!s107 /nethome/felsabbagh3/research/Vortex/rtl/VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/VX_fetch.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/VX_fetch.v| +!i113 0 +R7 +R8 +n@v@x_fetch +YVX_frE_to_bckE_req_inter +R0 +R14 +!i10b 1 +!s100 9@N3T^SL_K01m@5jA4Nh31 +IFoT0^;QA;]9WTCkS<5_TH2 +R2 +!s105 VX_frE_to_bckE_req_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_frE_to_bckE_req_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_frE_to_bckE_req_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R17 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_frE_to_bckE_req_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_frE_to_bckE_req_inter.v| +!i113 0 +R7 +R8 +n@v@x_fr@e_to_bck@e_req_inter +vVX_front_end +R0 +Z18 !s110 1572060856 +!i10b 1 +!s100 7;7EOV3``ei]nDZMQlPGk0 +I@SFf;nk4B=?86`VOB^@0O0 +R2 +!s105 VX_front_end_v_unit +S1 +R3 +R9 +8/nethome/felsabbagh3/research/Vortex/rtl/VX_front_end.v +F/nethome/felsabbagh3/research/Vortex/rtl/VX_front_end.v +L0 3 +R5 +r1 +!s85 0 +31 +Z19 !s108 1572060856.000000 +!s107 /nethome/felsabbagh3/research/Vortex/rtl/VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/VX_front_end.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/VX_front_end.v| +!i113 0 +R7 +R8 +n@v@x_front_end +vVX_generic_priority_encoder +R0 +R18 +!i10b 1 +!s100 :aK28Kh=@lT9ZCaTamzg>3 +IMZRCchbF_@P0IooMfHhlR3 +R2 +!s105 VX_generic_priority_encoder_v_unit +S1 +R3 +R9 +8/nethome/felsabbagh3/research/Vortex/rtl/VX_generic_priority_encoder.v +F/nethome/felsabbagh3/research/Vortex/rtl/VX_generic_priority_encoder.v +L0 1 +R5 +r1 +!s85 0 +31 +R19 +!s107 /nethome/felsabbagh3/research/Vortex/rtl/VX_generic_priority_encoder.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/VX_generic_priority_encoder.v| +!i113 0 +R7 +R8 +n@v@x_generic_priority_encoder +vVX_generic_register +R0 +R18 +!i10b 1 +!s100 fL2^LczAkWP@cTbY69kFO3 +I@OIJ[h;oQlY1Z]md:O]Ce0 +R2 +!s105 VX_gpr_wspawn_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_wspawn_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_wspawn_inter.v +L0 7 +R5 +r1 +!s85 0 +31 +R23 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_wspawn_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpr_wspawn_inter.v| +!i113 0 +R7 +R8 +n@v@x_gpr_wspawn_inter +YVX_gpu_inst_req_inter +R0 +R22 +!i10b 1 +!s100 jcVnabg7Ze4Un5[R73S4^3 +IjoUY9Bcc6eGJkkOZN11l21 +R2 +!s105 VX_gpu_inst_req_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpu_inst_req_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpu_inst_req_inter.v +L0 7 +R5 +r1 +!s85 0 +31 +R23 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpu_inst_req_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_gpu_inst_req_inter.v| +!i113 0 +R7 +R8 +n@v@x_gpu_inst_req_inter +YVX_icache_request_inter +R0 +R22 +!i10b 1 +!s100 0hM8K@;[W3:=Oz64H8G_31 +IaObkPk42UFP9UNAH78DbT1 +R2 +!s105 VX_icache_request_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_request_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_request_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R23 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_request_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_request_inter.v| +!i113 0 +R7 +R8 +n@v@x_icache_request_inter +YVX_icache_response_inter +R0 +Z24 !s110 1572060867 +!i10b 1 +!s100 EB8b2:S0:KXlokE5O?dK70 +IVc;fhnJfHN`bdcOCabTaL2 +R2 +!s105 VX_icache_response_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_response_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_response_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +Z25 !s108 1572060867.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_response_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_icache_response_inter.v| +!i113 0 +R7 +R8 +n@v@x_icache_response_inter +YVX_inst_exec_wb_inter +R0 +R24 +!i10b 1 +!s100 nOge=]_K`4;kMhR7eddR60 +I=THghFo4g^GNl149SNfhR0 +R2 +!s105 VX_inst_exec_wb_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_exec_wb_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_exec_wb_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R25 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_exec_wb_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_exec_wb_inter.v| +!i113 0 +R7 +R8 +n@v@x_inst_exec_wb_inter +YVX_inst_mem_wb_inter +R0 +R24 +!i10b 1 +!s100 8:P3XhBMD@mALW[^O64lH0 +IeJ;ki1@RDNUj1 +R2 +!s105 VX_inst_mem_wb_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_mem_wb_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_mem_wb_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R25 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_mem_wb_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_mem_wb_inter.v| +!i113 0 +R7 +R8 +n@v@x_inst_mem_wb_inter +YVX_inst_meta_inter +R0 +R24 +!i10b 1 +!s100 dUY[BFW==7aoDdYzOfX4T0 +I_jRGl<^:B27il66X2?N?M2 +R2 +!s105 VX_inst_meta_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_meta_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_meta_inter.v +L0 7 +R5 +r1 +!s85 0 +31 +R25 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_meta_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_inst_meta_inter.v| +!i113 0 +R7 +R8 +n@v@x_inst_meta_inter +YVX_jal_response_inter +R0 +Z26 !s110 1572060868 +!i10b 1 +!s100 a2a9H52CnaVl9oW5Ta^3L1 +Im?4OnRiS;gYggKBh2NDQM0 +R2 +!s105 VX_jal_response_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_jal_response_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_jal_response_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +Z27 !s108 1572060868.000000 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_jal_response_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_jal_response_inter.v| +!i113 0 +R7 +R8 +n@v@x_jal_response_inter +YVX_join_inter +R0 +R26 +!i10b 1 +!s100 nCBXlS2G:6=Q[XaVm;MOX2 +INlTJiDP]L4?d^[:lobD6Be0CP2 +I`l^EVY@lSGhGG6g9@;0 +R2 +!s105 VX_lsu_req_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_lsu_req_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_lsu_req_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R27 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_lsu_req_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_lsu_req_inter.v| +!i113 0 +R7 +R8 +n@v@x_lsu_req_inter +YVX_mem_req_inter +R0 +R26 +!i10b 1 +!s100 FO>D61ZR=8=Q2 +R2 +!s105 VX_mem_req_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_mem_req_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_mem_req_inter.v +L0 7 +R5 +r1 +!s85 0 +31 +R27 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_mem_req_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_mem_req_inter.v| +!i113 0 +R7 +R8 +n@v@x_mem_req_inter +YVX_mw_wb_inter +R0 +Z28 !s110 1572060869 +!i10b 1 +!s100 [0[Le^MnRRZA8ZL;OHdo3z8B05JoaadD_3 +R2 +!s105 VX_wstall_inter_v_unit +S1 +R3 +R4 +8/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_wstall_inter.v +F/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_wstall_inter.v +L0 8 +R5 +r1 +!s85 0 +31 +R29 +!s107 ../VX_define.v|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_wstall_inter.v| +!s90 -reportprogress|300|-work|work|-vopt|-sv|-stats=none|/nethome/felsabbagh3/research/Vortex/rtl/interfaces/VX_wstall_inter.v| +!i113 0 +R7 +R8 +n@v@x_wstall_inter diff --git a/old_rtl/modelsim/work/_lib.qdb b/old_rtl/modelsim/work/_lib.qdb new file mode 100644 index 0000000000000000000000000000000000000000..4c2a9642259d8e73c964b52e3ad1ff0b5ef8a80e GIT binary patch literal 49152 zcmeI5d2AfleaCmmA!U&@&%JkN-clk(F7KP7NQ#HFqNrOUDNzTtn%NzZl$M9~Eh*7O z3aD_A!wHPYK!Q3+5!cOus7Vm1P8>wCm7{6mq6u81t<$ECjkGReAU*6hkc$BBZ+r&3^_YL9bSxTF+MjRh^jMzwty{Q69n5(AYilEuEF2+ zfAZjsxb6dC-Rq1mA7#I_703fNcA32*53rrAAfufY5&>Y z5g-CYfCvx)B0vO)01+SpM1Tko0U|&Ih`{?z;Cd*3i;FSg4^Jl)HKxrga|;*eVj5q2 zA?`U58lDIRCWc22g#v3I2kMmNYje@S*pZ3QXy`;^Ku@iIA6;Hh=C!~?XlkM{ucic=GM!k+I{GA)_O!gJ5I8{MhKgXge5eD=2h@m|&Y*h-%mPmDpTD z3oFZsMSLG#TkUZB+RMT=F}FzDTBP8ju*KzY2-jN-SXu%$F`?vbLMm=m=C-dW zv1P3-xmEbSJ^9|;90)W93~w-4n^)-SbEMrG=po#u_nZ%hi})z~vxUWN>>c(?_9J$a zeTK!^hu`l5iTWb~M1Tko0U|&IhyW2F0z`la5CI}U1c(3;AOb{y2oM1xKm>>Y5g-CY zfCvx)BJcqx5Ukmnx1`Ky;kgB_#S`3CalKD`@Uxrc*Zbi^0gdoLzLwDV;gSNe>Ji(F zwxGq8#N6V7PZWCvTVgh@@!7>#G`!v%_2i3Pf^A8QN3?~+hDDx|JW2Cb`gsoT* zeOtG#XmJjW76HC3Y{kYt%Rb6h*uStJvp;A5{Q+l6t49Qg01+SpM1Tko0U|&IhyW2F z0z`la5CI}U1c(3;AOb{y2oM1xKm>>Y5g-CY;CDozdc&6hDmHxs;5*5W%=`bgJEd^{ zUr65nFYv?te<98Nf6)u~|AmzI|MN@X{y%=Tz_|aPSG?~2|D)`-ZK~v!jeSyXk$nDt z@;~Q)(m%_7=I{5H`QGr|@}2j6#Mj`x@BOLwi{4f5AvVc8^1sU8kgvhgloW6D1Ayg>wLxedFO(&-&ySVN5_{PD~=IIiTzdk zpV}|k+biF#yjS_L%85!=@m9sRDju&mQsFLttNc#+6Xj>hgJt*2eq8o+*=$*NSyAb0 zrC%%k1KB3MTsm4>R`N#4E%yBHXh%}FM1Tko0U|&IhyW2F0z`la5CJ0aJ`re&KC)G4 zs>u`cqC+b~*TNAV4_}QdOYpOAcqu+Nuf$iIqe`N%Zu<6%PYcCO5z8J)Z&!Jt%dmH; zU?0$1SJBRAmADoS&ujCG@zt;zTZ~-Z(94&mZ@=&(p}0%2VoLUy%JV*B#G21TtVQqb zJjSl_gc6IvqXLPI8zZ!U|1X8&=4s16ox!hiq1*7+p96ob-u^kmuWoKTuix~ZwY+E3 zc%j{w4R7li@OJ3!o-w>id?_4Bq*!zDpqV&rB~E8ds679sk!YHN1n%c4Ow3;mUrn|8 zZ@6q3-llKCNyDzqhvV9n&3MF<5cq*m+%jneCNl+~ho6{%2`eyx zff!#--9!Gk85p+$aLENsWB@xmNf-^{fCk2*$=5JPZ1mDPXe9l2A zUkkvQH`TEHs+s7s5`7sHuoYL0MB6S%;M}llEfI+=E~J`-1|f0}=7wG?(wjK~y_k`% zZiwJnzZ)YsSeF;((i~(3FF;Q(!dbt|igjg=!I{mBb#_7wkLXT}MdONb@`>}M&ATV> zp3zxnhn4Ecnt~(x(?+VN9a1U0c^TUc6Y_o!GxdefwY6QDmA&B!s>&9T3`62J` zA@>5z4=q-%C5Ier;iQpkZiXCQLp5U#uLo0ID2#yrE?h%3S^lOBe%PLWF#Iiz;Ky;) zxaLni^~51?2gXr@6==v5fF6Ej1bXTrfcvN(18Q7Zh|Ff1!@pn#gH|w@OAvbegb@tZ zLa;`k=V~z+4I78Wy6fi_{RHzJp*UD)S?f|;RqlVuur>t18q`}2p!H&W5uQcW7T{6U z+9_?B3cCg zzwPxa-f_WndM&3nrBmg73x>1F4Nkl+cB4~2-e;AC=mTrLwH)lv!OuS~%kD~VSNWE1 z!(Q(MJO0GrM7yfM4?GX-!jgIL{)JFn@36d%G+vc&J!5!lD#0u1Br4Ghb)#a9}e3J{{f7WpAE(6z5y{$5J6)L)G3wn|I zXc+#t^QD*;jxNK=a0&Vd>(lSLW9gB1&3vhqFMWu-$_q-2eD6-khxGn;;wE2=4{71V zVpxq_h8HQPkb)Z!g%e9}j}`67Eea=>KQW?B7a)p<#|3kzEL;vlY52J)U%!!srC|Rd z93ElI9!_tE*0&h;KoQtoRj^G(;eClw+*+{@PeftiKz~&v`!0C7gHaKL= zEJLaS>#>fq^heO*x4~ay`D-%xRW7|__}i<&kC$lG=*Roxa}nSS9T)duL{NRdzKyg?^>$BCWv`(Oqo04u|&I;xCHC};@d)TjUC#- z0cXc`*f8LfM2SAV!x%1thyACdr)=!k><;^5c7r{^9%mndN&xS&MK;S6c7~0!LoCF0 zvmVyUf@~Xmll_9d%3fwKvF|e(#QUOpuslgH!{xlitto8%oblihN;TqNg9zm@(+ z`giHyq}QdNNq;N-we$n&uJldm>(ZCmbL?x>Y5g-CYfCvx)B0vO)01+SpM1Tko0V43hBmlQ!w+Nb6C~g&mXcQ$9K~Ytd za2VzMd6emClym1$&Ynd%a|Y$~X_ToclvAfrPM$=WoJ5(JKp7uLIdKBz_;HkD$54(Q zMLBW=f337L?{@l%^(>#zvHe z29)}Glwc60t`4QD3MCLgVGKo_ZP`q9gj|au=Msc}NoK6(G9i_4orJ@3* zyd0&h45hRbrMDMl=S~zwLAh`NrKkv{wiac_4wRZ2l>Y5g-CY zfCvx)B0vO)!2b&ZRbo?(fX~&WDL)d;;!t@cC=QryWGOPD=IB(pvQ2C;+e}wVLM0H*-a`ROpYGC zrIe*Ac4zgdd|+c|sS8r{XE(tU*U2MZU!yc-CwArFQh9H34$o3tqB}>Y%Da-gJaY+& z&fJVD??@i+(QIR*J!h}V+mZ+TTDxh+Mr*ECmA52MW$B7OG-tD@yeWB1>8+$ZLo{af zsJtP`BWnSN`kcKg4@zQXK|?9mAu$VRSLnGX|c*39#Jya zeZ%wDDz9{lK7Gli8V41r7pT0vT|8PNJVYe|B~kXU5h$L}B!;X#p1oed&WCWTyeCN; zs{w4TN^l{IL*-$+2v5DQJ@RhU9we6%8OP82UT(IRtYkmuyw4?jLdd=lNJ6%oVo_8SltLZAO2^O^5n3t&QuVx#GG#hbKJ5?m;?|gR-wAf#@dFS2VXtPB zvl{G^voP_%x6+GLfC^9nDnJFO02QDDRDcRl0V+TRr~nn90#twsPys4H1*iZOpaN8Y z3Qz$mKm{I9V6%;TN0=tt+%+>aJmDEyyJ2`@YGma-+sa#blf}ZNE#cT z@QjZ-Tn%n#m9r()G3{A>-x%NM`FKr@jZJ%IKHhB&uI4u9|Goo$WpZ-5%`2kZWZL+u zQO}0y)f3}0o`IpYGgG*&qyJviK<2$8b+dg=AM=jTb+$S9-j%D1vfiP@KY88irN z(bVFqFKy{^)H=IT9i9!I$%kfE4NZC+ZfB=E+28szI%Ty6@54#>G5in?!2#F@|Ad>c z2X?_u*a6#M3p@;;gBh5D72tut!ezJw7vLQH9tNQY+MyZhpbE;M2o^y$q(L&o0YEf_ z!7K1n2m%3Kz~o)|5BY(-D&LWB%Zu_&`3L!1c|!hNzA9gmFUTLs@5_VoJ94kwEuWNM zkzbOJ$}h-`a;d^wL+CbrGitTLZMutOrcbv zL}95yu|knTp~4b{#R~Zfc?!7-ISSbdSqhm784BqNX$lJ!QWX{`q$ngSBq_{Sa43L+ zR4^3`1zjOpAxa@qAwnTsVV**WLa;)RLZCu{!Xkwsg+T>Bg+zq}g?NQHg;<3c1)D;c zLZ}6Q7}k39Mh)J!?)A4rjdc${17aZ@Zh)_K$Nrl9oxC7lle5+x`tQj-@(KBfbw_@= z?149|d+|SmBeFr3!U35h6J@mYmzsIQoHgGxe{H^EK5yf^_v(#$`Dgrrr7xobRDcRl0V+TRr~nn90#twsPys4H1*iZO zpaN8Y3Qz$mKn17(6`%s2R=~Q0HrI#QJO&@{6D#b@hucQIlK4oj7{@W<6~X(YSdL@Z z>mYAmFUD{TdIj?O-v|$m0h>3kd0mX+=x6ENwM&fP=wo@jdPof8=;ejHGF}Yf=wYXL zgj;rTAni=%x`JI}fydT_MylRP6{ zbmMT_T6yYe(S@UxFXJgIMJJ9HR=|_9L)I0Uigp}LY$H#2M6}^(Wc@roPPlP2 zu!}slQncczXV38Y&xjTrb-a;-TQuXSWmmbmOf=!B;Z0nR5REuo>>7_s5)C-2nZYCH zih3MX+8rJdBIZsWAAPf`8YD!FndQAc{noo8|*Jmk&7dpx3NptMGlTMUdG-! zEV6MdWM|pMvmy&eDqq9SdqgIV1?*k+$Ipul94Tx+J9k#3<49&Z*x4Gf(9V3hEiv#K zJKiHw@s%Xw1bgmlA^}H?Z4DcX6!AFbTiOs zJ`nS9m;tv~?j7O4VFYhyaZ>_t=z%L(m|d871yS<`8QU*(9MSqJZ8qQAu-@jwqV3)i z52Cz8Bz|F}Z?JYDK}6t)@XpiDTo>Uu!UHC?<6A^1j?jQ>+L6U#9*%h;OnWw7gy0AX zU7$U2M+D;t4&0-CvEMI94Ibokd%edm5RU`x0c$#7qb98L0rs5}Vky4A!1_VpH{mHf zKiB@R5wQ%<5AmmX>v?ZKYxpof4p;CEzLvey@LZygNWpg|m|NM7xgr@yym^C7MTsOF zakgo;@_2z1nc0o^|b$T7=mtaLaX(Be=+1+pA1Nb7|?q zK0UBdCPuu?^MWM%A~X>RM2>&8V6tl&cX{-GHj9M^)CLoVBQm z8dSLpRaT8EtwNPlqLw;Q#TBTca#Ud%YDp<-aS1BF2$ffe%3XrWS&YgqKxHjLW#*$Y z@=)oysI(l^!faG(7HUBzDkTGzoNmdNB`(C3l!}@kk8;GJAQmNKQ09D;;XvtN$(Kc$ zsAwHeMjNOoiHeLwMMR*&!%?B3sCn~HAt9*XU{p{LDliaLuoShZ7&WvEH8_Uy^Ft-3 dp%PM1@yV#TBvfo7DkcG?p8wbE43ERY{sR=L6dwQp literal 0 HcmV?d00001 diff --git a/old_rtl/modelsim/work/_lib1_0.qpg b/old_rtl/modelsim/work/_lib1_0.qpg new file mode 100644 index 0000000000000000000000000000000000000000..913607dc64d29c3e211335dfc8c2730e83e6e71b GIT binary patch literal 65536 zcmeFad0fre{|A2F-CMV`U0Ss2QfVP=qU2KAMY0r0itH7cB!rO2R%FJOC9*G*WlTn+ zge=V%(U>xrh!C=lZOHu2^W0MRb~AjwzsKYE$FKYF(7EsTc`fI4Ug!0Cz0dpoeqJuN zSR-QO!0@Dn8;?fPzskRMdPwR%b8A@R%`NWrKVzl{$kV^c#?vn@3W$K*8K`jjnve*| zz6o8f-5aDx!tZc|BR{F}5+e189;sI2mql6-3$oZ~mi?YG9(m)4^VJ6w%i0?d1F~m{ z($I;WNoTTHWtPdCNOn$LP&SI4Q&Ao|(Uh1^Q#&{Bw7QZ;`cN=(n>L{ujH)ir-^LheGDbzwrx~Mu>Unn5Qe}^qnqPlG?o0;=dI7G~SrAai%cKiL-ZAfbp zzC|<7)0~)*fI;Oo7NjG|ZO!)<)=unBx{+Fa;W=_z#dHKAnXTG{s}L1pI!$Tv^#lJJ z5hD`j)Ve?j<-Gqne*(&_J*2J`7`1zcw*m?Fv%QAlk%^DX%kMF*bbUJWp;f5$k4&qM zOslmsZRKm#CePE5P9L-~ro!s9vY``MtFHyEET~pWtH0oA|nZs;*|q;Qbx@U%Je` zPHd}rYw)ifS6mt>`Kl-VRbTq6f%I2H>90o8UyY@|wv+y9BK=iFzn<6i;**X`E`=~v zX13QnIe2+T^?J=ZNE4V!e>Ic-YA*e?BmH{bxQ;u_cyhvo5(Stsd%ia_<2h!=py)f0 zU7==?Z0#SSyDLYLO>N18t<2_b^XIY7{;YHV=u*}>+A{f}|Fy=QRl)gFXXfUgI$P1s zHy6}R5aWLG!F&M-$b2v-8Zz9i>$yW%NMWb+LxZPu{N>V3HjIb~Csjb3JRb@Y4Ik-Y zbgmzUgx02>7GKv-?NaXvjMa<@&$Pkn&iu2C)j6j3CyUtDv#PfUTg%N1(hh@MDlYaiakM)%2^q;2O?Z#&5}^r)W(rVgD#M*C?BrDlPp$DJr?EV`kF=5#Bs!MW28=6$i!1gZ%dt`@|Ko&I8wNW1YR- zSIFz!>uWmqrkxMm(pDhLJRB}UXk?($lhp?%vzXdet6&I=so$JGz+&nuzLIbbjm9){ z=xLo(IITX~h(=?DHUn5R9-=fk^FWd$8VhBku_mH%6^+Kf_#M8+1kKbworkxQz zwp&4Rd(xhG-#GsqNp!81Y9}juVo#Kpyw$?w6`2+KVhyKV??#h}XY`Qrs-MfEm#$`v zYLqmpV=@_|5AL5~q*cdAlQDuFKEtTbXBg?#F~V|AyDpEBg=rR&?%d2{N!mo4Bsi%) z5_TXRNa{at|JDHY!@}RyKz#=$^E9HynO*j{ems_+p~lJrwSKeIR$oBPG;feS!>+lB z@hC_(qZu6&(~)NMwN~o9h`EI#D*VknA^pEf%k!=FiS|ESS0LkuKm3UKDDAGBt)okH z$=qkh_P|BLQVT=$i9Si0sjX1hcA2FLW-?URivEAX!7J9pme`PAtIB_=&Ai{?p(bN- zRX_VOV=?2{PmIOxLVd>Kog5b4V9~h=3!SfE;S+0O&$M_|Tw2kMIFPOJ`bp(SeolkvY8i0}yW&gDf;ltcf*oOPufqHPDUih=8{;tjxUnBC#y`%Yk~B zXdL;q|F?_9*7guIc(*9qnh8of7Q_U_7Vcq!)@v(pZB?Zg&F6-*V3!sfjx`(3jKqVl zZK}3rC=s91y^onBt@ha?n55CiRxn8?3okH9A=(P_8zfF&D5&_^ExZ+u2`WiLL!yN??ijNfwp&N-t4HWbaj5VoPSk9=v69(Iy9Yge9)Vl32L0voY_+2ph6_@yk6bmg-!`%)H|O!+L#zmx^8 zrW&!huy+uj_$=Eyg4rXkRoc~<%GUH!>ZXQy!FafrxAyr_$c$@M%(X;O{eD?-cp$n) zPHgq$_JO778declaE5gqbiRglZLO&#jI#VqDqAZ(DLhcjl6!cEf-@)wylHnUVnx=r z?m)YD{-yun%M#8?;LN&Kn96oFZMLhKY*({pyLOcA+7bFXHK*^uf-^`x&=<*aZEKTe zIk%JT+76sun&UjE;0!B}C}&vY1mS!kL20;zDkoG}?P4#>Rtqkee%w_iDw&3?Ku@ zO<}u&&>#{-21Va0pO>dJfyurikv#;m=S;<+=eKaEx-{t4w=3F*mn#s#aBG&62X|9S z3y*otYNU?A1>KoJe>mSB!#%N%n*m2q?4e`et$x;tIMHFZ?fn{q9@PETqv~ObeGsYPq1>ubG znDg@)<8tn;MD4a;%-G%gDxciT>@)|JxN`fq9;_r>Sg1@zleAEFZ5JKAN{y(IiU-4! zEB!C5Se&4iuYYL<4jr~OGSZ;?9UUsi{!*R%Lx1ckdAU8mpiGoWRfzVb3tB{r9QHNY zf4ABcCA>`;k7E{tklaXX+(X(X-48uD>kE7nuW7L-Z&((7VwWj+wAybCuZZ{>y zBsk+ij5*ckdve9MBX!N}P)m=CooL|Bafcoj4SMvb*F#*VNACtb2G#2kRHw(#dOb$e z>G4g6oj%-I1S>`F;hL{e;dkt=F$G0v+Z&j6^Swbc#-BJ9wDP*`Q3VCMa{5%fJesqG zN8W#PB?pd>{hiWey#q5@RB{O|P=*6|7oWe0G`n`{aIKQ4-EE{+x%KH(V>&`yogg-{ zs}n3WT%E83T+0eJqnv)v7+4$Ef~%`$IcQRWzyacOCjS?GBSEQ}o+>Mkb1W`7*oI z%gisu8co=NFiv!}ZJ&4o%PE%&|A$`c3PV3uw5>Mjvrt_3<2a_& z)7mamh72de$v?FuBId%ZDH0EXaA?ywJ?YRn9bkimv z&9712HWDG&Bf%#5Ti9yuix~&H(2_HC{nF#R}nR8B5W)2pupMvb|E&$6G!ui zPt4wxOw=R$?ES2aSa7UA$_Nd&3|7#XhFE%>hYmUZR)0Ze$7`yr4E_e%b{1Ak>CIJreAbzeVa8_F`BSM)mVN zm{IiS_S#OQ6R{KBRYB=@@ci9!4DR-%qZ=`(n3why8xif#R@xQWEJ&&(#K(AVEK{J9 zV|M4Zq%E0C2ZO3CVW7pmmDf!XB2Rc>txR1XRKnG0o@=$2?V=8j@0z`m5&U!P1S2?P z_4~l;ZE)^uJ|OsM(_lB{i+ZE`ab&TjwIP%8!6|!z4$&cV|2p;x#9?-YRv2!|dPjXu z!xwSYaCle8-(aD>J|$^)jo@9UUEy6T#tyN1tN$DaTyEjO%qu}B*IqPTJjnVfW3JsT zdk|wj_t;U!{NR5v7f~*!U7_rXu_M472V7V(n|URSGPfA_vjRCA9CaUY_%eUwmL734 zkKbRNf;c{-L~kn=h@!iDGW|o+`ms3PC;B3b@;Te?t(rRW5?l2(TCo0`9{0!nQjR#j=?LPueZ2p` zYmf7CtnjfJdHN zVMtZ$M_%&7kaqeim$MQv-+5o-H#QBM*)((s z3ZA@j4R&7CU$=Xlw+b_(@#pr<80xRknzwUV5x1^#A4OqA;##TyEvD5lp8j8J$E3v;kZkxZl8?6Obm9(+A z?n}JL=$M~Z`_V#p$cG1SH`Q7^nY0GWzVx0_+Q*n5F#em{A`5yW%X@D>&mp|8y%4FD z71b|zh3!D5<%BW0d$B(joc16H`(tIJB3D0)svZ=qM1J|@@J+CboZ9{LI-JXY=)4gg zk?_Kz>W}gq1j4*9%*~uVLFY%^ytHfSBo+uur#WY@2Ep79#||-qJy!1`&YVUdOilrT zy8d8G&bXD+C_*gMCj~O4Cn=@fi&@B&J~g)BUshL`o#zn6PtXDrQCzV{cYSY-vq+1E z-p-D%-Dwflw|mLt%5S}>F;3sHI{tU>2apoa3i8`O_Ioz+z)_bCG(&x}_j?u**Y?OB zf@=dLL*$LHd4+yROerB23iGuH#$=-a6$k1L*&Pg_v!{PoqqPc)RP|j<9+t_?pDhmi z$9;#sHJ0dm*<;-9+Fo?aVz%g*n|Q@|&4=u&x-`-?`ven~bxZ}qG|p#SgRtQfdS1rt zPkVq|xJGrmE*6T1EsN>>f`shu;4tH^aflW|@peae@Ti}U+QWlm_9(p=_1)5*v?8^s z=o5aXH?2s|4z}B6)5mAk-U)1whA!FtPyx^FbL=9*f5N~XWvd=ff*(|vxGqrh3&c+8 zcayBz-iti**CIaIu&Q(-*40~OJs}I=rtUseBa(K81@J-9H7tM&$0xV>eQIMk$H={=Rb5hUWS(dM~pjxjn**4vh1`H1im*lS49vpUf*jP!H z?@A{IS>B0G46;1743Xu#)6xQ2-i2P?#JqH=*w}~856kk4!u4VNqjszqkMn(gHK$TY z7ix&+_}nq=sSd$y9>kd9qJS3TW;9^^*|+DnOqbCXbOlLt=}2|4Ozvt!9R#{yoBe0H zU~QN#cC>7QF7~v-fG!SHN9fX(>K60T5*0^xvbHj0xht(aj$Tpfv*y*<0Md`taBdYd z14#fmYiT#o=KF9zrsqngr=$1tAm~YNj7jutSEr|_PS5sIdeVZ9*^Cx+7FNk!J5$GL zuo(giY-UIGmFU@}PEXr9J?*KURuvnasqy65%&*>N9KHX4{e0I~e@x0Nr+?-cuHOm2 z!H3?p$7hF_8{}qJ#3#Gv6E&+VdWbsN?-kt6XD<}F!RKN^w;k7s_;V>@p^X_QT6gpGP>mQ6+WgoxxuB7|k57;z&Jts8nS zYSgn$*(e07#>!}Y5;Jp2qn_HI)OJ}mls=?kDjAJV*(j!ZBT>4aM12}f+fSpJncj$} z-tT7n=p8*pGV8?;cg+h&U*QwO%(JtRNtZe5YZ)VmwYxoI8IswX8%m{1AL)B_>?wfc zq%#KZU*P<2y~?}dd~UX)&$JI)ag}j(-Q^iw=`QmQSEX^Dxl6DGIXVRSl zhdgp^HvcflHNQ79?8u`1+L5+Y3`q?O%e6|pdjcmf9Wu_;XD1(wybSM6-5NH)YT4Z| zc5-UFOjf~a(38jo^rU}HJfFNzKWbbZ3&*+INjb-c-Q=6M-VZbH6rwV8{vD0Q%Kf$U zW56Vu>*kL|5H&(jyDXI@7V|D#7!=WX1`qIbjqVK%Dt^#R9`65B+4!1^Atj)AoY*ZN z1xnP9g4*!Zd}wDrm#bxz2O-NdPeQq9$KAfj618g%b;jArT3DnDYabtm)hcpYB}e;T zEi0&*6LJt5JvZ23f>z%8 zEfs691|3DcP|@2@S*)UJ6TIwkPw2-7JQhKco2e~ z?`>T%?q%VRYfjpZ*I|O5pRWY{jszUbnv5_A{N zI{%7wf54Tn?hjda&FG=5`xvd{f9kvAfM1kuPL6va_$9I6=uiWz6bnwZv&^Mm# z`;Lw4IakS8r9pE~IxbAXqM*u3`2T55g9Du{hoR%xBlE|zjw#qg@Vp%T$d#6oi`4HL zFavZu6pgc!SGy56W@+p&RODz&3?Q1b>mc?&{r2hPjMX|fK66Fa^-QDa&E4XmQItr( zHZGMtkYxTFw}}agWg1Z@V;b$KRA3riGB~jR|DsXbhPj8}qkHw}+@G19#wUwc_BYj6 zhn=De?UJ!%KuFS;=4V_P2x-YsJN2XYm-fxi9J~*Kk4Dit~nS9=dW9K&!EVP3hC?YY3S6(R z7i=QFgz3uTP^J(KZ>H*cE770I$Z2Qi-4h&(7fZGa4u z|HS!Q7K$p{m%e#)zdB!u1pi@sA7dgqPa>Igb^T42zJW}7Hs{TxpGENTq|#9dFVd+T zjr4t5Q_$37QToGU5w|OhIwZI9*VnbkQDg#2o>Vgd`ua_WCoSMs57U#H$OZ?_v_FY( z?$uY2{sfyq4oVJcy82K~-+pE!l>4|Gw;Hye+W#wd#lr`iC4c8{p&Oc0%Vdh4s4$t= z^f%3uHL0}BFCLWnR}TsoAQk+M$vymM>fX;u`ATDav0@ffG2^G&9MLt+QD;Im1z~k06!RJ+mZj zCqYv$sb6@wD6Zh!U_{0D<5Ex)AG^HyA?RIAx%75TM-n{g!6s}J)ZY47 zm{^Q>@qDD_{c|YzDu*oG;}vQ?O_8k4X{Cd}rFF~3^f=oM%{kT1wiyF{*laxwo8N;wXl_WDxf8kb)uJwCz3#;K+bkeO$5H*SDCpX|pWbD7 zoAJ!(7kdt%#0XlYpEC@_V%(%nOf~Uy{iJn>U{@a9*o>(1?Y+Q-xcuIA%E|v(KGe{=gKX~(Nl&r;c15v5aAFX4?}Fz({_x%NQo4gR z{U6nQ$nf1YR{$S*e@1Zg`1xrplt1Kthp{v&nO~YjC%~!|X5DDnQ|lzxzvLv$dY@*r zcf02ufhLwe=i4JRC+^X|IqLi=CT$U?#-t6xZBWmoAs?&$E=v=Tq0d_FW7E3P*-$mn zps;sX|*4D^;d?IMxjUDcfXb*eDI#Q*e4e?WQ3J9yGA z7iWJJ%>DNl^!|h+b)W0(ap~h0Xz(l^w+eo>yAxAH_e%I=Wf_;XAZYO|?2QB(1k8aJ znRWu>LB2bf!hT!^Q+P6#ou?q$2LZ6c(-jIlm-ptNMTq%W~;$%T~m0^zy5wdY4g|8_#sl!w^z_7HIM??+!N|j_bxl zsDAa#QV9L{7-@C8!v21^1PdSBBku;b%K}E1uYeQhUN(!m=1U8L)QKNA_z^$y{$DB- z(i?0H{tV@vs$a$Zt%~& zA<;Iq2y59qm1_-sG$x>2^HhxvXQj`yo_VXmHsC|~A+XKeV;N=TLwuMKpcgX&tRm4z z|1{>*sh@bjzPBcD|C@IoU)b{}W-KVFSI$DV(ynY`k0Xd%3zCLmrmjTY*o^%;tTg2F zU8j2c|BG$1`jv(Wv$1mvH-J&G(qPmR_gs>4;Q?4_@PPW2hTb&`+$)k;Z@SW0?_%?$ zr&wWGir@EvVxa?^kKBbiz1pmcK}<3tZhm05$FJj-(bh+e!6xQ;pNe&i&BL&bjLi$P zq%D}Ktg7!9pl}YoMA?8J*q~|w8w^*PjfX6oj{nKVLoLM%Gt@CqEi1^kh&~~HXo;pU zpuMdM6#3@D#_PyV9b(2_{kvd~6IM>r!4xZGIra6fCccO&ZTv@6K(~TvqQuqk<=wUU zhv8m{IXzyQVfykj);w1 z#OCj@&YOksM%PEqar@!e`N``7poy=yb`kt3aG=q)c;7cE#qJQj+RwtY8ndLQ@>eKk zDQ}K*+euw2B5WA&J$T>$P2X=YNsos)-0UVxO7wO5 zn-qNcEOe-Hx@^^qWA$X)X!hh$1>X~u!m|4gDR835lig1L17FGS;;ao9OsKSYl#l)3 z)SYkpf&4=$HlwpzR94e2^x45JfNw2=|IGuJ zE1%_o{n2_ojON6@sJ$;B3AKF>!Az@ndl`;SJYSen?#}YUF!#4CFZA%z)RdnWyc+U? z0C@p6-sR%uPoEGmXL$kZu=`xEhP;6L1JZfHB*@?vxI4CLA2}Ix>jZy!gD%gPzOU~O?=|@awm(dYqs08Y6BD0Bm!N4lhdy3*}C0D&cv+y;$m$!!i5ib9#Gb<0mObQbaSW?Vw zxtYRebizpIy|BZy^b`}vcq}!4`au<>-!T?RKgI7MX)Ciz=0ex++Geu$^|6*ERgOIa{xcg#vDrLC7<|SC%!OLN( zt`3%?zSYdZFwDota5*GzEXnY}zV(-%_!?sGmp*WC&JG@?vH!)s1$*=i(B1fH^j~{k zp+`{rUOCQ)&vAP<8N>Hj?TPtA?TJi?j0n|to$~iRzS;_$R8uD#z3BX%kX4_L+`{+6 zdsioi1t9XD2=+`WgI8RMzd=iMlTSHOQhoNRNMgNdPGr4{eUqLdaH0AHm2~y#A=}$8 z=_#r(+3IsWtvEUzxcbM=rfpH#&V6+(8%7J;SU43Ta-7YbA)^2BP8r@#=a4;O zJdG+|V6+*%`p({c80le7{>Ryg2eISze6QzyTjYVtg+IF?GYuL3_=gRmKoUqCml+Q? z|Hu1H3HYC$Rr@pe*BjhCD;TgBTQ|e=TY14 z_=O%@5+b2OwVKgW>(ycZ>MIi0ZG$vmhU`CVJ<4E){CMYW=#!9U^PBA}U1YFxuTyJQ zWgu&sKkYVPJL;UntIaGFy%%Kh$=dIhMu35qYCl8sMDLpQxpoIEQ3WI{Ez+4i3N`q@ z%F6GfP^tc@_G=*KV?m<%TfYPFjqg@=vJJ)J9T;7Zfu%O{Y3EBdrKR_370Hg*%nvQP zZ0U*~_D7P~!!qwOY%l4Snm^d2K-LYK`V@Y0NwKOA*22XBep{+gYqSxLmvi3h)+_6|ADjNiTjBgXHg-J6Ywx(6%MmLts@mijv0fMfKE%sQ(+ zUq2k9F&N`~{()^6T@_tchVge???2!oV&YDBjRjcemV$OZu(+#d|9*KD zy;1-DbX0%9u#$HOhr3+*`_tQ)-B`*&Fzi0Je?!WF<495t23mh9f(zzA179bU-a968 zS0F{>31s5>1JhjL8Ibo9l5qWjVs6thcV|S6l;fQge00vhC^mhJ!#_e|hDF4H1!V~M zys-{F-u3vHuoWby*VoPhNp0^=FZ#c_43e6O?JD+Qsb!>MaWE2Nd&%MeNwspTvM4y` zGc}DsOn~7eAII;}&3W-=ACwrbUj5^qv3kmNT`#0PHjyij7jeYerOX8*d$wDd?ID_H zb3SZjXAnp|2S-0;Bhwy`HyG;^FXm#g$# zANoFSyBfS>Yt^3V<*L!3XMEHn(R9&rHR_q|Pr1-XmUKvbpuy8W)#xI7G5PRK-Q(aT z>ZL|U5xr}Dz|4)%<>NP|bk4T-+E4E|*^%(NyIgd{kPaRgVcwW8=m25x$G|x2?tWanhnK`srR`T2ML!8dZyF zn%7pD(IzcMOd_f0>-&jmWohE)lWdwcTTG&eeFuGYF^MKlB?eRe6q6+KV#>xfVlt0t zzYg3XrmrC`Ulm~b#0T1!6p6_~vOF+k>%XdEvWS%adVL*q$Z!}o&GcY~n52{bA8#KO zla-`stA4tRm~12tyN&e4WE0V@4$K@vHj}qCov-U0-#e--mCa4D+7`Wu%zi2!Jkv$9 znf*GrsKb8Yg+;%pQAb}9#&b;74pF1Nqd0MDrW(yrRUi2GiVxjTqXQZnXLxC&8m*`g zR~k|CdlT)`ftS>1VqNYPaY~Ka?s0fp!Q{Enzxv`D_2DN6SJfHP0h(5624+! zUW5Y)CjkcKbGlM<<=O{CQJp7|)M|;eY) zV~Xa9$&X~kFFeQX+MJl2CW_alz88}-q^c+XUf)AE#N@KXl4AP4BrGW=_n0Nc-lzzB*P)weXwa0^cipf*5I6IC$%|z{z{#L{E*?2K| zOD<~Pju4Y~q)1giomxSWb0E0?e`j~fVk7gYTl7zy(NR|6Q95E=iT{cHNA8=y6h16I zQ$R2LG{_I#x0xd;c+BS|&%i3~WZh-NJq3yYP|Xkk^!N$>NJ7;k6w6K{g)Sa3>ri6^ z0Ht~a06|j(0Ok6({8gGF0JN$)5CGc9zH_Sa{~iK>mMj7Q(@KH>p#8N706I+(0Cc6_Uv4Y? zcJ%+3ulzSc9%zqu^raC1I%rBE0DSc;|Bbj?d@TZiW&Jzbs3^#PAOPT{{x3!Vzzh9f zg#gg0o;$89LJB*}zCQjr1OS`*VMu7Z)YIbY`l+_{o`6A~{0{^GyLwjj7C~Lra0>{R zp`M>$4)8+%S0Mo4rG6<*=Zy@5@5~X!iAeIV|Yw`|=O~ zntgp=4h#DFzQnT92msB#zAuLbecxRk0)WX^%rAYBU1E!-2mqF!M*t8thX7#GJOY5_ z|AGL}zBvQ{ou&u?pBv79BLH-04go-?DFQ%XBiqX$0GP=l0CZ@I0ATrf1OQXn7w0=P zMF3zdaK+l_#rZ}c0v2D&0yl@`voQZs7PvN*&!PzqL30DaU93hdWDo#U8xR0G$|3-C zltuthZ9o8^ugGJc9IwbDWvy*3#EU`F2mpcx1c3i~tzXc90PtV0^*8EjF8g+px%7)n zjk;RMcC~=M(g*;J^ri3VV@tdN0YKC&XA{}301J>o0BFQ{aB~O%h7AY+Mhyr6?VCpc z@M(+yV9*o+V2C^f0Nn-zfVK??0LBdn0PPwO08E-k00?S|01(_10YK1z05G&U1OVLz z1OUCSLjVvoAOH+&4gtWR>5KZ7O%VV>q!9oF4F~|kn?nH5`(nmlg#ZxxbqD}D%^?8v zZ$JPDZ4LpzN)`b?(0~BoA&USYXg~nyBZ~lF**pS3Km!6mXmbbvR($(gvv(%2>vew06_x+zzA6cfY7E00G(tJ0O-{T?tFh40zhZk zxAAES&8R<%0AN%9Hhw(kt4O{x<{w zhvpFgy2>H|Sjr**1WO|TILRUaSj!>+3~7o0(5*QHfUXS)08Y&z00hY*00c`T0CaB- z0iZeNBI@7u2moQS2mm9SA^?Pc4FW*K*C7Bj8^`_SBLIZUM*s+thX9}@4*?)T76HJ% z@jLHL5div0y?Or$0U%sH0szwk^;2U60ICP>YfHZZ-$W0`&+9QjN)IUnfN)s^fNt^- z0JP*G07S?l0CaDxMe_&%;qnmxg5@Cq(Bb1ga+BfXCXg%wz{oF001z}F0F07F05FtB z0BBSV%YCiC5g=Eiu932Za|Fs;X#{{V%^?8jG(`Xy&^!V_H+cvETAxP%5HuhFjFCkE z7$c1UFjf`;U`$g40B2bQ083c}fM96^02f&V0BczUfFVs000a#P0OOiN0B~+V0B~uF z0AMYP0MNfF0)T6C2mqF{2mnFNBLG;+A^?n+j{wl~e?b5U{x1XoK?4GShkOKp9q zpMU9x!zK^Ky`%q&*g;-|h@+5D1p7z4l_Ji7wy}%D%aXLQ9m6{)X@i#cES76SAG^YX z!f2b&=WuWcPX+VYIiienk|sO2lCvN-fe@*z@bAC$AAu(Cc$`qe3UB(eFNTyCJBS;K ze938|jMH^($zcgU;4et=6L78VV(BBSc*`_a2odYQRlPH;&6(lnr$z<69v89xgz@h; zKf2+}rxn53L8O*YB&0+FPKONvFKM_*58;~$W9blzS6Cihw|ubfMK*}# zt3O~6I+8)Op+xM9J~-kaZs+hNr-?F7*R>^wvp8d_EADeh>B{5U#+J|t1`->O(-)zQ z$KQFJ0c*EqZ9~?^Z5|$H#M%H{=5fZXZNS>?SQ|guz~f9KLc3|yJtnJ8XJF|9IcHD-wo!Id%tlf>ZfiA$~y0boZtnJL&_N?85 zwH;X7m9-sNTg+&?vUV@lc4F<`tlf>Z-B^G6ss`oXhaGojZ4cIVVQnwgrw40$v*WI; z?Zb}uWNkmz7PGcLZMUM&`+;Xa*6z*P{aM?Mw)tEjb82_mmU0w&0!}U0h3FYqcwwMW z)Q9mH#CUi#FLq#pbrs>{22Xk zM&Gw7{cyST^eCerE)@AQ`cO7@I4kp8`j?J9)QzKSS5|j=6ptTsp+ZqV)@=l%g>!T8 zbA0&R2)VTMD5D)A6!mA_!Wbz{fmQv9E~%4DK`*sV`8arD+;-0>?@O;`IQo zt(3sx#2^T~YTxO=3svnpd5fpd!;^#-|B&G})L75$-eoXjMBjVwx7~>u%6a_Px(%p3Usl-oNa+y>9!Xx^b`YxxwQm)Crs*6b)y*Co+LZL>zJw zK74MXT!9lM0z=W)SW4ipkzKm(r=}ilKle)SJ7(^^2iDu#XY5=aH*uvTU`-T?Mlk-9 zm{4Rqmi*{nDs+-up_3#+!_c>#tWcNSRq2|A9b9Uk-qK6fYI~(#s`t2P9ye(vecY2y z<|LtLBoh$Dq$0PnG)we!Flu#7GxJNUQNZ}l^IX-+YTCT`wiO5m33uh!H^4#q7x$p8u#&0#BQvF<{|D(^) zvO1xQyvOml=#`u!#u+UXjb{8~m{8OTtZblvsn8g?LSrOCBhlAHO6b+mKkXY4_-f3o zW4Al>zSBPJ^>6jY^%*sbvO7sb65&h5T^<+njB|%7F+$N8CUr7XMFjS!TJYg>lO?Li zC^&f~N6Qjfj+38BCULS*G?o!hVH{ELaHxIo;d4{u4sOc-I=Crv2REf|a8ra7ejie& zGF4D-aVWj;p$FxvGF76=c=Q!X*|{+3u1NDhulQYV_R9DBwKjLu?PkDFRI zxl@Ir35lh$j^i|;Xc8liXB<&Xa;Piu;dAkFImXN77+=RRUMPxU#M2o^>K}wd1&a@# zn=Y5*bh#X-*KwRK6h$-Q1jZ4?FNZo9A3m2Lmt%rljtO-f6NI7|Mm&phv60Y%QclO?=+Q2apClB;Gx~XqetJ{-c@lc5>Em(q zD7(I!Clnw!iV_+9B1S*EDg7b|y;Q*BarCGS#=l4?n#1UqF#5So>6c*j6TVE6U4r~X_%c~= z2}%&cmkMwKZpkgKFRkK|C~q!ODt=s^^F(2OEB?SP*T)YX))}GWmz1%~e&BOUg&Y+q zUEv70rFOK!v?BAsXtXRN#RW-T?p>~r8`v|Zj?vPid~TVDxvxw$w#OujRev)QCEBe+A)x%0kkKZSrn$&ObgU~1EMhQjxpvd{H zwEr_Mfc9U6{?<+U4|N;}VIN$PJ_WC=Ser35wJ)dfjC!i&@|&EbyhQVmNivpwL~2$Yw@|&a@AQaQD-^SagwLb@UlKz`)HXO{VN(D;@U`` z)%E(U7K&C-Y96;H_9VT0PXnj5_>U;i{|)K;VubD`trxn{QHSIB7uR=uZoL~vx1TH- zPq4>_9$iV7f=!^DYuNQAoEJUgOS?(=m4Ms84`G-hB#~!;lt;)xzX*= zCYR*&D2u_{grW@AEt}DHrfm+}O8D@(Y`L`bD9az&LeXm0Z9Ai-QHgNahQf!>ZI??+ zk21O2g`#g+w;hbO3vF}Qw!(+c?T|}Lk21MCgre_Qx1EgEmbN);bK%3|c1Ca=V3nOh z(HeSy&+THp>}Z>9fT0&X7|4m}Um3Z(Vws&~EVyeW*Ms(zvCXc7oF_dhGwNL>9K8VN z%jmT07LBb`r(Hr3Rs^5h&2+M-Z4Mi8_|Sv%SlK9})9#bBS|EHW{dwH(5-Oc?+$|KX zW5oLyN1E3NhwVIk_}o58O)Rs~vM-hs$y+u&ZeNyUlWCt&w4O28&$v3$HiwNteE8h{ zd32MO@MUD}e@4q&nWH>zKaCE|N%spy8yIyCW7(CqIqWau!{>6`*cOUZh~#lOFbExF zj!=}zx*cS+Gy@P0`;qwYxq}i~x~JBI)2;^ykpg3mE#GJ`nZR05y-RbJ1FO?xU( zyj4DTg-)nV;5Mp{RMwy;B!#e4A<4s0R)}8_in1vUpDShb+ndstO6a9D=5h2W3uvW6 z(GEucJEPy(l>T=KeHK0QX@+9GU!0H2*C$~Y-$vV)aWB2jk6LELA+htE~I0R>5R@1k;^Bn4CoMc=b-cNi@#kO_zT z68P}BI}%!%xN&Emq(Z$T6y>mPRcxdOXBYYWIRVQgZLijSW zs_60`h>=$bMTeNMYNn_wZF9I$f)Ago4&-PBFFixM3?2$aM_AuSi~-Gngu{&-eE8fWH%^ECmC^K3 z1T`Mv%Lsckk8N4W44xkC0XdI^q8}(r9`}TWyQ8$tJ;i@@;qFJ;7I4pnfz(ffaQrJa zo1eQ;&mxwLC)nd7;9f9ZLuva<_lx=53pt$VQBI5AQnCs3ro5!QL%_XcLIy*~7j4G# zxtDT82)Mr)pAhi*!o6lb_qQBQeD0N9Q)4J_Z|G#cV=kU6I|zD0Qg=$(kB6fyrN0x3 zke7JehuDKOY5m07wIZ~SL*I}1uWlCeKE3~6cmMxfR<`b}It+l8`~NNX|EaG~Pt?h3 zx&M!d&SUpQ+tQGScFX<$-pmdy_y1e&|8p((|6A_=qo}GYMFm{T{r{Hx|1J0bTkik2 z-2dlV?*BJ>l$(z`{q%Nj%l&_IT0MVye52+5f6M* ztL6T`)XRAPzq$Y42>-wH?Ww=dP0QA8!T+a~L)GQ^c<_v9Xfn+3qUh83Rho)^E%^WC zHOBOJjB;Bm;#Ub;@c&!z|0zm;MRW`PzlOi`uM4!`|8t0=>=h;&NB!~RWevZ*(1QO@ zR7m8^7W{u-ZR#ay*YTsYp3y_ftA57MMY3PMt7*air>Ht}pB>u+NWHMs!Vvs&bjnOQ z;O;H>|0(a}n56~(pO8>tE4si=IJDsZw^g-N5l~yyTcid5KYi~IMB2fTE%^TxE%^Tj zma^aJt!Tmjr*El{>e3ecfB8Qw(1QQpngU3MOliUYFWOMpRSo64sUvYDo*RcaSIsVa zTwlJo;Qzl~zXQJ;?livz|NjE6U55MiT!qWMX%moX)~Ie9iC+r$NNBXINOl2=N)uGmHa`US)ziZ`#? z^qlCNB2gqULECof4EysRArX=zz4?)fDC|C7&fiAAlUU6TqyxE7bZ_w{gW@D~S?#1~ z+8SNvzRQ1!L?3$qKe~8f{yoi2t%}20mv|-9RrOuwu`c*MM(HkshAzs@a8Z`-68E5L z1)uQAy_2~sV!Y9MGjvV1t$`-dBq1MFau?zDzRw^3WW6!Mq3V~d$~NK9;#;#WzB$JZ zd8w8?Z%YAP7CX8Wm82&gr0NW?2;VPD4S|X zVb>ZT(kz?G2pjbhmQ6*jhlu1xB3hS?V#JL^v}x$Ms8LU?vQen18!MyzNzBY8je6>Q zQrl(OP#$?>DjAJ#*(j!ZBT;QXiTX4ey-%Z=ncj$}{_ke{wBZ-CUi@&^ym0gtJ~7NZ zJ6nVPV8k*<11)1yVoKWGp0UiFn3Fd*1f@$K>3enTDS+gpGluV9;QVjB%DduxZnl!o zv=3WxWqEboXx}%zw;? z8PVu6Ob5T%wCTC~UJGJDQZ_4@YIF^9B2HvVgu(UWAm8x)w6GnA$3NteYqR->L9Y3| zv0+CTP-E>#il0SDYFJpVRpQ+fIC<$%yG(s{^1;Z<@ZQv|VFRp|-3?`2`C;XcFS)~T9c?Bm21OO z^BLt_u9i_Age=cI3FV?4cl#nw)UG)M2sJWU3yXAN?c>9+T1Ad(a;8aZ zQla}p)?G7tDC<5(JNcjb?l|BV)i$R}>)iBAx31$m!0%UX`E5G*WLg*)&-Q)C#`T=5 zWUSJlxhEYLreIM}WhMOow5H*K&X&W_aqN-#<5|ZPY$JGHj(+4yT*)H!y9Uev-3~?L z?BvyM#En_H59vc^P)A|_(VSfeJ%|VK+ozi|R_omO%oSbNGmWA*cZ-KcQ6hudxYT>h zReqz0hxDTn%QT`+#x&YdslYV4WO!iz|3xFchPj8}qkHw~+@G19#wUwc_BYj6hn=De z?UJ!Eh42k-aqR73riM`4DYMR5n(XJ1#wP{>!HoojO!NVF!lcn*Y@D5Tad%Jl7mmI!1eli z`?mV(f#UtB@+CH?R|`i=sbyJ($x(%S^5St>De4Wm59b!1RqZ-9fkKI zoyyTj-={T|n|iD@v1T5NxLsjnn%v4?U)Lf>kqIbyQq2VD8#EoBw18VZ%uZ?|8yq;( z{v^V=S6}7yC-@an2PFqJU41C0Z$A@=Y2@Q_2jW1spW6Q`f_(U3^W^XREp$V3YMD&Y z6BQ=&n!%=dvL=<5`Nf0k_|=25C-$U*Z;{-?f2QvJoRqIL#uqDQNfk4Gs?8By(;Rgs z=Mvt92Oex%9Q4@#41jG5QDEduBj+J~jl{1g^Tp1nT`iqAwP1lLi&G}th zK#K3gt-wf*6|P&rqm!+uP zpNGyG{;YHV=u*}>+9vs-|IIR5u;^yX+DW;om((vjUHVi;1-}bMRD3@!1vT-p%bOoU zpsR_TFrY=Wh$js$jP9;2@Jl%w&ceG!1Ah<5QXN?4|)t zI^)|TNL0`uQJfbiW}LUK>w~DGxJbT@9bn^PpSU8{dEmNjth2ZK3fNe7LL6!51E;hV zh^|M@MfhOIz^=LFSjxeZ9&Ex7!qndSSeRIhc=3Fs@cuaze3e5M?(qsWpQcFG=Csm5 z;L^I)#q>Br-rSsHZINih{w~~#K$fz^R-wI?8$??d!`WsG_+hj4v@WCz8Qej*Az|iD zyHq)kjU@pFTu zb%H36Cak7_<-`0ko3fRDUCqkQxD`DrYaKjeOg zu{0`~Uz$WGz^WBy-D%lV>m=8| z(gul%m=;+>K34r*mL?!WpS9Y@rgfu>p=zQ*Vf%6w=(*{s$V^`6hc2fMq1sju)iNJ!NLc-=G|~6 z&Lm)T`3g93?q&0+YreD~NS*j`gCFrD@BgJjA-zE;7XHMzo@E>1wQDLTEbm6Tk+Lh+ z`zlZzDv$X%A71CuS@t zsdvsow$iR_szDGCw^mLXhMBq&bz?JrRu?M``Fz(YH~)Wolir_J8Yaxf&OO`!M#V~l zQBU0Kk(3J$z)FJ$)UP!3u36BhB8l~;D~nCBi9>lmAdVH+8n7v@P@FjHAo-!DMn9D0ee0Y9)o)dDsc zt~47@SvD5`$;Lx1#S1gkF;Fcl$hXLgPYx~76b7`nRe>VkT-bOW*{MU!*sFgR>~X@% zNjjKfg)FDOzNd*VqDmY85f#v_U|Rdc)$rxrwfTqPUWqxbFU>H0`5Eh?`;xvCJ99{X zcvViII5K0IrH&e=QQ=fv`W_0d{9bUy3to}!?(D%9U%Ayt1DG!Fqf6Xkm_GmNx=Ms! z<#t2jF_VATcd$m`kKw2`0}xecc=pWmadv{te$KeJ)VUQ zz85NmW%nIY;6#xpyPy6CzLMX?SsN~xP-*!nzbENQQg^=X2l5Z4z37kaWzD|+&i*)5 z9-D7@Nhy?sl9PW-*bg~3+JuoXa_eJgt;6f_hrr{)Zp*cuz$13KvOcbgjAnpGM5oYT z`zpqRSYDdNcyyUC0u|AX7mP=*&^dB>V29g5LpwSc)#4qBI}y%Hm%ygiM5`zH{A(y>#hB3{75pAx(81q(}-O{+OBvKPYhGM9b;#Z8KjqM)^zpT`dgS2-t{=W@NYiJ+|ni7wOkwfZ1lnuJ`8g$kM56cY}dd zrq2|`)k>~U>CLdI;9{qZ!7f%V3nHzYbYn)uVbckKmzhNYJWjW17XvxXfcoDB}{G zd`d$e<*Cl)k>JU;Ycbj6)st*?v9jVnuRs5{%R}U_@WH-$H;}uKToDFnavi+#k)=j} z0txoDz0MSgdHjo6c@09&CgSdk-Kmt}2AY>(aR)DlrMfy;j`~(Jfh$atH(UK_y*%ddl|hoAeY_m~8dAo>rgT|LL2L30(c7 zjcHp{wsT({%ZAayHWp5Wh#Y5gXNc&3ymN-P(>Y|17*FGh7Z`0uufDT)A4YnZlmBsc z;z8_qJ>Tnf-xhhGa^cTz$V@|qKmK6@{SnAO;<&8caPxn>-;{v==~=ZugMaOj&M$&q z-NwqTQE68(5!TC4j%d9g3M4#ZlO8HP+9j}E`_uZhvp`bT<*GJRI&i1+pjSt;m`ck` zDxzWV428b@rK;0Lq6T|9UH|%8Ec6Y3$5wC41V4{jlj9e9Y)OcO3e{@HPpwyn{j0A? zT(=F+ux1mo$T9@B!U+E%)oqL^Hvnm5w)BI`o0ozgM9A0f^q3FFJ zi%-^mw=@C_v{d^WnkRbKtk1POV2LUqVQG=h>`|z}|5a9bAB9TwPqkkIF&_&O&ENVR zfNy-ava@X{7Vp65f($IRnNMvl)s&Xrt5qaBUNb+mu-(!XJ?xJpbw-bO8FrU+OU)l_ zQXuOFO??VKxujUt2W#QtfWBL*P;0aij+h9VZOUWYT^NPSj_*_)Y$xK>K;?qrSlcs` zRNpLwQ4d~8V->BTmapRoGonVy@y-f9I%i-Mn?CKrKSE-LMZ|ywWeE7Zv5r07_4t^u6(pzE*Ukb-ZST%6 z`oFpilA4Jo6??GMGE%WP7>TiiWO0C`T3NL$3eNdVO(PH!U^vOw@q2W0UcA`{C5Ee4 zK-@D{Pr0t^g|x>ea^>+Nj##^txnN|^b}O?zMDuKp-I&%mgFxyzIQl6YnRZ~_V64x8 z+2x0@*mCy_h_Zr+)Fp4*AifrLEM#?2S}7dGbM}z8RUi63Zo3-1V{6r(>E)`?pl5v4 zBhhTpay9Ci?N7N}RHJSfA87dWPc^#8UQ9lGQ};M{iF&EgQAF=rA21U?Lqa}&V@l_2 zd$0ZUj*}e;&mNf9(}9W(*jBFIl`h5H%LAgWiP;6$hih-|ifNj-*`_dBOp|(!f+-)B z)@apZ0<+k7F&RuQ+TXSolOd#Ni$S`Vm==@{fkxG0n&!1tX0%C*5tB&j`TBlhT3MR- z`6QdA%@&g=V&6euT}+~hQ;Fe}KgIN?&0b8|xJFFo5$)H3JH+(ux#g<@OrQ8b`;sCt zSxA-#hHU*;RZM>`tMu3F>!3r1!?0;)2Q$RpoFmnjmOy1UXzOHk8@2Ij=HaEp;Tl6L}`>A;F%ofdN_UquH4*P`{7X6||9eqU@ z-!WA?M2-56;>4+$YBWbxeNenteCUQ69njb~qe~mrXhnUv(ukVhn`oa7yrf1G>vFG% zQ)<+9kHganCeMZb)&H&s2tDbQDZ~43t!~~=Ok&7*kE55wWHRybe7OV`I3;{*XZFlcOcKb&$G2CCY28%x z$RPay?By`eh}t}bX#35`z$`4Tn}yVdSxB#&g_ZTQkX<(m+v{dwhs-SOrnBI?^??UQ zb>pI#>?7a0&6uC&FD3_weLuq`|4;jNsG>j^MFIE>Xc7!!GW!OCX>M@CA|{Jr(-;J| z7?ynj!LUU!7<>RtrWJI;RyB#BY!X~#!>S;wM#Tl4`4ycoVKK^ig#$l7_kQPwqtAjC zV!oXrXk(h`+}PyeU?U)-Jk@BA0&|MY+QKmDKnPyeU?)BoxJ^ncaD IxIh0t0wT1p#{d8T literal 0 HcmV?d00001 diff --git a/old_rtl/modelsim/work/_lib1_0.qtl b/old_rtl/modelsim/work/_lib1_0.qtl new file mode 100644 index 0000000000000000000000000000000000000000..d0d623062516b0f47f593e7d495ee858358c83b9 GIT binary patch literal 158073 zcmeFad0frg_dou6bx!kin&&!=nkPk*iY7!#DG5a~Rb)tnM#)f#geawmGBhY58l<97 zhN7Yp5h8?4@!M;ky0??={eIq`&+qg2{BzE|I{UTuTF<@q+H3FEYwx}G>ktI%!?FO& z0xS!#EWok=%K|J5uq?o`0Lua_3$QG}vH;5hEDNwKz_I|#0xS!#EWok=%K|J5uq?o` z0Lua_3$QG}vH;5hEDNwKz_I|#0xS!#EWok=%K|J5uq?o`0Lua_3$QG}vH;5hEDNwK zz_I|#0xS!#EWok=%K|J5uq?o`0Lua_3$QG}vH;5hEDNwKz_I|#0xS!#EWok=%K|J5 zuq?o`0Lua_3$QG}vH;5hEDNwKz_I|#0xS!#EWok=%K|J5uq?o`0Lua_3$QG}vH;5h zEDNwKz_I|#0xS!#EWok=%K|J5uq?o`0Lua_3$QG}vH;5hEDNwK@PA+dGN?{qi!EPZ zxA_M2H??2LAa>7=W1tAhj{dL_oaiqd{UZoU5Iu+xAV{3F&PPc$NJd1ELMTna=?qd5 zrKvbwLDEqgP&gBtJqB>~PQ60FTfpA+v9T3q6`659D|BC=u9HLq`BH}1BQAllT zq@_ARYSU;mEUkkfp_v&?&XCq&V1gejj1z)sY9b7MNnH9Vy`ENT}SIP z#!>ta_a%wgiqA|tds25(#=_s*GfNIQ%)3+mxaX;nL6t&#!y)0D{@;FFJ)zY-?ajOT zYfi^x4-H-_UhybcG|y!sZzQ=e-&a-pv%Pkbi;?9vmxcK*A(I~*`ti_~uD@UELwmW* z*>A2Zn@bN2%Ks!c9GARiR2*N`mU?bHU-Lugt6D@?z`kQ$zdj!7O^)?C*U*;J`6%P$ zj9m$rPse?m%c+u~{-|#4&eFGYCoEjMweW2Mf0e>SjqY6$Nna05u}c;>bMNk*_pf(V zG%ZiAlUV&<24izHaWK8EIU)Y0&Mojo?03kY@n`8gBiZ9|M;6q2x?62aZ#-D`%}pg@ z;i>)kkM{mp@B9NE2j zm_;}=?o~hP5Tp?G$6VcLY;&cet)oE!$ir5z4J6o2JAv#v`l%7yTmGDli)4o1Kg{3(;q(Wh5 z%r!UQ!m@E;2RG?m@&>rD&scYZGn)UE!gxzh$P;p?u$wFBgaVN)#iz~ZB}N=3*Hcai zOwlBWeyZ*iXgfCVo}xxePIUW-&t5hI6zDyYI{_;&juWYsn!HKtk_T3xn}%BJxX_uE zLdd8b0?*~(M)-GaK`p2)n09;E^Pg}(8~k4I31nAgVOym2uEn;{<1bZJKn<&&cu^5d zW@lX)32X_|R0w6lcB)%qx4Nwp8?j?*Qyciz*{uT0ZbYY=YU>NbW$3S_{z@Fz|Q8i%!Rlri8Cf`Owikfc`m{H$ykd8>(wWrXe327qwwE!g#9LqAs z#0DHIWQIW1>0j

-R6m5#xwkqMbe(=oV4S%fCBNo6shv5@FMH2p!_bT!H?2eL|lo zn{lYokT4{;LT7(QVnpJrz>bz@a3eY_XQQuiokFB-@^~MZ!-Xt6u(Mit%WClP-=ge4 zTt$(gTDRQF2VajWRo%G0J12rlP>Jx?m_c^*6v1ymRgi?X5q>j29qOC;+^=RwO4BB& z-dR(@W!BMg27D&7fn`B>WeF4!b3#ziKm<9r+9iQ?ppSaDd^B_-^IL-Jx|ESsU&-G# zI&7(mdXH50teMczS5kNkp~{lFs)QyX8@o}UY>qCfLWh_IQp}oJ<3uK1t}7W#40nx zNlJtg;hQg5B@NBc{%-DmXoh$FMlYb2OSbC~dPL&G>n{ul10q&J#LtK@LV`RFp{9f> z(WvJnZiXswy0b4Uhw=rT%lk8zg7tB`#-4=+-;yj@Fe&yemZKO|Kke5 zP3M17A@pR0D+JFh@8TT^$N%dJ!GQNasSu2H&_eLIHnKuDr%=$W;<7g-pM4S=nrkR! zjCYh?MsfldHmWcdlDPm=1+7?E@Vh6^?4x_}+KW?@Q@-T> zj9vU#%%*AM8$UlM4+^zNk3M>C9-ap>(%{oD5fnjmJP}M}q+vj% z-1 z22uTB3Ms_U1Lj{8G}Xfj!o-Y|6w4r0{zE;)kRGQ0Jw3!2dN8JL;tV}tB%_cL3~NH~ zr;yTgXXMW?(|`#cq=j)>7Nw|nKv>ZkxXan91h6#yzt9z~!sqd_U8N>Dzktt`rbGkCw5izjWATL5j#O;G5`grYEYCa4Lv^s zn;Mn{f5xuOkX2*IGATeI5mgmr)oAEu4ti@a8bc9XP)RKe1h-$@FVHs-tw|ZAvl6;s z(wPD|69G(%hMr=8RU6Ag2f}>`eFKppuYxW{$)g+-kk_UW@L-50v{)Yc9HalC1W*|O zYUpAV0LmE;06H}EWC*Of7yvp8qvN0iP#FN~=wcKA$}tB3T^jNYVAV$eI?m`RoS744 z_>icMR6K9QeF3o`^C7Yp0O-@u#1^`!Q6fqI54-4uL{jm;(?hA`L~ArS5L9hcGHD1^ zM;}BDB2i;eb$~klZz`KN^)vnskzmV}Ky#+{ZwvK*|wR7_CS=a-jrj zAd?Ex8#FVa(NWQeV8w8FLwsS)xEPNvsN@vf_C_epheWR2Q^{qR zhaACUjp@rVePrDk^c9#6cdg;F81$8x4tFqSx<96mvWxcnSm37=_Bh1bP5?kM;%@YO-x}SH3>~V;nR*5QfJQ! zQyX{gqVKOq!P!~~(*7Ik2Dn~Lywa1_w^jB)6z{`B&D1!_`;SRCiOX4ctplAabbsHJ zxcuSQ?VO?w&n2Ji-?mt9~9 zxqI@p4Q$!RmbyG^*uULu+Lg4zoS8*a&sE+R75jbq;ZI8Hy~kpkWAx5mc>1KTl6Xd3 z5%rHzZ}f@0`8q|6Ep*3`*pHnD_RHtoXpc;p7x$@o+%XlN8@Ww_cQkLaPH>7Xy{r9_@NVmQ zAbo?V=1utOzx-+v@nEs=x|H!>ecwcF&f3%*aHK*ndPRv|N(~X+zifJONrvT#0Gi&N zQd!EUlUXxYX*D-WwjfXWBx%9fP<*#S* zq^0(E2N?-nvf!Ql?YLC5zh~*4;!XE`SMR^0cdB@nywSQ%S$$2*^jtd3=guD(7kG}| z_bIh_$;}((PtFzc?QXyH@tpdTcOP%e771%ET3#^C;E@xhTajz#th;8-Mt;JcwPMZZ ziZ%paDE9wauHDygZrZ|eN7i`Vo*wN{{?hD|T>q@xsMQ}gKc1!U%Gb`b<>S)1?zZce zHC9wSz5Q%;Z$XpOsb;gU-AxCz-;3|GTV6RXH|~9q`e(t8sy+7|J9XQB=lpaGeRcNs z)6~;`{=ZJPvlIU7Loc0~eskV{{jImVz;RpH8N*~1NHM>6GHU4LCV`SrcS`d#KLtk-*+tDkgUx5#+$tZ1M4 z{NEQ}a&B#uD6UYEJ<(XB(<$y&t>2P&e)g7A{1WD|K1Mg*a^+RoX_UrU&-*M(RoQuO zP3t*X z|NA=DqHSQLlWp-SfZrOHxedWfvS9a|)&T43DIwX8oJO1~je2-^$mMYYL4m%xX z=a;VeS~%A;ZVsl(?h$U)+?4z6T2$T4B2HvE;FIad3Ff z&%1>{K9R>hOH~%ls7m%q>jgXJ?7zF153O|nl&4w1Oh;_kItviGn03e=gxC`%!-R}T2m&Envw%rhD4(-b2G%XTd+Qoc-(n}O zU;Mgm-5FRre7cXT)*F;Kxx}nmCEdiLe>}#!a%dyQ`#8B9<3(V+)__3}>d@ydm4TH~ zz&nTWRMzF4fn5|Ls)ro}ySXB1ASmDu0=E1Cu2AKU0G`q;6+(sZPOUS#zD4Cn-W4pA z*<*)=c8o2=TbiYcCQW|}0hZ@^z7knFK_?u#zTY^$?K!XB0*tk&rwU_ji-f5eA>f4- z2ng?@odxuAdn+YtT*rTOYY+w7m3)+bwWRi9Tt8kJf4W-edgty` zk{}7QE~D$J5!0^`M4gnxM%WI}FcV(wkQ9|oYgh@FDGDNje6FHulNt>0WsazzkLwMZ z9hEq-mzXIfmOd5MKuo>FLvF!VS(VM;9oW%mw(pHkme5cl=7dS)Z3h<5TFH`6z+z)K zsDoJ|ddXzob(;txSKy&Lfaffe@+gK~gaZZ3HK8L7?#WV6+W>Css3x2=0W8gPAvEwt zA}q>Z{T}vta~3Sfe~6Q;)9jX=L`))X_e-azxfAY$aOd>OQ#ZT_FJkNT$S3oO`2_nK zNu|5SZ&ng3i9lJIyF5j*tJGlj^Uw)A_{1JrYrjLp9^#D91SMyIG-5vyU%RO0D3MH5 z+e>Da85^A;vWUP;xem)(qKxRwR-XaQI{)5HqJroQ*Z5`ki;k`%e*qYGpS&qoD#7|D^e z_Zi#?wH|Dt|GsHWSQ8mjPbGrreE%uL6rz(~RwmeNU{T#p*f~gQ;ZnW{0AbTEwgEuM zR;l|p-=x=T7Aio#?`Q6+M944uZgK_Z7b@KMcyltPjE!h=BF>&v^frc;x|E?5Vh*KN zogEa0ZK>v8y@Sd9o4;`q6Ms#=fQ!oJQJx6AVZ|4fVT1^YSE|t%l-5EnJNTQY_EuH$ z5li8Tz^Z(l*TxW7%gRkAVAgDFaTU7Y!2+6yv;V0mdp5#xnqdpD`)*YJ zQB}J84cl5LxP0cW^5bZ!!Q>5(vY{E%4oqGG?i$0UEEo=Bk(#Oin$n|9uw;2(7=_IF zlxJu?nSUi4`t=N_<|aK<%;`nSu9sk_IhwOk+8lZ9z%S*hJHJpbL<>vnrV4YWGTAI9NDK{q}*Xgqh%6Z#xtQmHQ?3StQDT1*@tx&)Zmv>XX4Mr97Eh;nWpQK(< zgYgT>n}~+q(~DGGFHJ^w5Gc=v&`0H|{9T?i>MKy*Y&6qEr$qu=eEB~m}{fYJu} zv|uN~iI}9wA8rDDFyr(&dFX>)1Ip+3`w@PG<;O#3ml8{fRS|~MmJ!Pc-?=JPbwNZB z(eC7v7C(V=qvmB$$F)o;VOC%>xX+WNg5@P}d!QGcQ-pEEYp3%0<F(fbNlVoP zegzgo_k5C}QEm=3wLf!12>Ro}R~#ap{Vuq`wH8%tLQx-x=_2@lYbk7l9ca^Q+9AK7OIM8>Mewiyg+T)|jKV$4W}N2^&sv_#CX^HbzfIw<<@c(a zuEEaL*;!QYZgAHmp#>|!U9ZYh!yUkQ_vSqAg3)HLyt_fvhT!AC{WgldBOG(9EI_=7 zyN*4)4{k5_*dI@kLjeqX7rGbx&22QA+bFybTpze$DK}QE}s4X+M{%CIf@IGif z;0FDfxhIb178=Ix;Ji8;I+S}@@6Y596VR}$(M8PpWs@nt|2*^Tk_wyk!vuIU&uB7c^t49&5c}|;Tn&p zK%ZrHB;?Y}*vO?}BpU5Vjl+&KDQ`Q>Iz4MAm3)G(|A!;NToU@KFxouDeHFSP^i?S5 zkG_hzQD4Q}&{x4Z!RMieGpnl95zfr5^lyC?nV6XynOFhsG6FXALS}5#e9YXa`54^H z{i%v!hGp-Lc5tI$1u{IOJil>){++wM26OzUd988vSuJ^Qx=;JXC6Uga?tYgaydeW?)C{yL# z7FKAK9_H258<6&y=0qSjvXWFkizaTH+u-Ji9-Lna$ z7t`N=xEt|t?W&7U^7k0MzHr1>_KRfOz3i+cZF9fnb*XI*aWBY6vL@|$Cv`4~d|B{n zhp(WMRm<57=hf>5X1>}Z-=b^Tak0qlLdw+(xke>=mwz6vHxIaUymIPYL(2yZMvtwI z^Bs9M=l*jgqj-m?ja5q|d#BELeKhpxtL-8jBuiZf1|`5bT- zq1Lyq6{RSxeD_e6jB5RQd%NJ0jYlG4EaYBjoUMGh`{*7cjk7yH9QHl;D7|uT=o;;v zIT8K0KiVD9@Ll=t{QRyB*3={~w+#;BQy(nW<}RAAr}<$c&+>ah91)L7EL$8?zrBsJ ze;y<7q_Y2o=Y95AuU&C`vzsUzJ5n~)Kau_Y_RR#g-t?*x4kgt?a{O|MY@y9U_7g8B zXwk2|%Q)p-V#cvuz&8o|S*-V{oJ?HSLYr<2@gH6jY<; z9=tj|+OaDnUq?Rp(A*!ni?8%N824lI_te%@2bm=s8;%xfs^#|nIP-IQP4TKzY5P{! zw{6z&BW4^PkXAdpfAgvG(3(QRDn3@?$ChZG@`ex@U(#!5g4mhP<9Wb%Yx>!2OM8T*oiHO<^FK5JO4hX&h4yUoSOs6uU{AUkk{r~F)PAi z`he2XmG9TuACIc)3{o%~FL&WsQ%QN@?BXpak~Hr7cUd<~*g4}{&6YA{HH}+I9$nA( zl=U8v`gn0Bu`!j;S=DlL{Pm53>Du4gKZ|SboATMQbo1#CPEC>p-iawW+d{r}N6CCG zoN#zHx%Rc;Ju#Z9{I!tIfgjZYu?tU?J}Nhv+tyX%_?#A+|15fw{-iAwB(q(y)94;XNP=6G{}ugZ5-4(Ci4(!a`S+wD)K8v9X9NR{!*+#j zJqkH4h@?X%qJaifNT6*)$YdljmpYbULM}-8EfJ{abOK4&V2#}$W znn7qe8%ZD-sR$B?CWR#+li-3(prJ36fPe-Pxh;XF zNU&VaNgB|sQNu$(5NXHM@YOb(_Zdr_KJF@X8Csn$Vq(A-DrsO%B7>-dLt@`V-w4DI zgUAAiJrl@7>lxeTAdt!WXNEum2dJdsm>}aZ2&`|D4$L5)UYjcga`#P~Z1idfWz{Dt zIettOBa8w;N#xW~C^l@UKG{>aG>1S|f2NW~V}clC5LmM#r;P>)nd6kZ&%l1>)*+PT zAE~7Am?#r43LQ|UGod_o`C^2uk}<1Ib_ireKb4#?Cdfn#q6i?4Odt;(?7kOEozAj% zxHkl{@;#2C8KZqnFo+U>%oqhSb-+*Rbf$wx#}J7B2NbI^rY5EsL>WM4GJ({4y^3Bd zx&KjiSE))=DJIXiE+^bm;OZz^dvCWtu(Q3a4$Od!bH zJ{Y6cF?KvQY3&e9VC^g_X+9>71;$YW9A_q+8{Q@F-+d`Cp5AjQ;a8{5hGD20Cn{+% zCe$Ph1#w%X3lmiJ>}9{7NgUY9o8EU};g=1bCHIHHs%KKkNn?UpVlZ_8o5KWlb7AH# z)Z{0o^>*zXhN+xJB`uvHbZT^`w8AhD3P!q*hUvO-G&f1>Oiq60Fiyo(Drq&QI@TBm z!pKOEKX6Lp2dibj?LOWV{B0Pi!GTIzkBKxHBWVHB+&_@6{uEW5tP8^qAhn9%+E=j z_TWyl(o@d`wo~iZZM3V~r;~2}ZGotuu|kMGyQJU?N6#JeE9@`cp6B%8=8-qs$IZC4 zWBPU1}5jn;Vn`gc`dC)+CAPEWZ@y3PEx>wENCU-`5> zkGB~*jT`@h=y)V^OKH79<$ReH+4M<=w|owdRhwF~waE3=TPdP&0sESreIkp-3(r3s zVY_aj*2n(&GAXl${ z(+pPK`#W;0Lv}I$qy6l&UypwsqGqcY_08j33x9oM^)2b})2Uf!Ij+1tRas%Qbyvkv zGt+37c!7<_oZ>yba%@E5<|}@`<5HdankvN;rnd!dB+0(ma?xe{;q(%(E+vKQyUjj) zJ?1!YtY}s7-Mt&$gm*SCtGoK2NQCyLW}V2Frb)rQACI-eJL9WUZN6+?YEM{`4u9{A zk3$bY&g3|<0W?D&=}HH0v>iw4ky6yoAsPw{B}fBOjE#*uAsS-a;h|VSWCHqo9v+A* zq}K;DXE+L8#t33gq*)nTfW!3*RHAJU@yS?85f-j(c2J`6NdheaU7DQnrsZpnzy{cr zw~4KAs};MjC=kT&B*JFL_3r^!@aA?QwNwF?1iYWuU2jT#W$t1DLO-);ct>1p&mxc| z?ySc`h5_1GXdip2Hx@$M=nNqspD5&*r2y-_CEe1s!AEk8?kZudqocRvG1gyO@|>mK zuv!OO^2`dr)89WS!1Yf;@a+G$5bPse|4VD`QoLFJXGz#T|4>z)Qg5geBVs_sJP+H4 z_+!r<>I570*ggTg7hkMPgoRiX88y)T(z=X;nBFY$5}HYa%D37)DNIR&lgNJFfKOdj zv@gE`Ds*p-{DQs+fsT&6s#aL}EL&x=9~P8Cx;S2Z>3Dvz8KxPw z=c?VN#jz6y^Ley@TO^fVc?zuSgn3^afSQM>Qtxki^W$_FCsF^d@E}I)7O2Vxp0dj( z7MQ1y@1aNU3t0W>;N*<5n5GYVBFVYz5=(ur>uyIry?5UVkW`iuw3!NvTV;+W?ci<# z%l5^>vXyc*m(6=vM9VYRu!OZ+?URkOVB&G63HDCZg04RWZKErpd-3n3(dI%4bN!9d zVb_J$eLNZt{pmk`eDU+95N}RmkAGqB4o&1BcHKYN3q=O8aj|3Fxf$~|fdE`2C14gV(oMAVK5WT=?y%8x z=c2F)w7|U679Mn@;ri#WDRDp~a}_9NBf%f-20I{g*Us$*XS)&s%F$bo4?^^eKK(g2 z)H?sh^G9IWrz?w7!J>iv{2i%qWUr=6zgj2iXBR=V%1sOc_4Lhmgkbf0$rgl12ziU%%%(|ZFV$*TZBM}k{K_S0H$ ziatI5gMmNy0?O}K0zYy<{nj0~=7M#j{8b;VI8lUpA17hg`e6{xQFR+|H%NhB7WODS zG0%e;>el%%!~T#+3Rr(hG{i=6^|#VO)zO7g}5zGnFutF10mk=h5@^8qg`p~+*S^bNsF|=ZjRY}bR>}{4N!B&iKlGc^c@J_z5wCdZ(7Bz+sWyc7&{ze_ey_w zlXn!?b^v3e+G1=a;^AKYFEoCHtxd>D3vhDUutf`jB)=j^3OUONC9TFMgH37u*0BY|=C(9>x%Z(#eMFd~;DaYEp*31LFm_PMop=Qpg!_J4!z zUoE@lQl9xJ`@cRR^1$F9{ATx#oOysXCtM(J8;m2wy`B@$)ZJ4f6LC@gHiQkjZMjKz zn>3*43)Iy0xTqMpHKtIMFyYB)YQ>?ZRwf=A@IP?Y>(NG4@RSzV!|6)N5&_AXsvx;{ zuDnpx>Y1Qqi!*OY8?Jmn&t6>lR{{y0!8^cgZ(I3aZjUA@;@E7u*lbP;LV2qtu;g>z zlJi*dN{<0{uZxi;(6QM|`Q>bRuE7{2;v}H_!WbQ=SSgXHyJS8cm0fii+A@9_P2;E3 zHz1h42g0V0^oobKv(jfnS;i-!tVQz`KkNp_5g@%H`YATME8U4P@aZ8Mrd68YecX@ zF#|9nSQF-GL=Y5gbVQy$)`+0Y7!icgO+VL10*z*_yu4WfYG`{hjP2q~bu{dRxCp;_ zWQK5dto-@_hUDtpN;mXHBs{Z1cLrNoHpxybN_;JfNU~%0TF} z)Pym?EdBv(90P0&mmQ=>aw(&RVs8^*+a?0_AT$^1p&Qr6Wkq+iQ|aj1)eKza>{9xQ zUukbe%#KY%@hqMx^~xd#Cb3oi3M(r z%pQL15glkQow-n&OQy!& zkk#4Hk6X6$mW0sJEx_HVM^Op&rNKjy8?jN$1=wswb|LN&M$vpQ9(jf9V*bMen}3OX z*=~zQ>dNLHS46&0W)L%p%E#Z{&qR?(pSAe=blgyc&&P<%q_nVz(L!&+2SrqUi1i^B z5#7}S4Ih>f%ZWl0S(D|&3PSYsa`&_hD>qOuI2W74Uh_lQf5#l4;CP0Do3i1?@_9S| zP0L?K@No1aOQ3#zTldlSu(ASUc)ajC#@k>5Pe0kY0&a9vxI`|rwyHgCWBJv!bKbZ0 z6-PlN%ao4(;?3z4qI)GeCVKAYn}ZV_pL{=6;&rySuWi@1(A1_+p@r%7r*0I_%DeNu ztR>c~<>%@4-A(2#hYy$6$1N7hR49#)n5a;raASAWc!7$=ol|~1a@L&4>$>8V)47S~ z9!}~OOKACi$$G04kGM$RBdI>A>aD*!l`cJdoci|C9HA<=eVn~zgp1$qJ*5%TH}Agx ziQ{tpVxP}jW;?(B;M@C&eN{$V{Hv2xsl!(fpDc*_Wh~hC^M0qd{$UCK?^pSf_uoCf zYM)Kn8l}CD#GX>T+|6!Lba)vlNZR@U*&Fipc@=V#OkMAAD4e*$pP~uw?{75s3vzNPS*USAz*yx3rGLUo^zMJ z3aDD&m${j@s)_ei(86q&=pWOItgdtAonQ5B9&b{-`PYt+o%Z3AH$HOF7oWm5>8wD# zLZz$pz}A;ia1>- z-&E8<1WBSlOuImI{R%W_1DT?alkkX7vBpUXB++Sac!d<)d|>%$jildnjmch;ae)4YX53N7H*Lyt6|kCDAsJjx+4o z3?FiWRjIQm!-v#BIj|qYltY0W3)ohWg!v49==K@aqNB#tp;Fa~UnFma-tBL)U6elX>r9igF9jxsc4 z5zs>xW5DVN6DP`GV8FHrQw{}k>_87$8tSfKU`58MMcB8XkV^OjIP5;4qs??u7?lIJ zLUdM{aY4ryS_~0Ie4)*_P{J2_j0;#~N9S=F7b^I|h;gBcFD5cBVCfp23T0ft;xk(5 zrqf3qn}l^_v}}#(;ETicVbCXGIyfdX-4fHm(U|F0m=4a!OrMPDpf@vp3Z^UmLASwl zs0}l}Ev7@onCbSI4vX2$bO%g_4m6TZAd-I`lnex(lX5H)N*I#&oozHj3_w>EJvg`6;9$@=_`EObR-CVxEz9 z*1>efaanMcVOa*9aakl0j#j zPaTQLps&U{jC5iKJqXiB8XD*nawT>eLzHGC*P%55_(bmTO8apyqdnG`FS}>9*AA}s zD^H0DSJKXxo5id=RwJ{0)l;6{{gQoQx(UCcEe}n(xPD{)yj#;(zO|pQ`mn>N4dWNg zomyS@Ud_P9rDDppoNPX>Egjo0xXiTpc`{ogxaDmAOI5Q)UeCA9w94l9p2YV#^v2vL z?8RHQaMk@bko50da!02?)V};q0ndZ=($%dicW+-YdF#GSANKFLtG;KPLVh`8PKIB9D+>D@`$WE0BwVD2#UsK9*Z0Gm&I?mUZbR(?*nw?yl~>F{w272hw* zf3lnVPW-$TZjvq=uTiYsZDgpT+SVPhj;1verp3Re-?f$YUE027CdRV*m7Bsbiyzh3f zef=mi!D1h8ZhBCAS()PG(+xG9ZrU8WeP;^SY@|G0k+COhG0%Bwedxrv)JVUc_eR&k z5|jcP7bfZ+Fy!5@eSkbr+Hh0IRwn&bX6Cn=iN$P50(Z{OKCxYdvwzXlGY`T7I6h~a zy-=8*-f}_asUi2Ph7_6QU*T@)Y`pj)qOjly}s|wxsmj1r}y;Ng%tB~_S2Ang!51#qB*v8K=ckxp9H9E7WZ~Z9j zCT10q;lp7+ZDHM4t!}IJNi)8QPgLDnskrZ1R{fj)Rb^3U*f*UEa>x{#GbzR@bmcyp zaQ)74S>kSHUtdZ4rX)z&+xgB)={Jy{^lW9>0Rg>>EzX>&r#&=p*hSiA+&R^@&dgrw z%KNxvb_FBl&+=uOXDyFJIPMD=kbC-Izl2a?^~NJ{)-?t{j*!UVqtYy5b$z~ithVRW zu%FC1n|;cBsmlU)-TXLK9&oO1X^?$&&9~3P*(F7?>qL5^)R|ev)MIi>JYYzI;Sjx- zlkq{FrhYH`;xp{z=d}clT#Mh~09oWr6gxsESFv#qKUwX~`oOssJz0%IPgUvrP2&5n zuZT-Lg<-V(N&8Q1`|nRiq3T-Mgy_<)?XX5xRZEHf3~RMo`nmyfw>KSHvl)V0yDg{< z6j)OK`zRie@liaO(J&vyJ0Zw1%MT)hON6Agve&Q?OJ-e6?OzKq!cX-$YgJ+4_GF@& zj>;^P)c$pttix5S2x~|u51CC083*gfU#fGuP-PGOe*of0EMCgtpnyQAwY&K$=E0LTksAmI< zmYy>tO1fb6#zuZH7;4_E-@7QeVdAdo3!xp7HqZpx13%+q3v|hHbBI@lV+$a0@RbBd z=hmI(ggG(zNU}Dniu(wMumeab+tOB*p0xt01;tY3G%SUVlHwOaJ|BZ#I4dZpumm3oVUrIDgDoRCZUGZ#eB8n# z_wqxueuvJxl_;#9pOi0llR~Ka5Hr8i(-R>0)%2la$OAe-C#u#Bo&)LT?Y;a%hw12f z+kwTiR;lC@%oE{g1#J2-&P;3`J~N>_e6&KPqzESJaI^vQZ44YTPC zv!Np>|DZZLg2GT89YOh1^_9a&2EzwO4F2KZ2#%Zo-#AOaF*+unyt2k=P7wmGD0BFyMy$7-ntI$p&Wbe?9bq!q=I(QTX~O>|!-0-3>duaVsI+8D}^+ z|Lsr>*J#^t4F}UR$8O6Ehp>;&!*>zSTBDLru!s0Z2s_+EAcP$zy*Pv&Yyu(dpw%BC z?3f!F4s$~YI}Uh9hQk5xOvg=-nVGqfng9843OfJ8%#F_fKo@{U0V(E2LFX)(xzUl3 zQDz=-$_WL$GjpSW_kZR#9?gv!27qyw$y{u@AW{c#Zv2UOn6adoyYj?9EIEzBEUBoaVpz56@_Bo`0(x z-)L^W;hxCsZTiENLx-E-EybR-CVy3K#7Q=7?{0WB`TM|xcDMtK{@`se$}xKzIK_L%n>l+Ge^*R%!R9YcQX&gYT*tr)IiN)>zBFas7Wz5 zDx5JSpeBWehrR%hUCey}smjcaRK?hc8)GkYFBW7Pa%iK6oPQmTk%u$mBM*mr_h?fn zGfV-;MeHTk#H+DoJkTpYw%KI13q5MxA_KxgR6>67>lh#I85g|Do)Wh5#fIl}x4CmC z+3mX+)@U{$ba>^%%VWJQwx-%{(Q^w&p(l)`JU*qXW5o<-LN1W?9_fu9Xr?yK+tC(D{{@TQWCxoh&fl zU9LQ@FLQE==Xt@8-@YYi>c97FFVA$evT8k?`SXLz=ANhN#%slwUhw*$OW%LNaPAq&bJ9q zZ#uK7q`BTPTFbYcvrC;yf0dsYUleOxV|sW!`}q=igK>s44%aFF%Hgg% z5x=ia>+Utl`fbs9q`h|fsjiHQCy|4cc|A|^^IkHf(8TG;l^+T2eWjTQ;hQ|q%-3OUs^AuIFI z<2}dCTVk_3Q!d!XP0*q^K5%`Tr6c~u)-ok8^y@pl(o5Cq-!i%1bmT9LI(ckWV|?79 zbN!T3KY^lc6HNNnG^-a-gZAvbncHPOx9be2W%F8E)C|chF*ac*CvV81miBI=&AY+7 zZsU0+;Ztt{dIvZ)tD7@dUo&2OV#3w8NfhPUNXu=J(G?3b!%ua_$+Q&(eu^}(N%RoC zIpg^BDBj@pqWL%3+MYVkPd$a+((1IxsgOEbT6KTx{Cz%ixIZ_=iq5q;s}z6e6J+rL|F)-RNC0nJzoN;c<>@ z#_!zTv?8s`$EsIdOmd1zw04Wqw59jlvpaYuRcnp2`!R{5`zTzbgL-XwDt}S{XK=B~ z#v1F%dIuJ2OI2G;b*l9x_q}-)q+-1Eqe8~KS(&SEPjmjZyNZ9mpX8I8>}!G!iH@DS zty_y<*S|dbZP$*Rgnb{`qItjO+=$eDq7Y2E#}@j|_(y8`+KkoV<7FQ@3|wsIzngmn zCYy7z1xwo3d85B{*A&Yub)TN%2`MapGA-g;Gu{ab(_soaxd3llWrMU2os>gq;D@z) zpyPG?2nG)`%a&KR|+ov9W;*hrIs*Ng>T67~Wt`qr*B4>*wM$&f`M?PJJJ8j_tvqrzIqK*88F5>Xg0xc$3McKW2^pS zIip-epxLTWzi5}L@)Ij`X2 zLrM0-J1(DyOJkF~QIW~_Wu>3v&%JuZK9kKJA8`6=aZv0rZ|X9s+96W!ed1mB&Y28%7#YN}|wo>Dh${*(z> z(upoxw9~GNiAqfKQ%I9JXfsK6=f2I?X8CleQC;e5{SuGtmn*70uW)0hy+MV6cWSOd z-KwnB6~9is_Mw{>MOkLJQZ|-l3*Hv0e|^2O*e25} zpx@p=|42x{XT|SL3%>8u)$ux`wDeroB0`^>{&ys{6e)s2vt`L_C=`8UOP4ItIZ2R8 z*3^EGq(=t zRiQ!4n(9Byfh3FwHfqe!HQFdeFPZIRM?dhJL4n zAjE!*ekmFVbqJ$qZdfvc5a)vrU_Pkf%i(barZYK(oE{307uy|LTYN;r_^u*|oY=`V zYXv;#mvBofEYZ5?*53sak&E(&@XOcOLnnU*?GrL!R$_ZNU@I<1pZns%b)B&KS|{^h zV)rtyp{QsO)u8gAsOZq_&W2qOE?RMCc`9h!Z63J+kVZ#D^P-681!X91Sv)I`9|VYs zo=6zJx`{~OCj>@CMe{+Rb0$-uGf!13to1>lGfZ7Vx;SeF2D2e_aWIx2z2+qtUZ8~I zkl|fRm=(Qi3A1K%{{5~ccqdMy{1JuQW zLyIBkioIrXJTNCXL!7K_Xuwr~?8q02p6!@Z=di9CGrJth#LV_%GYgMq1~u6KfmsAG zpFN8XUWnV|-3I6MbP?UN*Hur=vEd0^rmPVYY;--NHnZU_zFZ+L*y(ypExrN2ZYO1h z1iHK-j9z_~vNOmNl>WZFsXeC?eoSEwuTWk9xcO4H1h^rgV>fcLrhbqWObGXdLe>l2 zg!wq@vfM_~vp6eJq;DS1T9xql?<{cac@b5-QdJ#dCThj`o#KjAf7HvX7LlolkCy~A+ z;JQ0lUVyoB*c%qzZ#cAT4gM{X2EXnou)ysGuJmqbN@3dk70{HKTz>L57r{%glDUQT zRzQQfaFx%4g@sMw!X3A34&#C*3>Vb;ys9Rq5$dC~dHf(L!bzAtOG07!?8L@vk}AyE&MjKs2{3d z;Wupn6@JqOIvKwKkAfs{!*ANaDgpea&CR=5@f9?^9H<Q2!0gb=9&x(WzDIHzr`TS7PO<`yvMWo61#_S?9g z*5h|`ts{udg7@5@pJn+<+FS)q%8r@vfF>dBv^O=-Xz=S9VEZ2wk^ZgFnene@?0yr3 z4$=y0Vqw?CqFMMweqq@c*?~8tQshN`n=f>-tJO60cTI{^Bl%XamM7O6N^=bs%Swb{ zHSGJvt5~2w6dTO}q_ zv|bU`1^adgwfO^L51)x73=nqXE0@vHcR~zopV>Cf$#_1a{yI43UK??cg5j`lhj5!e ztPz0}E(=K^AtVKR8pBesu(sDm0;FI84oks~#h+zCeDAOnG;+`Eg}u;Eu2J|n;IUHF z9vZ{lLnROTh0T1pug2%f(e%L2=EfM=z^#k6;CMf1x5%Q{0IC$2BiW%2x3ZdD`eHgt zXOvpw&8@iMEjcaM6b4Y&4pTICiPtCtmUzc_KN z(X7)VetVSr!UCSAqrvA|JFUu^^lryjgcMi>8Qfnjrsi%SaAfQ6O}VRYewM1W{xNv| z$KfQSSpRP~E;U+aIj8DR+9$V9=HbF68y#%bGlgtijDnrpqJtN&m|7P9UY}p?&bhMt z>o`q6H-}t#Ic4+x6LGx$eNxUkFSoM$2KxrJCV6sZRCTTS(7LC-IK8j*)tMdTr4QOC z$x%gG7R+x8jh$Ax)i7wuj@z+QH-EI@QR+4|coVviO zOlcX9OxE5k)Jxe&0!{BU2v5|iC>{-F$|?JKpUoA%KJR*Pam9eOMUz$i$)|^ozk#ef z5vK7DkEv`Vh313q50^n`ke-je&;)>uWOr^v)Js79iGG3uKBxfpAQFCg;R?DUEtpGK zT_V2eJm=~17E3^{+ZMd@0)pWf6NZBUa}{7FF~cl5RcLyi^VF4RhlgNx6(bnVF=03v zFl7K^$qci!G+N_4XU^64r-xv67aA}8GpURk>ly%=GXdRLv99&-evkB32I|O>_lE5CC4On z%U9RvjPqx|#Rg&bte&Y%wsHY6tv3LJcw}e$?1r!3S^BD)9oHG+(OC<)!*7z;{b z5hxB7K1f4lQiNQMRaBV??=krcCglA0w#=1@QaQ!_ zLpa-m5sucFI9d#x+knG(lgZyWr=wAoj|X((K^Ijyc0Gd89uo$=76#P012BwtnT&w> zGOKH4qQbdbc$7mp5$h0+&X_nl44ekQVZ6;`7^gj4z8G02bJ6&;p;|?*MKHQ!!sxyy z;jj=m#L)XphGCjkoZXyP?0;-|p6d`y)Ed-~y2zFFki=b(V7$EKZ;6Zz;l=(LE6NuR zNkj*sg7wBMSdXFqJ%C}nzGN8YW-!UNyvVI}2YzD&vO-)qLeU=+MW2CkA5a)CFd0Uv zU6yhlHA>!k-y=iH#KTx^ppUAmKDrwiIO9fn05G;p-2mM&T4K-LuGKfYwEIW$k0GcS z8)q~qjVDOs5gn}wNuv@>u%gxgH8be1j%E^sUI~JNY=F#oiO8^`{~vE}0*}@9#f_hb z$1FqUG4q&Nnde!VX9^k0loE+DE6p-Dqd_7fLo!4}Nhy?}kdP=MLrTKC_c`4AP`CHq z-~0LhpFW?Qv-bL~z0W@D>}jpN_S3z_RG^--cj4WWSHx>t0YOT?6{Lg->V$%@Fd_tk z+}mV*5=nGLa%om1X;}hE%DqVw{p+Dkw*y%!zm=te z$$AZCVWC6_Wo28cCCCZyT5Q6t6w7QI5T*KCQL31zH&7H7Ok_n=oo7!gE%?rSYF^7N z3yRb81cKClD@Y9!)C~n;;Y0`oUEed{lSuQd;Q)FhJ5aJXT@N5h{kM|TF-dS~U^$@3 zilkSOw6-j?5kfbRM zHC7Ws2=qzQ9Mz})sIl5mL3Kg@f{?30fkt~V1%*^iU`ImmB9JVxOa>hkAakKzi!L3{ zTbQO#pz(cx0HB8E??4IFVd|D;BxooM_u^QA#)BjTXSwQ|Y6vN!)O8Fn45TCTKx7qm zYH8T7=!KpwkZ1H;c}AGLekjlC_wpEt1%SLA`de66dbrxi&}0WJYE zjsXfDh+>K*kJMkvVqgt~SCV>08hXJS9Cld z0Sferj$qvn{%!_aPrhjj$&}U;|6HjqIw(lru#WNYuB|qlY&oyiMa-GS<(gbkZZ+$f zp?-Prs#}&O??T;t#Ta+?BHp%G#$+s()b}xu)ui&Cl$Sm2S?N<#G&c*L*WU;y6TBX> zkRZ5IySZ+LOY(v5{Dstm2EJ~=i3)ZWdo5JIS!l`W5mA4$v_Upe1?lY4j`Z#j<-E3; z&*RBex6!4|GiEh%`v$M@iH#nbo{y}N^;NKc&-uZEohCb}SusQO;?IuLue&^ynl6vu zYmsI7Vp%09z^vKzd>}x@>(Yhjwog+BFN}82=MISEEZ!?1akvvSiiOd{XXq zs;d+GCoVNaJT58P^+;#SMDM)7E&;wA-Ay~RmG=bXXL%xAOq1%#9y?8(4PXD@8&8tk zH@THuhuLwnhzh8%Z~8uhJYo?4(&8-Udse%I!uYJpLJ0Zu;D7^VgQH$8LZ&B26P3?No%|g0 z`D;p@=VQOjC$zi|cc`3y&%7m3Q2lAG-k}6}<>T;a-0pEZjW zM_#9(nnibW)8}<34+R@t%XyXYNQnOeG5-^roTb?HUS%#PKhJ8bq$v*Eib!$JqqB~F zNvAOKL{l~PAdR-VQB|3MJM(_;r*GXxAJAJ3dKv2LhOj7yePx!^y5B6Y&%?z+X@+6F zvgpvpq=UN`#kr^2`UkCLY0LAflESExm+ah5&!|@>k}}ut-`d9asFe7p(f~uzezQ!^wqY{&{l{K@H;$#0v3RV<{!#wi%a*t2Z@V45JU5p9 zP=IQ5_JkS3=!cvgLNc_As=Pj$6`W;XRml=+%vc6p&pz4d0cPDwz$r1MZ0ObPxhV;hV zk!YQrQe-s$fhzh77pZ@-{oSMa@qs(3qUTwBq^{~zQQzIqbmD8;6RS^dgN_JT1(!c@7RgkWb?qGtX+n<2Wd*9u zTDLk4vQSPcMa$2>b1y5hwy$k$zr3ME<_LW)k68tk`nQ{fOv&Z9pQo3GzvwG}@@;yS zy(+d}>$OvQ`(s5L52~4ou(vAXa=yvNv&MI|!w>Tp*1Gc;?1e&fl_;SY~pfp_BtFX3G?mNu3*2=5xtlq#1oC{I1X4KVOg ze3~^nY)Q=VA}cg5fS(QA9dTlxKl*t%A?>VjP1jPv+b_gN6;H)vX$o99VIh*@p_*Q| z+5cLow)@@Ke94YrliO88Mv0pGb<(fPlFE*_a(*tzUm6e)?Rd!hoNVZN^GxL`&dBQ(4og7`43e)Rq_#=yFVPyN6VQUFH(D5XsQ%(AeQ=- zC$E;qdlS%r*n+euJ}d7EIsd!stR_7ytkWw)x4l!OGbpI1KB00I*S+oNj|*{ZwVUe1 zjt^0Pk~bIq^hJ2&y1VgGx4^-vt;V}ZG&SG+aBYef#S@SBUKl06u6X|b?b6`>LYJi5 z5;><%91u7hB{B5;wzN`b;NWbs7uhR&CPpb~2{cH?L1j zNL`yhuzm2>Y?;2W!XvKQ&XKIm1;vf}2l7V7UR`_|F5@+FyXzEXEq$w@iuu~%i8N)(4r8gR9l1P9hWJvwrkabWX9tFt~%-Z$oAfBuvn_Fqa) zQat3H)u1zXn{L?ufjdYOsco4>_8(BJNxs}nJGXty9=>4H<4UK6CrB-yR&l z*Pk(5GNxv>*Dc%Q{1vMGEeb5(d=5^3KFoXm6usYkmi_z25hCfP7o&Ys#8!9kQEne* z?(nfU@oh>FbR{dkx+D?B8}w-Zx!W(@EpH}ItLKVU*CfP$c~n9cNBWLAN3G#lcdu=z zi?w++hhm2GqXn^K@-a=ObN8CHNG*at94M%@XT9`|v6e>Vd|UNm%WiAiTmj_#Q9X^9 zu9AZ@x#jtixtDJB9WAQVJ=F3-kTcDc|4@PHxl6cHWADOGf7`y4`l!;Am*|s%;{;8< zGDV5^u*BCb>-Xsom+}jVIDTnn%{KFkeZSYRIkJ1amYbf)Vd?yYY;j}t;o~Nk((67* zeH3Q9aN=aRWPuK6%{3OEK}Y3K^F^Z z&2&2Tcsbs!LqZxIfo^&a-_;QX$O<2JcrQfCNhOp_yq&o^i|V}7Y;coIzW{BU-$2mI zNAKOY46?Ayd%uevHPe{q)c_ymE`Y})Q3}8 z%5C<0J}@E1lgLEGZbcFnJ4lkpZ{MGZzukNC<$WfulhK2bb0dMYfli|77b{ zMh`3Q#uTM38IG6F7rQGK0&a!@-h!}U550@zcBmt` zv#xj&Fp-5nFwMoC=OM!Lg0VaN;pBn20G9z)B30Qi3Ph=Uk0Q8xI99yLG7-!ta1}TP zBK%c2ga<#I_!k7%2K<1;;WPvM0PN0Y7h4235rtP>rey-YNlhaBc{q0fKXYjWH>Zi4 zT)u@6X=@b(w}|7#6Y-!d=wkxo6^@e#Kf;fZTBN~XnisgT!j)z4gE&?Dc|a6u!3!=E z!Q2KAM5Bms;c&i=u@%a1-3h3hTJZ79M7Tf<)}x|`@KRu98~&u}06`bQ?ODDD(hE0h z83CgHUVPLtF$$s#fx}YmMic~AhGC)15{M{{f?X4|US%GI;Q3ZkFsQWH$1tE3b4T#i3*tR+|5Ju*mi@>Zo2qUvYp;XusF-LYE zq3+x3iZ~G&xMOUANC3(P-7N{> zD%x(39@jpCn`Mp|c+q}^hH4=h8h0MR&QebY{ujL9971-V$cC<4s+0)2CcvOEPk{f& z{JypV)HNZM%%3$s6q=&9D|y$DLld`tQ)4eQg`W-ZVrcQbxmBMCIbk#nVzvRl9j|l1 zlLL6yT-DM8e~|bGP>8Oh-vW$p*C*|>;)(-r`!#)+ii*9%ADulD!EJT`Isp`I;^c$d zU-;5J&{;j)SptkIPacgC$d$7;L5*|8)@-mcL4 zWDs_7@-_7F7z*`$aU42Q+-Rf$5iG%D^TFZ?+S=HrCj`SCGwU{U`&A~wy&(Y~8HR7d za9#r^4m0Tq=QznPK(7)#-bpH0+M!;N7qze|i|jYtSJ^`hv+sd*IGJk;BkV!vgVzsz z$`+uR9z@tPZaV>a3RobIjhf9lqwtI+aY*`V14;emHj|nKjS%MGoL%^}IS$D6b-{Nc8ghN;iZ4VJ z#T`|YS2ng65+YDV5#ruj*@`NP_bNp>4g$uJAj%6w(_}ML3$Q#~-_i06Y_<3{HqdhkB8A;hXoLf@iB`)D@2%5Z#~%71nL>} zn77fkJy#a)K6mkt6=M6ZD}?x~=o0^=UJYzlu3neZrXRo~hc376McWl|GJlW!XtZ5< zvb$ykZC5B8w`1*!4{TSG4TzAZMn$h7yL%|bcx`?Y{Q9@M_}A2R56 zKQsal7o22g7&hC3>_G-}43ueslg@Weg?g^N2FC%@86`FBa;~lh|IdlxJ zzKv-Hc%=FGH@a$`7nEol_MGz-+J^1(oCr}MMLs?*X+YaC^U05BJH{sR!U%r$SUU#m zKYSZh$W;xAMj3j%t}V|91$4q&XpHWHZbBr7n273GqD8o%o;fK(J-hE_xVJ_MRKT;W zo-N-m&kB$L;_w!j)NsVMTv0<&8QF|j-Rj+-0y?+*Uq$!5o-Ty&&+ahS)^^*Jb6zSP z-Vm1E3SoM8Y|Aawa>7g?Y5E)_edf1mQL_cH<4$GTYc0etk;7ttWq< zG8K(7YUtZ!TL&952rnRmaGT#XWY&MT5gKLBP#D_&5yF1ckQw|7*l!v#f@bp{bNfw0 zM$l~j1siEbUx{XPBl=35L}460SfdimutF@rQr-~bbmEkqyB!A;{_>bjSgy*RiRaL^^<8^3}Mym`D3g5 zS7Fz_h`oQex-bJ0Dh*mcn1K-5>cR}9sL@wO8Sr%=K zhjSL8x?b&hU>7)__2ajaEL7JMCcXc?u4|SpK(9xv(?lN;ZP0Dh_WiNb)GT|3!hTVj zz+Y>IK-c~e7W6BOK-c~eX80?NK-c~ew*OZcfv)`{EcjO#K^Ogxumius2)gKhgqcDZ z)-=9SOAt_&d7?Hmw7s`c(`kiX!quUQ6;Ry{REvy~LhsXK9pCd{huh#- zTLS(d@%+%=QTLLy;!p^)85z<#@}lkebtxjKZu|N!eM8l4lc(p$zLKw~s!30ZqN;XY z?S&CKK0}9xu=ieRR&C(;4B}otR4-eA-|nxf7J{i7gsqIvP@RD=2PgHiXDI9!RSU)H zl@NyN%>M-z@OQmJP7*40TGTWAU)5_QsMnoDW@fOPexT=d0uRbO#1aj|a3M6{%K?t^ zU;ThPFL0DUbr5bX=w~7fiGJ|m8`%59odVFK`M>~M=eMyN(Bt)MTA~N^jHO-gxf=$R zXr?18TF>MMgQrTY-|fnBM1a~Bwo(kYVJjtJy37272?*7Bie;PBck9`^@XH~aJ=uScMi2bbY2+}79`AYYP zIduK3niqg$?#Wp0ZuwdFPhDtwF_s>VrNif&jNQ;JKf?nxa^j@=fWQeomJX<=#0SE& zVZAOKX8ok{Sq(mB?;Z9UHaL#69C_Cgb&J#xiX=;dB1tyeD!Hf@9a4gj$$J`o+S8}K zR_QL8|GAYpkVXwTj3fZ(K(Na0yW)<)DwBFD*axf3BuF$P2~Hwh7ey9;Y-C#@^#6TV zDH^Od4%IyREz}i?0vlEV1<)OHCff=p;9;z7;fE|+e!>{r75vf$e2)zgLqtbcv!YT- z-4b-W`|lp?bQvu3sUb!h{E?b(l4G1t;+dbd8)f@Ha$c%eJIr`8`KyX(NK&7I*Y=r+ zg)6)W_3YNJVme;QhTR`eQYNsqZtO{OxCL6v#>MBqT$P!4(pz<7FA?;=M5rB}#A4CVWvO%#tS)2^NwWhQSvc5(61 zW~FnVZ&hU3IGj6v^Jntr$g0QH2ZPQxFXTLMup~J`e&Vj6y%F(*Al^etvhYK7GHvLy z#qPOza0VSQd2d7H$uySDcWs^T4=`_^#!-B#$8Q|OF`lwcNz~W$_;8-wYV3yZN7Lxl9f$Sa!9>Sc8}r#EMt5%;{Ek!oWJzRJ*0$iQIx ztTSG{TQu&LuE5p;+kpPY>flKEcgzobD2^TSjr&pI#!WY5`ZJgMjg#+@M+bLpl^!yE z=m^rp`d_|CKWnF)k#ODW^J^DhKaP>S9F=^54#rcec}3(6J}>Nc3`K7jcA!bBU+>KS zBI8V}1&`}>%PzBtJ9#3xh*pBacNSy*-9Wrd#G9JfpR0!=A6nH;X5%u z8Mz-?*V|k?YZARLxA+U0d}I3k!qZReZG{gD4N58W4V{>OyJz@*kmXTU9Ioxd5@mwS zzN?!qoO>Q}uGsdyUEcRcKdQdU*C}KjnyPyH(z>bSgb>e#>h9iYH=1|N@=m8Wp2&!? zwwjFPx;vBfCG-?Iqu1zJ(YrnlC!4PtXYvs*2#L}8S$^MM#79I;Wz;@0zOVZ*Pb<}c z&Q04Jnhr(06BALs;>MRwP2AP-4~f{t_$smU!^J3*`GuuxIc_&J(ndo!`%YY^iHDEw7ZaDDSfh$?Du`;3py8NW-d=`7@PXU!JdE^Ln{3&Xgk;(;O8W zq7IjqK(YPD83$qBafJhzv?k&X43cj?It9jp)4~ z;=GY#JUT#bL}l3ZB3nQNA7%V=e(T{wwfxseXbb3U#V%PbQNL0!Y=|rqId6H?8Mvs| zM3S5=&{MzXP}QA8dZ?MyppD*c+u8lTr%2w+o}SgHk+SxF5I3amd0}+y+vz0vFWmRw z_P)WJM~mHhL?RuCCC3annPoRkP|ghAdY5iYdh zv1EiC_8H697&#L-9ZHx(&)2nO_yRl*u#*y{S9$R??qhNHZ$^)2YQ9c}TmL_lbv;&A z8jvg4_aB85gJp$8xRD%>UV-w!%mQ9ke&6ot_o#|G*LdIYbjAI1dK3kWWg<2J3XAn(BTm`G*EPVFn&4E&r+Ji8oF zmtC-h;Y=YJSoHnxFPF6i2VX8+1_r?hT5ua-$srN0z=Np9FnM`*J9dqc;o*+IQ7{mw zcrPjsfPg%3BLU?>BJ^AV5sqQ<@*#}aH6WAW*uLOQfl8o$ITF8nWS53@Ps%)l4&3T{ znE`bZePSRExWzbwg20vGRkcVA!WWOY)od$?-qD7O-;+z7|fj^xy{F)DDTz2MYvfhRFyyOkTdm$k=NEqqNnvL5Ac0 zAIiXul>x5hli@b}4+1t|0(ejXJpY3L9_(x81p?40(XdMR&>KD;w0`)}8$tBr6Ii~+ zF~K(tXo7$MB3hsyA56q9QKBf1^qLsYLMUAfr3-`W6>Y-k;wT*&X;#xWqIBr2wVE!0 z(#3wEOQLjWwOP$Ch0>w%W;I=f1&H3^i99eby51}U+8)$UEvqH zK1x^og>Hb-m42ZcY63@G33FwF1lXj^;cl#;F228{EG}vJ?1qj>hjM#phc&V#tB=vDS4I=P z5GnF1>^^r_F5$U|AH9%DUX9wrAO`>F_H*t(kMPEjj~Mv8XKi0sWl-#@J{QLMW60OT zorJ7QXZqzrqDOan>^I*p+fQBnXx5SQx*^TiB5>YwZ_m_zvpaT?d4;|leiQ0)UOUUj z-+HwQkt_-LiyAMI+n3O>Y_IZ2B6my*jblo!nVK6tvoCxiv4&SeboB5;4;E?ai%m~t znvQ8vy!qlV^ZtBEqMLktAbU?gAN#ir;p?3xM$#PEQ-!VWx=PzG@-|Qyq}MLwTrqH; zXRv(C@3hZDUp+;Nqd#O@^_!s?w289p9U`dbShK&t=?B+Ul&R z$@aQF2$A*RtD<|GEFKWWv+YXq=dazx?&)m zMQI6V9+{rmheMgfCZ;};mfgLh-E`>qlVdl86Bo&CW#1R7MKS8I{!9&@(%P$% zQxFg#Hcd9I?djkg@WlLeoWVK>xmA&cj>D#^_(4=-1RJ z;#f$FX{c5&tHG%*IUG>hdLc)9Ns@P1fYG}Cfm@YOb)8C^xz(HwhoVN7eEq2y`gNbJ1(KOS=%!En-$* z^!`kt1)O&NCx;(uW_4;ZGqTgkX4;&BzVEdR;Xb>H@q7P!@r1y%j$ne(t%_& z)HxdXCXrqICWvXSKn5Is5bt`@&MBClBSmH>h{nrFJSd)ffC%}9rhjZ8@0>)_MJen= z;i@NLBy!5m9cUT_mG#D3Hps!K5=4-~#S%f9s93haC2P@r)OP7`l{RhI3k^5g`G_K} zI$R!>Z{g~?B@KAUTjuqfuwzBGb;H%?ce@3WV32R-rTQhxDrE9x&ypi5-ON zvG&y@U?KWacBol-2Dn8c_S1l(U6;udV+O0rE*Z3yy6}8KQ_HT;QeYvsjGjWDZoyj( zEZ8ov(Y-->4IL1Bq}~{xLBk8h(vfcm=V>`VmF;szoDlaQ>W4`lz}aVUF{H#3aYK$3 z=j~#8n48=QP9Y;fz7SES0|#Mw(xh%O?*vXrBC}&-sja%ehX=+Thi@sTY`q;5w0WdWdgVfU!NQqnTWGH# z*+s#(u&Efn_T705tv)3^{x^uhlXsfJy3abpsOf0~i~@P|oB7OX91#oT^keQbm3G?@ zDQ36r^hVe>+9RYRGB3W<_M+Y3{u8Mp^q#W zX(AfPg2TGUQ4iOV(wwn_1<(xg`UqQ!q1NcDP!J6*G+XI5_&tP`(6^Pjau9}jG3ETB zn4$mmWCZ(Zz%L0)7i=y!QRUxNXvC>Bk@I2%|UWI3=pNHiIhiZ!I1f%idZ3dI|a zh6q2z139h0BD{^bBrg0+A)0lC*M2nXkI`*t))Q#fBz`(r)~x?;S=;=bwL8d~VRhDi z5nZ=nwO>AEz=~G8oY+pZ+T+k_?+h&{cY%e9_`334{F`cL`$M&}gKDRc+=d{elxJMf z=lAfd>w1Y6BFx}BT8L?}5C89l;D{aD(#~8{unA^@6ps0H0sA?4(zgaxXeNimX3RO)|uQqKR^Ot5B#D|X!$7G{+KHTcRM?r@*6uquEdr{L}RfDItz)J-OE^n#Gl z3)HXed?=5?aWrhqlcuB@KiJ?-Fl=aMy0HT`*IOv)lfB@%q#=~7sC%iZEA)!t;kdMU zzh}r<;F1Ck@4zAL3%q9YXoDa~BW<$nT5CkO$%3kz@i>}Ji@T<3f-8c_( zDc>mKz&j6{YCTo{Gepo0M(!=geW>xu($MZ@U)iVu51^>J4jc)a>;i@IfO zI+O`z!zOXHY|*vctdSFt3vxqGqSf3WX!YuHbNxPOHC7VwwcMP4suK`{f3<9G!f4#k zy~8$Q>}TqvCk#Pd1hvEFwnU!$p_d60FmWB;4gZNVYcI^&L|igsBNWCE<3s}d_K*rq z_{QuV8yBD|mGh9A@WpQejUX|y+f}Ns0?qff(>D06RZPKXOZMuGo1mq>ypVJ^-}6oF z7^`$&1$?>5fHewbMWq5(RO%{LnZH?Kw_h;@r9r7sg;q{4VY@ z<0I|hr_i>)=PC>{wOUo>`yx?ppsFZ0jPMV=+~6yRpUvu54kG2R=BAIFfL{^hMvv89 zJ`Vtv-K@!*6Z1Y=yPlK(V2p9OQ<1tmal+%MD`1|1M%WRuZMP+~6~S zC4jPFH@RB2@>*{289*+`4bOyL&8@PQo8wP)qPmtF#8!u9ekdCn>Q~EFTgy#md83^K zevBl^He>U}Qgivnj%V6T+`sO~ZcW0OH36tEho z@du!W%N(o*2t=!4RgRi}0BZgzN3A~qwOr=l=o;n-1E;Mns`ej%+Aec&&;x<+Syuyf z{s7cjc}5qP`-5mZ!#Spy8cO6qhA4b8PKTa5$+J}OTp(D#y*#=#Do~N&xj?W^&VHn3 zdFOW=P6GP6IAdarwwfVkNU9|VOPV`Rw@p$%6u?hG<1qE#H)vI}c3INR!DnN!? z-9m2!_CTlEHwcpw<9CAAg@gjpP?QW506rAwJNZWM#>f3+N{RT9`btuOMLRR(0fp_c zo?KT=QswTBN0~#%Dwf6)r}Up5attKz2#dC{AvS(4$@`@{)_=-H*&uM_=e%MY3-iq_ zw#B+(+JQS9(&S}Ld1`-hmbl24IUnCn|1DGYVChD@eFK@)IsGf&O%h!%UTp2@{^IZ~ zyV7H4PVbRqrGpRWcSyg^bY8k+rI9nBdCPA!VzaUd#rPYMS3#0y0zTemdHf?KjCS_p zW_;w%ZDFs}1#4!@$9o-`CsLOLb`v=rek`!wO<26CIpD<`pYk^|Y?F73@QFKvPV&n5 z^$R&Z&(H1Dx-D{BR)6e=g+4ftGeR@uVf6leAtY`G~$`#&Z<{`H|ba1*V z5sKX&1-*)o%}-xQyVRSe*{?C!qoBb|LY+NxG2J`OzPsTvdB>eu?MHNBEzPE9Z^da$ z95>e(TlDmLr{I&6Uqu|mW+{+O63KL~p5aGMc-8k*x6Iwl8uc&Elo)$tIyM{;3TNHW zoA*iQXQI%6)2v54&AkzwVBdl5_X-&(1vNISoH81gVb#69UT5hJi^w-_V!wRW`wf0u zvo2kX&WN^;8DTTq#1hhbgNVh`cQeu)Ev^yACtOZEluAbw@@*}rD*M-p$iopn-z)o= z5FbzPISd$8mF=#c5NTk$`VYtphsiZUZ^-FcZCMm$7%TuYEh zZOXKjbi4h~`R32t3b)pMHshZb5`ErIFWRXSc0Dge=++U_j=}9LBIS%K-wwLx9w^In z)Sgk$@7HEjPTm<-ZZVhieP;jL@|^h-#kd65x{$jc#||6Z{Sji}b%~sD=Q`!Z(;SDb zBljy*ox4Yqw1cjZJ@_tjsEbeBhS!1LJFLn_8mv!QU&i_Ma!5AT?v=Rbf4_L?!G8|P<=JaBcN3X~-%CFa{*mH-M)REtD zuiRc~4z17Aj&6nDyPg*X6W2&@or_X;caeIYThC16*6)};>+B!1*aZUDY&nzAyjA0> z*W0Q*oo~XXQ_a+iS2i+wl_))ZP5Wg*e169Sq{cf*qE*<5w!X3Z)w!)~M{O+P8+vzNyiME|;4<2L%eHp*?;j{1+ zq7?D|r|FX#o&G5)p5LqDjGhl0-MC3L_{xJTHRedzdB(?#jaz0b`)9NKSr4dpo{@f@ zO)e*bIz5ypsXFR3xV$R{fAODpf%t>cs=aJyc^&!dBp<)jzx$QFwY1uJwaBy zA)uvT#Tx>SzlmEg9yrh;euv3{UJbxC0`-`H-U!4vI_w7eDWC`ZLf;GMkU)%M0uj?$ zz-SE`0$6zxfXx72qh#nN`H4H88Aji;pjo;a3)NK<3I&KKY_id z-TN;A#9MiR0Ld51nQ2=%P`K)cTM*8H!J(lUoTa-LmBj#V@HlQB%{5j{Xx7K$HlTDO zaJ^y~!RS0F9nOVT)A``DOPE7L$w~q&z*Rhs4@E#z{z?KSi62A2)u)w&WduKpAhge8 z2muTM?eA+40w@An=~ogkgdm21Ht&^$;46)W64xU2~&V zE&vb#d$<*aTSge62t$Gd3}K8R)>^;8`!Gfk&}zLR3PYHnbl5MfrkkR4*x;|Go1t{r z_OGU!qjcCc64K#0GB`%CY6VT?c$_up^(4%piF<`<`FX5eai9spjsZct7r+8~8bC(R zOtGfpF+l~`paM*P6<~u2faS$HD{uh>05a-aW<$pV?D?Pswx|GeaJ{AkwwM4|5m;vl zE`R_)mV%wHEgg>qAmEpyC|QE*H3IDSf)I%aezgF=54>Y^!9!cEMG&J&&QLgrie!xn zcR)*Lvz8T{AMOe~Ab+hNKt_Gt9O!s#0f-2<4XmodUsoWPL9{33Dea@2*X&I+zq;-! z`Z2hBN%_Te`hla`T6L;P)OU2~j`SZA)-{)ob@I+)+8NM4_UV4`Y^wk5=h@E1*{AfB zYY$W?d0*9PX=h|~|Nb%YPSoPt+s{s(Z+NDv7oKU|(Hn}kk->7eYhq$XK z^-RxKlF5xf+e^JAC2ux=N)hyke1Cmk@{chc|FZSB1>H%s=B`hV4Qm;+C||f6-|I(P zbVD`g8j1D;MHLSjS`DwO2RLN93YAVP<@?dgho8jDI|ZD+@+Q@ln;3u1dNkK2{?r4p z*va>#9dcIH8+SkWdV1-xq^TBBx4Z2QX;BBCQR>@U->x4Fa7^8ERsTbF` zinh`ddvy@st&So&c*2e9!_epPpF~-}v${G}At%1b<=GoAV)NP#|2yXESnUFtjz4r@ zJlD^0F+(xu!QpAzkM?Br-3RkyTqzt(`Z_8)%(|I_wweC2>MR}W(r(Y3ZccRdF?_q>6Z6H=VBY)tsR0@xeVNPd-ZZc1X)d_Um)A(t zbn@KU(qp_&TjUzc{cmEzEgC^~m#=Dd=C>+jlWur1T5R9Pdug=idK;XTXiqCP{wjg%snjqK&Rk+Df1}fu0Zb2_sBZ(cjURpbgQB& z=3kZ`7CCk^yNJBU#6vW_A?WCdu|S)gj?>5vI;ro^??miquAb=myrCzxo88=u)s8L2 z6$I-PFqz!w&_ZF;u-8VuZoa49jlw4KTDjV+E04m^dV!-OR{}0|2Xd6(5v(WOL|J!j zK#NY;_8NDEz(8){)AT{syHmSQ=O!AaM3->10AKTCHw><5Jkt(K&^>iJDwsT4^sq^%zFq&jyV$Oo=B@3YX7V@;hp{?Iy{N*uEShjeYpwoS*& zj6PRwOg~!wB!A#w*$v`s<6_cbPI^JM7_T~do;{v!O8G7q!iVK($J8ViTRpt0y}Eji z8GWn#F+HuUS_Bg z7$JV|sVeb;tsQV81A9Om90I}LjOYgSD6{;rjlc)N9uLQ(@Q3pRBP(;9HFn%OocH=v zc7;}ezan7H8<4xrho=Lr{akJ2BM`i7=`Y$osQN7E=M z?7X4v2b^@ip;TPdrWGY;QBazpho{4{d=%VKanw|uZkRY~J5SV|fk5246>&6wAG)3o z4o1H&rMP_>^>XMJrF|?4m%_7;DRUjz1x0?u({%=-A|>eUcwrjhIqvIMJRlhCyy4O^ zEaA#I?TjmFOm^OAQ3%qQSJGGrJSX%(rw=_RV9ko|W8Kr4d_yFM2vM=N9660D6Fi@}<KIQKGbs>odDdVIt~=y)i`_*{pF*Ty zm)#`-(=ulKN zszraFZ3K-seSRF^Y@^qysMSe=iPOa#K3usE*MS1Ks{ioFB3T9WunZeE(?$L%8niWd{#?8H! z8`vy(5O`+mUna*=?NsatZ4{BTq0a@C4@?A@d=_Y1fThl_-QY3@O)hZx95w4Kuk>R; zo<9J2{siRx1CZBc4jOx4j;OI`RgQdr0J6KxL8~qVLIGfSDCd2Cjy|% zFJDZj)2du$*XD{U1ng$=Yi0kWhH`6jB>>8~%t31ttRz`Pc8w;<{{blfi~gckN9>E) z^atRk6~o$U;~@sz{0AU-Eo)kaPQFzY1PsTkm4P0<7TDM3*DAQo!R`(|Cv>S;{lzG* zRR#i}qRSj?5g-sYFROt{e*h}|@~L$SuPQFEF0U>w11v5qWqz&7pDIapZLS1BRhK!~ zBEaIJmi1Liul5HZup&bM1fquURX|`xMvMAa7eSCCup+Oquy#tUdQP^r&k5}L@TI^W z3HCCp`!Dvj-0Z)cX?_Pf*kEls$69V+bBAL!C>sW*S}mJ)E%#sUIPhF~XfVf|N$|j# zMB#^VH5{lU-=(2(-u)GN687?F)TqGk4H|i&7M=ab!SK%a+0)ZS7Le85n`yj1{{-yG zxA(Ev)T68**bmA|&(1*SyYZ<>QR^?Pnrm6v0ISFd2v7ER7$GJYMhKPcqE%B5CF%po zuw8>;gkW<;;>bo6$3O$eCStbGSRQhqWE^Z20QXiEaLk^+Q`>tnqZDq{SGq#&7|?Lb z8nH%BU)B;PSEN(hxXa{I3oP18U#9r?yQ5%Uy-hkbs0WfhzEKP2b=HW3A=B4zOdpT5 zCn6aX{&aDBZ%+@D-n=(BC_dghcD0%k{9sPkB-Rier0bRI z6+|JK#MwjA{aPEpwN$#()rLp?$DZ(Np`1&Jc7d~n)WbRbVt3lIWV-wdt}Th>+_NPe z6tR$;vnmO+=UMW&Oq*)wl%clq=!=V+L(Oj)D^j)#ZyEN|oHHqPN?ONZ*f4RtpU3t< zwT|ftu_`7F@vfsTukGTm#TZCEB=1U^8?=7$p=`^W!wheN_(bx*8~OeW8E-ymHSb#y zJ0wSx6Wd8$AfG@z__=Bz_)Xu5^zTO*FGi4X44210Qc)VYH#hNUzjMr-en^{**s&NP zeDbIJr;02$jGSyu(iC*cc#z8EVBC28{+z`~U6OXIlarg-q+*ng6+K5vP*=UNYciAU zm33S{>qvIawKz2v+UTC37|YG%;eGZo`-?R*U%%7nkRtIr50klaqw-to$< z-`0^^;MqRk@6Z%ApYj|g6BjMG?(~ebJiY$h+?gP!mi9fj@)=S%3l4ekvd3vYf28U& zw-ionwJ9Vk{ehFg-S7J;-!nM9X>v{HoZ)%u^}Lw*_Oa_+#l=6AcInt$qPJ$;&HOz) zcCUrjfp5jg<_Ox_+=GYr*{WVSTST`xbRSF8p_pCTiXq|ONB7rt_dAzAW^CpPjk^$f zuSCXhAiG?_fBmfe)3`{Ito<^tNiSWBlNZR#NI8NJI{4#msfJIoc-#kCiIE5FBM*r< zFW;k8GZ~a@@0yT$QMjRUVLu}J=^Ky1Cq$Xf@q09^p4sJ*)V+Q> z!xiPxOtfuQFDv;+lj)-%O?`3A-3SH}?J_wO|-EZ4@0{pckxp0xPrKcWz*a!|zYF6!H z*OYz$CPZ+mL~M>;!Uh1I=FSYrkefJx2RQgIpX^?U8DUXt?gQ)^E{v{NZBc9P7mN;< ztWiTP1f%9$9D{TVxW>%6ERc&0%*`Md8xIb)BA7Xs9lL=xTwoLBF$d;V*jB-7935QN z1vF@+#iNPK8^9qS{1M~$F*sZ=CdLV1H_#-D#|iVS-5Uv)wV?qK(&2NjEIng%Y-1@D zw3?1>EQK;x)3J@Eu+CP~v5lp$ZdTK=jirQ3{#d@)#!@(oUCobeAcf|I)pQxO{DdX~ zOpYu{Co~CTbUBm`Yo1UJF-{1qlCy!)Dy$O)ln1KC3J+Xgf#ZFzq-WP?9E4%;kBSV7P<4edc|D+r@w z8$SuH)EFJx-bq+-7#-WhN%)W$9owo2zo(Ulhje1F-4#|G54Z+rQ!HC6PI}ukEM1`5 zXa3M~nkZ11Av?z@nwNZsz)Ui+y9DD0#g8mCr|=t@t@eC6B8cBbW_ma)X{1<5o8#k= zOFr$2Qk%F7H)q^6w+JkHPn}Gy)YqK3<4#Y~Flp7?lasA63v>~Ew9#P`0y zeLDT==k?l_-5L4sub5POJE(26eP^R)`LoQZVawj@d!h}maS)l?+&|SvJpXvh`1K!` z@(Tm!B^eKOWVYH6bMuFfmxvHAWEs7>lrCz{%afpYA_ehOY12E8%*SXx99EE+>>A0t zYM?SUeVTcbM`ZrvykwW)D=INXK9Nr+BXbt)$_z`)K^Vb^XJ0~Ii7Qm=h&lNjHBB-x zPL>$Ki?}pC;EdxHlxfrR?QyAh$l+*x-kZ8-By^`q$+6MHESyIAG^1%-!e_YkBqqfK zNjGsK{!(QU?6)a990zdQW<>L7)DH6|f92!mR4Xg?UpEa^*)Gs3~_7(T$K_RlGNl%h3lIz78Sa_3B8;D-eU#H z=pHM$vQ-B5SY?AfR{PCzF17B1^WH2eQZqp~Pk~7}AZca$6ZzqbD>-a&A;=k5Pp`UlZC_}y9$ybPSj667g(4Y=% z#=;?~ii}cJFjI#frm{LX3)FF5_zGu%9nxbvQlA=y;t|m_#D|(Vm zg)Zc2cf7>l>*`D=O{Vc>N=^eiAH<4_&wZ(M{mT;=k5iz!q`b~5I zv03COh(mLG%vm-A7eiofaLR?{77TI|Wd`NuDzJqkeurJ$WhL-c>l7_|wKcTY!I@CL z8Qx_GUFD$P?t{7xbAwe%SQ~Ja67?VFCfw=(Rw-AniTu%j9L(}obAwslnpH}4mWMhq z$8fIY23rQ;><-TI;Ht~YEDz%*UCT}Sr)?B8Yq@EDKRd-_Z&=Gs894!8BP?oZOei;4U_-g#k~f@z!=ggPUR_jywcKFI8>$85hDx=X z8$8%*+2Fz8X9T%nb*$!QS=h1Nf#^uReiq3R^i@{b0=C!E%3e z0<#Qgn#zRjm|#PZHt9bAdzz8Qmyez+M-UK!aKDhq#Ac`fud_wVHlYeoxail`+};LX zY5pF(OBPz~iT@uc0N8-E`jra*seSlw>hj;-!TPne3U;GlYh9~r6^E(IuJQCfEBX8j zNmXo?%#MZcG;}Ydu%@Oi+V;r`d|tF)<7FE_w1<63QN75m*h+#_IYtuL8L06#l9ra z`fK)~p#q}Wj9iqGs zc%=7Q8gY`B1i5f7Y1Ik|de|n+YMUv%FQsTFcDEf3OkBs)`yNHzhM~n%K$z# z{->8K+^@c^80pC&wa=)_+*x^;>UQ1{-}dzQ*H4xrmGF_H(HR-yaU0)ER3zyo=ktZ1 ze`q^v=T6cZsvAUVqg(v^F&9UxNN>r*$!H$-)K~n2!~q*$-A!@zanDnbD&y5Bx;B=3 zMULH_yQE*+SorqI`_tUw7iKfm1E1GaSEp(5L~TDYT=`aTeQ=h|ot@?ej)SyGocMEn z>0YKujO9DY4cqU}4Q%kLx^y;aKeOE@KY3fmAeu)4bQ}SdsqgdR)V3*UGIx+FAB>_C zPt`FKJj8ah-h;{3&Y;TZIc+;}P}*Y)?X1^dd#E1S=}DgX_I5IBsx_t};YPgC&5!Rv8jN!w44{aBus1l@b6F>oG_zOP}&#Zi;ajt8HHe4i;-GzV4k&_22Kyx{6!u( z1soDr2_yocsK9aF%QLHdFtf^iEY*GUV(WlDS@OPG<)^$eh{4Hc#R9RnYwkU~@O|J` zpz&1gwwdng&0giS%r>uk4uxG-??|)?R)bITUuFXI{^bGAEth-N^HZ#oWvKiv4Yr>o zOdn9YcIG2|K3FY;6N3Qnx`&5OIaM*)SBm(zcQ0*;sL;1f-m9;H(BYW=nkSf>Bhpdu zRBZHzJqz+60UxqVaYc|8aLO*l%8MqPMKrAY?JT19msv!V3cowg8Q84vZnzr9#QNqS z96}ds;N3~!JYuo6`YNo9K;xk&aO2bm!?Me}cfzZ0ENT3^EzjS$Lkjs+JJN>Xc>w2I)ZVcN~`W2U!WNAd3^&QcAASE&zwg`LPtc{ttI=9?#YDJ&xbYQ;43( zzQi+I_BBh(GtZJOSt4YMl%gmtvXm_)Th=H^2qh{iNn~kHC0e9SR1_s?(T4iXnalf? z>h*bi-rwIJzr`P(XU?2u=FH5QxpU{togTen$`hxWA3-*SFxQ<-ms$&Tm!06v-slQ! z8DX57Q4rg;@?p{`(5tj!%B2~tkQM03^{yJSULH?!!&%i+f+uyeBH@t2vBy(?z(bme zK6kPRXjA;mRRk(TBWWDE!RR4~ATBjsxdR4ESjSDio&EqsxSjf}2@9h)8Qgal!Q%Wt zaBvk=^h-7OWz(;Tz&30TGtj-QE#*+*>KcdO-QB)Xp!Da%9p6FeBqI(P8q~w{uEsks zt*a3CDLZJRIpKRxgG#A#7J7`COzevlmR4Q{?_M0ZILlVUN@pcK)&U+EMVE1_R)G+% z(qXd+UkBmQrCsa1612~bY#N^xG?30*ooyl1Vyyg3`~L2`ya zmu5beoRy>tq?8Gvzqtfle79p87*n=G_k_0*_>kv?r%b&giJvOR9XG&KddE5@aogpP zA>}}sGs*3D>GBo+O~>-Nmxw+7aWJ-Z#uQQB^tO=23imaLlfM(?O($&{KtL1D{1OMhf7?4KvZ_wb_8 z1u0_N#)s!JHC1@~cHdlh-1EHuj_RH&eqI5Y3pFkW)(r7-DsPw~w~6$b5j8gA#`bT+ z(DVAg@88-o8pd2}Bm>2djJj5|X$vrRt$7p-?hL|i01tkHy&(m|cmubEg7F3}DUi~D znhQ1*k<kjKUD<^_o@MJZ1@(k_Hj5%RZt8KP%N3QDeqD>l^|2W^sNW!*(OhkO}hn(+&;$md$ zNLmhsA&`klQS>>h&apK5au$R5yEp#$cW?aXo(|=WVN8s9V;GIt8^cik)f>Zbp}a9b zlsA^>hXuW^2*+|q7_skyN?r)xd5w{GMJE(Ez^GjpP|_!S^YtB|yt|IGpa>&VO4NiG zjv=|mBf+c0hkvgCclWV?*0iao2b!e&PE;Y(oz4!9Vt%{dM-bVe#$6)^&q^NquTQ_U zb;~L6f5o+RI^YDhl+oJ#uRQ|pqDm5PaM9DiHO>F&hi_i!D4bZec_aGv4M|+Dxfj&^ zYHr;GBJ=)@SKv#o359#YlgrRVTD#)4g;>fyayl-9EQp_<>xVz!)FICk9cnpJB*aPV zdJ%L<{XCQ*$ocZAt?JkLFxlswMT`p$ml=aW`At-BYvy3g%^WYQ1+I82S03Q>Of- z=!-bJ?|4D;7Vu3p_6GVo0mIc$?jUKNyL@j%(-3v529X=Iwr>;i%e#)Y#wfbwy_}id zKJTE-0WJ4$8x|?u=9@9ALC1e!evnx8%9H*f=Qo}?#d4GN_P!cE=k9WAPdyH)v_+~K zx6P)0yCN&F^UOBpVU~I6&qDzhlJCX^X*s@|G-1o6@aynl{LPy(_%VMBFb(md&_{Uv24Xl#epUn8xWUW0 zcpN|YL2hdrEB%i4hA9oxuc$g)xUhA)zug|4j_a0(nS-mVv-M=An4F#v6MNn7-n+h+ zmmub&TDAIs+U&mX>eDv|nRYI4&El_b{vk71-*oeH|KXj|ovF?lUqqafo|sPCTV}-J zBX?oefO5n=$8BFlUmW2`5otV%0xbhj-=(8riHb)~Mr9JkQvrepNHvrJ?v5S3%OjOg zf_j-JJV2_V1iVt>Bt>vF@WMU$FV!$_kxr)h+huAJGqxNKy!k2EF;CI`*3;E5BQv*c zxb`S|&!+o@bF;LB13%*W%pU$RQ@)Wu(aGHC+fnYd7kNB5=NLV>yj7`iiQlp%BEuUF zmJQ6NPZ@dMOh2wrC||VPx0EFqTqb?~&cl*0lWS9i9lt!e@;*PiI<;LP>{-tAkQk5k zi{50(Sl?eqGpWD8d>3)fK>D30i`I9@IZEB5GFrxHV%AK121_|W!A9`K;TMNK#qEAH z!86Ng<2m0#c2XpOoQ0|iZ>7*x$E+6AV*h`wD!S7d+M9a$DnT_qym&=nt6HQw!t>*V z6qSJYYiPno@6M3_!SGZJ!`h9Vl*KU2?wq6?itnUrF43p z=qK*gJMP9GcpIOIo zcUk!J1+DS!&1YGvyV_#BuFhXladi&=N?#7ib8Dlfa+=fVq@h{j@Uk}tS1tO?5-MF5 zd6J!!W55y$RyypY0(w{!MV^y_(IY8(5r}ZqOH0Tcz3&=>mJ=tr5?qi8IP?QlN|=Ls2dM;p0dXK4yoiLC(ZB(j6o`OH=o6S)og_P{K?;(ZXvk5o zDK(*aCZdNOkg3JM3F=*-HkE*vjWkjZS)Sm6b|Y~cA^@o6ahaA3P&N!QPci`H7-Y|BnYM7+Ji>U{};T;zu-;(ibvlWB}fQe9IMMT zTog-N)QbtF@y*3XE+o*s?*Gs#VOkrCc6=+K4r|9B3H`R2Kayl4ZPCL0HbKI~0h1*g z!9c?w*|nTOiZO(cb_6$2FrF-1C>T$cofM2GOSD3-OmD zJoHF(GXRFwF)V^HWg1q>Tvcp8RhU|5AQfa*?pN>vO~o>C3Nl&3_&;);y_7kNr`TsF#6YGQaM zu8S6iXJJ?y!?Q6wU2EV!?WGq<3Xe7zCpm*Pfa)~?5t08-E_G%Ekn*TZ&=EMv*|<#q zf1S){Q&ok2&Pg(HRk0U~7&ie^D^2^G#UJR0R2HvjR2?kYuX?Ng zW;=G$1;cYN?27t2b@>N7>4sry737X#BTTat!z>JYFj5db%m_5ni&4%XxbW-%XmE%K zX-f(+2pVX@N=LB)=sFOB25m>;b{s|Lp(2h(Mng$Pu??gi!h#t|Y*Ik8lU@zvYzCSa zz4%%45Q0W}WBpKB5?7q!_GXb1h#RU+ViQo@2t5_Jz4=+jn0poG1|yQ#pcMBiTT&8n zgAuSjMzIZOF8!^X?BuEjat4T8#m}0LMfzZoU|4Kpir0rK&p3mylL*TIULSsz3Fh_1 zykKw=Y)|p}+M*6c&|r!nvG*B8Q`Q8}h1&Z+#CfDTBL3ZMzIo(y(B#X{GR2x!V@)hz zhL)v7uC^to{6*910OY<2^zAc53~q6hIFB^^i>B3i=s+6Mw3?q~hBd9hnxNB?xC2w% zYbX={R@F6;SkpK&(a1G<*zmvin>8(H-SJ0ztm!1@gZ?%AEOV^iAM1yqLE>RT>Gwwq z9mM=wGx-OQDhLaXl*CRIh}lX1(N5>j&$7UX4R`>+l7pSxz{mrA2xW=VGyu?mz{?Q; zK>{baApo_sG8NdVG0s(m3207X_g&OezKGP{DAVw8`xedYqlygcaFhMVpYtP>m33 z5mp3w1Q+CCGD^`VN|7C4b$(N%S#;LoMo|3T$3Q$;J}*FwqQ*^OOnSljg+#JL0FR`))Yh;522i} zCdebWAPsIm}CT@BbdFK3b=tW9(!w*5r)% z0LJ|Rp0$Sd$yp6hdi2 zD0i$0@(3=-!(^1E5XyQ>0c$W`6Rj0GL|<)JBi0njT{Wf&dc+p2$pdTJLTN%MPn4$N zJc0}IFbJC1$*q`S*(i*SfKXnT0rChg$irk5Ll|aQjv2xz4G6UYGe91}1$iWZ6hk;> z@Wu?`6azx7#0-!}a6ujkAjJ@gC%ILaAu<4+&qm!E;e0R$WD;DE3Aj;?7|h{|Ibs5k z<3$_@w;FRmCcy=nfE(r5i8DaTv+JwaG6p(|kfA#F?k!b&cppBEjPNu^o zkMyMTvjPz_JDC~KiB=YyM`5%?LYW8`ge5~J!3CL^jw<#Z%n^(^_5@HI2p57mAd}#N zOcFqfV_zp;5^-aeY%0Nn69*VANPFlgj2`Ncc7)r45HtXgEXc$lRoOiBVh2@gD`58{ zbBtoqBuQ|A0un15#YW=Ul?CX3=G=S+#{MQZpM|IKasG)$9{elqL5g;qhe5Ofq%JDi zIBU_!g1<^Apd^g*9Eg^Z@SF7twa_LQvP&L+WEiRmjVz{?Houvm7@GjhN@5dJCMXU- z7rzm--%LndRTvK|oALB# zF`n-ad^N`N|ADW;c!A&W>||L08erl?1j=9|>lqWkEJUeLY2{~GrF+Y+)g5H>|1@zZ z{+Yex<%x+;6L_*ZozFcv=#ks0dNX^^nisKMC1wlObCj;ocb3|-V4I`%bk|PR1{KkY zRDQX4ZG6W**}5k02#LD4uViOQQdZN?H{aTa&mCy)eR%Bb)7M|~K8192b#JY@!yTXT z_QWO00Gpwn?>pH&RjRW`sy+9geCATzs@Y!h-l+Gm^1ww-&XcRQ=Ej)H9AsnmN4sv_ zl<;*|4F6QW?3|mQh159AD!6wGtg`bc^tn(e=P-+{x+YKQC2^?#Hp?TAfk zj$Cpiwk-R}nKgTd-ee`DL}WKV-utyR>}KD!H%(nqFNa)$6c43&T-P|WI(b{@j)mP@ zntrga@cV8t*L?2ljvLGei|5Hw!?MP2YPi%qtgVK`v<4LOWe%q5m zHrFO;2q0N2ToW@s5N1uL{xRg|ybNrN8?#DE~ zgifWLr$u3q8cvsi)RRM2korO8J<4Z7YA8iBp_7rbENr6UBIjo-!j|0i&a`n* zWmY&B@Kv1(kI{^qVENi`Q?7bU_y;fPFD6fRoP1JxIpW;*)0?hKZ7T1;v6k`DY)aMaO!72 zL92HgLJkS9n9@oZ<*f^NxoMI7t=Lr?W=}moCu$zKhUT>}BWQw9$B7?> z6j}0meD@}AU^inZ-I+&v+Hq<|PW1{53noPrm9JuN|;Fd%?n`tFijk-n`In=SB`B>`{rWd45l%{H%%k zvwiR5LQk&D5IC=|_D(|Q!b%l=xy2K*I`mF#yVY}jxBII>p`wi+?%SulpP<%t^j-If zz8yuA2ZI|=e3-E5(D|_Xvtq@U-d|NsF&sH|B`U1;LBp+P5wfL6YatAvwZ^3(-U zib7)PTULr%x%_C;5we{j#F>~PD#KkLZnuv6$YuvU5l`2gNqMsurip)8yKhF-X^rTK zZ_ISR#Bi-LW9X-8FZQ1qYr_*8{4%7)F1#@G6p>tOC{)Bp$Y1*2RdqJvR%}Jwd}7Y< z=NIAJ6^oD0o9kqCk>g1cZ@;SfiI>yNcW=>IQ5WOg_=%YP^Z|{d_?U~xdgDb|ZeP4t zt5+7^yv(7%j(kq-${2?IsT)4Khw~O^Y%n}h-#ztuoVH5;g)L``*3DV&vux&jH=(y* z)D}qJ=7~O=Xuae19hshLhF9l2_1MQe$IngMZ~iR(!!q;!t<${r?*8wkeJ}!PS5^k? zU0-@U2^9#h@T9;UqQ6VZ68>+m*p=K;9qBkU1>K-?^FOB{`@vN7<1o2Mda|{}?t%pe?=>i5qJ$uFLKB-%zQt`e zLe-cMI{JJv9Avoojyp6*@n&i!S>nO**-aFF4PQ)Ypt1mK*1aGk;5Co;SLJ@1PYpn5-Yx8=Z5 zi=CaJO<%5Jl*z=l_i*NIr(tN=m&0&Up?3#Q+j=+@@GFY9Z9No{LSe=oC=>^dBOp{r zl@llNr2wOM(c6|`O0iJpmuVCwU)y@D6|107{C~BVS=&GVo0skqZXxsWxGlcB?g;MOE ze5nvvCG>j=)rp)7($?9rA z$&3jeboADDs`rd&I_lOQ?Uz5&QD5GrPSf=f%A%vV3y$9P*>q%~?qQCX*H1iw?P%|f z63zSRgc))2xl|$@eI`@rqHQysupkmmJTK7E*OK}?lIqunLj`+(X8nMI%{e2BF87x| zeN;{!eK*Qu7d=|p(lv1t2hmpc*4=pk7i`w_FrQ;o!FIAS5R=*jH4{3jPvD}|H*_?d z_;|e+(NVo#g`7s;6A>ZIjt>e$sjm)v>;!{dxbSs1W5AwHFo>qD&&uiOAkyVh@nlq4 zbwVdn%7Tur{SdMUPD}Eq6Iy5|UL0Yx2^kBsXhzajI$=m?%Zg8eeQ>=N$#swDX!GsE zy8W4S!io_3Y+FPptck=_Yq@?is94KoI;u#bt$BWrF{Ga~(a}{AyIH|eNtSffL$nu4 z#xeAL=!82lm**QY@=~H>#pOsk;X&-WLDMZ1BI$%5!SQfC`ox<*(LI~vTQBB8Mnka`dY_kxO{Y;)^!1+}S4iR?nG%8z6f+^Iv;L;7!SQ&*8P>2kDbc5&V5M zMjaT|?{JoN$bKw-g90*Z;hdw>l~5<~DBzC{w0tpuz693R@wV1k3#+Kn+AM=;9o{|b z0G3;WxW8)o;WMxu`vjsVSdwj`Z`Gaop#z_OJ;~|ii-w)MtyIOQK!4X8nCNu(#qmuV zY{bs_Rte(nAk=S}egb5!c_KNI5Gw*TN5{}$hl`*OfQOhr%g+d$OH5JM0?-Re z5Nib?1abRF-$}R-rlV|fw4Y^bTm9QGXViDlNI`{#ZuL)L;hftgR9JAO9s79xDJ&)* z()#>Ak@NmZ4n_Sp>4J!yV+al1D}uucTTu1*h~mdpl~8_@wddQ>50Ug93Nqi&Zw8!s z>!=cno@W_%g>!h)7If{o2=RWkaL3D!mp#!(@ha1#rqyr&a{YOcjuRi(RbH3cQ{xRn zmH4ZsVxg?_QCO%27HaPI5DN_!jlN*Ht4j!#gMFA|3k#RSweANTdER0m+3tR=8fsCr znd}2@dKwrS4j#g(znIfqtFgiFpEwG+YX#v>E-*M;q|;F*h75kY=N<ae74fc?=IA)!%r6Or4u<^#({}jdh#(b>+i&JW5mGgd|p2J zg#9|j8_n&I%v!I2KIe5*(W?12PWmeHb=u!l+||5@N=_R~LLIYwl~D4Uoe7PoiL-Nt ziL@YC$v6QlTi0ZG2RfU3#Q8HYLUt6%k|82ha4ui9n$MmN%cc0Mp-I;qp}Kok$umbx z%VFkAD4C?XQu0!36pc_#-QWX4Ur2~uw1H(u-F(9aH~=5CVCvn&Z_L{2XvB@oldFJc zIfux_08BH}a5m7m7o6vVQ|CKc704`pEofp@FX-Lw_m#``uPJ`NE19ZJ}~?p@!$6uYoQY?K@hz z*|LO#p_1w|r+CnzYH3#&Bo#YCuW?#6DSc$kmm5PTYq69Q(=LiZN$Xx4?uX<2?k~0v#OsA6u9HljGA;9 zkJiHvPQd6sb41U{vo8*!kq57(J^(+cuw|-EBHSs^aB=M|@Jx>#`A@aMV4t&TZ$5l0 zQEG39);Yf|+d;tl3;K^MKgolD%W3cZKtQ+P)Mj@qz;kUZ7VwDwR5KPZKRQlT0G=jY z@JAQ-@~jsRGZ1?F{{QWoP|s*;Pzu?P8!( zhlzn{-pkJJF#-b*7gkv?bHA$wNnz8xh`sVZnP%?)TD(z@5j-IOcjD*$t9Yu{=vn?dAk}&C7GW&c=s}=3#ui=0AAW zulRZErlp$}9H9}jcH4QuWL!WOGG2ved~~Zap7CYQ2jLlCL6J`zmX@UZ~n31MAzfgOWB6 zTJRmIhi6z@a)XOK-YE76T&zL3wQ=*0Tqxu~AUJ@VTAfNTQXeH`h?U51>J^)VxB4-PA|v5|J$?X@-9*x1cy>j<4BF=%uDhmP%^9S8@4Z<>L;+Sj*Q4TW?$hPbzgGd#jWm<-#uImO<-}DaM2`QTIK6knf-w+F=t<65!Lcw=4Pvp?Y4* zr<}9Vhk3o9L#l~xSFa%^1V*3oao+2a28C8(G7Q;T7A;~3M$;Yj zCzD|`rT%byzwL7%4$uDk-RYPbUG{>hNAK$a*>AV~?dBj@0{wn93`NcP`wcmqV^_ma z7s>2iYWoRB@?lwfOFTc-6w%Fe_6di<&{Egp@{oYqrN48}gmF~+k}Kj2>`lX$q`{e@ z&-3ct8ND0`INqi$?(o1I=yD*;aUES%^tZ}j>TL@o-_#`MjoQ zKwCgORjF=ZvJTG^<@M^k?MiN^*KK*7W@34d!#%N2s;=Fm>{e>{)4OWzPj9O~?UZg# zx-Raa(SJ2xVV=B2$-X?1Wz!av6sL1|O$*sudQr%2LZweZUm?5UJ+BtS3o{I4n zIG}ISoZXsZaYEzTs~Xp~`nm_TRUSc>BS)*MCT%m>ZCE~dj{TKdfY1KVOAm_bx_-J= zI>Zsz+}`seO{age@V1aslPWlgeeolfre_Kz>bn(ZmHwQjR6zJN57^3n|GaSIOMpSm zg82FZwk@$V*(ToXaIr(KUDB6ign8VgQm)3H^cJE&F1vKA)UIMe{-o5`uS&DpY~NZ< z=iSk?zaV7Y>3xf3Z?$rj|9laCsW`83rHh?^-=i$4WSM*Mi_}9_pG(-Un#!xVj5S)wcEx4Y{B23oLQhUu(a&k zRhnv!3~fW<_DW86(K(MUygZktGxNrgnjiUeahF+k{@Dvp%g#}_Xfso{rhTjQ^;HGS zTb}M8(w?h+YOkYZz@x!BqbqvWO-Dbj9SCt_(Dn|0ldcE|dw2Ar-v{FfrJlx<8kmdR z)W57si~AVp8>!!EQg@S&XWOH`@0A|wowixEy=gJ#doEZxEwSHMrTYEGTB9!K{M_9o zrAL+L8(q5Z+Rdw3+|)Zsxa>`1S@~4=ghNL?RoPpoL`FUT@xCl)=V905H~SuZ_?mav zruJuXLgF`9Er*j_53aATyZ$rSz-(>Hc8{$`&Gz`U`cAgAWtT5Hn6;A!Uc%<3Z2z$N zz^U^k=vQjbyvagBX|`zQK_P4C-?+G2axw(cjm8q8GxRtCvlKfiL?vM11m6n9Ie6Yh z2MBQjUJ5`Q&;VMg!X7L7swalwNf5<4Vi+EN(MQ)X3}>C#Nofqj`6hN!2E%Zsi5099=vrC~!_yjy8N@JDh@G545N)` zM5B&jVGL_xSOmj5j80?=Q4H&%5Hle(O8`B@0>W400ES>YA>>m5h8Q^^G)n_K1HayJ(JM~090VQ~m7t7-0uVp|Wu~VeRGe}_5uAD`C5uz8qj_>T<(7h2MbVBR$Z;RdQot#X zPEr|VDdL1@3QkPJiDgtm2`5$rbfWse0XBBh8^NNZ;c=@{ND-7!#Th=3n25FrfXbK3 zQO61YPSlJO(JLUxS%+n5VmJW9S{O!0^N}d+Q5Z3x$|4*bE5t|mk*OdPaOek+=&U+I zf^rfUWE5*lqCQE3Vvx8WEXv$*g+)>a3Veh z#k-24=TIO+2|XwbAmJI9h9M*wvPWS|n9x8n5ErTt;z9}hAw)pVLKqrg7>En9M_~l9 zlZg!6Cuff(Fc%=12t%W?2`!r25DWMeG)1!2jk$>_W+ z1do|zF2<)|{JcN#sTgnk2R;qs=l_OhCzJ9>9b{e;ltE^|KkPds2~z~q$V^+*tq3I; ziXj%?z@3BH{3du*hYh%a;I&n`aaoiN+K4)n^iW`cZTPiPZcd zB9BCEMuR~`;sFN4>}1veX$cm~;zzIHK-E4L8hn3n@52Rvu{t_%fg2I0Ab0gAaR8}> zurTOJ$^(p2caqEgLft@GAnf?cv6K56$Q4kqef*Iq8?FdwL!EQ^S(dn( zNgF^S-$(o1QstfG(!UTlK(I6wasHov zi6kSR9{3k(Qh@YEXxPjp@l=BV)KKLJ9}Lwf=l8Ti7#dlDTMJr_YDJ(!SX<;<2pUWW zB%T^Z(NwSgy`xs7kW3I-!OyZrgzRJ`5-N&zGCt)rUaeAk=ry>eH7gW)4(Vi z$Dz|;5+Pwe8O64c$cxd?j7Q*w17O+7Go7d-Af;#cS+)p4BhO+*FsGAveg`syWuXb3 zppDbd4p>QGKFiNqgvi**8e~V9Dd8v{mBPLqqhS}7HcCNo0mWDX6W2AMo+^qCo&aY( z11B6X%LVM9{ef!I@S4qrwzao zVEKkua_AS8?S|Ih%67vRm+g1j6g<^Je;{EUH7cRuZ*p()quJ$;Zh^4)wuDB0#uBW) z8Qbgkp4QC5%)c)Tnj=YM+24jFJK3B<8bNny=4UuV(eA=O^b7zOL%Lus_xM?8*7&XC z-p9z_R~ZlaJrSuUV#Dhk^oxx22qU!s2@4+hqmk{HfBYC`BcGxk(H%evqCV$}$Z!I8 zWK{iE-07Uqj;&LBLOo2K968RgX4rdcwkUa_`h?9JSKAfS9j!Ype6|ene z6Za)0iFwl%d@|i^Y8fZ-2T&5MKS(=4lTAMq)7ggIIK$Uk zx3iOPJW*qc5}rsmPG~`a0g2`+RA8JZuUoXm}bq94OK^21Zq(<9h~f{(7mB@RfF zUJ{>qC~bnf;U-#&JfULK=5;YAhm}q4C3wFr2~B$7`C-k*i%z*WGuDc1;>kF{6isfT zN343*LPQS^UX*_(pDy#hHuhtBm%aCafu;J}9Z8KdfaqxO}VMO@B zmNk{%S~xz;ReMm;d?x4X0(+vwZq8vh<#QdAM_8FZ{l#N$4zZJcl(AQ!a^M7B;{g&) zB*@q}o8W@%Q5Zp}@RYGv0y^&BjBO~gQ%!5O#s|NyO%DFH3l=Ue?cLHjM>3~3YHCi- zEOmY6RMluHf6B(OoNv26dkLp@haAq_zw@wtM9VXyXP;g_uh#38G}sbz@ZL&SryW9Z z{`FEr&jtD(y%336;1js~<*;pL+4nhS5xy!@MV6TE?_ND^Z~2s$y^j{@-EUp@CHbcy z&mqTG2D?rw4t?9dN@~!&@}&k>QTj!;=o_Wqcbu*JoZvIXX5Bh1URK4WOOLX-l5V#v zT0ig!Fn*I_UM6!_p-Q>+@(qrTEmbGlRpN6cP31nP+dnunRWV(j@6Po&kBK=G4W%6R z?r12jp>vXNaf7Y`lTepXjc-t^oogQYbzMjg4qPXw(cM@uiMUqQbR$ltyhmnoP;Oc4 z&k@snk-n8-!^^Qn*2ERt9dj0bEGz94Fq?NqrMp4W@tMixDlGMDzWVB{-9tJhhb@)_ zZrX6&`SHe^$Mjatc`CByy^&<*;p7XSTALPl61fhJpFa2ZeOr7wxU$DffUkMegage% zS4~&jYxR~?M1;PXXTGzyg{-;D*IU?p>fUN!Fa8q8AiW5&qa7j@cTV356Hnc>QUCqZ z7iZ_(W+&fL{lo`V4=3=)$^q$%Ff=Ng;DYQ?7(vJ;R6kh_=%jz^Ckiyz4q~>(M_GwF zhXc3nGIXoE>%w{WD@=?$vfp9rS};f92HhQPBhEsP(jzDCWqr18>HIWtGt2z&9w$GA zZ>>$nQJF7&PP87R-;c?Z`yttus`6ej`)ES8ld}h#aMJpy9ea0~YksJjA+k(ELtuIc zKl+r_8pFnw^YUt+EAGFxBJ9>E8H5BLNB6XVzaj%OnYoU!503=(H-{4;|M zXKea2gB@p}Rq6OLv5_>K!SiPZ2hQOAGh+hIfEj6A_1H*GoU!@O3@)4z^k)V)&ItZ9 zVs7yi1D++C`xfG0Jg74 zyp@Fj5Fl<#qD_b27K;dtu2DefacepxS3Hu`#|0DTXN6M&B(PjTkZTV6VDN|JO8i}} z#9!nBbpv{6AZTD-5^p4d6r@k01zijUhLGTAMIaOkrkR2_0SV%qmac7uPH2pk)0#|C z<;sONkG4a~VRZ~j8Jo*FY@C)>(0`B9KBVeed#8b@O!df%x=Jab*&oZ@G6c03)}EPp zaQ$p@={^HTgK3TvUrw>P>%;%m@n}c+BFhVs?|LpQlRoJ@kidNIaUtwR^Ble}N8Vj1 zzV8wLr)L*=gT)6@g6&J(&t2on~32azr4xhVxCeP?Z}?1+PyCkYu=n<* zVh&R$NowRyzgu-_bNJJhQ$KH(Ti3yRU~rD~y`$e_KZ%%^-59A()_e#d`HfyIP#vD(NU}RbrnnXW=3c< zo5_qLF}6ub3Pp1=&2J9aT=n}VqEP;R!_teJ<s1b7E(9jWHo$7C21g#r?JV@{4iTcRfd+tg%#X4UYe5apB!qP&XjFr z55H)0{DSUEiKx{hAGFOvK1_H&eTBTlcDE#}$|bz|JMGMh9~oV1Tj4uWtHpY~jkV9Z zATV#8oR)lc-|^*dD(z}@#AsyE9!-htg-OM6HiygaXtu_FdtI5AX;hQle7x!W((4_^ z8wYc;a*GS2ZTBuC`#3~a4^CV1NqD1Lj1zCh2FvBF*~Xr0g8S@lwll83y>Ar1-SAQD zo_WzM=i2foyB`*DC!BvcNq?H|dBablj+>nt`!WL7G9%N`W!k#3gZwihXB!U&F0h=E zW|iXLEkw3HT^k>qvhd2${+|-lYCI=K-8{7{KE>tijiZ9%_k6orJskz!-AsNPk!8Q( zv)iL-&iFg6wNKeeYRGH(m3N3g+*$9Es5QuF>_mv{;Cx1JdBC%7^qa@?Ov%$D4WgMYqIXO)Lc$IERGZb|1q*ragUE2OaY zVo1Hr?RNQ42g!NM8Y|ei{GM^{n^egoH6dB)T$;A!Vzo742FLFNh)lINe464jKkDU?TZ zm2=(Hi)-8@9w!G)RWNcBFw(Cc(HV-G%XO@NsWy{mSKJOw{rG~Hl8NR|A2sCNo-Js8 zB5lhnU46r!do^YzY$RczjHrNXiZRzR?sfd9^iubX|2RLwKwsv$^zHgXc)Xk*c=qy>6QNM@1xq%!N;obUb> zZ9E2U$(R;L4 zS*JsJ(R)BMjX_~vbaLj`cry6`dtP)>7UIcx(R;DWr4q^Nyy%S*#FO!&mq}F3c+txv zs2MLhr!-nKUi97sYQ{?#Q1N8EC@>Cc#!Fb@crspe4(r#N@xt+|U*gGl(S{%uPsWQj z_#mE4Uf+io?Ylrc886|6kYeA#F`885LK$CL3AYsTWqc!^*XPbSs8pO;9)@npQj zE*wwBOC;fVGF~EiTs#>skv1-#jF-q57f;4ZpxuUF;>j39HjXD#Ao5T=nSBkf4sndI zzVM)FI#EWPS!A>3A(N;gVtt1n{x}L7(~T}2!%X5FkuK}b%S7L0-gD967L%wWcA5oV zVG{MkRj0w1Orn9fD)LbchRX$)uZ8jhx=f;xXgc>yi%DFkT7XI1$1T7l+D2P|Nj$_Y zz$6}xwE&ZNhFgG1Jf~WKNeto^U=p8k3owZ<<63}8d>_{WOk!lT1(?Lou@->t3@yM! z50((o2c3Gf1(<{|2@!ovLWCTR=wlLcByIsFVL(E-B$F^CAzYG4%pf6Ll1a=Y{|T3D zsbmsN^4EwyCcz?iGiQm*0>h`cn0SPhq$dj`obx|I<7o{Nt*jC&pZJ}-;EA!`!VBlpG06Bxfpc|4xek9 zDqM!c=Ta@f^c0T0z1uAQ zcn=&;-1jML1#KDPH{ z9`voTvw9S@`|s3?{-=^G{hb^)$L-roAWoW28&YtFwt@Wvb+I&S2BDg4~%H2&I~|6z}g>DY=V-PN!a(fv}x*7NBk*As_f`jxAmYQEp8(aAxe0%|Dxc)QsC zPHp=?+1&GYY89#^U>DHgYGZw>3l1C4nY`B@4lhd)EDLZxM=TU`y;M$5fg<|9U)He~ zSLn6-eq8+z$`QFx{k-nuxcaWmNDM^1ffxfcU4j@m=r>cxjv##`bx(q62@AD80m8in5PbL@tN`QP|8-qVZ-n=G|ceV_VUd zH_yR*?tB_?P!@)IP?GK~&5uhN z$REC~hdrX({@y7){_R)GN^ptnp9vM!bg$LNF*aaoFY`eOpqXao@jIeN_fS?)moZ+$NG%fg}-UKuj}{ zj=M2ot5@>O~@#5Xm)PiT#4b+%n#3A zr{?PA`HXyPf3|VpuHTb~17gQgJvzTHi=WTteb7-nS@-CPdo7WxJf8hnCy%zY>+jJ- zjX8MkHM}mLEbXn^7XH<1D8@NYX^^|No)Ku0Rvwsss4st5v!O+>nX$p3F7(ceLvNnm zRY^awDP`#g!~PbjuQcVQTh;g8utB>ii?7f%c?>s>oeo*C;dnan^uZx}hzzZjVt8f7=(Eo&{RZoSzD=P&Jx$?U8u{)8=ypODnzO zeCksBLGsJIhqsqj$Y(xNJuYjdH@W%oL(~4Ym3c}zv#k|wEInQv^2%-RN|F2|?x)8# zzEa3MWIZxlW^Yr%CM(0CS1*JObbK3cU7;%-)T@s$$cu51-ma!7UtDDHqT{r{bMwU( zr*{<(S}P8C)Wv5BHikD5-=jVRl z@pAd(`{8Z6f%*?Qr=B%Od_5}tcc>rI+wyp&sA+G5S5GfTWl-eBu)973!B1ZCKYme? zlX!dC(@+zc%IsTb7OQhAoL7D26(D9iPx7D`(cB{DYgEaVw{JUR!F$z_l#8b-H1tE- zTh32+U3^Pr^D0-LkyyzXgXQagN1g$g%#QFLX#AYr;Y^QI=Rqz z&}&ZXlhRGgRjk)3ii8Oyw~5R(HQV@HVXe$%p^&p-kAmhdc5bn56Sur#o?HhXPEOf1rQ3wD+yf3V|z2Pd%vA zV?4forb?{9;#f?img}{}Gq!aeXgVw>_WZMCUchjpv&9cwC|zC7V*ldylxs=f zQtn4@CVyEuRitp$s*I!to@Cvt?l(_vsBhXf<5kJ)ti%xyEtT>&0`{&R^?A+vrXRiC zN4n%WpN?5%=JPdR)|Yi|g-7mdKm0z+e%g+dg$<4i0u1kmUlo<{y5wdwdz%7ZJI}Vz za@o+7B{e#hwyoUmswp}lR~=_7GWDV?97?0?!_wK9uSRlaDR<~`p`>TINI~zX&m-Ul3& zY0Fn?UC=J($!%H*i)?Y86KUPHOhxrW)0Y#k4+yx%?Ru7CY4N?VW$i&h<-*HSI(&i8 zCT%lk|Mu+3&lmI+;d@UV6qsux%vYUbcB1e0+6R}`e7m-vN7Tb&{nBd1jQAR!r?rII zqZsq-YaVTPImFMUsSWKC*ew!UR#rDlBJOerOILo=Rqw}-b-&+!P+gs~*gjen4lYML z@#xD4ME|^htdIDTJd}W@7lP!1e*od5sc5S`1r8vKVjO!O1;g^3y*vQp*%8dypyi1s zK^g)B@H;ypoBCrXqK4Z9FDsn$Uix+(w>{JPN)x=7bF*b!Q0!oDh{VjWo540Px^3Sd z+!rt6y6gPMNuH0c#noil-L^~fYE@mL`JmIVVkz(E4x@8BmZ?`~tR3k4rs6%tF0>)qScy(WJsI&OZ@%zY>N=3JFllCTS$5(9;GooFI+FuyzLt5I&z zPPeC*w?1llaJbD>eI&j#A}v8sgG-qyF1J*GHU8 z2SQ@q6!MlB+xCg(J_NxdMAWgxTEiV#qE76p_u#p3%f?-0a7B{-b~P?xsS@=zeu!#2 z@`kpqEB(@;{mmO7nWf3W=VyOUv9?(oKJ3*{+urvd3^sJ^OcENhUdvofkIn5_&9>3CJfKc zRMZzHGJQuy*cs@XUEON zo=5qrQ4h#@4YCm@KP0#BvfDS)f#+Mnl0EZ@6_=SyY_6KEOi18}aS(Ao-*u8>HmzMY zEOoW?2)bl#tda1DnfO1A7|uLA8o)fP@uT62Vj>z10y9>+@l-r*3c=&SY1&CN9`dO1 z0DYCS9J7O!|CfWQoMMJ<$lgGWN*Gw|EffrIgW1uWWf;Yr0F(Sw1`Ks}Qh>_g#)-*P z&P0@ekI4cnLA*c%Q`9y2k+=W|cAVXw2(d@ZbU-jZ6Q#(a14gOwU{>Lw95ps6;pI zK#bUYbkh#@0Dcq3F3+JnKuR)(y5byuh-kX49)kXco=Qhvt zJY~+DSxJT{iVRU1GSg^`GG#24DN>nbDn&A;GK8Y2h@?oFLYYFTB;nip92Bajp67l4 z-*%R3^c#_e<;lzG{DDko=!6TEsW^g7ElEb{9S z<65;Blp-G-&T5rgKF&Az(p78~r}{yuqIKPMlIN~3S<+(yRCj$&@UZ(@rQgK2+N2~{ zAhJ!OeIVWc+eeqj_9XWHsp@^hu|m|F9;rJQ5fN$?Ga-?2glFUpC7lojH51#?NGwaB-MuC>{=dcFA(dt<#ep9q%7 zgJ|YG~R?33;7Wqj&7dO95QHNOeb_2m8Lsz#@G;Xv7;#9w^LxU<$B~1 zS*df%#mm=KPUM-M{M60$Y9=1fyx;qKRCYe!n_X*FZ!TOP5eR!YYIG6Lt*~=_W@nK5 zxxEJylWTF0l5AM&yUlLpD^aLzRa@UjE!g;sLK}B7Q2RZv8pTu#e?z(2Gw(ADF$6m^ zr<^Ja9NaC(7%L9kiGC~XU2j2b8&L~;3_rjd82iMsuhr|SQLE2bqqKYd&~QHzkcx(h zz^4KFNUA;_={qPf;A;gx^}yE(Ug=wL*905zdU5?QAwoKi!@G;eQCTO2kF57cI$N|} z!)Xyqg)h1nhLZX)M%Y4@BF`bVmeJExjTp%uY>k6+;@_|mcx0Sq%711hSTTRdN+5}J z{V6NK5-(vTDDSBn32ocB!0%AD`H$#>8bnhOo$lj=d}C&9feRdMr>hLWntnWDWIOCa zmov$+5$z}GU`Mm_8Azbx_zN$I?At*UGcRq4*A^I5$?>>aoDrYI(>$Ux?8gzxc}eUQ zeg-3XyBJycIElW^dM|3~3=e*34)fujt$LRjZEn*JhShkiKV#BxKkZg((G#=oaK*!F zS6{x(J|=K<;6n5#PqDd@lDZQ{hlXY8!P%;6cS^%k4);IUqkN^nf8eH!!MQd5QnE*^ z8)NrvyczOvU~Vf|83YuRX>-{%GY9;Oj1Nt&F&dGDUHKVXZ~+MXJLXvJqFY*Ts@Er` zAoafDU8h8yD^C;gyAP+}%y!=Q{E`^~ekwKv;iQ3J9*#Z)9S}mmYdFxvP2hz3zbv*1 zV;FGC5O@E5_HL|IkgwnY$bun|1L484LQWMyV~uZ2c|3|7CdzNh>DP{KVB%q<4w>=| z-oTbn7ACDj5ue$!NARF0`#zJ`%KYsoIi=m#C~Pfu_Pxz@{m59*{)7Yaw{%!*(r2!Q zuuX^7#;!?bJaDVH?M+V1&ez8EciI@@)T*tgwkE#uZxJYYd~9ay&92YHg?>-VOJ8%} z|Her#c2aCMomoM0uCUQG$kqLUp{q##ItF2#39rCiQvAGczIffEI}FEjpWJnlF7KVU zYagF#+dcN~Yed5}e1<-+S3{F7YfBvsRNqZFglKkkr5+2xb(>9}4ms{$EL%$xVmf?G zG}2}Nru|K9Jv;0~j~cvoJHk#Hyx%?7%F)#MI{Pc5t5*rt7MT$%s0n^(y@fW~`M)t? zt22Ki)~cX#PiErUnoAt#kbron5gImfv^$;Y!C>C`1=NdD3SoM1!EtC z3$O)E3^oWv6EDJ+rHL0Y4we{dIk5Rq%UQ~2(B}W3?$d|AZe?nP~R~;$+aXs&k*GU&p7U<1&vp!E5(nU&o{J`q3C!! zm*A8yd6I1SHkHo7ROw=fogSL&KjXY7uH(?&N=JUjvesv?=jGA zm31}i->{qdrsn=@=H)bU493--1%BM;Qw_|zJvP;9(C-QB*7-u$drvP=B2D>f4_;r7 zA_t!b{{!2xQIko&vG&TRY=t}Xd2Z-ypQos%9MHJ0!lY{Q(n%}TOtReYLH+T=iUs0H z8MlTNolCOI$HUrP)=x!T$Zh44@H|WraYdvL%p&G#*~+<;TXHXQOT4Ce7M0{N zRNBw>{+a2vf`B$nRi>T2+Xrio>Xc0$PBeSHIm5$ylBAJHWMi%Gf1yc#n6=VHL4S1)WajQ+XEz>NlLeItoC{qDP7(HN`wMxsJJe+kFm`ZaI);IRu(vE{?}OtWDX{2gpsa>!y670A(vW_o?uB*nGd>d zQ5z5SFr*#%6j0-CT*|+?KvN5Emn2f%_MAj7pE@tBclTC@u+WmLO4)9tFC&L6ILECX zP?Odw7wpe5e;173K6}Zg>~JbqO6~gC4aW_?lB5ywKN#*U(z)cwF3{w zG(UHv!Q34XXWQyu?a=J41$GX4u&vkO=@GH}8`9hZpNDAO4%QlPQ0{)ttQITa6daQ} z!cj!{WLs$Ox@zrjay0j&bp-XdGiC_Th>3r7P)t%f<+9Z?yU%*=shB9ezFmmX#pLu2 zEL@MCydpjynmG3E8lB=;ZfRBR&4j&cKUK5UR=hWt>CLX15*|_47C+%B4pL>IW%lH9&8St}&AJ}==Wft4mJB!bDbt&{! zW_5%j0o{wtAm0Va2X>MLviy-5kgxe;X26YbBR+r`z|})}lo^;UVFn~E5V#9m#lcuc zgsKlNKWflBIKv$kyBG+Lv1zYk+M5>)Z4d|$@u+oRQN+Vd(4ZLuE;)8NEaCxpYjTHZ z!Lk6CWUH(k9(9I5!ni=A%ME8umOASRSf%o24q(LK-<9*06F8v}F?93ZH-(}+_lAT6 zOt=4K{7p2SfIOfk{xdlT6%ZDLh@y`b)i@*ztl(3pFeQW&Io>eRtPK3ox}1p@fDGD} zG!n>-cmX#OY;1gnw#UU`xbp$po~Zv5?IB&-9x~V-!SCEr8F0ts!|{GnYp!1AebjMi zlxl6+*xR*LIIXG4;yC-rx{gOR$C_7X=-tgY7__nXi?h(oAk*4rE6Rw=YzGhBJ4yL) z&$oB^_pjJ|^m-6}^LE1-ousr57Dv|hr|HPPEs{O|L;hyvnk&RKO{G1lp zM`vX`SMwCnH<#JhFtRgsc9Jx&*n6P8)WJ%^*h(i!?e%mvTYchQv#YTtA){+k(-}x| zuWN^YLxKr%>)IMc$P;^SZP1K}tZXQhT-Vlp%&>5@e6Hui1of?(mwdwFP1o(^F&;0= zSfJkpxL}q38@4)ck%jLaGw3ezzhA=zU^ao;jC zV{AeTfP9e{$Mi1>V8RN3mScPzGu9kv@x#ZlU^md`6zpJw-B6-8Y}{z2ROk&m_JkU} z;lOU70|VH(2D^a~F8DZJEDs%e!-w6_qc{9mG8o4KcB#RhKqDGF%pR==h7054#IPF} zXahE5*?E6?buzUC4RwrSzm|SIh3T zm6fBKw1Sqe?>Vb>=DJef<6kv%kCygn`j_n<`Zj4s?bp}HPi_2gv{%!3!aaHHuB%hE z-f&$eJ==Y^*SiWICsgw%4^2IJvR(AumBHfE&EBtjeM)Dej$S*f+tm@U>REJ^Y9NnK ze8Yrv$6fQB+w$>ls-_KT`GP4t!a%3cq0D~ znAWgjR+!dM@wRBCU(jIDE&o@V)|Se`rHN6~TH3so9y6^C1VS#;j|8IcE5XKz@^2DTEhL6{P3}-Hz!%tcxTu1@ z?iC9oXhjq>3yUfXZx8ax?{9A@ZP3EEm$&5Y4Fp2*^()$-gBhadGoY<|Lcg%&18?J9 z85Ry$KpX41ZyasxiUnt^v5asPCw573OiPPn2E|?B*o21&ZfQghyomQ=p{_Cv7o==7 zSf~MAWBNr6tP8_|HuetN-wY)?%zM5P3EVNBB@AFutRY!ir|h<`M{|ac>#ngKq1C;o zpuaA`;l(ooH}5E|*Vh_2MxT+jck~&DXEChFeM-`n=p1v0TuYBSC9+9Xp2BYXN!GQA z+uka*lg{*rlx{YolHO0SYcq`jEuP&L{g@jS`2vc(R_BZd)YI6sq_bRUOX_(gcJiaw zmutsXeY%p+8P`pE`@@JFO=)|_y)&VaIhQ&Z=`WFot8w@YagC|TUoCi)h7-HNa10lAgW;HY zxP##sZmb@NV~BA)C@zCA=8thGjwb-^4}?3!I9{{>D19;4f<ZHK9!_)~I-P(zHjW_JmD#x5I71VXE)#X6oi)?N4vlSzGlK`v=-ttg&}<9U9nE|3*gWa#0I@Y4oPg zN6v*y+U$P2VW`n8&q!jE=IOROq{=5n5|V?~ZBj69%N_8^q;nr>!eXqnw-Pk{ssz;DDi?Qwu33v&dDEL=|8Fa z=eA0-KGCEvr%YEIcD@voDrlH@qd;_g0}JaOxmD;U%Ks8q)hJK3T)0`fzceaJGlO_t z+DpOM)iUbGc$Xoog$2qVk(JaQw?lIopORj)$qU}ps-H3l_$+fY4qz+3@7RhHEN!OZ zxPFeUI{qA6{o2e2S@if2Nei4z-!*$w2m#F=6$0NidyGXBF0g2L8}CZ=H}Zsdf!i+# zzu$@E6*%X2i6PEGk0AP!lF9xiG5PYuzk^$gm;)Ot%7w8)Md3dn1FGeNg9`dz;1%lS zt@>SJn!ia5F~^dT{2f4ncw0> zKM$Ppm{juQQ0hrz2d1Afcr+F|60RHzGYuOU&V_-&Zn@xDSnQh!kV|Kf9#TnK5ojke zIa#1Go$>%@I!SwOXXzRI4;cgkEvARuFWjUrEoU7*6?35W%WOzeFXILJBTw~?@%H8n zR*Qex;vrLPqnZ`2P_7bfa;tXBoROu0Yh_47vBzp3H+xZ}H& zs%N(Pi}w4L1<|eF;o$8~ru2M=icl9*k*f0khi6Y}Q@G zzePFiz5&mcw`_wG!rL+?&+LD*(PFy(EqSz&S7pL6l1Q1izLPn*_x2~Ra#EtV5*j^F zViqNH;4W1&-`67V_u^-SJ8v@ePU6V=Qc@q72HU1?zV1$TL5L|vQq>}Mo5rC-zH*3$ z4}VbSduu^nl80Z*2?k3gpgjuh-|cL%dXElQ{-# zttH?q=y7Pg$IkkXfNl^R#4M0WEPCtagIyl61pr`n(g$XHI9V}ae@;~=q2AbT7l%CE zo$~vR+rHYV##(HHW~Wz{Pd}Lv0kad#3lSAw_q^2ZdMxni*mj9WNmLBvB=;^!5DW#n zp4av)99HeOW2UcEZ2Y*zxZrt$y4m4}2D+Ef~_*Q5R_SoP}{O^54Y1Fn%$ccf)~a31m$ZIMcfG|$q?BL-;eQq0${67+U1qE;3rE7!k*y*IMhWgQ=raIU-8{fRF4FU=_l~N8l}_f#ZigAEK))g_lALE5u)4Yt}`*(S1I2P@eOjA+qX@mq?amFgOKXu zi8~wiM8q{;RUbGv$?`1X>#eNo=BdrN0+D|2uW90A%KMtSdA(jSywSV1J;|uZ=HM~o zGa_#&cX1l)PdxKWZVE*a!al=6+eC@!;Z`) zgT4Q0U{LF7l}9hazX2Eh9WM+#k85eqJePBA?aw&)!NO2tNWJ-@5ZPV@vDB#1Y0A4R z$ToVLQLxmi_wD^=J!^G#OS}1BL;@risaBO6i2_vHu`ulUHM@Dhu$cU(`K+8lz| z7xaVHf+dLexVu$&Nu_oBf%BL<(s@_wF-o;N@kLvq|EbU6hzv-*@=3sX>}dA8)+i`7 z#=la`v1Fk>s3FUJG=AlS`X}a+MIQ}RH2p8xWC)>6=KN*Ulf3rSLwgya-5-vg(oJ72 zmhUh^%X@@xdbZcv%D`im$HRRaYuEcteyh9RZ+V7zY%F9XA2`^p9icw0ofjzF;#}nX zy6=tNw)Imr59|^u)x4_5Ivxh$Dc#5yti~C#k5rQ-Gj>l z!?>YLo?P+Zt4C#i8FJLm;+SIOXzeDg`xjo;{2VFyagIQJa|wqI;I5kI(D+d<@Dfr8 zUg|vU>Hylkyo12;NgJ>4(9m?}rW4&eJ!JPuL0H^>pw>+j67*9< zac$LFQfS?vwC_xHo;q8GGivjbdA7V9MqIuVCpR||@C)MXQv4}#*8YSzQ736YoT!uZ zB5}fPAbtp0Kp~j&zN09rnhyL78%cE;)fUb>*uunJ6ofw*Wgt07!ns8QT9vM#o#5q-US+pueL;FpW6ZD#ab#Vz0Tzr`vhcD|R$`a(q5DVCl0 zMKwd%NmN{@TwhVeFf zsk2o+S0kq2=((|jhI^-T!+=_HsLn|I%Y*ccxTK?o^|4ZGVqC@Jzfcy$I10==@VvNhFFJkCasePA52!Vd0kGe+n4HE?s;4GAQ{8)6``GKihpVS=Z zLL)*S3Z^worXtX)^k(k!1%k{%nSL31+H z!+xlSIZbA@aaN$sY@Ni*FPUp2`dk_hQ}9ydtM^Zzb@towXrup4HFx7;W}2`r_h2bn znrHT81&(yLMJ5%y^oD~|7G48J&)0uX&sY!%r001l4(STRo&QL~sTd8TGJFAe5<(i* z{7{l55c0;f{NZEkU19f1$6sK_~g=YOQ- zoh+3jb-lggi5tDgPTnD1M$NEr_*qWPEOQNyu8T2O9F;Uby9aS`S)^wGK%prA89kr- zb9#or(+AKqJdjF$2|Yt1`~iSs91{XibTMQF07a$y4*+y&gvoLMN&<tCKxyg~Csp_%UBnXT#U;Y4rN>+|SUitW3|X^^cD zHWDCXc6m$ZvGQwX-VJTX-Vz*A%()n+b}}+2tg7_Za&r6xxWO;kEAet1x=4c4)B1po z;t`YuG=8Y;)POj2g9e*3V5Cu)p%cF4c?yQ~_~#T1Q7B{<3r1?x06lM{Mh(!w2MeY_ z?a#m&3SML8=XqN+w)9TF3_<@v%W?D=23}#Z2Ae(3qY6qeoA&B{Qgh?r_(YXM)Ij(c9P57HaR$Vy$HrSpOY;5lDeJ3_;oD=Z2xH{#?7r| zR};B+a-%IJeJ&&Z5!N+B%jO~+EBIMD7k7~#`6feIqIE-j$U0rWj#W`e zRQ}JWjVW1o;2B9m5KVM_5J$77m0mJh1*2bwlnrASVQ z6|7!Ywidm~I?4x1~MZ=VXgTOIM{p_bkaDlHq*1mvw{v{|( zzwZBb(~~3l@?y_LKl*g?U6UwjCHU5kHEijO4X(?lCzmIP-P+4*Pft2!Y12L_2|}b* z7pE+UV@^eWK4q`|T!L!-1QDV^3t-BkK?|q^T^f|R+}RK%C4dM~B?Co-8_F5*k@G%C zV8?g~IUpsro372WWaEl`KA$D`ydFD{T;oZEH#6|~6B8fx*%>{`Eymy%JIqO$iPO8*h@L4Q@zd}wuJSQ2C*xs*kaKQYV!_0-pg%VBDgYV1;!CcmywKo{KT}kCQh)OFes+^PFSCspsQ!{#oynsBHNJfw0MUZvUIGfFQuWr`e#z)GWkj_c1i}2OP2H}hPqsvqR5%{P#`YW+p zjGnufMA!WRO;moTiM(4+lcEx~v%T3Ye5=dq3uVy89HI$4m501K46cTxVXD)yENUV9 zUbH=@<$oET*nj(kcwhU$&0cTyZhz*pkqrtEY`OZ8E)Q=)@QFKPNLdDZw3O9z)$F)x zCdEq*AH#LaxdqqOW>)bz?(ERRX%MULZjv$*UEi@A|4N~(+wF2%kzPFMF7jyg_d!oK z3(Z)QoLm^2d8#l3WAaO?SYdR_iqb8a*`{!yUO~Dl>%9Ksx?8`n?#Q@vC=m84%Fn_dAaxA9%{v&V9E~4e1=oBuaAg)hLGmp z&H($I*>ECZ%CPI_Wq=XYZdIWfS;^aTxwgBvF)L2L+Lci5+X(R@{4AQc;cVD(S-bUi zic?u7_r9~AzZx+ROCSMJi&J4frt4=@VUFsLrvgMWK42=KzS!tgScvKRw}|HV_ChT9 za+$sGSMF8ttk)lWxM7Q+!#5_aVOOFz7Orn_MWiE~%h)3+~UdGmD4R4t1rE~yDHN=8OsiY^%W zT3bw)Z~5_zXQB^(zU2*?lA!;~KK_b*s681S*R2fE-}Wk&;@E>1o_w@hYv<(dCw;~n zFb}Gyv0^;`z;>^K;D2QwR7!Eq-1`#Uu6WfDBPF4K$~}rhr!7T0s_MAdK2jw9&od8H z9Rkb)RfqmB^T-kTf0KDU{c=ctHJ|ETJ5>XFVusq{nzA6h_4as=6&Q>+zwfoD*>1p> zLA+Xg-F=ZHgVxhCm$mNsrex>eJ!xUdtQ0dw7PG- zAYEYv4{!lnKg@@#0gE`ihSOw){pBBpKd>Oe%qZ}{Oz2RY{xR?XMs%1RVunQ{`Xc}x zDv$AwId`VFP_t2tl+3=-+)Yg^b&*ndlyIu7L-n)au8YP`T!XI-^?ScHsY)TCstDi4 zihDQsIb-am*xPcmjlO61|MyuRsFit@XDs&;-|_9s<~-~z{H#C>#i6e~uW@-Bv4DM= zfqi`eIa{fMg~p#FXPTeGh1#DWXLLav%y@J`9M1S3mKI4qLZw__7}pBhMb)>UNzs7~ zynhJWT9D$X2EXWZw4lMGiNA+!t(4+_W?QENaa)!t(6;`IjdT7C3}D5e+gSZzqE81> zJTkO!fb)~k!0Cslbh%OsH(@kdktd`&vnQ zbOKJ7-*F`(TlF4%QI1Ry*`+9~g)d~KMmWEp8-!B}`?;YL5Zlk~y8-m%(PP#wXFh3g zPYwDW-sR^im-5Y`(E_6HMq3W5w~a7jX>l29!Gv!)uDLeCZ4IuLhH`@4JzsC@o8&A% z-FuRkZJO#M&XOPJ4aARjJ<>bPSyRwhA6c^_k4WcIvc?U00ZQ10x^-SpZ3{*?AK@F? z#qQYlS(S;lE?46)t(10I5Sw*UqQTnd!rF8M99lAIBQf048rZY)A zp(a=5@mDe!iMG`>zxTk?w(QB7XAI1=k<3<=J+NQ!vp8`Ij`YX2m~Er354n;g`8E7f zqL6>qiv}d%``iI*Q>kEWiW_QHaCGlkc7Fk1j$mZ*uKRXgem6l>6NnaiY#olt08chv z%MgbgltKJ-NYL#Qn8Ef5=_u4riSR(7w(O5F&_A{1|7!IUU46n6Hblx#>)V!-+W?s0zht8;Oel*a_H}3*V3)jv z*~#p;x6N)s8Kxb(A7GdOvA`7|l-n*}^k2;WD{c!Y6lO-@4#uh>2gl&fV&-4hU4^X| zL5Q@%O!EiXo3QKH|+c%;dgcBuhCTjNg)Yy6kGS=1H!&?138;eL6$cpVU2{IafY-V#66`Aa=R5 zcl}#Jq2aO^&4SU?0{t(a%4kGdDQinrp7WOn&Y6@)S%vO1xt3Yc-glH-=!oKI{(q1x z1BT>}rJ2OO;ZAei;N98zW!dChT#{O_W&)vdrb^O1r9Lb;y7(gdmo6lrY7vVyMImsz z!Jo}f&l`U%Pf$-9Fh5aGnkDlSqNe}vEJm#~q5K*6`n@3uTNff)8mITa6AZW*xR3S( z>_GIp#itd)1d!-fTC1>?sujTmzf1hT8%)q~@%w_AD`3HfhDWdaRXK}}ajhG?y6!=m zmzqZA&27P&JCRS)X6FbFX~$Rz&#<0UxvYM4azm!+lLxYa4l7 zTA{-CTl}>2sPc<9H`R83b=WO0bC_g;l&aZP%hnE&IvzNDnb2n6l?RPOR@Wv%)lBNQ ztr7HG|KicXm?N7!lPY8#{qGBx^=Yc{G+(5htzo45B67Ou`gnq6Zgz6TOdr{u82k#+ zeYZZ}Drr(t+#skFk@_QDGkE6%hf`zTk2eiSkwUzq>{7I#ZnCO9_ZVUSa zz(}`0gjP5vEIW*c14l;AGkj2IGxFC>}!g$p1t{!5B?9pP3?Pg00Y}%3L^b_f zK*ZntsesV@iNdi`K$y($v+{2R#GkO_6}Ekpr!6kkFPQ5w2Dr?LZux#~OA{}%?f=R> z4=Wi)yDteC`uVB>mUsozh7#(JnfC7zFJsz&zaH@?L;ly^5laT<=Y|+;U{*w{|1R-j zv^pv$!9vaNq}j5#vcDe~%;kmV*Crmwm;ZUa2P=jaF4SawU#M|{5>TJ=vi z^y`>3get2TOH^aPLvx7PUn*-hg$AO0@RzOn}D_*JF@PZu@!q4b>W@zyF4A^ zA84C}=y3T^y@^U36+B4v;|eiQ!2ddXKDG%cDp!FlUD%Ek%2IfZ%GGY{8p>6$0r6i~ zG5&qM7>eHju-XhejtR2G9#Ry%2R%GpRqGOKibMOCG`JJ=4@ZM#hr$;RM?Wvfl22Km zXgtHPFNcao^U)j;7r|)5we)oc0YV*(FSg%X<$km>M(E=ab%R=wtw$#gJ4BFP6iO!f zn2;RJ+9}P}(?_{(ZR+kz&HV`~j7z}Af zdi!UpLii2ng}2W5wmzU8nQXK)M8-3Fh3vNIk^nP)yF_&5q4Rxz(=tv%;o+Ds_>@T5v3U6z7NXK!uTUol)CqOrnunW@`o$~ zSbP@3JfM#-yoUVkhqF^K1I{Av`89W)x3*(!5Gx8*FUGM*5;(aRR0_z`0?GS4@s`;r76Zl-Gz_ z*TpC&E;f+Yc4O~PYrc{AR^{4%5J7tg4P;o<tiMVY8xnQp@?MZP6Z>`om(MSxDcZ&2CL69W4!^s5M@D1FxUBGbN14} zkYqyAW9zhi(%p#>rm?*9Xth0Lk?#$M1?D%bgh$iHTazzrp@6pJmwP6_#9b5=%UV)E z*~SXJY?BAnUj~2!P!WWJ6;>`gDBR3?32hX}M~m>k%$Z{Xo?w=8RL4xT%d*_@5hmw_ zMp1)Cp<5TM9A)&~{oyG8MvXvU)*l9QK{et(EjVM8M7Ok<0X0itnJo|*GV;~RCmJ9{i6PpXS#QgBJq>>_Js zwl_GsWwccBJfWJ+m{7#*x^bD?Ro$*`lW8oe?ax%^T5P{bncGubvrxLU!$ZHm*RJ6C zWPow4*sY>Vc5GiY*OVqm51Aa(ZL8)=_kAqNY^)?QJV10l;<5h0F>bMr33`bH|JD|l zjigl+)1h{a8SRmICAw-#v2$Cc2Jf+yeA3h_&*oqKDfHph^PZcvnmdIUuQWSc|Mq0L z)c5`eRcJx#|FPs*cFe*bIm<4}>R)4kCQJc=7=XAN6=x6v{GmAQ{;vGs0l5luB1j6< zUZNEb0S2638}@b8&69Wyhh01e;tXs>?C*Jgl4GLd=+z5Q6n#T*>S3zB*GmoDoaJ+s zltUAS+*OHMFIpJ?i>M&-SLmMPR&z3cyi7>I9>UKOA+h<;yR8;w;J^ZK#DO^W96fhM z_2;r7|Ir`I259wwdJ4qUOZ61UU;fRS%J1zr5G{8(uP~XR7Nr#?v;Tj%!=`@M3Z6l& zKqz{WqkinAFQ5Nru84Y$8(xMPoN1obY9hfREgrMQ(xZ7FIdh`+3|rYKl*$&UYVs?0 z?b(6nt(W!O1pmW}u)6EWn$ns3*L)Hwr4M!oOzF;P4ZqD)<+@9r8$DIVtY#Wg7aF@| zM=M`5X|=b%NqLHw;D@%3xB@rP@QA{TYuw(DT@OLNwB50Zaaqm`sB3?t0{nO~;`|aY zoB)3~1?SZPD5CHdHm*3k(teOrz-NurTW@`&kbB{YhS7-&LYzX)`yc<8nZ~?{z|@hq z`&@bSUUGzW*=&Oa!_Si0wo9vXyr#scr9i789Bx*zFyrPUTo*>|N6SFb!#|#JD=Y&_ z*^aGIP_(Vs58%HnA@{U zEGBgNvkC5%oNmH7MEo2r{+wANHOEPT<`=p%dx&{IT5(kgY}|DGePZql=})%9O=dsBIjK^>3xRtHN^0)A=~(8Z__SOIbV-)GP71_VKc8s3PjqJX#L zUshj*fjAtfrGjhF7BbZG2ApmH*`a-9hx@uC1mKteD+CdOxDZVTzTuBh zt6cFbJ+J^GLa3uF)(liU7w%V+DSM?MJ%;%O}9G{_ICC z8vW%d@o>CAP2``KvrPNr`-LZ0?>u^3#Ab_gjP_N-875?vJ@9;t5-EDX62Ga62{?b; z2Kpl)&Aq9*8Q}QxI2fbRC7YENmT6s1Q8!)HB6OuT<@o?Z^fwD_{U^8pWsE1-TI{b-w zM`2|M?w6Y>OUxz+K@gjwA39ue`nO%+u6&5Lr1QXx+>R8b_;PM+(>)ac@|E7D_|KVLf&BKEgu>DeJ_7@IF0Fx7>)h)AEVA^qZUa<3c{YZBdKf;H!PoMw7(6>Jbwq&j= z7;OpVYNA!LutKXMi8b&QnVlmo+73Ib>=#!Im1vH9RbfUD5S?&}k&8iiKMQRC+;H#( zIAWBO#H-H15we<)$b+SzxnVIdH!Q^|Us@QJvL$4U9x{!u{Gnj9y z?Hj7yv%m2bLE_t89`7Ml2_wX!diJ|_dwdvATCo}1!nqoypPapbfjp*KbYIynb z)kmrK-)lX-a(Pm@3tx2VW@2Kt`W^iq|0|;hj}D$Xutwzh;Y9I(%`9aCwoc{Zk%eq> z7gi09HmS2dUw<)dRoi-tYeAXGln?mDg9G@@KknT(-qmH~A+ML6GeKrs)}O!T^13Z@ z-ZPfQ*UX<%-C(s?|N3L<8o5`Qquhdilx8-D!bUIOa`>djmqKw6U!_^2KTbe#7JPH> z#aks!|K${ z51Pno|`t2O)rsh0UJ-F%>5beuSMw`#b+@000#T z0hG~;ZNtZL2H@nul*MtA2*NF<;pZ*Wpqi5U+M)w(n%Uo0aZVf$RJdLm^&FwW?O4=L0$dR8CR{L< z0g2XrH#cU80EZ}onE9Vn!P{n(#3T4HfA2yAh7G5HM+VJpM)NB85oxr@ zJfboIXbOk0;hD~0q5%pUzVXojAoQ~$ArI?{CN8styz6^Apd`OkNTRn27Jjpzt@_G) z0>m!mmePbYd~~ZK?kH>`;a4?auR)O0sjz7p2@bxnyc0Zq8__17D1d8Ah?61Yz{h<@ z3L&@e$|JpH7aB%{xYZ#K%UV48-&$6Ho97a5p}fOm91(>jzcV^>1?H7thxHM>8#xQ{ z!ijHvU(k|26!gKTPRAhYJ>D_$0kxv6AuAJraTr2Tw|M-)<4vk}0%5Y#TUH~h5e+S) zsD2@JT|DH8R%5ie0}5#H35mXKhJByYB$)mL+u1HzF9h2e6ehspE|3K{3?bs*9y|jD z52X~zDi-)Q=!SQvz^62bpZxX7<)!a9x` z1@yoHB_<^!-v_s_&?5J9_(7MBlYvs0<;Vc2rJR1ri3iAt>T6GcRk z=YkqKu=}?YrR3pLCqYR>5;-0_vPT+`Mp~JR?Bx(S#2QCb>j_WQY7)^x={@PS5~74y zaq%C9XH+ps)!3qi)JUO>*vWJwvJpA%+|t!w^zl$u9@>@T!D?t%wh+^$%AhWEjwi6L zQ2d*&K-&AyU4e!Ck9LLf-*g3z!hh}xEaZQ*D^&lcD{!9u=dQp){=6#>6d}qf&BNCx zoPj8Zms>_#+>HS7iEK84NGrpZR2@Z+6=`e9;GFj8Bx#Kt;sTW*J<5@?aBmOR3^D~J zDMSj9%s5RA37`ELp-huJB9AEhq<*ICKM_TQus%YF%PoBJZIxD4uW6EZmFOB58z(qq zJ~>@k!^CQ4tr2%1lC6f=`=j-f@m(5MxhpR94)tLnj0u$SJI5zn-W&RW$-a7Wb3 z{`E3rEj3yNns|d7eVkWyTCC}kc^Exis}|XC`eu~AR(_%%gOQZT;7xf+R-^ty=PNQ* zy!Kw+TO+vEvUAe(r0q6DVD`mx4Q4me)CRLr%5n3vZ=boU2BZ^D$Pkj>zj#K6;cE1d zAcg7^xo1X)TwGf-4!FG<6sJ|@eQxkNr57ToitAi8`Pad}Ewjzu&9Tpq!NI`6abE(T zRJn0<00hBVf^UUgLo%DUV#4H@VM&U4Lx5Gh-v@0Ca&zBTbz91`Bk1L)&rd_ck{9)* zl*wA?>9yeYJRfTHSJ{E5*Mg@sad#AO3C1@4kVIV;zE|&V*6+~{YqeiZFpdEvheQB87wq3aLFAQuFx`|FT|3R-~m>~PZ|QAtbt{)(g4j3mcfRW0Y+tc8EjY? z5K1p89Uljt2*WbiXlMY$1!b_KWdMA=G$nX|mBF<92|j#+mcdR#gB{_<#cc&NChP<^ zaE=NctN{E5Pb?vT7ocqT5WB>FR7D30?n=6>fveE6U<401b&eaXjDfPiZxB2YzzdK8 zKEy7uAAeC60geal8$1MMNhRQc6%NQ$f@O{32>|1Z5DdR}#k! zeqBO%_&7e87BrZLh6eILJe%LWG7eZMAT78&?j`^?M*YU&G+ zK57bV59DDue_$-XB%SOt@hrF4d**AEaqDucBvP`9eO}zhrO4VHtw}DK5_)qW{Pl=( zYWYaX!(i{O?CO$TMn~^{^lII(Z}!u<)*ZymvwZBwwRY#lDaR!d_o%c|MogNX-T#G> zM$q`Q!bV$f_Ln#HCHQZj4cx7qB%#jw0Wm9c2$HCg+}$hM$~j?$w8y`&k>k~Q7?wm> z_l#w_J89$(DD+ z*SFncV28}oZ+oq@Tgl$5skaN=Q#z-UXD4x00w1{Yn#_twbI5qT)g;YRxO4g`R8Mjp zus^cP<<$*CDLRD>sb%SZlhbCefOJt?B1tryxRBrhi^M*}oM!%AjbC;b+lZFs6;mOS zINrt6-f^Piz%aWCkF;htx-Bsj3v{=^zn4HH&>yrkb(wDVrjJDn5@*0|CBq9}Q@s`s zVYfisV|Y>3MIERvMzY(?`gPOz1SKbAgt@y~K417L# zji{9eKFHjtG#NZby)Z529vF9*~h(I}H z%!PWvy!C1F?K1u_!QjI68VnJgfxyU)p{g9+&#$b_*dwURJmPLSEYf zvT1{|JhiQDov_~IJ!;1x@(;dCMm+~r5kUbeZP*u~^IW!216WT%rX$+1yF3$iTu1l$ zGcGWOSFOnmOZWl6zmVn0CI~Rgw=zPC_MU}5aEh2W=*lFZE-E~Qnl#(6_Ukwn6X5!%1=y2)%mgbgr;!&iiN*DaD) z0YQ9-w{LK&++MXN zH!N8VtcI`$!ay^boF)I>0~vt6U=QRFnPoj7<$DW91@-`zT}DanW(~C|*aK+9g*|{& z_WV6>HtdOF{Hhb6IhE0zxASBZF9fa!I3=35)UNvbV5uGNQOM}>{?3xOitC+Hq2F>;L zC%GtpnTz^2xu}1ci{>}EXh1GX2_W`}@FMjfL9p(iRX{tm(`TR(cBr+O@Px7N7qml) zbRRS)A|N_>2i&)S4$DIy<>L6xv{B(1%)8%;p|uotD<*dz1Df|W;fc?_7ty>ybRQHZ z9MQZl(7b7kAn)M&okU3OqwEeiLWZuyr=K_u!4c}z>w$X@#gfu8b32ei zJ9JkYNl?&M(pWucUJ%~Fa4`Oy4>!V%tl2NO-9b_^B#oU93*u3sy6&~`_u;N))w#?s9fumab;L-Ha4Xl%xARqR1TGA z3*yt8^I7?msGo~Prb;~1wGZrNc03jpr%25|x~um~a!GiE4ct8>w6@Wep}U|BGk z*?H-R0VlEM+6w-s4aeLZqBp(5Llj&eyzAd-w@WGU(JA)hjJWaLf&9(=>9QMoeP^$o z@G=&A^Gblm@^G$ + +$(PROJECT).syn.rpt: syn.chg $(SOURCE_FILES) + /tools/reconfig/intel/18.0/quartus/bin/quartus_syn $(PROJECT) $(SYN_ARGS) + $(STAMP) fit.chg + +$(PROJECT).fit.rpt: fit.chg $(PROJECT).syn.rpt + /tools/reconfig/intel/18.0/quartus/bin/quartus_fit $(PROJECT) $(FIT_ARGS) + $(STAMP) asm.chg + $(STAMP) sta.chg + +$(PROJECT).asm.rpt: asm.chg $(PROJECT).fit.rpt + /tools/reconfig/intel/18.0/quartus/bin/quartus_asm $(PROJECT) $(ASM_ARGS) + +$(PROJECT).sta.rpt: sta.chg $(PROJECT).fit.rpt + /tools/reconfig/intel/18.0/quartus/bin/quartus_sta $(PROJECT) $(STA_ARGS) + +smart.log: $(PROJECT_FILES) + /tools/reconfig/intel/18.0/quartus/bin/quartus_sh --determine_smart_action $(PROJECT) > smart.log + +# Project initialization +$(PROJECT_FILES): + /tools/reconfig/intel/18.0/quartus/bin/quartus_sh -t project.tcl -project $(PROJECT) -family $(FAMILY) -device $(DEVICE) -top $(TOP_LEVEL_ENTITY) -src $(SRC_FILE) -sdc ../project.sdc + +syn.chg: + $(STAMP) syn.chg + +fit.chg: + $(STAMP) fit.chg + +sta.chg: + $(STAMP) sta.chg + +asm.chg: + $(STAMP) asm.chg + +program: $(PROJECT).sof + quartus_pgm --no_banner --mode=jtag -o "P;$(PROJECT).sof" + +clean: + rm -rf *.rpt *.chg *.qsf *.qpf smart.log *.htm *.eqn *.pin *.sof *.pof qdb incremental_db output_files tmp-clearbox diff --git a/old_rtl/quartus/VX_gpr_syn.qpf b/old_rtl/quartus/VX_gpr_syn.qpf new file mode 100644 index 000000000..8938d2a9b --- /dev/null +++ b/old_rtl/quartus/VX_gpr_syn.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2018 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.0.0 Build 219 04/25/2018 SJ Pro Edition +# Date created = 00:18:19 September 11, 2019 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "18.0" +DATE = "00:18:19 September 11, 2019" + +# Revisions + +PROJECT_REVISION = "VX_gpr_syn" diff --git a/old_rtl/quartus/VX_gpr_syn.qsf b/old_rtl/quartus/VX_gpr_syn.qsf new file mode 100644 index 000000000..26b4649b0 --- /dev/null +++ b/old_rtl/quartus/VX_gpr_syn.qsf @@ -0,0 +1,63 @@ +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:18:19 SEPTEMBER 11, 2019" +set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Pro Edition" +set_global_assignment -name FAMILY "Arria 10" +set_global_assignment -name DEVICE 10AX115N4F45I3SG +set_global_assignment -name TOP_LEVEL_ENTITY VX_gpr_syn +set_global_assignment -name SEARCH_PATH ../ +set_global_assignment -name VERILOG_FILE ../VX_define.v +set_global_assignment -name VERILOG_FILE ../byte_enabled_simple_dual_port_ram.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_branch_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_csr_write_request_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_dcache_request_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_dcache_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_csr_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_exe_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_mem_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_reqeust_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_wb_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_frE_to_bckE_req_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_clone_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_jal_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_read_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_wspawn_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_icache_request_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_icache_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_inst_mem_wb_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_inst_meta_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_jal_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_mem_req_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_mw_wb_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_warp_ctl_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_wb_inter.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_d_e_reg.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_e_m_reg.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_f_d_reg.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_m_w_reg.v +set_global_assignment -name VERILOG_FILE ../VX_alu.v +set_global_assignment -name VERILOG_FILE ../VX_back_end.v +set_global_assignment -name VERILOG_FILE ../VX_context.v +set_global_assignment -name VERILOG_FILE ../VX_context_slave.v +set_global_assignment -name VERILOG_FILE ../VX_csr_handler.v +set_global_assignment -name VERILOG_FILE ../VX_decode.v +set_global_assignment -name VERILOG_FILE ../VX_execute.v +set_global_assignment -name VERILOG_FILE ../VX_fetch.v +set_global_assignment -name VERILOG_FILE ../VX_forwarding.v +set_global_assignment -name VERILOG_FILE ../VX_front_end.v +set_global_assignment -name VERILOG_FILE ../VX_generic_register.v +set_global_assignment -name VERILOG_FILE ../VX_gpr.v +set_global_assignment -name VERILOG_FILE ../VX_gpr_wrapper.v +set_global_assignment -name VERILOG_FILE ../VX_gpr_syn.v +set_global_assignment -name VERILOG_FILE ../VX_memory.v +set_global_assignment -name VERILOG_FILE ../VX_register_file.v +set_global_assignment -name VERILOG_FILE ../VX_register_file_master_slave.v +set_global_assignment -name VERILOG_FILE ../VX_register_file_slave.v +set_global_assignment -name VERILOG_FILE ../VX_warp.v +set_global_assignment -name VERILOG_FILE ../VX_writeback.v +set_global_assignment -name VERILOG_FILE ../Vortex.v +set_global_assignment -name SDC_FILE vortex.sdc +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2009 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY bin +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL diff --git a/old_rtl/quartus/asm.chg b/old_rtl/quartus/asm.chg new file mode 100644 index 000000000..19f86f493 --- /dev/null +++ b/old_rtl/quartus/asm.chg @@ -0,0 +1 @@ +done diff --git a/old_rtl/quartus/fit.chg b/old_rtl/quartus/fit.chg new file mode 100644 index 000000000..19f86f493 --- /dev/null +++ b/old_rtl/quartus/fit.chg @@ -0,0 +1 @@ +done diff --git a/old_rtl/quartus/map.chg b/old_rtl/quartus/map.chg new file mode 100644 index 000000000..d155914ef --- /dev/null +++ b/old_rtl/quartus/map.chg @@ -0,0 +1 @@ +Wed Sep 11 00:18:22 2019 diff --git a/old_rtl/quartus/project.tcl b/old_rtl/quartus/project.tcl new file mode 100644 index 000000000..49e1d8acd --- /dev/null +++ b/old_rtl/quartus/project.tcl @@ -0,0 +1,88 @@ +package require cmdline + +set options { \ + { "project.arg" "" "Project name" } \ + { "family.arg" "" "Device family name" } \ + { "device.arg" "" "Device name" } \ + { "top.arg" "" "Top level module" } \ + { "sdc.arg" "" "Timing Design Constraints file" } \ + { "src.arg" "" "Verilog source file" } \ +} + +array set opts [::cmdline::getoptions quartus(args) $options] + +project_new $opts(project) -overwrite + +set_global_assignment -name FAMILY $opts(family) +set_global_assignment -name DEVICE $opts(device) +set_global_assignment -name TOP_LEVEL_ENTITY $opts(top) + +set_global_assignment -name SEARCH_PATH ../ + +set_global_assignment -name VERILOG_FILE ../VX_define.v + +set_global_assignment -name VERILOG_FILE ../byte_enabled_simple_dual_port_ram.v + +set_global_assignment -name VERILOG_FILE ../interfaces/VX_branch_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_csr_write_request_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_dcache_request_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_dcache_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_csr_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_exe_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_mem_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_reqeust_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_forward_wb_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_frE_to_bckE_req_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_clone_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_jal_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_read_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_gpr_wspawn_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_icache_request_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_icache_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_inst_mem_wb_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_inst_meta_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_jal_response_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_mem_req_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_mw_wb_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_warp_ctl_inter.v +set_global_assignment -name VERILOG_FILE ../interfaces/VX_wb_inter.v + +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_d_e_reg.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_e_m_reg.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_f_d_reg.v +set_global_assignment -name VERILOG_FILE ../pipe_regs/VX_m_w_reg.v + +set_global_assignment -name VERILOG_FILE ../VX_alu.v +set_global_assignment -name VERILOG_FILE ../VX_back_end.v +set_global_assignment -name VERILOG_FILE ../VX_context.v +set_global_assignment -name VERILOG_FILE ../VX_context_slave.v +set_global_assignment -name VERILOG_FILE ../VX_csr_handler.v +set_global_assignment -name VERILOG_FILE ../VX_decode.v +set_global_assignment -name VERILOG_FILE ../VX_define.v +set_global_assignment -name VERILOG_FILE ../VX_execute.v +set_global_assignment -name VERILOG_FILE ../VX_fetch.v +set_global_assignment -name VERILOG_FILE ../VX_forwarding.v +set_global_assignment -name VERILOG_FILE ../VX_front_end.v +set_global_assignment -name VERILOG_FILE ../VX_generic_register.v +set_global_assignment -name VERILOG_FILE ../VX_gpr.v +set_global_assignment -name VERILOG_FILE ../VX_gpr_wrapper.v +set_global_assignment -name VERILOG_FILE ../VX_gpr_syn.v +set_global_assignment -name VERILOG_FILE ../VX_memory.v +set_global_assignment -name VERILOG_FILE ../VX_register_file.v +set_global_assignment -name VERILOG_FILE ../VX_register_file_master_slave.v +set_global_assignment -name VERILOG_FILE ../VX_register_file_slave.v +set_global_assignment -name VERILOG_FILE ../VX_warp.v +set_global_assignment -name VERILOG_FILE ../VX_writeback.v +set_global_assignment -name VERILOG_FILE ../Vortex.v + +set_global_assignment -name SDC_FILE vortex.sdc +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2009 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY bin +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL + +project_close + +# set_global_assignment -name VERILOG_FILE $opts(src) + diff --git a/old_rtl/quartus/smart.log b/old_rtl/quartus/smart.log new file mode 100644 index 000000000..540778b54 --- /dev/null +++ b/old_rtl/quartus/smart.log @@ -0,0 +1,27 @@ +Info (292036): Thank you for using the Quartus Prime software 30-day evaluation. You have 0 days remaining (until Sep 11, 2019) to use the Quartus Prime software with compilation and simulation support. +Info: ******************************************************************* +Info: Running Quartus Prime Shell + Info: Version 18.0.0 Build 219 04/25/2018 SJ Pro Edition + Info: Copyright (C) 2018 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and its AMPP partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details. + Info: Processing started: Wed Sep 11 00:18:22 2019 +Info: Command: quartus_sh --determine_smart_action VX_gpr_syn +Info: Quartus(args): VX_gpr_syn +Info: SMART_ACTION = SOURCE +Info (23030): Evaluation of Tcl script /tools/reconfig/intel/18.0/quartus/common/tcl/internal/qsh_smart.tcl was successful +Info: Quartus Prime Shell was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 687 megabytes + Info: Processing ended: Wed Sep 11 00:18:22 2019 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 diff --git a/old_rtl/quartus/sta.chg b/old_rtl/quartus/sta.chg new file mode 100644 index 000000000..19f86f493 --- /dev/null +++ b/old_rtl/quartus/sta.chg @@ -0,0 +1 @@ +done diff --git a/old_rtl/quartus/syn.chg b/old_rtl/quartus/syn.chg new file mode 100644 index 000000000..19f86f493 --- /dev/null +++ b/old_rtl/quartus/syn.chg @@ -0,0 +1 @@ +done diff --git a/old_rtl/quartus/vortex.ini b/old_rtl/quartus/vortex.ini new file mode 100644 index 000000000..e2fb45166 --- /dev/null +++ b/old_rtl/quartus/vortex.ini @@ -0,0 +1,40 @@ +load_package flow + + +set_global_assignment -name VERILOG_FILE ../VX_gpr_wrapper.v +set_global_assignment -name VERILOG_FILE ../VX_gpr.v +set_global_assignment -name SDC_FILE vortex.sdc +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2009 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 80 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL + +# pins configuration +package require cmdline + +proc make_all_pins_virtual { args } { + + set options {\ + { "exclude.arg" "" "List of signals to exclude" } \ + } + array set opts [::cmdline::getoptions quartus(args) $options] + + remove_all_instance_assignments -name VIRTUAL_PIN + execute_module -tool map + set name_ids [get_names -filter * -node_type pin] + + foreach_in_collection name_id $name_ids { + set pin_name [get_name_info -info full_path $name_id] + + if { -1 == [lsearch -exact $opts(excludes) $pin_name] } { + post_message "Making VIRTUAL_PIN assignment to $pin_name" + set_instance_assignment -to $pin_name -name VIRTUAL_PIN ON + } else { + post_message "Skipping VIRTUAL_PIN assignment to $pin_name" + } + } + export_assignments +} + + +make_all_pins_virtual -exclude { clk, reset } \ No newline at end of file diff --git a/old_rtl/quartus/vortex.sdc b/old_rtl/quartus/vortex.sdc new file mode 100644 index 000000000..eafe4ff77 --- /dev/null +++ b/old_rtl/quartus/vortex.sdc @@ -0,0 +1 @@ +create_clock -name {clk} -period "400 MHz" -waveform { 0.0 1.0 } [get_ports {clk}] diff --git a/old_rtl/results.txt b/old_rtl/results.txt new file mode 100644 index 000000000..083332ec9 --- /dev/null +++ b/old_rtl/results.txt @@ -0,0 +1,7 @@ +# Dynamic Instructions: 51711 +# of total cycles: 51728 +# of forwarding stalls: 0 +# of branch stalls: 0 +# CPI: 1.00033 +# time to simulate: 0 milliseconds +# GRADE: Failed on test: 4294967295 diff --git a/old_rtl/shared_memory/VX_bank_valids.v b/old_rtl/shared_memory/VX_bank_valids.v new file mode 100644 index 000000000..3b1e63ab6 --- /dev/null +++ b/old_rtl/shared_memory/VX_bank_valids.v @@ -0,0 +1,36 @@ +`include "../VX_define.v" + +// Converts in_valids to bank_valids +module VX_bank_valids + #( + parameter NB = 4, + parameter BITS_PER_BANK = 3 + ) + ( + input wire[`NT_M1:0] in_valids, + input wire[`NT_M1:0][31:0] in_addr, + output reg[NB:0][`NT_M1:0] bank_valids + ); + + + integer i, j; + always@(*) begin + for(j = 0; j <= NB; j = j+1 ) begin + for(i = 0; i <= `NT_M1; i = i+1) begin + if(in_valids[i]) begin + if(in_addr[i][(2+BITS_PER_BANK-1):2] == j[BITS_PER_BANK-1:0]) begin + bank_valids[j][i] = 1'b1; + end + else begin + bank_valids[j][i] = 1'b0; + end + + end + else begin + bank_valids[j][i] = 1'b0; + end + end + end + end + +endmodule \ No newline at end of file diff --git a/old_rtl/shared_memory/VX_priority_encoder_sm.v b/old_rtl/shared_memory/VX_priority_encoder_sm.v new file mode 100644 index 000000000..ba571fd3a --- /dev/null +++ b/old_rtl/shared_memory/VX_priority_encoder_sm.v @@ -0,0 +1,115 @@ +`include "../VX_define.v" + +module VX_priority_encoder_sm + #( + parameter NB = 4, + parameter BITS_PER_BANK = 3, + parameter NUM_REQ = 3 + ) + ( + //INPUTS + input wire clk, + input wire reset, + input wire[`NT_M1:0] in_valid, + input wire[`NT_M1:0][31:0] in_address, + input wire[`NT_M1:0][31:0] in_data, + // OUTPUTS + // To SM Module + output reg[NB:0] out_valid, + output reg[NB:0][31:0] out_address, + output reg[NB:0][31:0] out_data, + + // To Processor + output wire[NB:0][`CLOG2(NUM_REQ) - 1:0] req_num, + output reg stall, + output wire send_data // Finished all of the requests +); + + reg[`NT_M1:0] left_requests; + reg[`NT_M1:0] serviced; + + + wire[`NT_M1:0] use_valid; + + + wire requests_left = (|left_requests); + + assign use_valid = (requests_left) ? left_requests : in_valid; + + + wire[NB:0][`NT_M1:0] bank_valids; + VX_bank_valids #(.NB(NB), .BITS_PER_BANK(BITS_PER_BANK)) vx_bank_valid( + .in_valids(use_valid), + .in_addr(in_address), + .bank_valids(bank_valids) + ); + + wire[NB:0] more_than_one_valid; + + genvar curr_bank; + generate + for (curr_bank = 0; curr_bank <= NB; curr_bank = curr_bank + 1) + begin + wire[`CLOG2(`NT):0] num_valids; + + VX_countones #(.N(`NT)) valids_counter ( + .valids(bank_valids[curr_bank]), + .count (num_valids) + ); + assign more_than_one_valid[curr_bank] = num_valids > 1; + // assign more_than_one_valid[curr_bank] = $countones(bank_valids[curr_bank]) > 1; + end + endgenerate + + + assign stall = (|more_than_one_valid); + assign send_data = (!stall) && (|in_valid); // change + + wire[NB:0][(`CLOG2(NUM_REQ)) - 1:0] internal_req_num; + wire[NB:0] internal_out_valid; + + + // There's one or less valid per bank + genvar curr_bank_o; + for (curr_bank_o = 0; curr_bank_o <= NB; curr_bank_o = curr_bank_o + 1) + begin + + VX_generic_priority_encoder #(.N(NUM_REQ)) vx_priority_encoder( + .valids(bank_valids[curr_bank_o]), + .index(internal_req_num[curr_bank_o]), + .found(internal_out_valid[curr_bank_o]) + ); + assign out_address[curr_bank_o] = internal_out_valid[curr_bank_o] ? in_address[internal_req_num[curr_bank_o]] : 0; + assign out_data[curr_bank_o] = internal_out_valid[curr_bank_o] ? in_data[internal_req_num[curr_bank_o]] : 0; + end + + integer curr_b; + always @(*) begin + serviced = 0; + for (curr_b = 0; curr_b <= NB; curr_b=curr_b+1) begin + serviced[internal_req_num[curr_b]] = 1; + end + end + + + assign req_num = internal_req_num; + assign out_valid = internal_out_valid; + + + wire[`NT_M1:0] serviced_qual = in_valid & (serviced); + + wire[`NT_M1:0] new_left_requests = (left_requests == 0) ? (in_valid & ~serviced_qual) : (left_requests & ~ serviced_qual); + + // wire[`NT_M1:0] new_left_requests = left_requests & ~(serviced_qual); + + always @(posedge clk, posedge reset) begin + if (reset) begin + left_requests <= 0; + // serviced = 0; + end else begin + if (!stall) left_requests <= 0; + else left_requests <= new_left_requests; + end + end + +endmodule \ No newline at end of file diff --git a/old_rtl/shared_memory/VX_shared_memory.v b/old_rtl/shared_memory/VX_shared_memory.v new file mode 100644 index 000000000..bd9cce365 --- /dev/null +++ b/old_rtl/shared_memory/VX_shared_memory.v @@ -0,0 +1,178 @@ +`include "../VX_define.v" + +module VX_shared_memory + #( + parameter SM_SIZE = 4096, // Bytes + parameter SM_BANKS = 4, + parameter SM_BYTES_PER_READ = 16, + parameter SM_WORDS_PER_READ = 4, + parameter SM_LOG_WORDS_PER_READ = 2, + parameter SM_HEIGHT = 128, // Bytes + parameter SM_BANK_OFFSET_START = 2, + parameter SM_BANK_OFFSET_END = 4, + parameter SM_BLOCK_OFFSET_START = 5, + parameter SM_BLOCK_OFFSET_END = 6, + parameter SM_INDEX_START = 7, + parameter SM_INDEX_END = 13, + parameter NUM_REQ = 4, + parameter BITS_PER_BANK = 3 + ) + ( + //INPUTS + input wire clk, + input wire reset, + input wire[`NT_M1:0] in_valid, + input wire[`NT_M1:0][31:0] in_address, + input wire[`NT_M1:0][31:0] in_data, + input wire[2:0] mem_read, + input wire[2:0] mem_write, + //OUTPUTS + output wire[`NT_M1:0] out_valid, + output wire[`NT_M1:0][31:0] out_data, + output wire stall + ); + +//reg[NB:0][31:0] temp_address; +//reg[NB:0][31:0] temp_in_data; +//reg[NB:0] temp_in_valid; +reg[SM_BANKS - 1:0][31:0] temp_address; +reg[SM_BANKS - 1:0][31:0] temp_in_data; +reg[SM_BANKS - 1:0] temp_in_valid; + +reg[`NT_M1:0] temp_out_valid; +reg[`NT_M1:0][31:0] temp_out_data; + +//reg [NB:0][6:0] block_addr; +//reg [NB:0][3:0][31:0] block_wdata; +//reg [NB:0][3:0][31:0] block_rdata; +//reg [NB:0][1:0] block_we; +reg [SM_BANKS - 1:0][$clog2(SM_HEIGHT) - 1:0] block_addr; +reg [SM_BANKS - 1:0][SM_WORDS_PER_READ-1:0][31:0] block_wdata; +reg [SM_BANKS - 1:0][SM_WORDS_PER_READ-1:0][31:0] block_rdata; +reg [SM_BANKS - 1:0][SM_LOG_WORDS_PER_READ-1:0] block_we; + +wire send_data; + +//reg[NB:0][1:0] req_num; +reg[SM_BANKS - 1:0][`CLOG2(NUM_REQ) - 1:0] req_num; // not positive about this + +wire [`NT_M1:0] orig_in_valid; + + +genvar f; + generate + for(f = 0; f < `NT; f = f+1) begin + assign orig_in_valid[f] = in_valid[f]; + end + + assign out_valid = send_data ? temp_out_valid : 0; + assign out_data = send_data ? temp_out_data : 0; + endgenerate + + +//VX_priority_encoder_sm #(.NB(NB), .BITS_PER_BANK(BITS_PER_BANK)) vx_priority_encoder_sm( +VX_priority_encoder_sm #(.NB(SM_BANKS - 1), .BITS_PER_BANK(BITS_PER_BANK), .NUM_REQ(NUM_REQ)) vx_priority_encoder_sm( + .clk(clk), + .reset(reset), + .in_valid(orig_in_valid), + .in_address(in_address), + .in_data(in_data), + + .out_valid(temp_in_valid), + .out_address(temp_address), + .out_data(temp_in_data), + + .req_num(req_num), + .stall(stall), + .send_data(send_data) + ); + + +genvar j; +integer i; +generate +//for(j=0; j<= NB; j=j+1) begin : sm_mem_block +for(j=0; j<= SM_BANKS - 1; j=j+1) begin + + wire shm_write = (mem_write != `NO_MEM_WRITE) && temp_in_valid[j]; + + VX_shared_memory_block# + ( + .SMB_HEIGHT(SM_HEIGHT), + .SMB_WORDS_PER_READ(SM_WORDS_PER_READ), + .SMB_LOG_WORDS_PER_READ(SM_LOG_WORDS_PER_READ) + ) vx_shared_memory_block + ( + .clk (clk), + .reset (reset), + .addr (block_addr[j]), + .wdata (block_wdata[j]), + .we (block_we[j]), + .shm_write(shm_write), + .data_out (block_rdata[j]) + ); +end + + +always @(*) begin + block_addr = 0; + block_we = 0; + block_wdata = 0; + //for(i = 0; i <= NB; i = i+1) begin + for(i = 0; i <= SM_BANKS - 1; i = i+1) begin + if(temp_in_valid[i] == 1'b1) begin + //1. Check if the request is actually to the shared memory + if((temp_address[i][31:24]) == 8'hFF) begin + // STORES + if(mem_write != `NO_MEM_WRITE) begin + if(mem_write == `SB_MEM_WRITE) begin + //TODO + end + else if(mem_write == `SH_MEM_WRITE) begin + //TODO + end + else if(mem_write == `SW_MEM_WRITE) begin + //block_addr[i] = temp_address[i][13:7]; + //block_we[i] = temp_address[i][6:5]; + //block_wdata[i][temp_address[i][6:5]] = temp_in_data[i]; + block_addr[i] = temp_address[i][SM_INDEX_END:SM_INDEX_START]; + block_we[i] = temp_address[i][SM_BLOCK_OFFSET_END:SM_BLOCK_OFFSET_START]; + block_wdata[i][temp_address[i][SM_BLOCK_OFFSET_END:SM_BLOCK_OFFSET_START]] = temp_in_data[i]; + end + end + //LOADS + else if(mem_read != `NO_MEM_READ) begin + if(mem_read == `LB_MEM_READ) begin + //TODO + end + else if (mem_read == `LH_MEM_READ) + begin + //TODO + end + else if (mem_read == `LW_MEM_READ) + begin + //block_addr[i] = temp_address[i][13:7]; + //temp_out_data[req_num[i]] = block_rdata[i][temp_address[i][6:5]]; + //temp_out_valid[req_num[i]] = 1'b1; + block_addr[i] = temp_address[i][SM_INDEX_END:SM_INDEX_START]; + temp_out_data[req_num[i]] = block_rdata[i][temp_address[i][SM_BLOCK_OFFSET_END:SM_BLOCK_OFFSET_START]]; + temp_out_valid[req_num[i]] = 1'b1; + end + else if (mem_read == `LBU_MEM_READ) + begin + //TODO + end + else if (mem_read == `LHU_MEM_READ) + begin + //TODO + end + end + end + end + end +end + +endgenerate + + +endmodule diff --git a/old_rtl/shared_memory/VX_shared_memory_block.v b/old_rtl/shared_memory/VX_shared_memory_block.v new file mode 100644 index 000000000..9a37b6fe0 --- /dev/null +++ b/old_rtl/shared_memory/VX_shared_memory_block.v @@ -0,0 +1,115 @@ +module VX_shared_memory_block +#( + parameter SMB_SIZE = 4096, // Bytes + parameter SMB_BYTES_PER_READ = 16, + parameter SMB_WORDS_PER_READ = 4, + parameter SMB_LOG_WORDS_PER_READ = 2, + parameter SMB_HEIGHT = 128, // Bytes + parameter BITS_PER_BANK = 3 +) +( + input wire clk, // Clock + input wire reset, + //input wire[6:0] addr, + //input wire[3:0][31:0] wdata, + //input wire[1:0] we, + //input wire shm_write, + + //output wire[3:0][31:0] data_out + input wire[$clog2(SMB_HEIGHT) - 1:0] addr, + input wire[SMB_WORDS_PER_READ-1:0][31:0] wdata, + input wire[SMB_LOG_WORDS_PER_READ-1:0] we, + input wire shm_write, + + output wire[SMB_WORDS_PER_READ-1:0][31:0] data_out + +); + + + `ifndef SYN + + //reg[3:0][31:0] shared_memory[127:0]; + reg[SMB_WORDS_PER_READ-1:0][31:0] shared_memory[SMB_HEIGHT-1:0]; + + //wire need_to_write = (|we); + integer curr_ind; + always @(posedge clk, posedge reset) begin + if (reset) begin + //for (curr_ind = 0; curr_ind < 128; curr_ind = curr_ind + 1) + for (curr_ind = 0; curr_ind < SMB_HEIGHT; curr_ind = curr_ind + 1) + begin + shared_memory[curr_ind] = 0; + end + end else if(shm_write) begin + shared_memory[addr][we][31:0] = wdata[we][31:0]; // - Ethan's addition + //if (we == 2'b00) shared_memory[addr][0][31:0] <= wdata[0][31:0]; + //if (we == 2'b01) shared_memory[addr][1][31:0] <= wdata[1][31:0]; + //if (we == 2'b10) shared_memory[addr][2][31:0] <= wdata[2][31:0]; + //if (we == 2'b11) shared_memory[addr][3][31:0] <= wdata[3][31:0]; + end + end + + + assign data_out = shm_write ? 0 : shared_memory[addr]; + + `else + + wire cena = 0; + wire cenb = !shm_write; + + wire[3:0][31:0] write_bit_mask; + + //assign write_bit_mask[0] = (we == 2'b00) ? {32{1'b1}} : {32{1'b0}}; + //assign write_bit_mask[1] = (we == 2'b01) ? {32{1'b1}} : {32{1'b0}}; + //assign write_bit_mask[2] = (we == 2'b10) ? {32{1'b1}} : {32{1'b0}}; + //assign write_bit_mask[3] = (we == 2'b11) ? {32{1'b1}} : {32{1'b0}}; + genvar curr_word; + for (curr_word = 0; curr_word < SMB_WORDS_PER_READ; curr_word = curr_word + 1) + begin + assign write_bit_mask[curr_word] = (we == curr_word) ? 1 : {32{1'b0}}; + end + + // Using ASIC MEM + /* verilator lint_off PINCONNECTEMPTY */ + rf2_128x128_wm1 first_ram ( + .CENYA(), + .AYA(), + .CENYB(), + .WENYB(), + .AYB(), + .QA(data_out), + .SOA(), + .SOB(), + .CLKA(clk), + .CENA(cena), + .AA(addr), + .CLKB(clk), + .CENB(cenb), + .WENB(write_bit_mask), + .AB(addr), + .DB(wdata), + .EMAA(3'b011), + .EMASA(1'b0), + .EMAB(3'b011), + .TENA(1'b1), + .TCENA(1'b0), + .TAA(7'b0), + .TENB(1'b1), + .TCENB(1'b0), + .TWENB(128'b0), + .TAB(7'b0), + .TDB(128'b0), + .RET1N(1'b1), + .SIA(2'b0), + .SEA(1'b0), + .DFTRAMBYP(1'b0), + .SIB(2'b0), + .SEB(1'b0), + .COLLDISN(1'b1) + ); + /* verilator lint_on PINCONNECTEMPTY */ + + + `endif + +endmodule diff --git a/old_rtl/simulate/VX_define.h b/old_rtl/simulate/VX_define.h new file mode 100644 index 000000000..ed10c77fc --- /dev/null +++ b/old_rtl/simulate/VX_define.h @@ -0,0 +1,100 @@ +#define NT 4 +#define NT_M1 (NT-1) + +#define NW 8 + +#define CACHE_NUM_BANKS 8 +#define CACHE_WORDS_PER_BLOCK 4 + +#define R_INST 51 +#define L_INST 3 +#define ALU_INST 19 +#define S_INST 35 +#define B_INST 99 +#define LUI_INST 55 +#define AUIPC_INST 23 +#define JAL_INST 111 +#define JALR_INST 103 +#define SYS_INST 115 + + +#define WB_ALU 1 +#define WB_MEM 2 +#define WB_JAL 3 +#define NO_WB 0 + + +#define RS2_IMMED 1 +#define RS2_REG 0 + + +#define NO_MEM_READ 7 +#define LB_MEM_READ 0 +#define LH_MEM_READ 1 +#define LW_MEM_READ 2 +#define LBU_MEM_READ 4 +#define LHU_MEM_READ 5 + + +#define NO_MEM_WRITE 7 +#define SB_MEM_WRITE 0 +#define SH_MEM_WRITE 1 +#define SW_MEM_WRITE 2 + + +#define NO_BRANCH 0 +#define BEQ 1 +#define BNE 2 +#define BLT 3 +#define BGT 4 +#define BLTU 5 +#define BGTU 6 + + +#define NO_ALU 15 +#define ADD 0 +#define SUB 1 +#define SLLA 2 +#define SLT 3 +#define SLTU 4 +#define XOR 5 +#define SRL 6 +#define SRA 7 +#define OR 8 +#define AND 9 +#define SUBU 10 +#define LUI_ALU 11 +#define AUIPC_ALU 12 +#define CSR_ALU_RW 13 +#define CSR_ALU_RS 14 +#define CSR_ALU_RC 15 + + + +// WRITEBACK +#define WB_ALU 1 +#define WB_MEM 2 +#define WB_JAL 3 +#define NO_WB 0 + + +// JAL +#define JUMP 1 +#define NO_JUMP 0 + +// STALLS +#define STALL 1 +#define NO_STALL 0 + + +#define TAKEN 1 +#define NOT_TAKEN 0 + + +#define ZERO_REG 0 + + +// COLORS +#define GREEN "\033[32m" +#define RED "\033[31m" +#define DEFAULT "\033[39m" diff --git a/old_rtl/simulate/ram.h b/old_rtl/simulate/ram.h new file mode 100644 index 000000000..13f78e94a --- /dev/null +++ b/old_rtl/simulate/ram.h @@ -0,0 +1,245 @@ +#ifndef __RAM__ + +#define __RAM__ + +// #include "string.h" +#include +#include +// #include + +// #define NULL 0 + +class RAM; + +uint32_t hti(char); +uint32_t hToI(char *, uint32_t); +void loadHexImpl(char *,RAM*); + +class RAM{ +public: + uint8_t* mem[1 << 12]; + + RAM(){ + for(uint32_t i = 0;i < (1 << 12);i++) mem[i] = NULL; + } + ~RAM(){ + for(uint32_t i = 0;i < (1 << 12);i++) if(mem[i]) delete [] mem[i]; + } + + void clear(){ + for(uint32_t i = 0;i < (1 << 12);i++) + { + if(mem[i]) + { + delete mem[i]; + mem[i] = NULL; + } + } + } + + uint8_t* get(uint32_t address){ + + if(mem[address >> 20] == NULL) { + uint8_t* ptr = new uint8_t[1024*1024]; + for(uint32_t i = 0;i < 1024*1024;i+=4) { + ptr[i + 0] = 0x00; + ptr[i + 1] = 0x00; + ptr[i + 2] = 0x00; + ptr[i + 3] = 0x00; + } + mem[address >> 20] = ptr; + } + return &mem[address >> 20][address & 0xFFFFF]; + } + + void read(uint32_t address,uint32_t length, uint8_t *data){ + for(unsigned i = 0;i < length;i++){ + data[i] = (*this)[address + i]; + } + } + + void write(uint32_t address,uint32_t length, uint8_t *data){ + for(unsigned i = 0;i < length;i++){ + (*this)[address + i] = data[i]; + } + } + + void getBlock(uint32_t address, uint8_t *data) + { + uint32_t block_number = address & 0xffffff00; // To zero out block offset + uint32_t bytes_num = 256; + + this->read(block_number, bytes_num, data); + } + + void getWord(uint32_t address, uint32_t * data) + { + data[0] = 0; + + uint8_t first = *get(address + 0); + uint8_t second = *get(address + 1); + uint8_t third = *get(address + 2); + uint8_t fourth = *get(address + 3); + + // uint8_t hi = (uint8_t) *get(address + 0); + // std::cout << "RAM: READING ADDRESS " << address + 0 << " DATA: " << hi << "\n"; + // hi = (uint8_t) *get(address + 1); + // std::cout << "RAM: READING ADDRESS " << address + 1 << " DATA: " << hi << "\n"; + // hi = (uint8_t) *get(address + 2); + // std::cout << "RAM: READING ADDRESS " << address + 2 << " DATA: " << hi << "\n"; + // hi = (uint8_t) *get(address + 3); + // std::cout << "RAM: READING ADDRESS " << address + 3 << " DATA: " << hi << "\n"; + + data[0] = (data[0] << 0) | fourth; + data[0] = (data[0] << 8) | third; + data[0] = (data[0] << 8) | second; + data[0] = (data[0] << 8) | first; + + } + + void writeWord(uint32_t address, uint32_t * data) + { + uint32_t data_to_write = *data; + + uint32_t byte_mask = 0xFF; + + for (int i = 0; i < 4; i++) + { + // std::cout << "RAM: DATA TO WRITE " << data_to_write << "\n"; + // std::cout << "RAM: DATA TO MASK " << byte_mask << "\n"; + // std::cout << "RAM: WRITING ADDRESS " << address + i << " DATA: " << (data_to_write & byte_mask) << "\n"; + (*this)[address + i] = data_to_write & byte_mask; + data_to_write = data_to_write >> 8; + } + } + + void writeHalf(uint32_t address, uint32_t * data) + { + uint32_t data_to_write = *data; + + uint32_t byte_mask = 0xFF; + + for (int i = 0; i < 2; i++) + { + // std::cout << "RAM: DATA TO WRITE " << data_to_write << "\n"; + // std::cout << "RAM: DATA TO MASK " << byte_mask << "\n"; + // std::cout << "RAM: WRITING ADDRESS " << address + i << " DATA: " << (data_to_write & byte_mask) << "\n"; + (*this)[address + i] = data_to_write & byte_mask; + data_to_write = data_to_write >> 8; + } + } + + void writeByte(uint32_t address, uint32_t * data) + { + uint32_t data_to_write = *data; + + uint32_t byte_mask = 0xFF; + + (*this)[address] = data_to_write & byte_mask; + data_to_write = data_to_write >> 8; + + } + + uint8_t& operator [](uint32_t address) { + return *get(address); + } + +}; + + +// MEMORY UTILS + +uint32_t hti(char c) { + if (c >= 'A' && c <= 'F') + return c - 'A' + 10; + if (c >= 'a' && c <= 'f') + return c - 'a' + 10; + return c - '0'; +} + +uint32_t hToI(char *c, uint32_t size) { + uint32_t value = 0; + for (uint32_t i = 0; i < size; i++) { + value += hti(c[i]) << ((size - i - 1) * 4); + } + return value; +} + + + +void loadHexImpl(const char *path, RAM* mem) { + mem->clear(); + FILE *fp = fopen(path, "r"); + if(fp == 0){ + printf("Path not found %s\n", path); + return; + // std::cout << path << " not found" << std::endl; + } + //Preload 0x0 <-> 0x80000000 jumps + ((uint32_t*)mem->get(0))[1] = 0xf1401073; + + ((uint32_t*)mem->get(0))[2] = 0x30101073; + + ((uint32_t*)mem->get(0))[3] = 0x800000b7; + ((uint32_t*)mem->get(0))[4] = 0x000080e7; + + ((uint32_t*)mem->get(0x80000000))[0] = 0x00000097; + + ((uint32_t*)mem->get(0xb0000000))[0] = 0x01C02023; + // F00FFF10 + ((uint32_t*)mem->get(0xf00fff10))[0] = 0x12345678; + + + + + fseek(fp, 0, SEEK_END); + uint32_t size = ftell(fp); + fseek(fp, 0, SEEK_SET); + char* content = new char[size]; + fread(content, 1, size, fp); + + int offset = 0; + char* line = content; + // std::cout << "WHTA\n"; + while (1) { + if (line[0] == ':') { + uint32_t byteCount = hToI(line + 1, 2); + uint32_t nextAddr = hToI(line + 3, 4) + offset; + uint32_t key = hToI(line + 7, 2); + switch (key) { + case 0: + for (uint32_t i = 0; i < byteCount; i++) { + + unsigned add = nextAddr + i; + + *(mem->get(add)) = hToI(line + 9 + i * 2, 2); + } + break; + case 2: +// cout << offset << endl; + offset = hToI(line + 9, 4) << 4; + break; + case 4: +// cout << offset << endl; + offset = hToI(line + 9, 4) << 16; + break; + default: +// cout << "??? " << key << endl; + break; + } + } + + while (*line != '\n' && size != 0) { + line++; + size--; + } + if (size <= 1) + break; + line++; + size--; + } + + if (content) delete[] content; +} + +#endif \ No newline at end of file diff --git a/rtl/simulate/tb_debug.h b/old_rtl/simulate/tb_debug.h similarity index 100% rename from rtl/simulate/tb_debug.h rename to old_rtl/simulate/tb_debug.h diff --git a/old_rtl/simulate/test_bench.cpp b/old_rtl/simulate/test_bench.cpp new file mode 100644 index 000000000..2becfb892 --- /dev/null +++ b/old_rtl/simulate/test_bench.cpp @@ -0,0 +1,105 @@ +#include "test_bench.h" + +#define NUM_TESTS 46 + +int main(int argc, char **argv) +{ + + // Verilated::debug(1); + + Verilated::commandArgs(argc, argv); + + Verilated::traceEverOn(true); + + +#define ALL_TESTS +#ifdef ALL_TESTS + bool passed = true; + std::string tests[NUM_TESTS] = { + "../../emulator/riscv_tests/rv32ui-p-add.hex", + "../../emulator/riscv_tests/rv32ui-p-addi.hex", + "../../emulator/riscv_tests/rv32ui-p-and.hex", + "../../emulator/riscv_tests/rv32ui-p-andi.hex", + "../../emulator/riscv_tests/rv32ui-p-auipc.hex", + "../../emulator/riscv_tests/rv32ui-p-beq.hex", + "../../emulator/riscv_tests/rv32ui-p-bge.hex", + "../../emulator/riscv_tests/rv32ui-p-bgeu.hex", + "../../emulator/riscv_tests/rv32ui-p-blt.hex", + "../../emulator/riscv_tests/rv32ui-p-bltu.hex", + "../../emulator/riscv_tests/rv32ui-p-bne.hex", + "../../emulator/riscv_tests/rv32ui-p-jal.hex", + "../../emulator/riscv_tests/rv32ui-p-jalr.hex", + "../../emulator/riscv_tests/rv32ui-p-lb.hex", + "../../emulator/riscv_tests/rv32ui-p-lbu.hex", + "../../emulator/riscv_tests/rv32ui-p-lh.hex", + "../../emulator/riscv_tests/rv32ui-p-lhu.hex", + "../../emulator/riscv_tests/rv32ui-p-lui.hex", + "../../emulator/riscv_tests/rv32ui-p-lw.hex", + "../../emulator/riscv_tests/rv32ui-p-or.hex", + "../../emulator/riscv_tests/rv32ui-p-ori.hex", + "../../emulator/riscv_tests/rv32ui-p-sb.hex", + "../../emulator/riscv_tests/rv32ui-p-sh.hex", + "../../emulator/riscv_tests/rv32ui-p-simple.hex", + "../../emulator/riscv_tests/rv32ui-p-sll.hex", + "../../emulator/riscv_tests/rv32ui-p-slli.hex", + "../../emulator/riscv_tests/rv32ui-p-slt.hex", + "../../emulator/riscv_tests/rv32ui-p-slti.hex", + "../../emulator/riscv_tests/rv32ui-p-sltiu.hex", + "../../emulator/riscv_tests/rv32ui-p-sltu.hex", + "../../emulator/riscv_tests/rv32ui-p-sra.hex", + "../../emulator/riscv_tests/rv32ui-p-srai.hex", + "../../emulator/riscv_tests/rv32ui-p-srl.hex", + "../../emulator/riscv_tests/rv32ui-p-srli.hex", + "../../emulator/riscv_tests/rv32ui-p-sub.hex", + "../../emulator/riscv_tests/rv32ui-p-sw.hex", + "../../emulator/riscv_tests/rv32ui-p-xor.hex", + "../../emulator/riscv_tests/rv32ui-p-xori.hex", + "../../emulator/riscv_tests/rv32um-p-div.hex", + "../../emulator/riscv_tests/rv32um-p-divu.hex", + "../../emulator/riscv_tests/rv32um-p-mul.hex", + "../../emulator/riscv_tests/rv32um-p-mulh.hex", + "../../emulator/riscv_tests/rv32um-p-mulhsu.hex", + "../../emulator/riscv_tests/rv32um-p-mulhu.hex", + "../../emulator/riscv_tests/rv32um-p-rem.hex", + "../../emulator/riscv_tests/rv32um-p-remu.hex" + }; + + for (std::string s : tests) { + Vortex v; + + std::cerr << s << std::endl; + + bool curr = v.simulate(s); + if ( curr) std::cerr << GREEN << "Test Passed: " << s << std::endl; + if (!curr) std::cerr << RED << "Test Failed: " << s << std::endl; + passed = passed && curr; + } + + if( passed) std::cerr << DEFAULT << "PASSED ALL TESTS\n"; + if(!passed) std::cerr << DEFAULT << "Failed one or more tests\n"; + + return !passed; + + #else + + char testing[] = "../../emulator/riscv_tests/rv32ui-p-sw.hex"; + Vortex v; + const char *testing; + + if (argc >= 2) { + testing = argv[1]; + } else { + testing = "../../kernel/vortex_test.hex"; + } + + std::cerr << testing << std::endl; + + + bool curr = v.simulate(testing); + if ( curr) std::cerr << GREEN << "Test Passed: " << testing << std::endl; + if (!curr) std::cerr << RED << "Test Failed: " << testing << std::endl; + + return !curr; + +#endif +} diff --git a/old_rtl/simulate/test_bench.h b/old_rtl/simulate/test_bench.h new file mode 100644 index 000000000..3a0013774 --- /dev/null +++ b/old_rtl/simulate/test_bench.h @@ -0,0 +1,433 @@ +// C++ libraries +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "VX_define.h" +#include "ram.h" +#include "VVortex.h" +#include "verilated.h" + +#include "tb_debug.h" + +#ifdef VCD_OUTPUT +#include +#endif + +unsigned long time_stamp = 0; + +double sc_time_stamp() +{ + return time_stamp / 1000.0; +} + +class Vortex +{ + public: + Vortex(); + ~Vortex(); + bool simulate(std::string); + private: + void ProcessFile(void); + void print_stats(bool = true); + bool ibus_driver(); + bool dbus_driver(); + void io_handler(); + + RAM ram; + + VVortex * vortex; + + unsigned start_pc; + bool refill_d; + unsigned refill_addr_d; + bool refill_i; + unsigned refill_addr_i; + long int curr_cycle; + bool stop; + bool unit_test; + std::string instruction_file_name; + std::ofstream results; + int stats_static_inst; + int stats_dynamic_inst; + int stats_total_cycles; + int stats_fwd_stalls; + int stats_branch_stalls; + int debug_state; + int ibus_state; + int dbus_state; + int debug_return; + int debug_wait_num; + int debug_inst_num; + int debug_end_wait; + int debug_debugAddr; + double stats_sim_time; + #ifdef VCD_OUTPUT + VerilatedVcdC *m_trace; + #endif +}; + + + +Vortex::Vortex() : start_pc(0), curr_cycle(0), stop(true), unit_test(true), stats_static_inst(0), stats_dynamic_inst(-1), + stats_total_cycles(0), stats_fwd_stalls(0), stats_branch_stalls(0), + debug_state(0), ibus_state(0), dbus_state(0), debug_return(0), + debug_wait_num(0), debug_inst_num(0), debug_end_wait(0), debug_debugAddr(0) +{ + this->vortex = new VVortex; + #ifdef VCD_OUTPUT + this->m_trace = new VerilatedVcdC; + this->vortex->trace(m_trace, 99); + this->m_trace->open("trace.vcd"); + #endif + this->results.open("../results.txt"); +} + +Vortex::~Vortex() +{ + #ifdef VCD_OUTPUT + m_trace->close(); + #endif + this->results.close(); + delete this->vortex; +} + + +void Vortex::ProcessFile(void) +{ + loadHexImpl(this->instruction_file_name.c_str(), &this->ram); +} + +void Vortex::print_stats(bool cycle_test) +{ + + if (cycle_test) + { + this->results << std::left; + // this->results << "# Static Instructions:\t" << std::dec << this->stats_static_inst << std::endl; + this->results << std::setw(24) << "# Dynamic Instructions:" << std::dec << this->stats_dynamic_inst << std::endl; + this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; + this->results << std::setw(24) << "# of forwarding stalls:" << std::dec << this->stats_fwd_stalls << std::endl; + this->results << std::setw(24) << "# of branch stalls:" << std::dec << this->stats_branch_stalls << std::endl; + this->results << std::setw(24) << "# CPI:" << std::dec << (double) this->stats_total_cycles / (double) this->stats_dynamic_inst << std::endl; + this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; + } + else + { + this->results << std::left; + this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; + this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; + } + + + uint32_t status; + ram.getWord(0, &status); + + if (this->unit_test) + { + if (status == 1) + { + this->results << std::setw(24) << "# GRADE:" << "PASSING\n"; + } else + { + this->results << std::setw(24) << "# GRADE:" << "Failed on test: " << status << "\n"; + } + } + else + { + this->results << std::setw(24) << "# GRADE:" << "N/A [NOT A UNIT TEST]\n"; + } + + this->stats_static_inst = 0; + this->stats_dynamic_inst = -1; + this->stats_total_cycles = 0; + this->stats_fwd_stalls = 0; + this->stats_branch_stalls = 0; + +} + +bool Vortex::ibus_driver() +{ + + vortex->i_m_ready_i = false; + + { + + // int dcache_num_words_per_block + + if (refill_i) + { + refill_i = false; + vortex->i_m_ready_i = true; + + for (int curr_bank = 0; curr_bank < vortex->Vortex__DOT__icache_banks; curr_bank++) + { + for (int curr_word = 0; curr_word < vortex->Vortex__DOT__icache_num_words_per_block; curr_word++) + { + unsigned curr_index = (curr_word * vortex->Vortex__DOT__icache_banks) + curr_bank; + unsigned curr_addr = refill_addr_i + (4*curr_index); + + unsigned curr_value; + ram.getWord(curr_addr, &curr_value); + + vortex->i_m_readdata_i[curr_bank][curr_word] = curr_value; + + } + } + } + else + { + if (vortex->o_m_valid_i) + { + + if (vortex->o_m_read_or_write_i) + { + // fprintf(stderr, "++++++++++++++++++++++++++++++++\n"); + unsigned base_addr = vortex->o_m_evict_addr_i; + + for (int curr_bank = 0; curr_bank < vortex->Vortex__DOT__icache_banks; curr_bank++) + { + for (int curr_word = 0; curr_word < vortex->Vortex__DOT__icache_num_words_per_block; curr_word++) + { + unsigned curr_index = (curr_word * vortex->Vortex__DOT__icache_banks) + curr_bank; + unsigned curr_addr = base_addr + (4*curr_index); + + unsigned curr_value = vortex->o_m_writedata_i[curr_bank][curr_word]; + + ram.writeWord( curr_addr, &curr_value); + } + } + } + + // Respond next cycle + refill_i = true; + refill_addr_i = vortex->o_m_read_addr_i; + } + } + + } + + + return false; + +} + +void Vortex::io_handler() +{ + if (vortex->io_valid) + { + uint32_t data_write = (uint32_t) vortex->io_data; + + char c = (char) data_write; + std::cerr << c; + // std::cout << c; + } +} + + +bool Vortex::dbus_driver() +{ + + vortex->i_m_ready_d = false; + + { + + // int dcache_num_words_per_block + + if (refill_d) + { + refill_d = false; + vortex->i_m_ready_d = true; + + for (int curr_bank = 0; curr_bank < vortex->Vortex__DOT__dcache_banks; curr_bank++) + { + for (int curr_word = 0; curr_word < vortex->Vortex__DOT__dcache_num_words_per_block; curr_word++) + { + unsigned curr_index = (curr_word * vortex->Vortex__DOT__dcache_banks) + curr_bank; + unsigned curr_addr = refill_addr_d + (4*curr_index); + + unsigned curr_value; + ram.getWord(curr_addr, &curr_value); + + vortex->i_m_readdata_d[curr_bank][curr_word] = curr_value; + + } + } + } + else + { + if (vortex->o_m_valid_d) + { + + if (vortex->o_m_read_or_write_d) + { + // fprintf(stderr, "++++++++++++++++++++++++++++++++\n"); + unsigned base_addr = vortex->o_m_evict_addr_d; + + for (int curr_bank = 0; curr_bank < vortex->Vortex__DOT__dcache_banks; curr_bank++) + { + for (int curr_word = 0; curr_word < vortex->Vortex__DOT__dcache_num_words_per_block; curr_word++) + { + unsigned curr_index = (curr_word * vortex->Vortex__DOT__dcache_banks) + curr_bank; + unsigned curr_addr = base_addr + (4*curr_index); + + unsigned curr_value = vortex->o_m_writedata_d[curr_bank][curr_word]; + + ram.writeWord( curr_addr, &curr_value); + } + } + } + + // Respond next cycle + refill_d = true; + refill_addr_d = vortex->o_m_read_addr_d; + } + } + + } + + + return false; +} + + + +bool Vortex::simulate(std::string file_to_simulate) +{ + + this->instruction_file_name = file_to_simulate; + // this->results << "\n****************\t" << file_to_simulate << "\t****************\n"; + + this->ProcessFile(); + + // auto start_time = std::chrono::high_resolution_clock::now(); + + + static bool stop = false; + static int counter = 0; + counter = 0; + stop = false; + + // auto start_time = clock(); + + + // vortex->reset = 1; + + + // vortex->reset = 0; + + unsigned curr_inst; + unsigned new_PC; + + // while (this->stop && (!(stop && (counter > 5)))) + // { + + // // std::cout << "************* Cycle: " << cycle << "\n"; + // bool istop = ibus_driver(); + // bool dstop = !dbus_driver(); + + // vortex->clk = 1; + // vortex->eval(); + + + + // vortex->clk = 0; + // vortex->eval(); + + + // stop = istop && dstop; + + // if (stop) + // { + // counter++; + // } else + // { + // counter = 0; + // } + + // cycle++; + // } + + bool istop; + bool dstop; + bool cont = false; + // for (int i = 0; i < 500; i++) + + vortex->reset = 1; + vortex->clk = 0; + vortex->eval(); + // m_trace->dump(10); + vortex->reset = 1; + vortex->clk = 1; + vortex->eval(); + // m_trace->dump(11); + vortex->reset = 0; + vortex->clk = 0; + + // unsigned cycles; + counter = 0; + this->stats_total_cycles = 12; + while (this->stop && ((counter < 5))) + // while (this->stats_total_cycles < 10) + { + + // printf("-------------------------\n"); + // std::cout << "Counter: " << counter << "\n"; + // if ((this->stats_total_cycles) % 5000 == 0) std::cout << "************* Cycle: " << (this->stats_total_cycles) << "\n"; + // dstop = !dbus_driver(); + #ifdef VCD_OUTPUT + m_trace->dump(2*this->stats_total_cycles); + #endif + vortex->clk = 1; + vortex->eval(); + istop = ibus_driver(); + dstop = !dbus_driver(); + io_handler(); + + #ifdef VCD_OUTPUT + m_trace->dump((2*this->stats_total_cycles)+1); + #endif + vortex->clk = 0; + vortex->eval(); + // stop = istop && dstop; + stop = vortex->out_ebreak; + + if (stop || cont) + // if (istop) + { + cont = true; + counter++; + } else + { + counter = 0; + } + + ++time_stamp; + ++stats_total_cycles; + } + + std::cerr << "New Total Cycles: " << (this->stats_total_cycles) << "\n"; + + int status = (unsigned int) vortex->Vortex__DOT__vx_back_end__DOT__VX_wb__DOT__last_data_wb & 0xf; + + // std::cout << "Last wb: " << std::hex << ((unsigned int) vortex->Vortex__DOT__vx_back_end__DOT__VX_wb__DOT__last_data_wb) << "\n"; + + // std::cout << "Something: " << result << '\n'; + + // uint32_t status; + // ram.getWord(0, &status); + + this->print_stats(); + + + + return (status == 1); + // return (1 == 1); +} \ No newline at end of file diff --git a/rtl/simulate/Vortex.cpp b/rtl/simulate/Vortex.cpp new file mode 100644 index 000000000..80b6c9640 --- /dev/null +++ b/rtl/simulate/Vortex.cpp @@ -0,0 +1,315 @@ +#include "Vortex.h" + +unsigned long time_stamp = 0; + +double sc_time_stamp() { + return time_stamp / 1000.0; +} + +Vortex::Vortex(RAM *ram) + : start_pc(0), curr_cycle(0), stop(true), unit_test(true), stats_static_inst(0), stats_dynamic_inst(-1), + stats_total_cycles(0), stats_fwd_stalls(0), stats_branch_stalls(0), + debug_state(0), ibus_state(0), dbus_state(0), debug_return(0), + debug_wait_num(0), debug_inst_num(0), debug_end_wait(0), debug_debugAddr(0) { + this->ram = ram; + this->vortex = new VVortex; +#ifdef VCD_OUTPUT + Verilated::traceEverOn(true); + this->m_trace = new VerilatedVcdC; + this->vortex->trace(m_trace, 99); + this->m_trace->open("trace.vcd"); +#endif + this->results.open("../results.txt"); +} + +Vortex::~Vortex() { +#ifdef VCD_OUTPUT + m_trace->close(); +#endif + this->results.close(); + delete this->vortex; +} + +void Vortex::print_stats(bool cycle_test) { + if (cycle_test) { + this->results << std::left; + // this->results << "# Static Instructions:\t" << std::dec << this->stats_static_inst << std::endl; + this->results << std::setw(24) << "# Dynamic Instructions:" << std::dec << this->stats_dynamic_inst << std::endl; + this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; + this->results << std::setw(24) << "# of forwarding stalls:" << std::dec << this->stats_fwd_stalls << std::endl; + this->results << std::setw(24) << "# of branch stalls:" << std::dec << this->stats_branch_stalls << std::endl; + this->results << std::setw(24) << "# CPI:" << std::dec << (double)this->stats_total_cycles / (double)this->stats_dynamic_inst << std::endl; + this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; + } else { + this->results << std::left; + this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; + this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; + } + + uint32_t status; + ram->getWord(0, &status); + + if (this->unit_test) { + if (status == 1) { + this->results << std::setw(24) << "# GRADE:" + << "PASSING\n"; + } else { + this->results << std::setw(24) << "# GRADE:" + << "Failed on test: " << status << "\n"; + } + } else { + this->results << std::setw(24) << "# GRADE:" + << "N/A [NOT A UNIT TEST]\n"; + } + + this->stats_static_inst = 0; + this->stats_dynamic_inst = -1; + this->stats_total_cycles = 0; + this->stats_fwd_stalls = 0; + this->stats_branch_stalls = 0; +} + +bool Vortex::ibus_driver() { + // Iterate through each element, and get pop index + int dequeue_index = -1; + bool dequeue_valid = false; + for (int i = 0; i < this->I_dram_req_vec.size(); i++) { + if (this->I_dram_req_vec[i].cycles_left > 0) { + this->I_dram_req_vec[i].cycles_left -= 1; + } + + if ((this->I_dram_req_vec[i].cycles_left == 0) && (!dequeue_valid)) { + dequeue_index = i; + dequeue_valid = true; + } + } + + if (vortex->I_dram_req) { + // std::cout << "Icache Dram Request received!\n"; + if (vortex->I_dram_req_read) { + // std::cout << "Icache Dram Request is read!\n"; + // Need to add an element + dram_req_t dram_req; + dram_req.cycles_left = vortex->I_dram_expected_lat; + dram_req.data_length = vortex->I_dram_req_size / 4; + dram_req.base_addr = vortex->I_dram_req_addr; + dram_req.data = (unsigned *)malloc(dram_req.data_length * sizeof(unsigned)); + + for (int i = 0; i < dram_req.data_length; i++) { + unsigned curr_addr = dram_req.base_addr + (i * 4); + unsigned data_rd; + ram->getWord(curr_addr, &data_rd); + dram_req.data[i] = data_rd; + } + // std::cout << "Fill Req -> Addr: " << std::hex << dram_req.base_addr << std::dec << "\n"; + this->I_dram_req_vec.push_back(dram_req); + } + + if (vortex->I_dram_req_write) { + unsigned base_addr = vortex->I_dram_req_addr; + unsigned data_length = vortex->I_dram_req_size / 4; + + for (int i = 0; i < data_length; i++) { + unsigned curr_addr = base_addr + (i * 4); + unsigned data_wr = vortex->I_dram_req_data[i]; + ram->writeWord(curr_addr, &data_wr); + } + } + } + + if (vortex->I_dram_fill_accept && dequeue_valid) { + // std::cout << "Icache Dram Response Sending...!\n"; + + vortex->I_dram_fill_rsp = 1; + vortex->I_dram_fill_rsp_addr = this->I_dram_req_vec[dequeue_index].base_addr; + // std::cout << "Fill Rsp -> Addr: " << std::hex << (this->I_dram_req_vec[dequeue_index].base_addr) << std::dec << "\n"; + + for (int i = 0; i < this->I_dram_req_vec[dequeue_index].data_length; i++) { + vortex->I_dram_fill_rsp_data[i] = this->I_dram_req_vec[dequeue_index].data[i]; + } + free(this->I_dram_req_vec[dequeue_index].data); + + this->I_dram_req_vec.erase(this->I_dram_req_vec.begin() + dequeue_index); + } else { + vortex->I_dram_fill_rsp = 0; + vortex->I_dram_fill_rsp_addr = 0; + } + + return false; +} + +void Vortex::io_handler() { + // std::cout << "Checking\n"; + if (vortex->io_valid) { + uint32_t data_write = (uint32_t)vortex->io_data; + // std::cout << "IO VALID!\n"; + char c = (char)data_write; + std::cerr << c; + // std::cout << c; + + std::cout << std::flush; + } +} + +bool Vortex::dbus_driver() { + // Iterate through each element, and get pop index + int dequeue_index = -1; + bool dequeue_valid = false; + for (int i = 0; i < this->dram_req_vec.size(); i++) { + if (this->dram_req_vec[i].cycles_left > 0) { + this->dram_req_vec[i].cycles_left -= 1; + } + + if ((this->dram_req_vec[i].cycles_left == 0) && (!dequeue_valid)) { + dequeue_index = i; + dequeue_valid = true; + } + } + + if (vortex->dram_req) { + if (vortex->dram_req_read) { + // Need to add an element + dram_req_t dram_req; + dram_req.cycles_left = vortex->dram_expected_lat; + dram_req.data_length = vortex->dram_req_size / 4; + dram_req.base_addr = vortex->dram_req_addr; + dram_req.data = (unsigned *)malloc(dram_req.data_length * sizeof(unsigned)); + + for (int i = 0; i < dram_req.data_length; i++) { + unsigned curr_addr = dram_req.base_addr + (i * 4); + unsigned data_rd; + ram->getWord(curr_addr, &data_rd); + dram_req.data[i] = data_rd; + } + // std::cout << "Fill Req -> Addr: " << std::hex << dram_req.base_addr << std::dec << "\n"; + this->dram_req_vec.push_back(dram_req); + } + + if (vortex->dram_req_write) { + unsigned base_addr = vortex->dram_req_addr; + unsigned data_length = vortex->dram_req_size / 4; + + for (int i = 0; i < data_length; i++) { + unsigned curr_addr = base_addr + (i * 4); + unsigned data_wr = vortex->dram_req_data[i]; + ram->writeWord(curr_addr, &data_wr); + } + } + } + + if (vortex->dram_fill_accept && dequeue_valid) { + vortex->dram_fill_rsp = 1; + vortex->dram_fill_rsp_addr = this->dram_req_vec[dequeue_index].base_addr; + // std::cout << "Fill Rsp -> Addr: " << std::hex << (this->dram_req_vec[dequeue_index].base_addr) << std::dec << "\n"; + + for (int i = 0; i < this->dram_req_vec[dequeue_index].data_length; i++) { + vortex->dram_fill_rsp_data[i] = this->dram_req_vec[dequeue_index].data[i]; + } + free(this->dram_req_vec[dequeue_index].data); + + this->dram_req_vec.erase(this->dram_req_vec.begin() + dequeue_index); + } else { + vortex->dram_fill_rsp = 0; + vortex->dram_fill_rsp_addr = 0; + } + + return false; +} + +void Vortex::reset() { + vortex->reset = 1; + this->step(); + vortex->reset = 0; +} + +void Vortex::step() { + vortex->clk = 0; + vortex->eval(); + +#ifdef VCD_OUTPUT + m_trace->dump(2 * this->stats_total_cycles + 0); +#endif + + vortex->clk = 1; + vortex->eval(); + + ibus_driver(); + dbus_driver(); + io_handler(); + +#ifdef VCD_OUTPUT + m_trace->dump(2 * this->stats_total_cycles + 1); +#endif + + ++time_stamp; + ++stats_total_cycles; +} + +void Vortex::wait(uint32_t cycles) { + for (int i = 0; i < cycles; ++i) { + this->step(); + } +} + +bool Vortex::is_busy() { + return (0 == vortex->out_ebreak); +} + +void Vortex::send_snoops(uint32_t mem_addr, uint32_t size) { + // align address to LLC block boundaries + auto aligned_addr_start = GLOBAL_BLOCK_SIZE_BYTES * ((mem_addr + GLOBAL_BLOCK_SIZE_BYTES - 1) / GLOBAL_BLOCK_SIZE_BYTES); + auto aligned_addr_end = GLOBAL_BLOCK_SIZE_BYTES * ((mem_addr + size + GLOBAL_BLOCK_SIZE_BYTES - 1) / GLOBAL_BLOCK_SIZE_BYTES); + + // submit snoop requests for the needed blocks + vortex->snp_req_addr = aligned_addr_start; + vortex->snp_req = false; + for (;;) { + this->step(); + if (vortex->snp_req) { + vortex->snp_req = false; + if (vortex->snp_req_addr >= aligned_addr_end) + break; + vortex->snp_req_addr += GLOBAL_BLOCK_SIZE_BYTES; + } + if (!vortex->snp_req_delay) { + vortex->snp_req = true; + } + } +} + +void Vortex::flush_caches(uint32_t mem_addr, uint32_t size) { + // send snoops for L1 flush + this->send_snoops(mem_addr, size); + +#if NUMBER_CORES != 1 + // send snoops for L2 flush + this->send_snoops(mem_addr, size); +#endif + + // wait 50 cycles to ensure that the request has committed + this->wait(50); +} + +bool Vortex::simulate() { + this->wait(50); + + // reset the device + this->reset(); + + // execute program + while (!vortex->out_ebreak) { + this->step(); + } + + // wait 5 cycles to flush the pipeline + this->wait(5); + + std::cerr << "New Total Cycles: " << (this->stats_total_cycles) << "\n"; + + this->print_stats(); + + // check riscv-tests PASSED/FAILED status + int status = (unsigned int) vortex->Vortex->vx_back_end->VX_wb->last_data_wb & 0xf; + + return (status == 1); +} \ No newline at end of file diff --git a/rtl/simulate/Vortex_SOC.cpp b/rtl/simulate/Vortex_SOC.cpp new file mode 100644 index 000000000..d12c2d406 --- /dev/null +++ b/rtl/simulate/Vortex_SOC.cpp @@ -0,0 +1,248 @@ +#include "Vortex_SOC.h" + +unsigned long time_stamp = 0; + +double sc_time_stamp() { + return time_stamp / 1000.0; +} + +Vortex_SOC::Vortex_SOC(RAM *ram) + : start_pc(0), curr_cycle(0), stop(true), unit_test(true), stats_static_inst(0), stats_dynamic_inst(-1), + stats_total_cycles(0), stats_fwd_stalls(0), stats_branch_stalls(0), + debug_state(0), ibus_state(0), dbus_state(0), debug_return(0), + debug_wait_num(0), debug_inst_num(0), debug_end_wait(0), debug_debugAddr(0) { + this->ram = ram; + this->vortex = new VVortex_SOC; +#ifdef VCD_OUTPUT + Verilated::traceEverOn(true); + this->m_trace = new VerilatedVcdC; + this->vortex->trace(m_trace, 99); + this->m_trace->open("trace.vcd"); +#endif + this->results.open("../results.txt"); +} + +Vortex_SOC::~Vortex_SOC() { +#ifdef VCD_OUTPUT + m_trace->close(); +#endif + this->results.close(); + delete this->vortex; +} + +void Vortex_SOC::print_stats(bool cycle_test) { + + if (cycle_test) { + this->results << std::left; + // this->results << "# Static Instructions:\t" << std::dec << this->stats_static_inst << std::endl; + this->results << std::setw(24) << "# Dynamic Instructions:" << std::dec << this->stats_dynamic_inst << std::endl; + this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; + this->results << std::setw(24) << "# of forwarding stalls:" << std::dec << this->stats_fwd_stalls << std::endl; + this->results << std::setw(24) << "# of branch stalls:" << std::dec << this->stats_branch_stalls << std::endl; + this->results << std::setw(24) << "# CPI:" << std::dec << (double)this->stats_total_cycles / (double)this->stats_dynamic_inst << std::endl; + this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; + } else { + this->results << std::left; + this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; + this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; + } + + uint32_t status; + ram->getWord(0, &status); + + if (this->unit_test) { + if (status == 1) { + this->results << std::setw(24) << "# GRADE:" + << "PASSING\n"; + } else { + this->results << std::setw(24) << "# GRADE:" + << "Failed on test: " << status << "\n"; + } + } else { + this->results << std::setw(24) << "# GRADE:" + << "N/A [NOT A UNIT TEST]\n"; + } + + this->stats_static_inst = 0; + this->stats_dynamic_inst = -1; + this->stats_total_cycles = 0; + this->stats_fwd_stalls = 0; + this->stats_branch_stalls = 0; +} + +bool Vortex_SOC::ibus_driver() { + return false; +} + +void Vortex_SOC::io_handler() { + // std::cout << "Checking\n"; + for (int c = 0; c < vortex->number_cores; c++) { + if (vortex->io_valid[c]) { + uint32_t data_write = (uint32_t)vortex->io_data[c]; + // std::cout << "IO VALID!\n"; + char c = (char)data_write; + std::cerr << c; + // std::cout << c; + + std::cout << std::flush; + } + } +} + +bool Vortex_SOC::dbus_driver() { + // Iterate through each element, and get pop index + int dequeue_index = -1; + bool dequeue_valid = false; + for (int i = 0; i < this->dram_req_vec.size(); i++) { + if (this->dram_req_vec[i].cycles_left > 0) { + this->dram_req_vec[i].cycles_left -= 1; + } + + if ((this->dram_req_vec[i].cycles_left == 0) && (!dequeue_valid)) { + dequeue_index = i; + dequeue_valid = true; + } + } + + if (vortex->out_dram_req) { + if (vortex->out_dram_req_read) { + // Need to add an element + dram_req_t dram_req; + dram_req.cycles_left = vortex->out_dram_expected_lat; + dram_req.data_length = vortex->out_dram_req_size / 4; + dram_req.base_addr = vortex->out_dram_req_addr; + dram_req.data = (unsigned *)malloc(dram_req.data_length * sizeof(unsigned)); + + for (int i = 0; i < dram_req.data_length; i++) { + unsigned curr_addr = dram_req.base_addr + (i * 4); + unsigned data_rd; + ram->getWord(curr_addr, &data_rd); + dram_req.data[i] = data_rd; + } + // std::cout << "Fill Req -> Addr: " << std::hex << dram_req.base_addr << std::dec << "\n"; + this->dram_req_vec.push_back(dram_req); + } + + if (vortex->out_dram_req_write) { + unsigned base_addr = vortex->out_dram_req_addr; + unsigned data_length = vortex->out_dram_req_size / 4; + + for (int i = 0; i < data_length; i++) { + unsigned curr_addr = base_addr + (i * 4); + unsigned data_wr = vortex->out_dram_req_data[i]; + ram->writeWord(curr_addr, &data_wr); + } + } + } + + if (vortex->out_dram_fill_accept && dequeue_valid) { + vortex->out_dram_fill_rsp = 1; + vortex->out_dram_fill_rsp_addr = this->dram_req_vec[dequeue_index].base_addr; + // std::cout << "Fill Rsp -> Addr: " << std::hex << (this->dram_req_vec[dequeue_index].base_addr) << std::dec << "\n"; + + for (int i = 0; i < this->dram_req_vec[dequeue_index].data_length; i++) { + vortex->out_dram_fill_rsp_data[i] = this->dram_req_vec[dequeue_index].data[i]; + } + free(this->dram_req_vec[dequeue_index].data); + + this->dram_req_vec.erase(this->dram_req_vec.begin() + dequeue_index); + } else { + vortex->out_dram_fill_rsp = 0; + vortex->out_dram_fill_rsp_addr = 0; + } + + return false; +} + +void Vortex_SOC::reset() { + vortex->reset = 1; + this->step(); + vortex->reset = 0; +} + +void Vortex_SOC::step() { + vortex->clk = 0; + vortex->eval(); + +#ifdef VCD_OUTPUT + m_trace->dump(2 * this->stats_total_cycles + 0); +#endif + + vortex->clk = 1; + vortex->eval(); + + ibus_driver(); + dbus_driver(); + io_handler(); + +#ifdef VCD_OUTPUT + m_trace->dump(2 * this->stats_total_cycles + 1); +#endif + + ++time_stamp; + ++stats_total_cycles; +} + +void Vortex_SOC::wait(uint32_t cycles) { + for (int i = 0; i < cycles; ++i) { + this->step(); + } +} + +bool Vortex_SOC::is_busy() { + return (0 == vortex->out_ebreak); +} + +void Vortex_SOC::send_snoops(uint32_t mem_addr, uint32_t size) { + // align address to LLC block boundaries + auto aligned_addr_start = GLOBAL_BLOCK_SIZE_BYTES * ((mem_addr + GLOBAL_BLOCK_SIZE_BYTES - 1) / GLOBAL_BLOCK_SIZE_BYTES); + auto aligned_addr_end = GLOBAL_BLOCK_SIZE_BYTES * ((mem_addr + size + GLOBAL_BLOCK_SIZE_BYTES - 1) / GLOBAL_BLOCK_SIZE_BYTES); + + // submit snoop requests for the needed blocks + vortex->llc_snp_req_addr = aligned_addr_start; + vortex->llc_snp_req = false; + for (;;) { + this->step(); + if (vortex->llc_snp_req) { + vortex->llc_snp_req = false; + if (vortex->llc_snp_req_addr >= aligned_addr_end) + break; + vortex->llc_snp_req_addr += GLOBAL_BLOCK_SIZE_BYTES; + } + if (!vortex->llc_snp_req_delay) { + vortex->llc_snp_req = true; + } + } +} + +void Vortex_SOC::flush_caches(uint32_t mem_addr, uint32_t size) { + // send snoops for L1 flush + this->send_snoops(mem_addr, size); + +#if NUMBER_CORES != 1 + // send snoops for L2 flush + this->send_snoops(mem_addr, size); +#endif + + // wait 50 cycles to ensure that the request has committed + this->wait(50); +} + +bool Vortex_SOC::simulate() { + // reset the device + this->reset(); + + // execute program + while (!vortex->out_ebreak) { + this->step(); + } + + // wait 5 cycles to flush the pipeline + this->wait(5); + + std::cerr << "New Total Cycles: " << (this->stats_total_cycles) << "\n"; + + this->print_stats(); + + return false; +} \ No newline at end of file diff --git a/runtime/qemu/vx_api.c b/runtime/qemu/vx_api.c new file mode 100644 index 000000000..16bb493c6 --- /dev/null +++ b/runtime/qemu/vx_api.c @@ -0,0 +1,27 @@ +#include +#include +#include "../vx_api/vx_api.h" + +#ifdef __cplusplus +extern "C" { +#endif + +typedef void (*pocl_workgroup_func) ( + void * /* args */, + void * /* pocl_context */, + uint32_t /* group_x */, + uint32_t /* group_y */, + uint32_t /* group_z */ +); + +void pocl_spawn(struct pocl_context_t * ctx, pocl_workgroup_func pfn, const void * args) { + uint32_t x, y, z; + for (z = 0; z < ctx->num_groups[2]; ++z) + for (y = 0; y < ctx->num_groups[1]; ++y) + for (x = 0; x < ctx->num_groups[0]; ++x) + (pfn)(arguments, ctx, x, y, z); +} + +#ifdef __cplusplus +} +#endif \ No newline at end of file diff --git a/simX/out b/simX/out deleted file mode 100644 index 40a41b45d..000000000 --- a/simX/out +++ /dev/null @@ -1,2 +0,0 @@ -verilator --compiler gcc -cc cache_simX.v -I. -I../rtl/shared_memory -I../rtl/cache -I../rtl/interfaces -Isimulate -I../rtl --exe simX.cpp args.cpp mem.cpp core.cpp instruction.cpp enc.cpp util.cpp -CFLAGS '-std=c++11 -fPIC -O3' -Wno-UNOPTFLAT -Wno-WIDTH --trace -DVL_DEBUG=1 -Makefile:26: recipe for target 'simX' failed diff --git a/simX/reading_data.txt b/simX/reading_data.txt deleted file mode 100644 index f9ca68121..000000000 --- a/simX/reading_data.txt +++ /dev/null @@ -1 +0,0 @@ -hello this is the data read from a file! diff --git a/simX/results.txt b/simX/results.txt deleted file mode 100644 index d44e18fb9..000000000 --- a/simX/results.txt +++ /dev/null @@ -1 +0,0 @@ -start diff --git a/simX/test.sh b/simX/test.sh deleted file mode 100644 index 066bc487c..000000000 --- a/simX/test.sh +++ /dev/null @@ -1,143 +0,0 @@ -echo start > results.txt - -echo ./riscv_tests/rv32ui-p-add.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-add.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-addi.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-addi.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-and.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-and.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-andi.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-andi.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-auipc.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-auipc.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-beq.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-beq.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-bge.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-bge.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-bgeu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-bgeu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-blt.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-blt.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-bltu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-bltu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-bne.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-bne.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-jal.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-jal.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-jalr.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-jalr.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-lb.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-lb.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-lbu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-lbu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-lh.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-lh.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-lhu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-lhu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-lui.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-lui.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-lw.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-lw.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-or.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-or.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-ori.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-ori.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sb.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sb.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sh.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sh.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-simple.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-simple.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sll.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sll.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-slli.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-slli.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-slt.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-slt.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-slti.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-slti.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sltiu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sltiu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sltu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sltu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sra.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sra.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-srai.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-srai.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-srl.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-srl.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-srli.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-srli.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sub.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sub.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-sw.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-sw.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-xor.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-xor.hex -s -b >> results.txt - -echo ./riscv_tests/rv32ui-p-xori.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32ui-p-xori.hex -s -b >> results.txt - - - - -echo ./riscv_tests/rv32um-p-div.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-div.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-divu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-divu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-mul.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-mul.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-mulh.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-mulh.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-mulhsu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-mulhsu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-mulhu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-mulhu.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-rem.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-rem.hex -s -b >> results.txt - -echo ./riscv_tests/rv32um-p-remu.hex >> results.txt -./harptool -E -a rv32i --core ./riscv_tests/rv32um-p-remu.hex -s -b >> results.txt - diff --git a/simX/test_runtime.sh b/simX/test_runtime.sh new file mode 100755 index 000000000..cbf807936 --- /dev/null +++ b/simX/test_runtime.sh @@ -0,0 +1,17 @@ +make +make -C ../runtime/mains/dev +make -C ../runtime/mains/hello +make -C ../runtime/mains/nativevecadd +make -C ../runtime/mains/simple +make -C ../runtime/mains/vecadd + +cd obj_dir +echo start > results.txt + +printf "Fasten your seatbelts ladies and gentelmen!!\n\n\n\n" + +#./Vcache_simX -E -a rv32i --core ../../runtime/mains/dev/vx_dev_main.hex -s -b 1> emulator.debug +#./Vcache_simX -E -a rv32i --core ../../runtime/mains/hello/hello.hex -s -b 1> emulator.debug +./Vcache_simX -E -a rv32i --core ../../runtime/mains/nativevecadd/vx_pocl_main.hex -s -b 1> emulator.debug +./Vcache_simX -E -a rv32i --core ../../runtime/mains/simple/vx_simple_main.hex -s -b 1> emulator.debug +./Vcache_simX -E -a rv32i --core ../../runtime/mains/vecadd/vx_pocl_main.hex -s -b 1> emulator.debug \ No newline at end of file From 51fd8974a9b0c5cd9e5a9cc3dd33b6a20c302348 Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 20:56:18 -0400 Subject: [PATCH 2/7] minor build fixes --- driver/sw/rtlsim/Makefile | 2 +- driver/tests/demo/demo | Bin 105576 -> 105576 bytes rtl/Makefile | 4 +- rtl/simulate/Vortex.cpp | 2 - rtl/simulate/Vortex.h | 398 +------------------------------------- rtl/simulate/Vortex_SOC.h | 326 +------------------------------ 6 files changed, 18 insertions(+), 714 deletions(-) diff --git a/driver/sw/rtlsim/Makefile b/driver/sw/rtlsim/Makefile index 4a1e82de9..6765578e2 100644 --- a/driver/sw/rtlsim/Makefile +++ b/driver/sw/rtlsim/Makefile @@ -1,7 +1,7 @@ #CFLAGS += -std=c++11 -O3 -Wall -Wextra -pedantic -Wfatal-errors CFLAGS += -std=c++11 -g -O0 -Wall -Wextra -pedantic -Wfatal-errors -#USE_MULTICORE=1 +USE_MULTICORE=1 CFLAGS += -I../../include -I../../../../rtl/simulate diff --git a/driver/tests/demo/demo b/driver/tests/demo/demo index cb6cc5fc7c8861a3a8693cb8168de0ce650ad740..be671153b31c98f5fbac839b2bfae1f09235baed 100755 GIT binary patch delta 5328 zcmYjV3tWxa7GL}8b$X~MQF@;qJJl)lQb;eQq`Xpjq!KCes#NG9uTrE{R7wvOp)k4f z91>=RYi2Mr%nW8QX1WG<{O&NKD26%_z0*u91GUkn*y+3$3|i(OV3_!XyCgk;{R8Ixd8D z*KP$+Uyy-UZKKQ*4*>Mg!wW*lQV0!Ia|O_dS&9mI6x)UQ@`=nB&&vbYEBsS#Yo=E~ zCag=xor zT8Rl0!|F_?UYjT537NB7*yrGF%1CVj4RnZl49^iz-ay`mMvlR(9%CI_SUbLRG-a_k zoM*5O+{~AY>E=;FzW@sx`z>Hpv|hCM?QS5KPjG}lyZt7d>VB)1eF zxfzHsXyfj0L{5-=MRI#emp!UjpK8S`k=ldJ)rogFyoA7&*)kl@|faRX+I zjUWqW#|jH><5U*<2V2-S?su8v22%VNi@_3)5EB2)Lx=}^s>EHSK?Bx%zOvEC1=u_= z0O@&4CgG}fr75+2rH`=7%aiQ<;3e$Dd8_QK9c<@>ce8D29fc*?v0IUt14HIWWr+iA z=S?TbPMl<2f|)+vBbBo&knY>4dX=LTOEK8zBSv}aBSy&>uNvjt;8CuPKOs5gVy>@Z z%&d(7Hy0BtN|ySh2kTUArTJ@8V7IERH2;b8E#C7L=JoxA`C>nn`FDfOf9rRGHDLJ! zH zq-rZC5~8M7jcWcLWX{K5nCtXcnadk&PGfR2dxQrk$4caM7BHOM#}NTy`jG)b>2iQd z>Ed7|v%nJ)G~>-cOO}hz1G&&O2@(O56eP6n2C1|()d$VoE%*exfRBRxb=!6Th};)} z<{TpQ)`bYY=OHS+h{1ZnQyz_aQ3fzpXega9OZ{JMsy11e94ai7g$fHSOl4vBU<+|! zx7b{Cp2`P8qF#Lm6)H$0b4scj)z@MARI%vhsltd!xXQ>^gNugnqws54t=70gy?y&kM}clL>)t(yVvQqm11+onCtWv7x{b*&NE_-u|R?JL$X`Rudq#+Ya(>9yjkxxUu2Rsj75M(Nz@ zNyY1HZ-DRU(w<&O{xP=PhY_&_EE8|U=4#bulj!$9U__iHyMbwO)2WD_iIda)L7Xey zb>qG19uzN^i4v`E!%8tD-huUXSI6rz0~0Fr$|1u10Al@+EijTL93r_u7K+#B#TXdx zR0(`c0{8r|hy+fWlRzZ9hvD-%dyQ-7N68E*4)tT%X}mt)MlvYF7xNv(pUDCj`s)r} zFqcVc+puBbR9XC9lB{EI@#R7r;?gj|k;S7=f;;iBEFqYMU{^vcTaQ|cbr6FpQU9idErF^NdB4FKSDX|H@wQdMGsRwk8J{c9~~mgL9E zFeb^H)#A=1JKFlXlLS>#vY^T#Sx_}QSx{A$ELZMkM3u%?Je_PmK%70R!l%je3`|Q^ za8-gS-cGe+kGp?M^y>m2L{w0%syCf_R{y`3BI-e!ExTEn zKA*yBL3#k4BO22MS9jCb5+@PM1xqWJ3ziy|3zoiAK6xvibUUs{V#H2q#&xlrn3YP` z7YzIzTB%&)S1T2geH&`7I>=UI*Q)F9!{8(quC|d~5|Md;N^Hk!Yg+R4)f5fg?^gRW zwh{f-?hJeqEMW&ryf`!mIKt+VXtAQX}%Tw9f; zig{x;9xrB5%;S$)V(ymNVl{!;uJ1ithU>EDvE$u$vJWvTKpS#w-g|CX1GeY<&vQBW zX^zr!uX2=eZI#=?Xug;8gu8yuBX@Nht#_5ErUq=xjE`>uu+^l5_4(0}*j@lIu2p|v zPxKId@3chUM#_is_kDF#%9VXeJ*yS8ld<8AYOT~iDq;32IJliutRA32zMt;@OjzB) zIr%dr^ENz`@2&-f0FwVZ{4W0h^T*qntM$p$tK%Sw`2KK@c-&9>msQZqIQT}mz4ml#o6NSo&0 zOZ};0=7yy@?4VOor0kIfK%6$YL@ykN zl;=@V*soGWUOmXy0xiy=J@5;T{UpRJWv81;Hdv=bNba&vBo!;yZ9JMP;va83+ zUA~faJ$|}tCOd;R6`^#}Use&$I`L%1K{~d~-z_IAg}W!Q<9Km*G~0=Wm7(^IbOt$Q zNUUD>0_YXs*GPan0^FeBe=5M2xS>++u$(HX*HCI+XaoomASMN1wg5)H0LcOjtHP_5 z&a4PuRhqFb)Wb}wJw+&&WLvNux0n~wX#T@VFnTw@bOG+sE6pMSHeqO$i+x=&z**7E zCUa>f1g3h>(OQ7T^rEmsfDEju@|3Ld@w2Kax(CyzOsUz7z14E6vQO!L5ViMsQcd^YBc~&AGTnV~8$Ef87xsi2uco=& zryV6$i>mlb0krdQ zwg4;wI?5g?X$C>7x5M*y_~bYG^|Cjr86a*bTEp5Cb5h-N>HrD09r*JTuy0(?a0 z61f0I2gp$Z+{WECp+;rou4OjV+`S55y%ONhHD;1YEo#*|+aIQM*0rVX8hS@D6Tp-z zvXcPzpHR9BfH=3-#b{kMy;aDm`P`m36W~|eQ!8ixz_YbUEEp~7CK>g%lG~^|)`vW@ zQh+&_Q71Pqs0a8&H2)S&yRrZQEdW0Wa1T4{%ycr^02~~sc?EBgom#RJ;z-Tc>Hu>E z7>l<1l4iQ+0a$QqP8|i{B7izMz)yfka!a@X=g4xL06j!hssL7GV4VP$D7+k<2rQCO z&)-GDT&U8>mZznNfBry|TK{ z!*pGMN&$9ZT!UOPsloh)M2EymTB3rUhHR!K3UG|VV7>r8JpkzfKoe^0ch-5mkwVIi z+RxB;znM-7Wx)~A^uUGt%_Q?O%p;*nnsmiWkCR4%8!QvoZCW22?3^D0r*P*OT?Lt9+K%U+)jV$8}Y-&DOB<_n&K#Z5}G`z z%2YQwv8Q;k$(qhz-#2~C)?i1o4O@#hn%&t${G<6Sy$tuXxYIV)-%>s#Xe;^ks`7(E zQXpDdS!XwIFJ|m69z8gd(XMc?i2Z~`hx*wjOzUtm{gy&U##;^O z6vEeBr83NC=}>y`Ks?&vr1fk!eOuvA@qUMe{r+tF(!ei}j2VARV~yfKUNYz7DZwmw zeJ+3{_e0CWBZk~3=gRrVdbCyWcnmx&rz*VUupfQHX*ul1=HTta@^CFt8+bCMVi0$r zfD7iwj#2_}9}4a%Joqp`DEFYHhw->!08@GO6cOvUskB7!4jOA3&ss+N1$Qk(|0Cu` zu9R)Fc%UKG8UBy+0CV`Cm~kXj|0l|xB)*E4l+0b$W8V>l@pOui<^0kGfE9fG9*S^2 zd;@A6wJmr}j>zKX6t~&@O^3*zRr9Gz@s%{mTz-*;%i~uMP(|V2)&Okac|^!YUegYc z&vzXMDBxlAq>xXh%s18nY~iCR;J0#b3g>NHGX-Efe{}|+ zge$Q9s9R#iHcAIRmjNIjN0L?iI(fL7yIca;!|x;j?B$1M0o3r*G}~HkNG_=3dPLnm zeuGA==dUO?8o1Xrfc^Yb1!X1wp0=?jUcVZknO{S%PC4yL@tty`U~+C3HzI4t_ywZ+ zIDdo{ot_0nl)`8EZQ}AA-$F9yc>t~b0`H*tf5;1I|GvmqOr(_IdnwU+d2choWxk{Y zppR>kAFl9Jn*UXvu?FB8_j3pMh%cQ3@H20uRX^vKgD9u?r^M<@o;5WXylTPt4Ps+npUB>jrv85}CzQy%-h0}Y|xMOqJCs=YU zo1OaLcy!U;4-?r)ljX~@Q`{D>q5B%Q%#^j6Yuy&7tXPRNF1z;>jA8!nd(T8MlODAs Hmg4(g8t63v delta 5327 zcmYjV30#g@+rQ4OJrXUH_D6N{w9z_+w249qDM~7lBB7GdBBG7zDw4Dpp^V8Z%NS#8 zW-`mm%xigv8Oxy0?)`>gyzls~^YnPXp5O1jpZ~efbzSG2|FxWR-~A`l`cJ5Rx<%42 zNf~`^>CuK;s&8H_atW5ZrGNW&~;_l4)fD0GlQOXpUY1^wY8@tQ}AY zpc3PQm#jjKC$s?c(8DuA$V>>07~%k+8sUkKvQV}db7Yg4HhwPiW#{oPnU(SF95Q)v z2*3|?DKs?O<4H{~8NJ3+rp;5wk-B zp31Uu3tz^n@F`EyjI089Kq~5(Z>O&zb&%OUW{m6Yf*i;0qG@OVEY~E9PsBoG>%=^M zVb_-e7*S7w=((xrvBT$f*31d@$K7WW@$EQM8pTk)OtY$-G)73TYq3yn#XPY?{`nY% zl^N3Qc7Q`#00u+J%9p9az8&V-yRo@=+}?rof3qLYPNSiN8_jiwLz3ppMu0aYw+!z( z=;IZK_yJ3u(#Vql>&eRfVPxsC($Xx)jcg=dc64PEDxKtH$lhrstH4?(f8EuE0K-1` z)5ICDaldcCXHGpN(B_;@0>LAHPh61>abZot$D zA!Omy1YyC+MQNdbu!U_de`>tlK#Kp%Y_Z5Ski>s*72*MIN^yH>(13MruPm=+0c;r< zfb={h!(e5*(umq#QVn*wyOEvW+=ZPe52c;8gY6vkXtG*ZOJPZN#;%OZf?@Nd(zt=P zeDhJV6D7Ucj_IBrqZPBum2O!odljP;NVa&vQ;hP?Q;d@OiE@|%I>%b^v&l|Y`*Q(qP;ijDXw`m0 za`KgJ#Y6&!{8WWQd|b(#r;jk#>7z84J=mP;lqU8W?w=AVk<*#40qew3zGC__eTC9_ zU!~I7!Aiz{N2M!`_?@2_3&y8@T<9A5i-3vu7h2c-m0D^lgJ$j&aFp%9y8%AhnI!-s z_eG$|1BKqYK%w_EP^lL(STA7e-7#O30!$DZ3Mb4_x!9&`lZ7ck!a`|~u)wA%EmRD) z5H;-z<0zlb2STDwC7KEqB$GJ>RShcBFlD+}bklTU#4uQCJ}*ou9;>Dpj486dGwiN(Ef>pYj}i{)m@VXA&ld8@ z;Y#^~gXPbMH%T8hW8$3o0|9tktrsuO5do+*S7_zVRcgH+taW|v(GeM20IpNg4J6zx zHB;O(&(lZ|hfMth)j>t4f?O5#{tSFP&yDsKi-;W7*xe8j#-!UV_-ekF#ZB6~boO1{ z1JLS5#p|XAz^`;^&dMYI=)b7NkjPvXh?gVRt0!lY=$*e|NR%0?!{n%0R76ik$>@GN z%7O0M3q0uVzd)uTO0U1swX6@HFR~;qbz^Or96e*5iHGH}0n8A)Vk6lMR9`G(U!k1t z>KMAXnhnJVi|1(E7Q9ryq_~K4Rg!dwGX2?PBFV}ZfYYV9>LW>2ak=V&>q(<`EgHvr zvlxts_h8AmBYrGxeckbbDk(uwWs@MNnwub~Dov0nb~B>t+EzS~U_C&bHA}e!y?+@_SADa^OG!_fSTxlmuUJ}7d@!XOt?0dw-EKMaX_o`8Usl@(~e zyHv1)WlQr|9_lQMVCI;(tdHn$PU1vORFa@3Kgn6cNGu}KZyKh>8sXKXv8=oMk0f`- zTF^1sl-1*mWH%8x1<6*P6Uh>0OS4Wv$3R5sQ$#6xQ>bV4|MyZv-A=Y* z^_3~n6jm`QzI2XgND*9JPgzTxgsc!Oty&>i+P6Zm^bf_8cj8I6?aFvY>=dW=iRDDB zQn)^M;P1dH#Tu`za+Kb*qFUO1=7(KreILVME9R}X)Tj`V*+M0@W3>e>xo;Pizw-S%`})`b1(V!9R?W9c9im?2zS zm7$DzeWrzHGbrZqVTPEyS*BQxU#7!H&&J@o%!Mq!`^U@!j0(`kEX$9cyI+UxS^xFi zbo@R`;kj2?inumk-^^&f=d*>oo@A4|y7e|VNK{jOH>EF-Hv(9xQNnsYv?aD<09;yi z2KGc((f3|m^v$AtDErt~OQl@Vr_eK>OgkAfXc*E;{bOS1rosO0q+;HM!*jf~|7*g$ z73by5mY%iZfgES`E2Ja&e2c&4v@l&P$X&}$;vcz>*w^@LUMk^P`Mz{7SKP1WPhuy# zbvLIn7KFJ4k=%>|%~FjOE~8@Lm_bKfOAU69YT9DQ3#X`|#rmm{*i&4tL;b-Qmn#;kv(qBB-U zY;^iM^+d$j;R27=xhE&AE3k=<1r9IT$W*YjD1n9I%OVRFfg_5I*>JQkHe>A=Qk+Md z=C{Q@R56B@M6mUkP_jeg=Q=uEzWccj-<8BjFY++5w85~7rmR&$r=pn}cU1wRG|44H z$KbHCY$__-%d9C?yUOGgNB=CdU^@7|%$@xmC+sMYZWm+Ej&ahhV!Xe@gMEi%c4kPg z_hRKvFX`1@{C;ORD@M!mAUf$UFArupc&vOs9b2L+WMn0;VlvCevlU@17IiCwtl#DV z9ML6K``iJ}3Gn+&fFA{@qu_raKs#=%l-W$89o>BdHFq=s1PTz52ryRwJuiR+0q!GS zs+6;Ed{t@8a&ai8Q|-w|nRIgtw&PZl*>vLZF(5(93V>Mx+@M#Q#RAO1pelRolmdWL zqM1qNk_`z=ccr7X0B-c6P$Ga2)>OGkFE`;2Ra3RI*3pS{6g|DU87->g^bDE+?v18) zPbk1E0nTGpwM@%^W*=fi%{6$gT1Hj&0o}7ubC(;{be~-^Iua+)T^qO2lRiAND@fmu z=5mX6lt^`|;?D*6=XxByJ5H-?Gr%!ZYByoQ?kIK{Z|^pqUO;aq&gS$`dn16K04@aP z3hkzzC<*$Q)U-qY8z`N%t>|eDy`vZl z@VFSjP5|p~DBT5Eg7f#->rJbsw+b0GpIQ@V0$jpfdt~esp4t=74A8W8vR-8?xsAFb zJ;@`h1hB!>TA4{$9l$rD`L{6Il?52t4Dd*R4(zNo*79isu(6?LHC`b*$z&(cmYT0s z0OkuY1g-YQhqG(|Q%=q4V*u<0P$36+3t&cW2^OG~EJq2@LqsJBU`__s2~a`dWot)Z zu?7XL06utauZ&**{@iO%Mc1}Y#w;r^u*YY0lW7CB-)F2BR7|g| z_Vh4C8=z8v#Td0uCOz7XIs4*lCREZA9qFmz7FwbJc@zfG0(kZSqzG`O0afeeTD_Yn zq@1XI8ola`wcIHS4vD5JE~+<{o|RxW2_?|HeVys)Av{t)nIS%}xAzR$K~ts4Md}sN zR0WWQ)0YJSv?)J+5ukJ{9VG;qdJ*7*052*ryunp^yaTt>-#<3u7Y$RX1#>ZnR^^@ob|7oxkohe$D)`qsfv5;N>P~b_D<3bc$YvdzzhT8|!Z_8>U}Ke!Zml zpb)Qzn_JqXJ2hz6n#8QIvUSn050vZRigbF?TuxE>zvuv0%>LEl#RalF-GYP~9xzBKSNBxB6q(O6^n73wwNpHPCC z@|CnLnem}$c5u|NPI9h{e?6483NA;#gEFeZOAmU}H=O2!PRs_c9+U;&*g&;`yHYCp z^FJB@0{D@`lmOh5f_o|tI0z8LRVn?Z@u-mi(|Pq&5$i2fT0(d>jWvU3ET{c~|CNhA zhfMVT+Dk_%?x#z2hX2p!0Q2|{n0hEk=LBU>JWrz~CGh`b!wsl<*ebV|9Ff6IC~hf=lE+6-{^awaG|XlmHk;y{|67MjGoMupu$7OafG^}46wceYS|Y%9 z{^}$^5qHG)!%lH=+bA9Qd?Zy;8ma~I<31-D27 zc*(szXrJOcF`#Rd0Z>-I;pWKzfAH0vbc%*y^G-coxJ0KVYnEpwu5n6FT${euX-VSBRd}@5 Ls7E!PC3yWG;l)tc diff --git a/rtl/Makefile b/rtl/Makefile index 2dfc0c323..30f3224c6 100644 --- a/rtl/Makefile +++ b/rtl/Makefile @@ -5,8 +5,8 @@ INCLUDE=-I. -Ishared_memory -Icache -IVX_cache -IVX_cache/interfaces -Iinterface SINGLE_CORE=Vortex.v MULTI_CORE=Vortex_SOC.v -EXE=--exe ./simulate/test_bench.cpp -MULTI_EXE=--exe ./simulate/multi_test_bench.cpp +EXE=--exe ./simulate/test_bench.cpp ./simulate/Vortex.cpp +MULTI_EXE=--exe ./simulate/multi_test_bench.cpp ./simulate/Vortex_SOC.cpp COMP=--compiler gcc --language 1800-2009 diff --git a/rtl/simulate/Vortex.cpp b/rtl/simulate/Vortex.cpp index 80b6c9640..050b4fd19 100644 --- a/rtl/simulate/Vortex.cpp +++ b/rtl/simulate/Vortex.cpp @@ -291,8 +291,6 @@ void Vortex::flush_caches(uint32_t mem_addr, uint32_t size) { } bool Vortex::simulate() { - this->wait(50); - // reset the device this->reset(); diff --git a/rtl/simulate/Vortex.h b/rtl/simulate/Vortex.h index 1a9d58836..17f87b3b9 100644 --- a/rtl/simulate/Vortex.h +++ b/rtl/simulate/Vortex.h @@ -20,13 +20,6 @@ #include #endif -unsigned long time_stamp = 0; - -double sc_time_stamp() -{ - return time_stamp / 1000.0; -} - typedef struct { int cycles_left; @@ -41,11 +34,17 @@ class Vortex Vortex(RAM* ram); ~Vortex(); bool simulate(); + void step(); + void reset(); + void flush_caches(uint32_t mem_addr, uint32_t size); + bool is_busy(); private: void print_stats(bool = true); bool ibus_driver(); bool dbus_driver(); void io_handler(); + void send_snoops(uint32_t mem_addr, uint32_t size); + void wait(uint32_t cycles); RAM* ram; @@ -79,387 +78,4 @@ class Vortex #ifdef VCD_OUTPUT VerilatedVcdC *m_trace; #endif -}; - - - -Vortex::Vortex(RAM* ram) : start_pc(0), curr_cycle(0), stop(true), unit_test(true), stats_static_inst(0), stats_dynamic_inst(-1), - stats_total_cycles(0), stats_fwd_stalls(0), stats_branch_stalls(0), - debug_state(0), ibus_state(0), dbus_state(0), debug_return(0), - debug_wait_num(0), debug_inst_num(0), debug_end_wait(0), debug_debugAddr(0) -{ - this->ram = ram; - this->vortex = new VVortex; - #ifdef VCD_OUTPUT - this->m_trace = new VerilatedVcdC; - this->vortex->trace(m_trace, 99); - this->m_trace->open("trace.vcd"); - #endif - this->results.open("../results.txt"); -} - -Vortex::~Vortex() -{ - #ifdef VCD_OUTPUT - m_trace->close(); - #endif - this->results.close(); - delete this->vortex; -} - -void Vortex::print_stats(bool cycle_test) -{ - - if (cycle_test) - { - this->results << std::left; - // this->results << "# Static Instructions:\t" << std::dec << this->stats_static_inst << std::endl; - this->results << std::setw(24) << "# Dynamic Instructions:" << std::dec << this->stats_dynamic_inst << std::endl; - this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; - this->results << std::setw(24) << "# of forwarding stalls:" << std::dec << this->stats_fwd_stalls << std::endl; - this->results << std::setw(24) << "# of branch stalls:" << std::dec << this->stats_branch_stalls << std::endl; - this->results << std::setw(24) << "# CPI:" << std::dec << (double) this->stats_total_cycles / (double) this->stats_dynamic_inst << std::endl; - this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; - } - else - { - this->results << std::left; - this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; - this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; - } - - - uint32_t status; - ram->getWord(0, &status); - - if (this->unit_test) - { - if (status == 1) - { - this->results << std::setw(24) << "# GRADE:" << "PASSING\n"; - } else - { - this->results << std::setw(24) << "# GRADE:" << "Failed on test: " << status << "\n"; - } - } - else - { - this->results << std::setw(24) << "# GRADE:" << "N/A [NOT A UNIT TEST]\n"; - } - - this->stats_static_inst = 0; - this->stats_dynamic_inst = -1; - this->stats_total_cycles = 0; - this->stats_fwd_stalls = 0; - this->stats_branch_stalls = 0; - -} - -bool Vortex::ibus_driver() -{ - - // Iterate through each element, and get pop index - int dequeue_index = -1; - bool dequeue_valid = false; - for (int i = 0; i < this->I_dram_req_vec.size(); i++) - { - if (this->I_dram_req_vec[i].cycles_left > 0) - { - this->I_dram_req_vec[i].cycles_left -= 1; - } - - if ((this->I_dram_req_vec[i].cycles_left == 0) && (!dequeue_valid)) - { - dequeue_index = i; - dequeue_valid = true; - } - } - - - if (vortex->I_dram_req) - { - // std::cout << "Icache Dram Request received!\n"; - if (vortex->I_dram_req_read) - { - // std::cout << "Icache Dram Request is read!\n"; - // Need to add an element - dram_req_t dram_req; - dram_req.cycles_left = vortex->I_dram_expected_lat; - dram_req.data_length = vortex->I_dram_req_size / 4; - dram_req.base_addr = vortex->I_dram_req_addr; - dram_req.data = (unsigned *) malloc(dram_req.data_length * sizeof(unsigned)); - - for (int i = 0; i < dram_req.data_length; i++) - { - unsigned curr_addr = dram_req.base_addr + (i*4); - unsigned data_rd; - ram->getWord(curr_addr, &data_rd); - dram_req.data[i] = data_rd; - } - // std::cout << "Fill Req -> Addr: " << std::hex << dram_req.base_addr << std::dec << "\n"; - this->I_dram_req_vec.push_back(dram_req); - } - - if (vortex->I_dram_req_write) - { - unsigned base_addr = vortex->I_dram_req_addr; - unsigned data_length = vortex->I_dram_req_size / 4; - - for (int i = 0; i < data_length; i++) - { - unsigned curr_addr = base_addr + (i*4); - unsigned data_wr = vortex->I_dram_req_data[i]; - ram->writeWord(curr_addr, &data_wr); - } - } - } - - if (vortex->I_dram_fill_accept && dequeue_valid) - { - // std::cout << "Icache Dram Response Sending...!\n"; - - vortex->I_dram_fill_rsp = 1; - vortex->I_dram_fill_rsp_addr = this->I_dram_req_vec[dequeue_index].base_addr; - // std::cout << "Fill Rsp -> Addr: " << std::hex << (this->I_dram_req_vec[dequeue_index].base_addr) << std::dec << "\n"; - - for (int i = 0; i < this->I_dram_req_vec[dequeue_index].data_length; i++) - { - vortex->I_dram_fill_rsp_data[i] = this->I_dram_req_vec[dequeue_index].data[i]; - } - free(this->I_dram_req_vec[dequeue_index].data); - - this->I_dram_req_vec.erase(this->I_dram_req_vec.begin() + dequeue_index); - } - else - { - vortex->I_dram_fill_rsp = 0; - vortex->I_dram_fill_rsp_addr = 0; - } - - return false; - -} - -void Vortex::io_handler() -{ - // std::cout << "Checking\n"; - if (vortex->io_valid) - { - uint32_t data_write = (uint32_t) vortex->io_data; - // std::cout << "IO VALID!\n"; - char c = (char) data_write; - std::cerr << c; - // std::cout << c; - - std::cout << std::flush; - } -} - - -bool Vortex::dbus_driver() -{ - - // Iterate through each element, and get pop index - int dequeue_index = -1; - bool dequeue_valid = false; - for (int i = 0; i < this->dram_req_vec.size(); i++) - { - if (this->dram_req_vec[i].cycles_left > 0) - { - this->dram_req_vec[i].cycles_left -= 1; - } - - if ((this->dram_req_vec[i].cycles_left == 0) && (!dequeue_valid)) - { - dequeue_index = i; - dequeue_valid = true; - } - } - - - if (vortex->dram_req) - { - if (vortex->dram_req_read) - { - // Need to add an element - dram_req_t dram_req; - dram_req.cycles_left = vortex->dram_expected_lat; - dram_req.data_length = vortex->dram_req_size / 4; - dram_req.base_addr = vortex->dram_req_addr; - dram_req.data = (unsigned *) malloc(dram_req.data_length * sizeof(unsigned)); - - for (int i = 0; i < dram_req.data_length; i++) - { - unsigned curr_addr = dram_req.base_addr + (i*4); - unsigned data_rd; - ram->getWord(curr_addr, &data_rd); - dram_req.data[i] = data_rd; - } - // std::cout << "Fill Req -> Addr: " << std::hex << dram_req.base_addr << std::dec << "\n"; - this->dram_req_vec.push_back(dram_req); - } - - if (vortex->dram_req_write) - { - unsigned base_addr = vortex->dram_req_addr; - unsigned data_length = vortex->dram_req_size / 4; - - for (int i = 0; i < data_length; i++) - { - unsigned curr_addr = base_addr + (i*4); - unsigned data_wr = vortex->dram_req_data[i]; - ram->writeWord(curr_addr, &data_wr); - } - } - } - - if (vortex->dram_fill_accept && dequeue_valid) - { - vortex->dram_fill_rsp = 1; - vortex->dram_fill_rsp_addr = this->dram_req_vec[dequeue_index].base_addr; - // std::cout << "Fill Rsp -> Addr: " << std::hex << (this->dram_req_vec[dequeue_index].base_addr) << std::dec << "\n"; - - for (int i = 0; i < this->dram_req_vec[dequeue_index].data_length; i++) - { - vortex->dram_fill_rsp_data[i] = this->dram_req_vec[dequeue_index].data[i]; - } - free(this->dram_req_vec[dequeue_index].data); - - this->dram_req_vec.erase(this->dram_req_vec.begin() + dequeue_index); - } - else - { - vortex->dram_fill_rsp = 0; - vortex->dram_fill_rsp_addr = 0; - } - - return false; -} - - - -bool Vortex::simulate() -{ - // auto start_time = std::chrono::high_resolution_clock::now(); - - static bool stop = false; - static int counter = 0; - counter = 0; - stop = false; - - // auto start_time = clock(); - - - // vortex->reset = 1; - - - // vortex->reset = 0; - - unsigned curr_inst; - unsigned new_PC; - - // while (this->stop && (!(stop && (counter > 5)))) - // { - - // // std::cout << "************* Cycle: " << cycle << "\n"; - // bool istop = ibus_driver(); - // bool dstop = !dbus_driver(); - - // vortex->clk = 1; - // vortex->eval(); - - - - // vortex->clk = 0; - // vortex->eval(); - - - // stop = istop && dstop; - - // if (stop) - // { - // counter++; - // } else - // { - // counter = 0; - // } - - // cycle++; - // } - - bool istop; - bool dstop; - bool cont = false; - // for (int i = 0; i < 500; i++) - - vortex->reset = 1; - vortex->clk = 0; - vortex->eval(); - // m_trace->dump(10); - vortex->reset = 1; - vortex->clk = 1; - vortex->eval(); - // m_trace->dump(11); - vortex->reset = 0; - vortex->clk = 0; - - // unsigned cycles; - counter = 0; - this->stats_total_cycles = 12; - while (this->stop && ((counter < 5))) - // while (this->stats_total_cycles < 10) - { - - // printf("-------------------------\n"); - // std::cout << "Counter: " << counter << "\n"; - // if ((this->stats_total_cycles) % 5000 == 0) std::cout << "************* Cycle: " << (this->stats_total_cycles) << "\n"; - // dstop = !dbus_driver(); - #ifdef VCD_OUTPUT - m_trace->dump(2*this->stats_total_cycles); - #endif - vortex->clk = 1; - vortex->eval(); - istop = ibus_driver(); - dstop = !dbus_driver(); - io_handler(); - - #ifdef VCD_OUTPUT - m_trace->dump((2*this->stats_total_cycles)+1); - #endif - vortex->clk = 0; - vortex->eval(); - // stop = istop && dstop; - stop = vortex->out_ebreak; - - if (stop || cont) - // if (istop) - { - cont = true; - counter++; - } else - { - counter = 0; - } - - ++time_stamp; - ++stats_total_cycles; - } - - std::cerr << "New Total Cycles: " << (this->stats_total_cycles) << "\n"; - - int status = (unsigned int) vortex->Vortex->vx_back_end->VX_wb->last_data_wb & 0xf; - - // std::cout << "Last wb: " << std::hex << ((unsigned int) vortex->Vortex__DOT__vx_back_end__DOT__VX_wb__DOT__last_data_wb) << "\n"; - - // std::cout << "Something: " << result << '\n'; - - // uint32_t status; - // ram->getWord(0, &status); - - this->print_stats(); - - - - return (status == 1); - // return (1 == 1); -} \ No newline at end of file +}; \ No newline at end of file diff --git a/rtl/simulate/Vortex_SOC.h b/rtl/simulate/Vortex_SOC.h index 374693f85..df45fa6dc 100644 --- a/rtl/simulate/Vortex_SOC.h +++ b/rtl/simulate/Vortex_SOC.h @@ -19,13 +19,6 @@ #include #endif -unsigned long time_stamp = 0; - -double sc_time_stamp() -{ - return time_stamp / 1000.0; -} - typedef struct { int cycles_left; @@ -40,11 +33,17 @@ class Vortex_SOC Vortex_SOC(RAM* ram); ~Vortex_SOC(); bool simulate(); + void step(); + void reset(); + void flush_caches(uint32_t mem_addr, uint32_t size); + bool is_busy(); private: void print_stats(bool = true); bool ibus_driver(); bool dbus_driver(); - void io_handler(); + void io_handler(); + void send_snoops(uint32_t mem_addr, uint32_t size); + void wait(uint32_t cycles); RAM* ram; @@ -77,313 +76,4 @@ class Vortex_SOC #ifdef VCD_OUTPUT VerilatedVcdC *m_trace; #endif -}; - - - -Vortex_SOC::Vortex_SOC(RAM* ram) : start_pc(0), curr_cycle(0), stop(true), unit_test(true), stats_static_inst(0), stats_dynamic_inst(-1), - stats_total_cycles(0), stats_fwd_stalls(0), stats_branch_stalls(0), - debug_state(0), ibus_state(0), dbus_state(0), debug_return(0), - debug_wait_num(0), debug_inst_num(0), debug_end_wait(0), debug_debugAddr(0) -{ - this->ram = ram; - this->vortex = new VVortex_SOC; - #ifdef VCD_OUTPUT - this->m_trace = new VerilatedVcdC; - this->vortex->trace(m_trace, 99); - this->m_trace->open("trace.vcd"); - #endif - this->results.open("../results.txt"); -} - -Vortex_SOC::~Vortex_SOC() -{ - #ifdef VCD_OUTPUT - m_trace->close(); - #endif - this->results.close(); - delete this->vortex; -} - -void Vortex_SOC::print_stats(bool cycle_test) -{ - - if (cycle_test) - { - this->results << std::left; - // this->results << "# Static Instructions:\t" << std::dec << this->stats_static_inst << std::endl; - this->results << std::setw(24) << "# Dynamic Instructions:" << std::dec << this->stats_dynamic_inst << std::endl; - this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; - this->results << std::setw(24) << "# of forwarding stalls:" << std::dec << this->stats_fwd_stalls << std::endl; - this->results << std::setw(24) << "# of branch stalls:" << std::dec << this->stats_branch_stalls << std::endl; - this->results << std::setw(24) << "# CPI:" << std::dec << (double) this->stats_total_cycles / (double) this->stats_dynamic_inst << std::endl; - this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; - } - else - { - this->results << std::left; - this->results << std::setw(24) << "# of total cycles:" << std::dec << this->stats_total_cycles << std::endl; - this->results << std::setw(24) << "# time to simulate: " << std::dec << this->stats_sim_time << " milliseconds" << std::endl; - } - - - uint32_t status; - ram->getWord(0, &status); - - if (this->unit_test) - { - if (status == 1) - { - this->results << std::setw(24) << "# GRADE:" << "PASSING\n"; - } else - { - this->results << std::setw(24) << "# GRADE:" << "Failed on test: " << status << "\n"; - } - } - else - { - this->results << std::setw(24) << "# GRADE:" << "N/A [NOT A UNIT TEST]\n"; - } - - this->stats_static_inst = 0; - this->stats_dynamic_inst = -1; - this->stats_total_cycles = 0; - this->stats_fwd_stalls = 0; - this->stats_branch_stalls = 0; - -} - -bool Vortex_SOC::ibus_driver() -{ - - return false; - -} - -void Vortex_SOC::io_handler() -{ - // std::cout << "Checking\n"; - for (int c = 0; c < vortex->number_cores; c++) - { - if (vortex->io_valid[c]) - { - uint32_t data_write = (uint32_t) vortex->io_data[c]; - // std::cout << "IO VALID!\n"; - char c = (char) data_write; - std::cerr << c; - // std::cout << c; - - std::cout << std::flush; - } - } -} - - -bool Vortex_SOC::dbus_driver() -{ - - // Iterate through each element, and get pop index - int dequeue_index = -1; - bool dequeue_valid = false; - for (int i = 0; i < this->dram_req_vec.size(); i++) - { - if (this->dram_req_vec[i].cycles_left > 0) - { - this->dram_req_vec[i].cycles_left -= 1; - } - - if ((this->dram_req_vec[i].cycles_left == 0) && (!dequeue_valid)) - { - dequeue_index = i; - dequeue_valid = true; - } - } - - - if (vortex->out_dram_req) - { - if (vortex->out_dram_req_read) - { - // Need to add an element - dram_req_t dram_req; - dram_req.cycles_left = vortex->out_dram_expected_lat; - dram_req.data_length = vortex->out_dram_req_size / 4; - dram_req.base_addr = vortex->out_dram_req_addr; - dram_req.data = (unsigned *) malloc(dram_req.data_length * sizeof(unsigned)); - - for (int i = 0; i < dram_req.data_length; i++) - { - unsigned curr_addr = dram_req.base_addr + (i*4); - unsigned data_rd; - ram->getWord(curr_addr, &data_rd); - dram_req.data[i] = data_rd; - } - // std::cout << "Fill Req -> Addr: " << std::hex << dram_req.base_addr << std::dec << "\n"; - this->dram_req_vec.push_back(dram_req); - } - - if (vortex->out_dram_req_write) - { - unsigned base_addr = vortex->out_dram_req_addr; - unsigned data_length = vortex->out_dram_req_size / 4; - - for (int i = 0; i < data_length; i++) - { - unsigned curr_addr = base_addr + (i*4); - unsigned data_wr = vortex->out_dram_req_data[i]; - ram->writeWord(curr_addr, &data_wr); - } - } - } - - if (vortex->out_dram_fill_accept && dequeue_valid) - { - vortex->out_dram_fill_rsp = 1; - vortex->out_dram_fill_rsp_addr = this->dram_req_vec[dequeue_index].base_addr; - // std::cout << "Fill Rsp -> Addr: " << std::hex << (this->dram_req_vec[dequeue_index].base_addr) << std::dec << "\n"; - - for (int i = 0; i < this->dram_req_vec[dequeue_index].data_length; i++) - { - vortex->out_dram_fill_rsp_data[i] = this->dram_req_vec[dequeue_index].data[i]; - } - free(this->dram_req_vec[dequeue_index].data); - - this->dram_req_vec.erase(this->dram_req_vec.begin() + dequeue_index); - } - else - { - vortex->out_dram_fill_rsp = 0; - vortex->out_dram_fill_rsp_addr = 0; - } - - return false; -} - - - -bool Vortex_SOC::simulate() -{ - // auto start_time = std::chrono::high_resolution_clock::now(); - - static bool stop = false; - static int counter = 0; - counter = 0; - stop = false; - - // auto start_time = clock(); - - - // vortex->reset = 1; - - - // vortex->reset = 0; - - unsigned curr_inst; - unsigned new_PC; - - // while (this->stop && (!(stop && (counter > 5)))) - // { - - // // std::cout << "************* Cycle: " << cycle << "\n"; - // bool istop = ibus_driver(); - // bool dstop = !dbus_driver(); - - // vortex->clk = 1; - // vortex->eval(); - - - - // vortex->clk = 0; - // vortex->eval(); - - - // stop = istop && dstop; - - // if (stop) - // { - // counter++; - // } else - // { - // counter = 0; - // } - - // cycle++; - // } - - bool istop; - bool dstop; - bool cont = false; - // for (int i = 0; i < 500; i++) - - vortex->reset = 1; - vortex->clk = 0; - vortex->eval(); - // m_trace->dump(10); - vortex->reset = 1; - vortex->clk = 1; - vortex->eval(); - // m_trace->dump(11); - vortex->reset = 0; - vortex->clk = 0; - - // unsigned cycles; - counter = 0; - this->stats_total_cycles = 12; - while (this->stop && ((counter < 5))) - // while (this->stats_total_cycles < 10) - { - - // printf("-------------------------\n"); - // std::cout << "Counter: " << counter << "\n"; - // if ((this->stats_total_cycles) % 5000 == 0) std::cout << "************* Cycle: " << (this->stats_total_cycles) << "\n"; - // dstop = !dbus_driver(); - #ifdef VCD_OUTPUT - m_trace->dump(2*this->stats_total_cycles); - #endif - vortex->clk = 1; - vortex->eval(); - istop = ibus_driver(); - dstop = !dbus_driver(); - io_handler(); - - #ifdef VCD_OUTPUT - m_trace->dump((2*this->stats_total_cycles)+1); - #endif - vortex->clk = 0; - vortex->eval(); - // stop = istop && dstop; - stop = vortex->out_ebreak; - - if (stop || cont) - // if (istop) - { - cont = true; - counter++; - } else - { - counter = 0; - } - - ++time_stamp; - ++stats_total_cycles; - } - - std::cerr << "New Total Cycles: " << (this->stats_total_cycles) << "\n"; - - int status = 0; - // int status = (unsigned int) vortex->Vortex_SOC__DOT__vx_back_end__DOT__VX_wb__DOT__last_data_wb & 0xf; - - // std::cout << "Last wb: " << std::hex << ((unsigned int) vortex->Vortex__DOT__vx_back_end__DOT__VX_wb__DOT__last_data_wb) << "\n"; - - // std::cout << "Something: " << result << '\n'; - - // uint32_t status; - // ram->getWord(0, &status); - - this->print_stats(); - - - - return (status == 1); - // return (1 == 1); -} \ No newline at end of file +}; \ No newline at end of file From 5d320a93130a100dd09452e2cabdc42725153f13 Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 21:04:23 -0400 Subject: [PATCH 3/7] fixed multicore build --- rtl/Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/rtl/Makefile b/rtl/Makefile index 30f3224c6..7a4aa7e6a 100644 --- a/rtl/Makefile +++ b/rtl/Makefile @@ -46,7 +46,7 @@ VERILATORnoWarningsRel: build_config VERILATORMULTInoWarnings: build_config - verilator $(COMP) -cc $(MULTI_CORE) $(INCLUDE) $(MULTI_EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OFF -O3 -DVL_THREADED' $(WNO) $(DEB) --threads $(THREADS) + verilator $(COMP) -cc $(MULTI_CORE) $(INCLUDE) $(MULTI_EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OFF' $(WNO) $(DEB) compdebug: build_config verilator_bin_dbg $(COMP) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OUTPUT -DVL_DEBUG' $(WNO) $(DEB) From 550d96a73c3b61ddb5019a57b7cbbf08ce54e1af Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 21:54:55 -0400 Subject: [PATCH 4/7] rtlsim driver works with Vortex! --- driver/sw/rtlsim/Makefile | 6 +++--- driver/sw/rtlsim/vortex.cpp | 1 + rtl/VX_warp_scheduler.v | 2 +- 3 files changed, 5 insertions(+), 4 deletions(-) diff --git a/driver/sw/rtlsim/Makefile b/driver/sw/rtlsim/Makefile index 6765578e2..5fedbe944 100644 --- a/driver/sw/rtlsim/Makefile +++ b/driver/sw/rtlsim/Makefile @@ -1,7 +1,7 @@ #CFLAGS += -std=c++11 -O3 -Wall -Wextra -pedantic -Wfatal-errors CFLAGS += -std=c++11 -g -O0 -Wall -Wextra -pedantic -Wfatal-errors -USE_MULTICORE=1 +#USE_MULTICORE=1 CFLAGS += -I../../include -I../../../../rtl/simulate @@ -30,8 +30,8 @@ VL_FLAGS += -Wno-UNOPTFLAT -Wno-WIDTH VL_FLAGS += -Wno-UNDRIVEN --Wno-PINMISSING -Wno-STMTDLY -Wno-WIDTH -Wno-UNSIGNED -Wno-UNOPTFLAT -Wno-LITENDIAN # Debugigng -VL_FLAGS += --trace -DVL_DEBUG=1 -CFLAGS += -DVCD_OUTPUT +#VL_FLAGS += --trace -DVL_DEBUG=1 +#CFLAGS += -DVCD_OUTPUT PROJECT = libvortex.so diff --git a/driver/sw/rtlsim/vortex.cpp b/driver/sw/rtlsim/vortex.cpp index 50f2c5992..a2b7e107a 100644 --- a/driver/sw/rtlsim/vortex.cpp +++ b/driver/sw/rtlsim/vortex.cpp @@ -78,6 +78,7 @@ public: : is_done_(false) , mem_allocation_(VX_ALLOC_BASE_ADDR) , vortex_(&ram_) { + vortex_.reset(); thread_ = new std::thread(__thread_proc__, this); } diff --git a/rtl/VX_warp_scheduler.v b/rtl/VX_warp_scheduler.v index a20af31e7..22ad21daa 100644 --- a/rtl/VX_warp_scheduler.v +++ b/rtl/VX_warp_scheduler.v @@ -298,7 +298,7 @@ module VX_warp_scheduler ( assign global_stall = (stall || wstall_this_cycle || hazard || !real_schedule || is_join); - assign scheduled_warp = !(wstall_this_cycle || hazard || !real_schedule || is_join); + assign scheduled_warp = !(wstall_this_cycle || hazard || !real_schedule || is_join) && !reset; wire real_use_wspawn = use_wsapwn[warp_to_schedule]; From e80fa7f2333cce067c0dac0e05b7294f01c44e43 Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 22:37:35 -0400 Subject: [PATCH 5/7] missing rtl changes from OPAE --- rtl/Makefile | 44 +++++---- rtl/Vortex.v | 22 ++++- rtl/Vortex_SOC.v | 8 +- rtl/shared_memory/VX_shared_memory_block.v | 5 +- rtl/simulate/Vortex.h | 109 ++++++++++----------- rtl/simulate/Vortex_SOC.h | 108 ++++++++++---------- rtl/simulate/multi_test_bench.cpp | 8 +- rtl/simulate/test_bench.cpp | 5 +- 8 files changed, 160 insertions(+), 149 deletions(-) diff --git a/rtl/Makefile b/rtl/Makefile index 7a4aa7e6a..348bdb625 100644 --- a/rtl/Makefile +++ b/rtl/Makefile @@ -1,32 +1,35 @@ all: RUNFILE -INCLUDE=-I. -Ishared_memory -Icache -IVX_cache -IVX_cache/interfaces -Iinterfaces/ -Ipipe_regs/ -Icompat/ -Isimulate +INCLUDE = -I. -Ishared_memory -Icache -IVX_cache -IVX_cache/interfaces -Iinterfaces/ -Ipipe_regs/ -Icompat/ -Isimulate -SINGLE_CORE=Vortex.v -MULTI_CORE=Vortex_SOC.v +SINGLE_CORE = Vortex.v -EXE=--exe ./simulate/test_bench.cpp ./simulate/Vortex.cpp -MULTI_EXE=--exe ./simulate/multi_test_bench.cpp ./simulate/Vortex_SOC.cpp +MULTI_CORE = Vortex_SOC.v -COMP=--compiler gcc --language 1800-2009 +EXE += --exe ./simulate/test_bench.cpp ./simulate/Vortex.cpp -WNO=-Wno-UNDRIVEN --Wno-PINMISSING -Wno-STMTDLY -Wno-WIDTH -Wno-UNSIGNED -Wno-UNOPTFLAT -Wno-LITENDIAN +MULTI_EXE += --exe ./simulate/multi_test_bench.cpp ./simulate/Vortex_SOC.cpp + +VF += -compiler gcc --language 1800-2009 + +WNO += -Wno-UNDRIVEN --Wno-PINMISSING -Wno-STMTDLY -Wno-WIDTH -Wno-UNSIGNED -Wno-UNOPTFLAT -Wno-LITENDIAN # WNO= # LIGHTW= -LIGHTW=-Wno-UNOPTFLAT +LIGHTW += -Wno-UNOPTFLAT + # LIB=-LDFLAGS '-L/usr/local/systemc/' -LIB= +LIB += -CF = -std=c++11 -fms-extensions +CF += -std=c++11 -fms-extensions -DEB=--trace -DVL_DEBUG=1 +DEB += --trace -DVL_DEBUG=1 -MAKECPP=(cd obj_dir && make -j -f VVortex.mk OPT='-DVL_DEBUG' VL_DEBUG=1 DVL_DEBUG=1) +MAKECPP += (cd obj_dir && make -j -f VVortex.mk OPT='-DVL_DEBUG' VL_DEBUG=1 DVL_DEBUG=1) -MAKECPPRel=(cd obj_dir && make -j -f VVortex.mk) +MAKECPPRel += (cd obj_dir && make -j -f VVortex.mk) -MAKEMULTICPP=(cd obj_dir && make -j -f VVortex_SOC.mk OPT='-DVL_DEBUG' VL_DEBUG=1 DVL_DEBUG=1) +MAKEMULTICPP += (cd obj_dir && make -j -f VVortex_SOC.mk OPT='-DVL_DEBUG' VL_DEBUG=1 DVL_DEBUG=1) THREADS ?= $(shell python3 -c 'import multiprocessing as mp; print(max(1, mp.cpu_count() // 2))') @@ -36,23 +39,22 @@ build_config: # -LDFLAGS '-lsystemc' VERILATOR: build_config - verilator $(COMP) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OFF' $(LIGHTW) + verilator $(VF) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF)' $(LIGHTW) VERILATORnoWarnings: build_config - verilator $(COMP) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OFF' $(WNO) $(DEB) + verilator $(VF) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF)' $(WNO) $(DEB) VERILATORnoWarningsRel: build_config - verilator $(COMP) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OFF -O3 -DVL_THREADED' $(WNO) --threads $(THREADS) - + verilator $(VF) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -O3 -DVL_THREADED' $(WNO) --threads $(THREADS) VERILATORMULTInoWarnings: build_config - verilator $(COMP) -cc $(MULTI_CORE) $(INCLUDE) $(MULTI_EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OFF' $(WNO) $(DEB) + verilator $(VF) -cc $(MULTI_CORE) $(INCLUDE) $(MULTI_EXE) $(LIB) -CFLAGS '$(CF) -O3 -DVL_THREADED' $(WNO) $(DEB) --threads $(THREADS) compdebug: build_config - verilator_bin_dbg $(COMP) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OUTPUT -DVL_DEBUG' $(WNO) $(DEB) + verilator_bin_dbg $(VF) -cc $(SINGLE_CORE) $(INCLUDE) $(EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OUTPUT -DVL_DEBUG' $(WNO) $(DEB) compdebugmulti: build_config - verilator_bin_dbg $(COMP) -cc $(MULTI_CORE) $(INCLUDE) $(MULTI_EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OUTPUT -DVL_DEBUG' $(WNO) $(DEB) + verilator_bin_dbg $(VF) -cc $(MULTI_CORE) $(INCLUDE) $(MULTI_EXE) $(LIB) -CFLAGS '$(CF) -DVCD_OUTPUT -DVL_DEBUG' $(WNO) $(DEB) RUNFILE: VERILATOR $(MAKECPP) diff --git a/rtl/Vortex.v b/rtl/Vortex.v index 8660861f3..670f9eb0b 100644 --- a/rtl/Vortex.v +++ b/rtl/Vortex.v @@ -30,11 +30,31 @@ module Vortex input wire [31:0] dram_fill_rsp_addr, input wire [31:0] dram_fill_rsp_data[`DBANK_LINE_SIZE_RNG], - // LLC Snooping + // DRAM Icache Req + output wire I_dram_req, + output wire I_dram_req_write, + output wire I_dram_req_read, + output wire [31:0] I_dram_req_addr, + output wire [31:0] I_dram_req_size, + output wire [31:0] I_dram_req_data[`IBANK_LINE_SIZE_RNG], + output wire [31:0] I_dram_expected_lat, + + // DRAM Icache Res + output wire I_dram_fill_accept, + input wire I_dram_fill_rsp, + input wire [31:0] I_dram_fill_rsp_addr, + input wire [31:0] I_dram_fill_rsp_data[`IBANK_LINE_SIZE_RNG], + + // Dcache Snooping input wire snp_req, input wire [31:0] snp_req_addr, output wire snp_req_delay, + // Icache Snooping + input wire I_snp_req, + input wire [31:0] I_snp_req_addr, + output wire I_snp_req_delay, + output wire out_ebreak `else diff --git a/rtl/Vortex_SOC.v b/rtl/Vortex_SOC.v index f2630c906..a58b68305 100644 --- a/rtl/Vortex_SOC.v +++ b/rtl/Vortex_SOC.v @@ -369,11 +369,11 @@ module Vortex_SOC ( assign per_core_dram_fill_rsp_addr[(l2c_curr_core/2)] = l2c_wb_addr[l2c_curr_core]; assign per_core_I_dram_fill_rsp_addr[(l2c_curr_core/2)] = l2c_wb_addr[l2c_curr_core+1]; - assign per_core_dcache_snp_req [(l2c_curr_core/2)] = l2c_snp_fwd; - assign per_core_dcache_snp_req_addr[(l2c_curr_core/2)] = l2c_snp_fwd_addr; + assign per_core_dcache_snp_req [(l2c_curr_core/2)] = l2c_snp_fwd; + assign per_core_dcache_snp_req_addr[(l2c_curr_core/2)] = l2c_snp_fwd_addr; - assign per_core_icache_snp_req [(l2c_curr_core/2)] = l2c_snp_fwd; - assign per_core_icache_snp_req_addr[(l2c_curr_core/2)] = l2c_snp_fwd_addr; + assign per_core_icache_snp_req [(l2c_curr_core/2)] = l2c_snp_fwd; + assign per_core_icache_snp_req_addr[(l2c_curr_core/2)] = l2c_snp_fwd_addr; end // endgenerate diff --git a/rtl/shared_memory/VX_shared_memory_block.v b/rtl/shared_memory/VX_shared_memory_block.v index 0783583cf..1452004d6 100644 --- a/rtl/shared_memory/VX_shared_memory_block.v +++ b/rtl/shared_memory/VX_shared_memory_block.v @@ -29,6 +29,8 @@ module VX_shared_memory_block `ifndef SYN reg[SMB_WORDS_PER_READ-1:0][3:0][7:0] shared_memory[SMB_HEIGHT-1:0]; + + wire [$clog2(SMB_HEIGHT) - 1:0]reg_addr; //wire need_to_write = (|we); integer curr_ind; @@ -48,8 +50,7 @@ module VX_shared_memory_block if (we == 2'b11) shared_memory[reg_addr][3] <= wdata[3]; end end - - wire [$clog2(SMB_HEIGHT) - 1:0]reg_addr; + assign reg_addr = addr; // always @(posedge clk) // reg_addr <= addr; diff --git a/rtl/simulate/Vortex.h b/rtl/simulate/Vortex.h index 17f87b3b9..a8cd9b0a4 100644 --- a/rtl/simulate/Vortex.h +++ b/rtl/simulate/Vortex.h @@ -1,7 +1,7 @@ // C++ libraries -#include +#include #include -#include +#include #include #include #include @@ -20,62 +20,61 @@ #include #endif -typedef struct -{ - int cycles_left; - int data_length; - unsigned base_addr; - unsigned * data; +typedef struct { + int cycles_left; + int data_length; + unsigned base_addr; + unsigned *data; } dram_req_t; -class Vortex -{ - public: - Vortex(RAM* ram); - ~Vortex(); - bool simulate(); - void step(); - void reset(); - void flush_caches(uint32_t mem_addr, uint32_t size); - bool is_busy(); - private: - void print_stats(bool = true); - bool ibus_driver(); - bool dbus_driver(); - void io_handler(); - void send_snoops(uint32_t mem_addr, uint32_t size); - void wait(uint32_t cycles); +class Vortex { +public: + Vortex(RAM *ram); + ~Vortex(); + bool is_busy(); + void reset(); + void step(); + void flush_caches(uint32_t mem_addr, uint32_t size); + bool simulate(); - RAM* ram; +private: + void print_stats(bool cycle_test = true); + bool ibus_driver(); + bool dbus_driver(); + void io_handler(); + void send_snoops(uint32_t mem_addr, uint32_t size); + void wait(uint32_t cycles); - VVortex * vortex; + RAM *ram; - unsigned start_pc; - bool refill_d; - unsigned refill_addr_d; - bool refill_i; - unsigned refill_addr_i; - long int curr_cycle; - bool stop; - bool unit_test; - std::ofstream results; - int stats_static_inst; - int stats_dynamic_inst; - int stats_total_cycles; - int stats_fwd_stalls; - int stats_branch_stalls; - int debug_state; - int ibus_state; - int dbus_state; - int debug_return; - int debug_wait_num; - int debug_inst_num; - int debug_end_wait; - int debug_debugAddr; - double stats_sim_time; - std::vector dram_req_vec; - std::vector I_dram_req_vec; - #ifdef VCD_OUTPUT - VerilatedVcdC *m_trace; - #endif + VVortex *vortex; + + unsigned start_pc; + bool refill_d; + unsigned refill_addr_d; + bool refill_i; + unsigned refill_addr_i; + long int curr_cycle; + bool stop; + bool unit_test; + std::ofstream results; + int stats_static_inst; + int stats_dynamic_inst; + int stats_total_cycles; + int stats_fwd_stalls; + int stats_branch_stalls; + int debug_state; + int ibus_state; + int dbus_state; + int debug_return; + int debug_wait_num; + int debug_inst_num; + int debug_end_wait; + int debug_debugAddr; + double stats_sim_time; + std::vector dram_req_vec; + std::vector I_dram_req_vec; +#ifdef VCD_OUTPUT + VerilatedVcdC *m_trace; +#endif }; \ No newline at end of file diff --git a/rtl/simulate/Vortex_SOC.h b/rtl/simulate/Vortex_SOC.h index df45fa6dc..5ccbe044f 100644 --- a/rtl/simulate/Vortex_SOC.h +++ b/rtl/simulate/Vortex_SOC.h @@ -1,7 +1,7 @@ // C++ libraries -#include +#include #include -#include +#include #include #include #include @@ -19,61 +19,59 @@ #include #endif -typedef struct -{ - int cycles_left; - int data_length; - unsigned base_addr; - unsigned * data; +typedef struct { + int cycles_left; + int data_length; + unsigned base_addr; + unsigned *data; } dram_req_t; -class Vortex_SOC -{ - public: - Vortex_SOC(RAM* ram); - ~Vortex_SOC(); - bool simulate(); - void step(); - void reset(); - void flush_caches(uint32_t mem_addr, uint32_t size); - bool is_busy(); - private: - void print_stats(bool = true); - bool ibus_driver(); - bool dbus_driver(); - void io_handler(); - void send_snoops(uint32_t mem_addr, uint32_t size); - void wait(uint32_t cycles); +class Vortex_SOC { +public: + Vortex_SOC(RAM *ram); + ~Vortex_SOC(); + bool is_busy(); + void reset(); + void step(); + void flush_caches(uint32_t mem_addr, uint32_t size); + bool simulate(); +private: + void print_stats(bool cycle_test = true); + bool ibus_driver(); + bool dbus_driver(); + void io_handler(); + void send_snoops(uint32_t mem_addr, uint32_t size); + void wait(uint32_t cycles); - RAM* ram; + RAM *ram; - VVortex_SOC * vortex; + VVortex_SOC *vortex; - unsigned start_pc; - bool refill_d; - unsigned refill_addr_d; - bool refill_i; - unsigned refill_addr_i; - long int curr_cycle; - bool stop; - bool unit_test; - std::ofstream results; - int stats_static_inst; - int stats_dynamic_inst; - int stats_total_cycles; - int stats_fwd_stalls; - int stats_branch_stalls; - int debug_state; - int ibus_state; - int dbus_state; - int debug_return; - int debug_wait_num; - int debug_inst_num; - int debug_end_wait; - int debug_debugAddr; - double stats_sim_time; - std::vector dram_req_vec; - #ifdef VCD_OUTPUT - VerilatedVcdC *m_trace; - #endif -}; \ No newline at end of file + unsigned start_pc; + bool refill_d; + unsigned refill_addr_d; + bool refill_i; + unsigned refill_addr_i; + long int curr_cycle; + bool stop; + bool unit_test; + std::ofstream results; + int stats_static_inst; + int stats_dynamic_inst; + int stats_total_cycles; + int stats_fwd_stalls; + int stats_branch_stalls; + int debug_state; + int ibus_state; + int dbus_state; + int debug_return; + int debug_wait_num; + int debug_inst_num; + int debug_end_wait; + int debug_debugAddr; + double stats_sim_time; + std::vector dram_req_vec; +#ifdef VCD_OUTPUT + VerilatedVcdC *m_trace; +#endif +}; diff --git a/rtl/simulate/multi_test_bench.cpp b/rtl/simulate/multi_test_bench.cpp index 7dcc04362..8e4a6e05d 100644 --- a/rtl/simulate/multi_test_bench.cpp +++ b/rtl/simulate/multi_test_bench.cpp @@ -3,16 +3,10 @@ #define NUM_TESTS 46 int main(int argc, char **argv) -{ - - // Verilated::debug(1); Verilated::commandArgs(argc, argv); - Verilated::traceEverOn(true); - - -// #define ALL_TESTS +#define ALL_TESTS #ifdef ALL_TESTS bool passed = true; std::string tests[NUM_TESTS] = { diff --git a/rtl/simulate/test_bench.cpp b/rtl/simulate/test_bench.cpp index 391c93dbf..2882b7f6e 100644 --- a/rtl/simulate/test_bench.cpp +++ b/rtl/simulate/test_bench.cpp @@ -9,10 +9,7 @@ int main(int argc, char **argv) Verilated::commandArgs(argc, argv); - Verilated::traceEverOn(true); - - -// #define ALL_TESTS +#define ALL_TESTS #ifdef ALL_TESTS bool passed = true; From 89d5bfbef1d8b9d4e23a44a5b0f54d29044a4d11 Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 22:44:16 -0400 Subject: [PATCH 6/7] missing simX changes from OPAE --- simX/Makefile | 6 +- simX/cache_simX.v | 2 +- simX/core.cpp | 138 ++++++---------- simX/enc.cpp | 5 +- simX/include/archdef.h | 12 +- simX/include/debug.h | 22 ++- simX/include/mem.h | 140 +---------------- simX/instruction.cpp | 346 +++++++++++++++++++++-------------------- simX/mem.cpp | 114 ++++++++++++++ simX/simX.cpp | 48 +++--- simX/test_riscv.sh | 144 ++++++++++++++++- 11 files changed, 552 insertions(+), 425 deletions(-) diff --git a/simX/Makefile b/simX/Makefile index 68fe7414e..1672b056b 100644 --- a/simX/Makefile +++ b/simX/Makefile @@ -2,13 +2,13 @@ # HARPtools by Chad D. Kersey, Summer 2011 # ################################################################################ -CXXFLAGS ?= -std=c++11 -fPIC -O3 -Wall -Wextra -pedantic -g -DUSE_DEBUG=3 -DPRINT_ACTIVE_THREADS -# CXXFLAGS ?= -std=c++11 -fPIC -O0 -g -Wall -Wextra -pedantic # -g -DUSE_DEBUG=3 -DPRINT_ACTIVE_THREADS +CXXFLAGS ?= -std=c++11 -fPIC -O3 -Wall -Wextra -pedantic -DUSE_DEBUG=3 -DPRINT_ACTIVE_THREADS +#CXXFLAGS ?= -std=c++11 -fPIC -g -O0 -Wall -Wextra -pedantic -DUSE_DEBUG=3 -DPRINT_ACTIVE_THREADS LIB_OBJS=simX.cpp args.cpp mem.cpp core.cpp instruction.cpp enc.cpp util.cpp -INCLUDE=-I. -I../rtl/shared_memory -I../rtl/cache -I../rtl/interfaces -Isimulate -I../rtl +INCLUDE=-I. -I../old_rtl -I../old_rtl/interfaces -I../old_rtl/cache -I../old_rtl/shared_memory -Isimulate FILE=cache_simX.v COMP=--compiler gcc LIB= diff --git a/simX/cache_simX.v b/simX/cache_simX.v index 249d7d2e6..2183864b1 100644 --- a/simX/cache_simX.v +++ b/simX/cache_simX.v @@ -1,5 +1,5 @@ -`include "../rtl/VX_define.v" +`include "../old_rtl/VX_define.v" module cache_simX ( input wire clk, // Clock diff --git a/simX/core.cpp b/simX/core.cpp index 7ba684a43..bc4a5ffa4 100644 --- a/simX/core.cpp +++ b/simX/core.cpp @@ -80,19 +80,17 @@ using namespace std; void printTrace(trace_inst_t * trace, const char * stage_name) { - D(3, "********************************** " << stage_name << " *********************************"); - D(3, "valid: " << trace->valid_inst); - D(3, "PC: " << hex << trace->pc << dec); - D(3, "wid: " << trace->wid); - D(3, "rd: " << trace->rd << "\trs1: " << trace->rs1 << "\trs2: " << trace->rs2); - D(3, "is_lw: " << trace->is_lw); - D(3, "is_sw: " << trace->is_sw); - D(3, "fetch_stall_cycles: " << trace->fetch_stall_cycles); - D(3, "mem_stall_cycles: " << trace->mem_stall_cycles); - - D(3, "stall_warp: " << trace->stall_warp); - D(3, "wspawn: " << trace->wspawn); - D(3, "stalled: " << trace->stalled); + D(3, stage_name << ": valid=" << trace->valid_inst); + D(3, stage_name << ": PC=" << hex << trace->pc << dec); + D(3, stage_name << ": wid=" << trace->wid); + D(3, stage_name << ": rd=" << trace->rd << ", rs1=" << trace->rs1 << ", trs2=" << trace->rs2); + D(3, stage_name << ": is_lw=" << trace->is_lw); + D(3, stage_name << ": is_sw=" << trace->is_sw); + D(3, stage_name << ": fetch_stall_cycles=" << trace->fetch_stall_cycles); + D(3, stage_name << ": mem_stall_cycles=" << trace->mem_stall_cycles); + D(3, stage_name << ": stall_warp=" << trace->stall_warp); + D(3, stage_name << ": wspawn=" << trace->wspawn); + D(3, stage_name << ": stalled=" << trace->stalled); } #ifdef EMU_INSTRUMENTATION @@ -126,17 +124,14 @@ Core::Core(const ArchDef &a, Decoder &d, MemoryUnit &mem, Word id): INIT_TRACE(inst_in_lsu); INIT_TRACE(inst_in_wb); - for (int i = 0; i < 32; i++) - { + for (int i = 0; i < 32; i++) { stallWarp[i] = false; - for (int j = 0; j < 32; j++) - { + for (int j = 0; j < 32; j++) { renameTable[i][j] = true; } } - for(int i = 0; i < 32; i++) - { + for(int i = 0; i < 32; i++) { vecRenameTable[i] = true; } @@ -157,8 +152,9 @@ Core::Core(const ArchDef &a, Decoder &d, MemoryUnit &mem, Word id): cache_simulator->reset = 0; cache_simulator->clk = 0; - for (unsigned i = 0; i < a.getNWarps(); ++i) + for (unsigned i = 0; i < a.getNWarps(); ++i) { w.push_back(Warp(this, i)); + } w[0].activeThreads = 1; w[0].spawned = true; @@ -171,19 +167,17 @@ bool Core::interrupt(Word r0) { void Core::step() { - D(3, "\n\n\n------------------------------------------------------"); - - D(3, "Started core::step" << flush); + D(3, "###########################################################"); steps++; this->num_cycles++; - D(3, "CYCLE: " << this->num_cycles); + D(3, "cycle: " << this->num_cycles); - D(3, "Stalled Warps:"); - for (int widd = 0; widd < a.getNWarps(); widd++) - { - D(3, stallWarp[widd] << " "); + DPH(3, "stalled warps:"); + for (int widd = 0; widd < a.getNWarps(); widd++) { + DPN(3, " " << stallWarp[widd]); } + DPN(3, "\n"); // cout << "Rename table\n"; // for (int regii = 0; regii < 32; regii++) @@ -213,8 +207,7 @@ void Core::step() stallWarp[release_warp_num] = false; } - D(3, "released warp" << flush); - D(3, "Finished core::step" << flush); + DPN(3, flush); } void Core::getCacheDelays(trace_inst_t * trace_inst) @@ -400,11 +393,7 @@ void Core::warpScheduler() void Core::fetch() { - // #ifdef PRINT_ACTIVE_THREADS - D(3, "Threads:"); - // #endif - - // D(-1, "Found schedule: " << foundSchedule); + // D(-1, "Found schedule: " << foundSchedule); if ((!inst_in_scheduler.stalled) && (inst_in_fetch.fetch_stall_cycles == 0)) { @@ -416,23 +405,24 @@ void Core::fetch() if (foundSchedule) { - D(3, "Core step stepping warp " << schedule_w << '[' << w[schedule_w].activeThreads << ']'); + auto active_threads_b = w[schedule_w].activeThreads; + this->num_instructions = this->num_instructions + w[schedule_w].activeThreads; // this->num_instructions++; w[schedule_w].step(&inst_in_fetch); - D(3, "Now " << w[schedule_w].activeThreads << " active threads in " << schedule_w << flush); + + auto active_threads_a = w[schedule_w].activeThreads; + if (active_threads_b != active_threads_a) { + D(3, "** warp #" << schedule_w << " active threads changed from " << active_threads_b << " to " << active_threads_a); + } this->getCacheDelays(&inst_in_fetch); - D(3, "Got cache delays" << flush); - if (inst_in_fetch.stall_warp) - { + + if (inst_in_fetch.stall_warp) { stallWarp[inst_in_fetch.wid] = true; } - D(3, "staled warps\n" << flush); } - D(3, "About to schedule warp\n" << flush); warpScheduler(); - D(3, "Scheduled warp" << flush); } } else @@ -441,30 +431,19 @@ void Core::fetch() if (inst_in_fetch.fetch_stall_cycles > 0) inst_in_fetch.fetch_stall_cycles--; } - D(3, "Printing trace" << flush); printTrace(&inst_in_fetch, "Fetch"); - D(3, "printed trace" << flush); - + // #ifdef PRINT_ACTIVE_THREADS - D(3, "About to print active threads" << flush << "\n"); + DPH(3, "active threads:"); for (unsigned j = 0; j < w[schedule_w].tmask.size(); ++j) { - if (w[schedule_w].activeThreads > j && w[schedule_w].tmask[j]) - { - D(3, " 1"); - } - else - { - D(3, " 0"); + if (w[schedule_w].activeThreads > j && w[schedule_w].tmask[j]) { + DPN(3, " 1"); + } else { + DPN(3, " 0"); } - if (j != w[schedule_w].tmask.size()-1 || schedule_w != w.size()-1) - { - D(3, ','); - } - } - D(3, "\nPrinted active threads" << flush); - // #endif - - + } + DPN(3, "\n"); + // #endif // #ifdef PRINT_ACTIVE_THREADS // #endif @@ -472,9 +451,6 @@ void Core::fetch() void Core::decode() { - - - if ((inst_in_fetch.fetch_stall_cycles == 0) && !inst_in_scheduler.stalled) { CPY_TRACE(inst_in_decode, inst_in_fetch); @@ -493,7 +469,7 @@ void Core::scheduler() INIT_TRACE(inst_in_decode); } - //printTrace(&inst_in_scheduler, "scheduler"); + //printTrace(&inst_in_scheduler, "Scheduler"); } void Core::load_store() @@ -562,7 +538,6 @@ void Core::load_store() void Core::execute_unit() { - D(3, "$$$$$$$$$$$$$$$$$$$ EXE START\n" << flush); bool do_nothing = false; // EXEC is always not busy if (inst_in_scheduler.is_lw || inst_in_scheduler.is_sw) @@ -615,7 +590,7 @@ void Core::execute_unit() } else { - D(3, "&&&&&&&&&&&&&&&&&&&&&&&& EXECUTE SRCS NOT READY"); + D(3, "Execute: srcs not ready!"); inst_in_scheduler.stalled = true; // INIT_TRACE(inst_in_exe); do_nothing = true; @@ -627,15 +602,12 @@ void Core::execute_unit() // } - //printTrace(&inst_in_exe, "execute_unit"); + //printTrace(&inst_in_exe, "EXE"); // INIT_TRACE(inst_in_exe); - D(3, "EXECUTE END" << flush); } void Core::writeback() { - - if (inst_in_wb.rd > 0) renameTable[inst_in_wb.wid][inst_in_wb.rd] = true; if (inst_in_wb.vd > 0) vecRenameTable[inst_in_wb.vd] = true; @@ -697,9 +669,7 @@ bool Core::running() const { if (stages_have_valid) return true; for (unsigned i = 0; i < w.size(); ++i) - if (w[i].running()) - { - D(3, "Warp ID " << i << " is running"); + if (w[i].running()) { return true; } return false; @@ -777,8 +747,7 @@ void Warp::step(trace_inst_t * trace_inst) { // ++steps; - D(3, "in step pc=0x" << hex << pc); - D(3, "help: in PC: " << hex << pc << dec); + D(3, "current PC=0x" << hex << pc); // std::cout << "pc: " << hex << pc << "\n"; @@ -798,9 +767,6 @@ void Warp::step(trace_inst_t * trace_inst) { decPos = 0; inst = core->iDec.decode(fetchBuffer, decPos, trace_inst); - D(3, "Fetched at 0x" << hex << pc); - D(3, "0x" << hex << pc << ": " << *inst); - // Update pc pc += decPos; @@ -821,12 +787,10 @@ void Warp::step(trace_inst_t * trace_inst) { } - D(3, "Thread mask:"); - D_RAW(" "); - for (unsigned i = 0; i < tmask.size(); ++i) D_RAW(tmask[i] << ' '); - D_RAW(endl); - D_RAW(endl); - D_RAW(endl); + DPH(3, "Thread mask:"); + for (unsigned i = 0; i < tmask.size(); ++i) DPN(3, " " << tmask[i]); + DPN(3, "\n"); + // } // #endif diff --git a/simX/enc.cpp b/simX/enc.cpp index 6a7d81a2b..a19a99e8a 100644 --- a/simX/enc.cpp +++ b/simX/enc.cpp @@ -101,9 +101,6 @@ Instruction *WordDecoder::decode(const std::vector &v, Size &idx, trace_in bool predicated = false; if (predicated) { inst.setPred((code>>(inst_s-p-1))&pMask); } - // printf("CUrrent CODE: %x\n", code); - D(3, "Curr Code: " << hex << code << dec); - Opcode op = (Opcode)((code>>shift_opcode)&opcode_mask); // std::cout << "opcode: " << op << "\n"; inst.setOpcode(op); @@ -324,7 +321,7 @@ Instruction *WordDecoder::decode(const std::vector &v, Size &idx, trace_in // inst.setImmRef(*r); } - D(2, "Decoded 0x" << hex << code << " into: " << inst << '\n'); + D(2, "Decoded instr 0x" << hex << code << " into: " << inst); return &inst; } diff --git a/simX/include/archdef.h b/simX/include/archdef.h index fa284aad1..b2e871e29 100644 --- a/simX/include/archdef.h +++ b/simX/include/archdef.h @@ -16,15 +16,16 @@ namespace Harp { public: struct Undefined {}; - ArchDef(const std::string &s) { + ArchDef(const std::string &s, bool cpu_mode = false, int num_warps =32, int num_threads = 32) + : cpu_mode_(cpu_mode) { std::istringstream iss(s.c_str()); wordSize = 4; encChar = 'w'; nRegs = 32; nPRegs = 0; - nThds = 32; - nWarps = 32; + nThds = num_warps; + nWarps = num_threads; extent = EXT_WARPS; @@ -98,6 +99,10 @@ namespace Harp { ThdNum getNWarps() const { if (extent < EXT_WARPS) throw Undefined(); else return nWarps; } + + bool is_cpu_mode() const { + return cpu_mode_; + } private: enum Extent { @@ -110,6 +115,7 @@ namespace Harp { ThdNum nThds, nWarps; RegNum nRegs, nPRegs; char encChar; + bool cpu_mode_; }; } diff --git a/simX/include/debug.h b/simX/include/debug.h index 78018e418..81b428e7e 100644 --- a/simX/include/debug.h +++ b/simX/include/debug.h @@ -4,20 +4,34 @@ #ifndef __DEBUG_H #define __DEBUG_H -// #define USE_DEBUG 9 -// #define USE_DEBUG 3 +//#define USE_DEBUG 9 #ifdef USE_DEBUG #include #define D(lvl, x) do { \ using namespace std; \ - if ((lvl) == USE_DEBUG) { \ + if ((lvl) <= USE_DEBUG) { \ cout << "DEBUG " << __FILE__ << ':' << dec << __LINE__ << ": " \ << x << endl; \ } \ } while(0) +#define DPH(lvl, x) do { \ + using namespace std; \ + if ((lvl) <= USE_DEBUG) { \ + cout << "DEBUG " << __FILE__ << ':' << dec << __LINE__ << ": " \ + << x; \ + } \ +} while(0) + +#define DPN(lvl, x) do { \ + using namespace std; \ + if ((lvl) <= USE_DEBUG) { \ + cout << x; \ + } \ +} while(0) + #define D_RAW(x) do { \ std::cout << x; \ } while (0) @@ -25,6 +39,8 @@ #else #define D(lvl, x) do {} while(0) +#define DPH(lvl, x) do {} while(0) +#define DPN(lvl, x) do {} while(0) #define D_RAW(x) do {} while(0) #endif diff --git a/simX/include/mem.h b/simX/include/mem.h index f0f340e70..96d1d38c2 100644 --- a/simX/include/mem.h +++ b/simX/include/mem.h @@ -168,16 +168,18 @@ namespace Harp { bool disableVm; }; - class RAM : public MemDevice { public: uint8_t* mem[1 << 12]; RAM(){ - for(uint32_t i = 0;i < (1 << 12);i++) mem[i] = NULL; + for(uint32_t i = 0;i < (1 << 12);i++) + mem[i] = NULL; } ~RAM(){ - for(uint32_t i = 0;i < (1 << 12);i++) if(mem[i]) delete [] mem[i]; + for(uint32_t i = 0;i < (1 << 12);i++) + if(mem[i]) + delete [] mem[i]; } void clear(){ @@ -218,7 +220,7 @@ namespace Harp { } } - virtual Size size() const { return (1<<31); }; + virtual Size size() const { return -1; } void getBlock(uint32_t address, uint8_t *data) { @@ -325,137 +327,9 @@ namespace Harp { // MEMORY UTILS - uint32_t hti_old(char c) { - if (c >= 'A' && c <= 'F') - return c - 'A' + 10; - if (c >= 'a' && c <= 'f') - return c - 'a' + 10; - return c - '0'; - } - - uint32_t hToI_old(char *c, uint32_t size) { - uint32_t value = 0; - for (uint32_t i = 0; i < size; i++) { - value += hti_old(c[i]) << ((size - i - 1) * 4); - } - return value; - } - - - - void loadHexImpl(std::string path) { - this->clear(); - FILE *fp = fopen(&path[0], "r"); - if(fp == 0){ - std::cout << path << " not found" << std::endl; - } - //Preload 0x0 <-> 0x80000000 jumps - ((uint32_t*)this->get(0))[0] = 0xf1401073; - ((uint32_t*)this->get(0))[1] = 0xf1401073; - - // ((uint32_t*)this->get(0))[1] = 0xf1401073; - ((uint32_t*)this->get(0))[2] = 0x30101073; - - ((uint32_t*)this->get(0))[3] = 0x800000b7; - ((uint32_t*)this->get(0))[4] = 0x000080e7; - - ((uint32_t*)this->get(0x80000000))[0] = 0x00000097; - - ((uint32_t*)this->get(0xb0000000))[0] = 0x01C02023; - // F00FFF10 - ((uint32_t*)this->get(0xf00fff10))[0] = 0x12345678; - - - - ((uint32_t*)this->get(0x70000000))[0] = 0x00008067; - - { - uint32_t init_addr = 0x70000004; - for (int off = 0; off < 1024; off+=4) - { - uint32_t new_addr = init_addr+off; - ((uint32_t*)this->get(new_addr))[0] = 0x00000000; - } - } - - { - uint32_t init_addr = 0x71000000; - for (int off = 0; off < 1024; off+=4) - { - uint32_t new_addr = init_addr+off; - ((uint32_t*)this->get(new_addr))[0] = 0x00000000; - } - } - - { - uint32_t init_addr = 0x72000000; - for (int off = 0; off < 1024; off+=4) - { - uint32_t new_addr = init_addr+off; - ((uint32_t*)this->get(new_addr))[0] = 0x00000000; - } - } - - - fseek(fp, 0, SEEK_END); - uint32_t size = ftell(fp); - fseek(fp, 0, SEEK_SET); - char* content = new char[size]; - int x = fread(content, 1, size, fp); - - if (!x) { std::cout << "COULD NOT READ FILE\n"; std::abort();} - - int offset = 0; - char* line = content; - // std::cout << "WHTA\n"; - while (1) { - if (line[0] == ':') { - uint32_t byteCount = hToI_old(line + 1, 2); - uint32_t nextAddr = hToI_old(line + 3, 4) + offset; - uint32_t key = hToI_old(line + 7, 2); - switch (key) { - case 0: - for (uint32_t i = 0; i < byteCount; i++) { - - unsigned add = nextAddr + i; - - *(this->get(add)) = hToI_old(line + 9 + i * 2, 2); - // std::cout << "lhi: Address: " << std::hex <<(add) << "\tValue: " << std::hex << hToI_old(line + 9 + i * 2, 2) << std::endl; - } - break; - case 2: - // cout << offset << endl; - offset = hToI_old(line + 9, 4) << 4; - break; - case 4: - // cout << offset << endl; - offset = hToI_old(line + 9, 4) << 16; - break; - default: - // cout << "??? " << key << endl; - break; - } - } - - while (*line != '\n' && size != 0) { - line++; - size--; - } - if (size <= 1) - break; - line++; - size--; - } - - - if (content) delete[] content; - } + void loadHexImpl(std::string path); }; - - - - } diff --git a/simX/instruction.cpp b/simX/instruction.cpp index 60d236826..47272b0e9 100644 --- a/simX/instruction.cpp +++ b/simX/instruction.cpp @@ -45,7 +45,7 @@ ostream &Harp::operator<<(ostream& os, Instruction &inst) { // else os << "#0x" << hex << inst.immsrc; // } - D(3, instTable[inst.op].opString << ';\n'); + os << instTable[inst.op].opString; return os; } @@ -347,8 +347,6 @@ void trap_to_simulator(Warp & c) } void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { - D(3, "Begin instruction execute."); - /* If I try to execute a privileged instruction in user mode, throw an exception 3. */ if (instTable[op].privileged && !c.supervisorMode) { @@ -357,11 +355,8 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { return; } - bool is_vec = false; - - Size nextActiveThreads = c.activeThreads; Size wordSz = c.core->a.getWordSize(); Word nextPc = c.pc; @@ -425,7 +420,6 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { case R_INST: // std::cout << "R_INST\n"; m_exten = func7 & 0x1; - if (m_exten) { // std::cout << "FOUND A MUL/DIV\n"; @@ -434,11 +428,12 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { { case 0: // MUL - // cout << "MUL\n"; + D(3, "MUL: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = ((int) reg[rsrc[0]]) * ((int) reg[rsrc[1]]); break; case 1: // MULH + D(3, "MULH: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); { int64_t first = (int64_t) reg[rsrc[0]]; if (reg[rsrc[0]] & 0x80000000) @@ -458,6 +453,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 2: // MULHSU + D(3, "MULHSU: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); { int64_t first = (int64_t) reg[rsrc[0]]; if (reg[rsrc[0]] & 0x80000000) @@ -470,6 +466,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 3: // MULHU + D(3, "MULHU: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); { uint64_t first = (uint64_t) reg[rsrc[0]]; uint64_t second = (uint64_t) reg[rsrc[1]]; @@ -479,6 +476,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 4: // DIV + D(3, "DIV: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); if (reg[rsrc[1]] == 0) { reg[rdest] = -1; @@ -490,6 +488,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 5: // DIVU + D(3, "DIVU: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); if (reg[rsrc[1]] == 0) { reg[rdest] = -1; @@ -499,6 +498,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 6: // REM + D(3, "REM: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); if (reg[rsrc[1]] == 0) { reg[rdest] = reg[rsrc[0]]; @@ -508,6 +508,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 7: // REMU + D(3, "REMU: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); if (reg[rsrc[1]] == 0) { reg[rdest] = reg[rsrc[0]]; @@ -528,20 +529,24 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { case 0: if (func7) { + D(3, "SUBI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = reg[rsrc[0]] - reg[rsrc[1]]; reg[rdest].trunc(wordSz); } else { + D(3, "ADDI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = reg[rsrc[0]] + reg[rsrc[1]]; reg[rdest].trunc(wordSz); } break; case 1: - reg[rdest] = reg[rsrc[0]] << reg[rsrc[1]]; - reg[rdest].trunc(wordSz); + D(3, "SLLI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); + reg[rdest] = reg[rsrc[0]] << reg[rsrc[1]]; + reg[rdest].trunc(wordSz); break; case 2: + D(3, "SLTI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); if ( int(reg[rsrc[0]]) < int(reg[rsrc[1]])) { reg[rdest] = 1; @@ -552,7 +557,8 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { } break; case 3: - if ( Word_u(reg[rsrc[0]]) < Word_u(reg[rsrc[1]])) + D(3, "SLTU: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); + if (Word_u(reg[rsrc[0]]) < Word_u(reg[rsrc[1]])) { reg[rdest] = 1; } @@ -562,24 +568,29 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { } break; case 4: + D(3, "XORI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = reg[rsrc[0]] ^ reg[rsrc[1]]; break; case 5: if (func7) - { + { + D(3, "SRLI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = int(reg[rsrc[0]]) >> int(reg[rsrc[1]]); reg[rdest].trunc(wordSz); } else { + D(3, "SRLU: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = Word_u(reg[rsrc[0]]) >> Word_u(reg[rsrc[1]]); reg[rdest].trunc(wordSz); } break; case 6: + D(3, "ORI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = reg[rsrc[0]] | reg[rsrc[1]]; break; case 7: + D(3, "ANDI: r" << rdest << " <- r" << rsrc[0] << ", r" << rsrc[1]); reg[rdest] = reg[rsrc[0]] & reg[rsrc[1]]; break; default: @@ -589,35 +600,35 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { } break; case L_INST: - //std::cout << "L_INST\n"; - - memAddr = ((reg[rsrc[0]] + immsrc) & 0xFFFFFFFC); - shift_by = ((reg[rsrc[0]] + immsrc) & 0x00000003) * 8; - data_read = c.core->mem.read(memAddr, c.supervisorMode); - trace_inst->is_lw = true; - trace_inst->mem_addresses[t] = memAddr; - // //std::cout < data_read: " << data_read << "\n"; - - switch (func3) - { - + memAddr = ((reg[rsrc[0]] + immsrc) & 0xFFFFFFFC); + shift_by = ((reg[rsrc[0]] + immsrc) & 0x00000003) * 8; + data_read = c.core->mem.read(memAddr, c.supervisorMode); + trace_inst->is_lw = true; + trace_inst->mem_addresses[t] = memAddr; + switch (func3) { case 0: - // LB + // LBI + D(3, "LBI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); reg[rdest] = signExt((data_read >> shift_by) & 0xFF, 8, 0xFF); break; case 1: - // LH - // //std::cout << "shifting by: " << shift_by << " final data: " << ((data_read >> shift_by) & 0xFFFF, 16, 0xFFFF) << "\n"; + // LWI + D(3, "LWI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); reg[rdest] = signExt((data_read >> shift_by) & 0xFFFF, 16, 0xFFFF); break; case 2: + // LDI + D(3, "LDI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); reg[rdest] = int(data_read & 0xFFFFFFFF); break; case 4: // LBU + D(3, "LBU: r" << rdest << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); reg[rdest] = unsigned((data_read >> shift_by) & 0xFF); break; case 5: + // LWU + D(3, "LWU: r" << rdest << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); reg[rdest] = unsigned((data_read >> shift_by) & 0xFFFF); break; default: @@ -625,19 +636,22 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { std::abort(); c.memAccesses.push_back(Warp::MemAccess(false, memAddr)); } + D(3, "LOAD MEM ADDRESS: " << std::hex << memAddr); + D(3, "LOAD MEM DATA: " << std::hex << data_read); break; case I_INST: //std::cout << "I_INST\n"; switch (func3) { - case 0: // ADDI + D(3, "ADDI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << immsrc); reg[rdest] = reg[rsrc[0]] + immsrc; reg[rdest].trunc(wordSz); break; case 2: // SLTI + D(3, "SLTI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << immsrc); if ( int(reg[rsrc[0]]) < int(immsrc)) { reg[rdest] = 1; @@ -649,6 +663,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 3: // SLTIU + D(3, "SLTIU: r" << rdest << " <- r" << rsrc[0] << ", imm=" << immsrc); op1 = (unsigned) reg[rsrc[0]]; if ( unsigned(reg[rsrc[0]]) < unsigned(immsrc)) { @@ -661,18 +676,22 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 4: // XORI + D(3, "XORI: r" << rdest << " <- r" << rsrc[0] << ", imm=0x" << hex << immsrc); reg[rdest] = reg[rsrc[0]] ^ immsrc; break; case 6: - // ORI; + // ORI + D(3, "ORI: r" << rdest << " <- r" << rsrc[0] << ", imm=0x" << hex << immsrc); reg[rdest] = reg[rsrc[0]] | immsrc; break; case 7: // ANDI + D(3, "ANDI: r" << rdest << " <- r" << rsrc[0] << ", imm=0x" << hex << immsrc); reg[rdest] = reg[rsrc[0]] & immsrc; break; case 1: // SLLI + D(3, "SLLI: r" << rdest << " <- r" << rsrc[0] << ", imm=0x" << hex << immsrc); reg[rdest] = reg[rsrc[0]] << immsrc; reg[rdest].trunc(wordSz); break; @@ -680,31 +699,20 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { if ((func7 == 0)) { // SRLI - // //std::cout << "WTF\n"; - bool isNeg = ((0x80000000 & reg[rsrc[0]])) > 0; - Word result = Word_u(reg[rsrc[0]]) >> Word_u(immsrc); - // if (isNeg) - // { - // Word mask = 0x80000000; - // for (int i = 32; i < Word_u(immsrc); i++) - // { - // result |= mask; - // mask = mask >> 1; - // } - // } - - reg[rdest] = result; - - reg[rdest].trunc(wordSz); + D(3, "SRLI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << immsrc); + bool isNeg = ((0x80000000 & reg[rsrc[0]])) > 0; + Word result = Word_u(reg[rsrc[0]]) >> Word_u(immsrc); + reg[rdest] = result; + reg[rdest].trunc(wordSz); } else { - // SRAI - // //std::cout << "WOHOOOOO\n"; - op1 = reg[rsrc[0]]; - op2 = immsrc; - reg[rdest] = op1 >> op2; - reg[rdest].trunc(wordSz); + // SRAI + D(3, "SRAI: r" << rdest << " <- r" << rsrc[0] << ", imm=" << immsrc); + op1 = reg[rsrc[0]]; + op2 = immsrc; + reg[rdest] = op1 >> op2; + reg[rdest].trunc(wordSz); } break; default: @@ -713,11 +721,8 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { } break; case S_INST: - //std::cout << "S_INST\n"; ++c.stores; - memAddr = reg[rsrc[0]] + immsrc; - D(3, "STORE MEM ADDRESS: " << std::hex << reg[rsrc[0]] << " + " << immsrc << "\n"); - D(3, "STORE MEM ADDRESS: " << std::hex << memAddr); + memAddr = reg[rsrc[0]] + immsrc; trace_inst->is_sw = true; trace_inst->mem_addresses[t] = memAddr; // //std::cout << "FUNC3: " << func3 << "\n"; @@ -730,21 +735,25 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { switch (func3) { case 0: - // //std::cout << "SB\n"; + // SB + D(3, "SB: r" << rsrc[1] << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); c.core->mem.write(memAddr, reg[rsrc[1]] & 0x000000FF, c.supervisorMode, 1); break; case 1: - // //std::cout << "SH\n"; + // SH + D(3, "SH: r" << rsrc[1] << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); c.core->mem.write(memAddr, reg[rsrc[1]], c.supervisorMode, 2); break; case 2: - // //std::cout << std::hex << "SW: about to write: " << reg[rsrc[1]] << " to " << memAddr << "\n"; + // SD + D(3, "SD: r" << rsrc[1] << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); c.core->mem.write(memAddr, reg[rsrc[1]], c.supervisorMode, 4); break; default: cout << "ERROR: UNSUPPORTED S INST\n"; std::abort(); } + D(3, "STORE MEM ADDRESS: " << std::hex << memAddr); c.memAccesses.push_back(Warp::MemAccess(true, memAddr)); #ifdef EMU_INSTRUMENTATION Harp::OSDomain::osDomain-> @@ -752,13 +761,12 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { #endif break; case B_INST: - //std::cout << "B_INST\n"; - trace_inst->stall_warp = true; - D(3,"func3:" << func3 << endl); + trace_inst->stall_warp = true; switch (func3) { case 0: // BEQ + D(3,"BEQ: r" << rsrc[0] << ", r" << rsrc[1] << ", imm=" << (int)immsrc); if (int(reg[rsrc[0]]) == int(reg[rsrc[1]])) { if (!pcSet) nextPc = (c.pc - 4) + immsrc; @@ -767,7 +775,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 1: // BNE - D(3, "rsrc0: " << reg[rsrc[0]] << " rsrc1 : " << reg[rsrc[1]] << endl); + D(3,"BNE: r" << rsrc[0] << ", r" << rsrc[1] << ", imm=" << (int)immsrc); if (int(reg[rsrc[0]]) != int(reg[rsrc[1]])) { if (!pcSet) nextPc = (c.pc - 4) + immsrc; @@ -776,6 +784,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 4: // BLT + D(3,"BLT: r" << rsrc[0] << ", r" << rsrc[1] << ", imm=" << (int)immsrc); if (int(reg[rsrc[0]]) < int(reg[rsrc[1]])) { if (!pcSet) nextPc = (c.pc - 4) + immsrc; @@ -784,6 +793,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 5: // BGE + D(3,"BGE: r" << rsrc[0] << ", r" << rsrc[1] << ", imm=" << (int)immsrc); if (int(reg[rsrc[0]]) >= int(reg[rsrc[1]])) { if (!pcSet) nextPc = (c.pc - 4) + immsrc; @@ -792,6 +802,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 6: // BLTU + D(3,"BLTU: r" << rsrc[0] << ", r" << rsrc[1] << ", imm=" << (int)immsrc); if (Word_u(reg[rsrc[0]]) < Word_u(reg[rsrc[1]])) { if (!pcSet) nextPc = (c.pc - 4) + immsrc; @@ -800,6 +811,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 7: // BGEU + D(3,"BGEU: r" << rsrc[0] << ", r" << rsrc[1] << ", imm=" << (int)immsrc); if (Word_u(reg[rsrc[0]]) >= Word_u(reg[rsrc[1]])) { if (!pcSet) nextPc = (c.pc - 4) + immsrc; @@ -809,26 +821,25 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { } break; case LUI_INST: - //std::cout << "LUI_INST\n"; + D(3, "LUI: r" << rdest << " <- imm=0x" << hex << immsrc); reg[rdest] = (immsrc << 12) & 0xfffff000; break; case AUIPC_INST: - //std::cout << "AUIPC_INST\n"; + D(3, "AUIPC: r" << rdest << " <- imm=0x" << hex << immsrc); reg[rdest] = ((immsrc << 12) & 0xfffff000) + (c.pc - 4); break; case JAL_INST: - //std::cout << "JAL_INST\n"; + D(3, "JAL: r" << rdest << " <- imm=" << (int)immsrc); trace_inst->stall_warp = true; if (!pcSet) nextPc = (c.pc - 4) + immsrc; if (!pcSet) {/*std::cout << "JAL... SETTING PC: " << nextPc << "\n"; */} - if (rdest != 0) - { + if (rdest != 0) { reg[rdest] = c.pc; } pcSet = true; break; case JALR_INST: - D(3, "JALR_INST\n"); + D(3, "JALR: r" << rdest << " <- r" << rsrc[0] << ", imm=" << (int)immsrc); trace_inst->stall_warp = true; if (!pcSet) nextPc = reg[rsrc[0]] + immsrc; if (!pcSet) {/*std::cout << "JALR... SETTING PC: " << nextPc << "\n";*/ } @@ -841,99 +852,109 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { case SYS_INST: //std::cout << "SYS_INST\n"; temp = reg[rsrc[0]]; - if (immsrc == 0x20) // ThreadID - { - reg[rdest] = t; - D(2, "CSR Reading tid " << hex << immsrc << dec << " and returning " << reg[rdest]); - } else if (immsrc == 0x21) // WarpID - { - reg[rdest] = c.id; - D(2, "CSR Reading wid " << hex << immsrc << dec << " and returning " << reg[rdest]); - } else if (immsrc == 0x25) - { - reg[rdest] = c.core->num_instructions; - } else if (immsrc == 0x26) - { - reg[rdest] = c.core->num_cycles; + + if (!c.core->a.is_cpu_mode()) { + // + // GPGPU CSR extension + // + if (immsrc == 0x20) // ThreadID + { + reg[rdest] = t; + D(2, "CSR Reading tid " << hex << immsrc << dec << " and returning " << reg[rdest]); + } + else if (immsrc == 0x21) // WarpID + { + reg[rdest] = c.id; + D(2, "CSR Reading wid " << hex << immsrc << dec << " and returning " << reg[rdest]); + } + else if (immsrc == 0x25) + { + reg[rdest] = c.core->num_instructions; + } + else if (immsrc == 0x26) + { + reg[rdest] = c.core->num_cycles; + } + } else { + switch (func3) + { + case 1: + // printf("Case 1\n"); + if (rdest != 0) + { + reg[rdest] = c.csr[immsrc & 0x00000FFF]; + } + c.csr[immsrc & 0x00000FFF] = temp; + + break; + case 2: + // printf("Case 2\n"); + if (rdest != 0) + { + // printf("Reading from CSR: %d = %d\n", (immsrc & 0x00000FFF), c.csr[immsrc & 0x00000FFF]); + reg[rdest] = c.csr[immsrc & 0x00000FFF]; + } + // printf("Writing to CSR --> %d = %d\n", immsrc, (temp | c.csr[immsrc & 0x00000FFF])); + c.csr[immsrc & 0x00000FFF] = temp | c.csr[immsrc & 0x00000FFF]; + + break; + case 3: + // printf("Case 3\n"); + if (rdest != 0) + { + reg[rdest] = c.csr[immsrc & 0x00000FFF]; + } + c.csr[immsrc & 0x00000FFF] = temp & (~c.csr[immsrc & 0x00000FFF]); + + break; + case 5: + // printf("Case 5\n"); + if (rdest != 0) + { + reg[rdest] = c.csr[immsrc & 0x00000FFF]; + } + c.csr[immsrc & 0x00000FFF] = rsrc[0]; + + break; + case 6: + // printf("Case 6\n"); + if (rdest != 0) + { + reg[rdest] = c.csr[immsrc & 0x00000FFF]; + } + c.csr[immsrc & 0x00000FFF] = rsrc[0] | c.csr[immsrc & 0x00000FFF]; + + break; + case 7: + // printf("Case 7\n"); + if (rdest != 0) + { + reg[rdest] = c.csr[immsrc & 0x00000FFF]; + } + c.csr[immsrc & 0x00000FFF] = rsrc[0] & (~c.csr[immsrc & 0x00000FFF]); + + break; + case 0: + if (immsrc < 2) + { + //std::cout << "INTERRUPT ECALL/EBREAK\n"; + nextActiveThreads = 0; + c.spawned = false; + // c.interrupt(0); + } + break; + default: + break; + } } - // switch (func3) - // { - // case 1: - // // printf("Case 1\n"); - // if (rdest != 0) - // { - // reg[rdest] = c.csr[immsrc & 0x00000FFF]; - // } - // c.csr[immsrc & 0x00000FFF] = temp; - - // break; - // case 2: - // // printf("Case 2\n"); - // if (rdest != 0) - // { - // // printf("Reading from CSR: %d = %d\n", (immsrc & 0x00000FFF), c.csr[immsrc & 0x00000FFF]); - // reg[rdest] = c.csr[immsrc & 0x00000FFF]; - // } - // // printf("Writing to CSR --> %d = %d\n", immsrc, (temp | c.csr[immsrc & 0x00000FFF])); - // c.csr[immsrc & 0x00000FFF] = temp | c.csr[immsrc & 0x00000FFF]; - - // break; - // case 3: - // // printf("Case 3\n"); - // if (rdest != 0) - // { - // reg[rdest] = c.csr[immsrc & 0x00000FFF]; - // } - // c.csr[immsrc & 0x00000FFF] = temp & (~c.csr[immsrc & 0x00000FFF]); - - // break; - // case 5: - // // printf("Case 5\n"); - // if (rdest != 0) - // { - // reg[rdest] = c.csr[immsrc & 0x00000FFF]; - // } - // c.csr[immsrc & 0x00000FFF] = rsrc[0]; - - // break; - // case 6: - // // printf("Case 6\n"); - // if (rdest != 0) - // { - // reg[rdest] = c.csr[immsrc & 0x00000FFF]; - // } - // c.csr[immsrc & 0x00000FFF] = rsrc[0] | c.csr[immsrc & 0x00000FFF]; - - // break; - // case 7: - // // printf("Case 7\n"); - // if (rdest != 0) - // { - // reg[rdest] = c.csr[immsrc & 0x00000FFF]; - // } - // c.csr[immsrc & 0x00000FFF] = rsrc[0] & (~c.csr[immsrc & 0x00000FFF]); - - // break; - // case 0: - // if (immsrc < 2) - // { - // //std::cout << "INTERRUPT ECALL/EBREAK\n"; - // nextActiveThreads = 0; - // c.spawned = false; - // // c.interrupt(0); - // } - // break; - // default: - // break; - // } break; case TRAP: - //std::cout << "INTERRUPT TRAP\n"; + D(3, "TRAP"); nextActiveThreads = 0; c.interrupt(0); break; case FENCE: - //std::cout << "FENCE_INST\n"; + D(3, "FENCE"); break; case PJ_INST: // pred jump reg @@ -950,13 +971,13 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { { case 1: // WSPAWN - D(3, "WSPAWN\n"); + D(3, "WSPAWN"); trace_inst->wspawn = true; if (sjOnce) { sjOnce = false; // //std::cout << "SIZE: " << c.core->w.size() << "\n"; - num_to_wspawn = reg[rsrc[0]]; + num_to_wspawn = std::min(reg[rsrc[0]], c.core->a.getNWarps()); D(0, "Spawning " << num_to_wspawn << " new warps at PC: " << hex << reg[rsrc[1]]); for (unsigned i = 1; i < num_to_wspawn; ++i) @@ -992,7 +1013,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { case 2: { // SPLIT - //std::cout << "SPLIT\n"; + D(3, "SPLIT"); trace_inst->stall_warp = true; if (sjOnce) { @@ -1025,8 +1046,7 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { } case 3: // JOIN - //std::cout << "JOIN\n"; - D(3, "JOIN INSTRUCTION"); + D(3, "JOIN"); if (sjOnce) { sjOnce = false; @@ -1062,9 +1082,9 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { break; case 0: // TMC - //std::cout << "JALRS\n"; + D(3, "TMC"); trace_inst->stall_warp = true; - nextActiveThreads = reg[rsrc[0]]; + nextActiveThreads = std::min(reg[rsrc[0]], c.core->a.getNThds()); { for (int ff = 0; ff < c.tmask.size(); ff++) { @@ -2418,8 +2438,6 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { // std::cout << "finished instruction" << endl << flush; - D(3, "End instruction execute." << flush); - c.activeThreads = nextActiveThreads; // if (nextActiveThreads != 0) @@ -2430,8 +2448,6 @@ void Instruction::executeOn(Warp &c, trace_inst_t * trace_inst) { // } // } - - // //std::cout << "new thread mask: "; // for (int i = 0; i < c.tmask.size(); ++i) //std::cout << " " << c.tmask[i]; // //std::cout << "\n"; diff --git a/simX/mem.cpp b/simX/mem.cpp index b39a0ee40..870f9946f 100644 --- a/simX/mem.cpp +++ b/simX/mem.cpp @@ -280,6 +280,120 @@ void DiskControllerMemDevice::write(Addr a, Word w) { } } +static uint32_t hti_old(char c) { + if (c >= 'A' && c <= 'F') + return c - 'A' + 10; + if (c >= 'a' && c <= 'f') + return c - 'a' + 10; + return c - '0'; + } +static uint32_t hToI_old(char *c, uint32_t size) { + uint32_t value = 0; + for (uint32_t i = 0; i < size; i++) { + value += hti_old(c[i]) << ((size - i - 1) * 4); + } + return value; +} +void RAM::loadHexImpl(std::string path) { + this->clear(); + FILE *fp = fopen(&path[0], "r"); + if(fp == 0){ + std::cout << path << " not found" << std::endl; + } + //Preload 0x0 <-> 0x80000000 jumps + ((uint32_t*)this->get(0))[0] = 0xf1401073; + ((uint32_t*)this->get(0))[1] = 0xf1401073; + ((uint32_t*)this->get(0))[2] = 0x30101073; + ((uint32_t*)this->get(0))[3] = 0x800000b7; + ((uint32_t*)this->get(0))[4] = 0x000080e7; + + ((uint32_t*)this->get(0x80000000))[0] = 0x00000097; + + ((uint32_t*)this->get(0xb0000000))[0] = 0x01C02023; + + ((uint32_t*)this->get(0xf00fff10))[0] = 0x12345678; + + ((uint32_t*)this->get(0x70000000))[0] = 0x00008067; + + { + uint32_t init_addr = 0x70000004; + for (int off = 0; off < 1024; off+=4) { + uint32_t new_addr = init_addr+off; + ((uint32_t*)this->get(new_addr))[0] = 0x00000000; + } + } + + { + uint32_t init_addr = 0x71000000; + for (int off = 0; off < 1024; off+=4) { + uint32_t new_addr = init_addr+off; + ((uint32_t*)this->get(new_addr))[0] = 0x00000000; + } + } + + { + uint32_t init_addr = 0x72000000; + for (int off = 0; off < 1024; off+=4) { + uint32_t new_addr = init_addr+off; + ((uint32_t*)this->get(new_addr))[0] = 0x00000000; + } + } + + fseek(fp, 0, SEEK_END); + uint32_t size = ftell(fp); + fseek(fp, 0, SEEK_SET); + char* content = new char[size]; + int x = fread(content, 1, size, fp); + + if (!x) { + std::cout << "COULD NOT READ FILE\n"; std::abort(); + } + + int offset = 0; + char* line = content; + // std::cout << "WHTA\n"; + while (1) { + if (line[0] == ':') { + uint32_t byteCount = hToI_old(line + 1, 2); + uint32_t nextAddr = hToI_old(line + 3, 4) + offset; + uint32_t key = hToI_old(line + 7, 2); + switch (key) { + case 0: + for (uint32_t i = 0; i < byteCount; i++) { + unsigned add = nextAddr + i; + *(this->get(add)) = hToI_old(line + 9 + i * 2, 2); + // std::cout << "lhi: Address: " << std::hex <<(add) << "\tValue: " << std::hex << hToI_old(line + 9 + i * 2, 2) << std::endl; + } + break; + case 2: + // cout << offset << endl; + offset = hToI_old(line + 9, 4) << 4; + break; + case 4: + // cout << offset << endl; + offset = hToI_old(line + 9, 4) << 16; + break; + default: + // cout << "??? " << key << endl; + break; + } + } + + while (*line != '\n' && size != 0) { + line++; + size--; + } + + if (size <= 1) + break; + + line++; + size--; + } + + if (content) + delete[] content; + } \ No newline at end of file diff --git a/simX/simX.cpp b/simX/simX.cpp index 1db16a5c4..00e86d52c 100644 --- a/simX/simX.cpp +++ b/simX/simX.cpp @@ -55,40 +55,46 @@ HarpToolMode findMode(int argc, char** argv) { } int emu_main(int argc, char **argv) { - string archString("rv32i"), imgFileName("a.dsfsdout.bin"); - bool showHelp, showStats, basicMachine, batch; + string archString("rv32i"); + string imgFileName("a.dsfsdout.bin"); + bool showHelp(false), showStats(false), basicMachine(true); + bool cpu_mode(false); + int max_warps(32); + int max_threads(32); - /* Read the command line arguments. */ - CommandLineArgFlag fh("-h", "--help", "", showHelp); - CommandLineArgSetterfc("-c", "--core", "", imgFileName); - CommandLineArgSetterfa("-a", "--arch", "", archString); - CommandLineArgFlag fs("-s", "--stats", "", showStats); - CommandLineArgFlag fb("-b", "--basic", "", basicMachine); - CommandLineArgFlag fi("-i", "--batch", "", batch); - - CommandLineArg::readArgs(argc, argv); - if (showHelp) { - cout << Help::emuHelp; - return 0; - } + /* Read the command line arguments. */ + CommandLineArgFlag fh("-h", "--help", "", showHelp); + CommandLineArgSetterfc("-c", "--core", "", imgFileName); + CommandLineArgSetterfa("-a", "--arch", "", archString); + CommandLineArgFlag fs("-s", "--stats", "", showStats); + CommandLineArgFlag fb("-b", "--basic", "", basicMachine); + CommandLineArgFlag fx("-x", "--cpu", "", cpu_mode); + CommandLineArgSetter fw("-w", "--warps", "", max_warps); + CommandLineArgSetter ft("-t", "--threads", "", max_threads); + + CommandLineArg::readArgs(argc, argv); + + if (showHelp) { + cout << Help::emuHelp; + return 0; + } - /* Instantiate a Core, RAM, and console output. */ - ArchDef arch(archString); + /* Instantiate a Core, RAM, and console output. */ + ArchDef arch(archString, cpu_mode, max_warps, max_threads); - Decoder *dec; + Decoder *dec; - switch (arch.getEncChar()) { + switch (arch.getEncChar()) { case 'b': dec = new WordDecoder(arch); break; case 'w': dec = new WordDecoder(arch); break; case 'r': dec = new WordDecoder(arch); break; default: cout << "Unrecognized decoder type: '" << arch.getEncChar() << "'.\n"; return 1; - } + } // std::cout << "TESTING: " << tests[t] << "\n"; - MemoryUnit mu(4096, arch.getWordSize(), basicMachine); Core core(arch, *dec, mu/*, ID in multicore implementations*/); diff --git a/simX/test_riscv.sh b/simX/test_riscv.sh index c0d8a6286..77ebb2549 100755 --- a/simX/test_riscv.sh +++ b/simX/test_riscv.sh @@ -1,7 +1,141 @@ +make +cd obj_dir echo start > results.txt -# echo ../kernel/vortex_test.hex -make -printf "Fasten your seatbelts ladies and gentelmen!!\n\n\n\n" -#cd obj_dir && ./Vcache_simX -E -a rv32i --core ../../runtime/mains/simple/vx_simple_main.hex -s -b 1> emulator.debug -cd obj_dir && ./Vcache_simX -E -a rv32i --core /home/priya/Desktop/new_vortex/Vortex/rvvector/benchmark_temp/vx_vec_benchmark.hex -s -b 1> emulator.debug +echo ./riscv_tests/rv32ui-p-add.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-add.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-addi.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-addi.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-and.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-and.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-andi.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-andi.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-auipc.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-auipc.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-beq.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-beq.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-bge.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-bge.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-bgeu.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-bgeu.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-blt.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-blt.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-bltu.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-bltu.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-bne.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-bne.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-jal.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-jal.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-jalr.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-jalr.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-lb.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-lb.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-lbu.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-lbu.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-lh.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-lh.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-lhu.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-lhu.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-lui.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-lui.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-lw.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-lw.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-or.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-or.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-ori.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-ori.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sb.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sb.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sh.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sh.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-simple.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-simple.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sll.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sll.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-slli.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-slli.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-slt.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-slt.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-slti.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-slti.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sltiu.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sltiu.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sltu.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sltu.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sra.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sra.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-srai.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-srai.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-srl.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-srl.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-srli.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-srli.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sub.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sub.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-sw.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-sw.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-xor.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-xor.hex -s -b >> results.txt + +echo ./riscv_tests/rv32ui-p-xori.hex >> results.txt +./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32ui-p-xori.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-div.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-div.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-divu.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-divu.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-mul.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-mul.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-mulh.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-mulh.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-mulhsu.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-mulhsu.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-mulhu.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-mulhu.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-rem.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-rem.hex -s -b >> results.txt + +# echo ./riscv_tests/rv32um-p-remu.hex >> results.txt +# ./Vcache_simX -E --cpu -a rv32i --core ../riscv_tests/rv32um-p-remu.hex -s -b >> results.txt \ No newline at end of file From f7e0d1e4913cc7748c5d487eb3f444de3daa0fe5 Mon Sep 17 00:00:00 2001 From: Blaise Tine Date: Fri, 27 Mar 2020 22:51:54 -0400 Subject: [PATCH 7/7] missing runtime changes from OPAE --- runtime/io/vx_io.c | 2 +- runtime/io/vx_io.h | 4 +- runtime/io/vx_io.s | 6 +- runtime/vx_api/vx_api.c | 161 +++++++--------------------------------- runtime/vx_api/vx_api.h | 33 ++------ 5 files changed, 40 insertions(+), 166 deletions(-) diff --git a/runtime/io/vx_io.c b/runtime/io/vx_io.c index 79f5f8ca0..8da5a8c0c 100644 --- a/runtime/io/vx_io.c +++ b/runtime/io/vx_io.c @@ -26,7 +26,7 @@ void vx_print_hex(unsigned f) } -void vx_printf(char * c, unsigned f) +void vx_printf(const char * c, unsigned f) { vx_print_str(c); vx_print_hex(f); diff --git a/runtime/io/vx_io.h b/runtime/io/vx_io.h index faf73d6d0..f4c69c574 100644 --- a/runtime/io/vx_io.h +++ b/runtime/io/vx_io.h @@ -9,9 +9,9 @@ extern "C" { static char * hextoa[] = {"0", "1", "2", "3", "4", "5", "6", "7", "8", "9", "a", "b", "c", "d", "e", "f"}; void vx_print_hex(unsigned); -void vx_printf(char *, unsigned); +void vx_printf(const char *, unsigned); -void vx_print_str(char *); +void vx_print_str(const char *); void vx_printc(unsigned, char c); diff --git a/runtime/io/vx_io.s b/runtime/io/vx_io.s index 806f2790a..86d90ed03 100644 --- a/runtime/io/vx_io.s +++ b/runtime/io/vx_io.s @@ -22,9 +22,11 @@ be: .type vx_printc, @function .global vx_printc vx_printc: - la t0, 0x00010000 + la t0, print_addr sw a1, 0(t0) ret - +.section .data +print_addr: + .word 0x00010000 diff --git a/runtime/vx_api/vx_api.c b/runtime/vx_api/vx_api.c index aeba2c103..98fa136a1 100644 --- a/runtime/vx_api/vx_api.c +++ b/runtime/vx_api/vx_api.c @@ -1,182 +1,75 @@ -#pragma once - #include "../intrinsics/vx_intrinsics.h" #include "vx_api.h" #include -#include "../config.h" - #ifdef __cplusplus extern "C" { #endif func_t global_function_pointer; -// void (func_t)(void *) - -void * global_argument_struct; - +void * global_argument_struct; unsigned global_num_threads; -void setup_call() -{ + +void setup_call() { vx_tmc(global_num_threads); global_function_pointer(global_argument_struct); unsigned wid = vx_warpID(); - if (wid != 0) - { + if (wid != 0) { vx_tmc(0); // Halt Warp Execution - } - else - { + } else { vx_tmc(1); // Only activate one thread } } -void vx_spawnWarps(unsigned numWarps, unsigned numThreads, func_t func_ptr, void * args) -{ +void vx_spawnWarps(unsigned numWarps, unsigned numThreads, func_t func_ptr, void * args) { global_function_pointer = func_ptr; global_argument_struct = args; global_num_threads = numThreads; vx_wspawn(numWarps, (unsigned) setup_call); setup_call(); - } - unsigned pocl_threads; -uint8_t * pocl_args; -uint8_t * pocl_ctx; +struct context_t * pocl_ctx; vx_pocl_workgroup_func pocl_pfn; +const void * pocl_args; -unsigned global_z; -unsigned global_y; -unsigned global_x; - - -void pocl_spawn_real() -{ +void pocl_spawn_runonce() { + vx_tmc(pocl_threads); - int base_x = vx_threadID(); - int base_y = vx_warpID(); - int local_x; - int local_y; + int x = vx_threadID(); + int y = vx_warpID(); - for (int iter_z = 0; iter_z < global_z; iter_z++) - { - for (int iter_x = 0; iter_x < global_x; iter_x++) - { - for (int iter_y = 0; iter_y < global_y; iter_y++) - { + (pocl_pfn)(pocl_args, pocl_ctx, x, y, 0); - local_x = (iter_x * TOTAL_THREADS) + base_x; - local_y = (iter_y * TOTAL_WARPS ) + base_y; - - (pocl_pfn)( pocl_args, pocl_ctx, local_x, local_y, iter_z); - - } - } - } - - // (pocl_pfn)( pocl_args, pocl_ctx, x, y, 0); - - if (base_y != 0) - { + if (y != 0) { vx_tmc(0); } + vx_tmc(1); } - -void pocl_spawn(struct context_t * ctx, const void * pfn, void * arguments) -{ - - - // printf("ctx->num_groups[0]: %d\n", ctx->num_groups[0]); - // printf("ctx->num_groups[1]: %d\n", ctx->num_groups[1]); - // printf("ctx->num_groups[2]: %d\n", ctx->num_groups[2]); - - // printf("\n\n"); - - // printf("ctx->local_size[0]: %d\n", ctx->local_size[0]); - // printf("ctx->local_size[1]: %d\n", ctx->local_size[1]); - // printf("ctx->local_size[2]: %d\n", ctx->local_size[2]); - if (ctx->num_groups[0] > TOTAL_THREADS) - { - pocl_threads = TOTAL_THREADS; - global_x = ctx->num_groups[0] / TOTAL_THREADS; - // printf("pocl_threads: %d\n", pocl_threads); - // printf("global_x: %d\n", global_x); - } - else - { - pocl_threads = ctx->num_groups[0]; - global_x = 1; - // printf("pocl_threads: %d\n", pocl_threads); - // printf("global_x: %d\n", global_x); +void pocl_spawn(struct context_t * ctx, vx_pocl_workgroup_func pfn, const void * args) { + if (ctx->num_groups[2] > 1) { + printf("ERROR: pocl_spawn doesn't support Z dimension yet!\n"); + return; } + pocl_threads = ctx->num_groups[0]; + pocl_ctx = ctx; + pocl_pfn = pfn; + pocl_args = args; - global_z = ctx->num_groups[2]; - pocl_pfn = (vx_pocl_workgroup_func) pfn; - pocl_ctx = (uint8_t *) ctx; - pocl_args = (uint8_t *) arguments; - - if (ctx->num_groups[1] > 1) - { - if (ctx->num_groups[1] > TOTAL_WARPS) - { - global_y = ctx->num_groups[1] / TOTAL_WARPS; - vx_wspawn(TOTAL_WARPS, (unsigned) &pocl_spawn_real); - // printf("global_y: %d\n", global_y); - // printf("Warps: %d\n", TOTAL_WARPS); - } - else - { - global_y = 1; - vx_wspawn(ctx->num_groups[1], (unsigned) &pocl_spawn_real); - // printf("global_y: %d\n", global_y); - // printf("Warps: %d\n", ctx->num_groups[1]); - } + if (ctx->num_groups[1] > 1) { + vx_wspawn(ctx->num_groups[1], (unsigned)&pocl_spawn_runonce); } - unsigned starting_cycles = vx_getCycles(); - unsigned starting_inst = vx_getInst(); - - pocl_spawn_real(); - - unsigned end_cycles = vx_getCycles(); - unsigned end_inst = vx_getInst(); - - - unsigned total_cycles = (unsigned) (end_cycles - starting_cycles); - // float total_inst = (float) (end_inst - starting_inst ); - - // float ipc = total_inst/total_cycles; - - printf("%d\n", total_cycles); - - vx_tmc(0); - - // printf("pocl_spawn: Total Cycles: %d\n", ); - // printf("pocl_spawn: Total Inst : %d\n", (end_inst - starting_inst )); - - // int z; - // int y; - // int x; - // for (z = 0; z < ctx->num_groups[2]; ++z) - // { - // for (y = 0; y < ctx->num_groups[1]; ++y) - // { - // for (x = 0; x < ctx->num_groups[0]; ++x) - // { - // (use_pfn)((uint8_t *)arguments, (uint8_t *)ctx, x, y, z); - // } - // } - // } + pocl_spawn_runonce(); } #ifdef __cplusplus } -#endif +#endif \ No newline at end of file diff --git a/runtime/vx_api/vx_api.h b/runtime/vx_api/vx_api.h index 6737fac15..a4ffcb446 100644 --- a/runtime/vx_api/vx_api.h +++ b/runtime/vx_api/vx_api.h @@ -1,6 +1,5 @@ #ifndef VX_API_ - #define VX_API_ #include @@ -14,47 +13,27 @@ typedef void (*func_t)(void *); void vx_spawnWarps(unsigned numWarps, unsigned numThreads, func_t func_ptr , void * args); -// struct context_t { - -// unsigned num_groups[3]; // use {2, 1, 1} for vecadd - -// unsigned global_offset[3]; // use {0, 0, 0} for vecadd - -// unsigned local_size[3]; // use {2, 1, 1} for vecadd - -// unsigned char *printf_buffer; // zero for now - -// unsigned *printf_buffer_position; // initialized to zero - -// unsigned printf_buffer_capacity; // zero for now - -// unsigned work_dim; // use ‘1’ for vecadd - -// }; - struct context_t { uint32_t num_groups[3]; uint32_t global_offset[3]; - uint32_t local_size[3]; - uint8_t *printf_buffer; + uint32_t local_size[3]; + char * printf_buffer; uint32_t *printf_buffer_position; - uint32_t printf_buffer_capacity; + uint32_t printf_buffer_capacity; uint32_t work_dim; }; - /* The default work-group function prototype as generated by Workgroup.cc. */ -typedef void (*vx_pocl_workgroup_func) (uint8_t * /* args */, - uint8_t * /* pocl_context */, +typedef void (*vx_pocl_workgroup_func) (const void * /* args */, + const struct context_t * /* context */, uint32_t /* group_x */, uint32_t /* group_y */, uint32_t /* group_z */); -void pocl_spawn(struct context_t * ctx, const void * pfn, void * arguments); +void pocl_spawn(struct context_t * ctx, vx_pocl_workgroup_func pfn, const void * args); #ifdef __cplusplus } #endif - #endif \ No newline at end of file